From d03dc209104628ecd8425e89d68c52ecb9daad05 Mon Sep 17 00:00:00 2001 From: imbis Date: Tue, 18 Jun 2024 14:37:33 +0900 Subject: [PATCH] mass32660 base project --- .gitignore | 1 + Document/Datasheet/MAX32660-EVSYS.pdf | Bin 0 -> 1101120 bytes Document/Datasheet/max32660-user-guide.pdf | Bin 0 -> 3502648 bytes Document/Datasheet/max32660_datasheet.pdf | Bin 0 -> 588793 bytes Firmware/App/main.c | 11 + Firmware/App/system_max32660.c | 167 + Firmware/Compiler/Listings/max32660.map | 1076 ++ .../Compiler/Listings/startup_max32660.lst | 1638 +++ Firmware/Compiler/max32660.uvguix.befs | 1923 +++ Firmware/Compiler/max32660.uvoptx | 496 + Firmware/Compiler/max32660.uvprojx | 537 + Firmware/SDK/CMSIS/arm_common_tables.h | 100 + Firmware/SDK/CMSIS/arm_const_structs.h | 85 + Firmware/SDK/CMSIS/arm_math.h | 7306 +++++++++++ Firmware/SDK/CMSIS/core_cm3.h | 1627 +++ Firmware/SDK/CMSIS/core_cm4.h | 1772 +++ Firmware/SDK/CMSIS/core_cm4_simd.h | 671 + Firmware/SDK/CMSIS/core_cmFunc.h | 633 + Firmware/SDK/CMSIS/core_cmInstr.h | 688 + Firmware/SDK/Device/bbfc_regs.h | 127 + Firmware/SDK/Device/bbsir_regs.h | 111 + Firmware/SDK/Device/dma_regs.h | 470 + Firmware/SDK/Device/flc_regs.h | 264 + Firmware/SDK/Device/gcr_regs.h | 769 ++ Firmware/SDK/Device/gpio_regs.h | 663 + Firmware/SDK/Device/i2c_regs.h | 843 ++ Firmware/SDK/Device/icc_regs.h | 167 + Firmware/SDK/Device/max32660.h | 403 + Firmware/SDK/Device/max32660.svd | 10650 ++++++++++++++++ Firmware/SDK/Device/mxc_device.h | 72 + Firmware/SDK/Device/pwrseq_regs.h | 273 + Firmware/SDK/Device/rtc_regs.h | 297 + Firmware/SDK/Device/sir_regs.h | 255 + Firmware/SDK/Device/smon_regs.h | 628 + Firmware/SDK/Device/spi17y_regs.h | 664 + Firmware/SDK/Device/spimss_regs.h | 496 + Firmware/SDK/Device/system_max32660.h | 93 + Firmware/SDK/Device/tmr_regs.h | 233 + Firmware/SDK/Device/uart_regs.h | 450 + Firmware/SDK/Device/wdt_regs.h | 236 + Firmware/SDK/Driver/Include/dma.h | 317 + Firmware/SDK/Driver/Include/flc.h | 200 + Firmware/SDK/Driver/Include/gpio.h | 295 + Firmware/SDK/Driver/Include/i2c.h | 250 + Firmware/SDK/Driver/Include/i2s.h | 179 + Firmware/SDK/Driver/Include/icc.h | 97 + Firmware/SDK/Driver/Include/lp.h | 341 + Firmware/SDK/Driver/Include/mxc_assert.h | 113 + Firmware/SDK/Driver/Include/mxc_config.h | 53 + Firmware/SDK/Driver/Include/mxc_delay.h | 124 + Firmware/SDK/Driver/Include/mxc_errors.h | 94 + Firmware/SDK/Driver/Include/mxc_lock.h | 94 + Firmware/SDK/Driver/Include/mxc_pins.h | 91 + Firmware/SDK/Driver/Include/mxc_sys.h | 450 + Firmware/SDK/Driver/Include/nvic_table.h | 89 + Firmware/SDK/Driver/Include/rtc.h | 242 + Firmware/SDK/Driver/Include/spi.h | 259 + Firmware/SDK/Driver/Include/spi17y.h | 242 + Firmware/SDK/Driver/Include/spimss.h | 197 + Firmware/SDK/Driver/Include/tmr.h | 265 + Firmware/SDK/Driver/Include/tmr_utils.h | 146 + Firmware/SDK/Driver/Include/uart.h | 364 + Firmware/SDK/Driver/Include/wdt.h | 166 + Firmware/SDK/Driver/Source/dma.c | 374 + Firmware/SDK/Driver/Source/flc.c | 579 + Firmware/SDK/Driver/Source/gpio.c | 312 + Firmware/SDK/Driver/Source/i2c.c | 1060 ++ Firmware/SDK/Driver/Source/i2s.c | 216 + Firmware/SDK/Driver/Source/icc.c | 84 + Firmware/SDK/Driver/Source/lp.c | 371 + Firmware/SDK/Driver/Source/mxc_assert.c | 50 + Firmware/SDK/Driver/Source/mxc_delay.c | 179 + Firmware/SDK/Driver/Source/mxc_lock.c | 85 + Firmware/SDK/Driver/Source/mxc_pins.c | 79 + Firmware/SDK/Driver/Source/mxc_sys.c | 721 ++ Firmware/SDK/Driver/Source/nvic_table.c | 84 + Firmware/SDK/Driver/Source/rtc.c | 419 + Firmware/SDK/Driver/Source/spi.c | 254 + Firmware/SDK/Driver/Source/spi17y.c | 641 + Firmware/SDK/Driver/Source/spimss.c | 514 + Firmware/SDK/Driver/Source/tmr.c | 307 + Firmware/SDK/Driver/Source/tmr_utils.c | 168 + Firmware/SDK/Driver/Source/uart.c | 718 ++ Firmware/SDK/Driver/Source/wdt.c | 129 + Firmware/SDK/Startup/startup_max32660.S | 373 + 85 files changed, 49280 insertions(+) create mode 100644 .gitignore create mode 100644 Document/Datasheet/MAX32660-EVSYS.pdf create mode 100644 Document/Datasheet/max32660-user-guide.pdf create mode 100644 Document/Datasheet/max32660_datasheet.pdf create mode 100644 Firmware/App/main.c create mode 100644 Firmware/App/system_max32660.c create mode 100644 Firmware/Compiler/Listings/max32660.map create mode 100644 Firmware/Compiler/Listings/startup_max32660.lst create mode 100644 Firmware/Compiler/max32660.uvguix.befs create mode 100644 Firmware/Compiler/max32660.uvoptx create mode 100644 Firmware/Compiler/max32660.uvprojx create mode 100644 Firmware/SDK/CMSIS/arm_common_tables.h create mode 100644 Firmware/SDK/CMSIS/arm_const_structs.h create mode 100644 Firmware/SDK/CMSIS/arm_math.h create mode 100644 Firmware/SDK/CMSIS/core_cm3.h create mode 100644 Firmware/SDK/CMSIS/core_cm4.h create mode 100644 Firmware/SDK/CMSIS/core_cm4_simd.h create mode 100644 Firmware/SDK/CMSIS/core_cmFunc.h create mode 100644 Firmware/SDK/CMSIS/core_cmInstr.h create mode 100644 Firmware/SDK/Device/bbfc_regs.h create mode 100644 Firmware/SDK/Device/bbsir_regs.h create mode 100644 Firmware/SDK/Device/dma_regs.h create mode 100644 Firmware/SDK/Device/flc_regs.h create mode 100644 Firmware/SDK/Device/gcr_regs.h create mode 100644 Firmware/SDK/Device/gpio_regs.h create mode 100644 Firmware/SDK/Device/i2c_regs.h create mode 100644 Firmware/SDK/Device/icc_regs.h create mode 100644 Firmware/SDK/Device/max32660.h create mode 100644 Firmware/SDK/Device/max32660.svd create mode 100644 Firmware/SDK/Device/mxc_device.h create mode 100644 Firmware/SDK/Device/pwrseq_regs.h create mode 100644 Firmware/SDK/Device/rtc_regs.h create mode 100644 Firmware/SDK/Device/sir_regs.h create mode 100644 Firmware/SDK/Device/smon_regs.h create mode 100644 Firmware/SDK/Device/spi17y_regs.h create mode 100644 Firmware/SDK/Device/spimss_regs.h create mode 100644 Firmware/SDK/Device/system_max32660.h create mode 100644 Firmware/SDK/Device/tmr_regs.h create mode 100644 Firmware/SDK/Device/uart_regs.h create mode 100644 Firmware/SDK/Device/wdt_regs.h create mode 100644 Firmware/SDK/Driver/Include/dma.h create mode 100644 Firmware/SDK/Driver/Include/flc.h create mode 100644 Firmware/SDK/Driver/Include/gpio.h create mode 100644 Firmware/SDK/Driver/Include/i2c.h create mode 100644 Firmware/SDK/Driver/Include/i2s.h create mode 100644 Firmware/SDK/Driver/Include/icc.h create mode 100644 Firmware/SDK/Driver/Include/lp.h create mode 100644 Firmware/SDK/Driver/Include/mxc_assert.h create mode 100644 Firmware/SDK/Driver/Include/mxc_config.h create mode 100644 Firmware/SDK/Driver/Include/mxc_delay.h create mode 100644 Firmware/SDK/Driver/Include/mxc_errors.h create mode 100644 Firmware/SDK/Driver/Include/mxc_lock.h create mode 100644 Firmware/SDK/Driver/Include/mxc_pins.h create mode 100644 Firmware/SDK/Driver/Include/mxc_sys.h create mode 100644 Firmware/SDK/Driver/Include/nvic_table.h create mode 100644 Firmware/SDK/Driver/Include/rtc.h create mode 100644 Firmware/SDK/Driver/Include/spi.h create mode 100644 Firmware/SDK/Driver/Include/spi17y.h create mode 100644 Firmware/SDK/Driver/Include/spimss.h create mode 100644 Firmware/SDK/Driver/Include/tmr.h create mode 100644 Firmware/SDK/Driver/Include/tmr_utils.h create mode 100644 Firmware/SDK/Driver/Include/uart.h create mode 100644 Firmware/SDK/Driver/Include/wdt.h create mode 100644 Firmware/SDK/Driver/Source/dma.c create mode 100644 Firmware/SDK/Driver/Source/flc.c create mode 100644 Firmware/SDK/Driver/Source/gpio.c create mode 100644 Firmware/SDK/Driver/Source/i2c.c create mode 100644 Firmware/SDK/Driver/Source/i2s.c create mode 100644 Firmware/SDK/Driver/Source/icc.c create mode 100644 Firmware/SDK/Driver/Source/lp.c create mode 100644 Firmware/SDK/Driver/Source/mxc_assert.c create mode 100644 Firmware/SDK/Driver/Source/mxc_delay.c create mode 100644 Firmware/SDK/Driver/Source/mxc_lock.c create mode 100644 Firmware/SDK/Driver/Source/mxc_pins.c create mode 100644 Firmware/SDK/Driver/Source/mxc_sys.c create mode 100644 Firmware/SDK/Driver/Source/nvic_table.c create mode 100644 Firmware/SDK/Driver/Source/rtc.c create mode 100644 Firmware/SDK/Driver/Source/spi.c create mode 100644 Firmware/SDK/Driver/Source/spi17y.c create mode 100644 Firmware/SDK/Driver/Source/spimss.c create mode 100644 Firmware/SDK/Driver/Source/tmr.c create mode 100644 Firmware/SDK/Driver/Source/tmr_utils.c create mode 100644 Firmware/SDK/Driver/Source/uart.c create mode 100644 Firmware/SDK/Driver/Source/wdt.c create mode 100644 Firmware/SDK/Startup/startup_max32660.S diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..d41cc27 --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +Firmware/Compiler/Objects diff --git a/Document/Datasheet/MAX32660-EVSYS.pdf b/Document/Datasheet/MAX32660-EVSYS.pdf new file mode 100644 index 0000000000000000000000000000000000000000..b12f8386b65968da900a9a5309144553d5aaa067 GIT binary patch literal 1101120 zcmb@u1z1%}_c%;9f|MW%NJ(=J9N?gIcX!vJyF*ZrQo38DMFpg#TT()hMx;baS{lE7 zK=1Xc_kG{r|M}qA?Af!|%&eJNIcsg`l*A-h!E6v*y7`5HVO%V3UT!K7m4k^jE*~Ge zoRvMy*xAY(W<~{Om!krML0}LMgk6z}o0peeoQj7R%FD&Uu0RE4SEB-hpgbT>PIf6O zT`Djal$Qz$f$9qg;KJ<9;Js1(^@EG$=?pW!9EqC~7Yp?L2Mi1h|Dm$~QOCi}!TVbs zl!N2DTErgSU^H(?sFV}DQae+C1gX7|WaQ)hr zi}Md{xk10pgPY@bIBqU5@n-`9#4 z0{U%SUMS?(IxvWX``2{_gCLM!#|49ULBGKPfWPh=7!3JsFTr3a@2_(MbAW$u%fZe4 z>-vE?Iey2Po8xy}xS_x8FPNJb^y{93c|f3F_Z$Lr_bVJXgopE2oVg*qyuZP5fw+IK z1OK*1P!7m%v^Ybh1pSp4z`Wq!))}aS z{JI8UUJf3PUvL3|I3S$A(k|#i6#m6;uFl3*wlHU0EI_!b0&7bAbAZ{kRAA<+u69&#bO8a4 z<>G4W?0PAaLAY3SbmEE)#8wSUhlf`$I{HQUL@3Xagt@H@k$Dtt-r#UBcGb z6($BVbufdmOH=W3f!O6>_7<*|R6GzKKzl&BLF}qjz-9^vxVSpQjO}o-EI)*+*hs}G zllt{9SHE3zZ#1r5iMNa7UD zzC`T14lVKIm?{pHAxmNN_`%Qg!WzrE*Tj#9kT-ex23-2RW)ISlA0QLmj7Bb72<;#; zNy$fu+R}9Ya&9quvvE>6WZ7Gtnw2n3ktyB#V_&&{kM8Cc<)HR-esC95{?RvE!`8-b zCxQBBb~APd>5}&XONI90M?1t;gTLq?7kPz5SmcoJ+PEbqR*76sGj7~q913Yy4NEu{ zacg8@nyh!p8Fa6pXN^$wjn&v`jz8Wpz~f5c=R&pjo$g4ONb#Nd5+d5)yd&CQ+^4N+ z_XJjE{I)peMNP`=RGiEmWTqp(b9GCw{_L~1C(SXJ)iBIm`WUEW@pmI z@>C74*VkF^>79gJlYCB-WPfjcrkDgrLOX;0{xt=kRhM<-p3Uo~+HS42PYPVHPofMb z`INzY?sP|QN`iS8ywlzeZK0b;;q>+!;Ah{GKxqLlwQP?eEG7)!G+n}h=3fyW4@mh^ z5g1@632TF#u3aTte=64bNt`A97M=1j7F#5`*m?+cSDq=iIH8#a5eMniThl#NVgU8bR)GWCVeQ&5lqOeQEtS^1a8tx;0xN8!`_sIU0@QUai&$U?RXDqZ> zkO!6~)v-+NK29u5g~T;;6GR#-k+vMxZ=mxmr!Vp;sik9j7=lM7E2OGoDIOJDsGXC< z_=5s4_~)iu7jBJRObb#5tpm*Y}x5o3_gbC0qDKe=J zLn*8UDUnximnwNZdYtekK?e1vP-55(v3t=KVl!%0B?cxfAAaxU^cj@0mLG^nwKN&g8$hy zb-`4?FJPhl&4MN-lg-WT&CSrq=-B4zcdw)6Y=a+5E|@|DB)~=U$W+Y;mS~s_f587z zc>ORMjqNQMVD_x)s*LRN#?Cfyi3UsrUIgq&S7$dEfW17-)!59~)ffcA`M9 zwhk8T8dfk5m@}|w<}hcNy(tX7m||AWFjH462YYro4iy35=ZAHAIqqK@;Nl7&3$RV7 z0I0uV0Hfx|CJEcyI{-j{TDcfm*x6OY!BZE+20Q{6H<$zX%fk!&)n^y6g~81J^{uFb zy(`S#)kT*Fd@&vs4_p^gsQ~v2g#g?ymIS!NhX?980NeE9{{21NMy68HXBTyLaQxR{ zm;zwY(}Y7Y8@z%OwNo&%s&M(byCQCq`9ZR&cupSZq;MFo4U&^nv{p z_jHw1bp@n803~?=b*auI;ZUH9?Sa!QFj;sv9ELzYa2poh4~HSVql?M2tEs^UxZGql zHF(oYAT>1(0Om(MCs4kq2f9>pb}&_ix$3e5ehj-B%+r-!+76%@T~>ft2n^1rUH26f~GE40@zq~HD@cke_gN(N`uqn-{f&g3UCtA z<$=2%@GSye%u4?U1zpyEr!rx87Z4*h@3go>A4)y>2e&h~&aXLC8k z1@ZojIULQO(7GTf_WwItJe+J?fc-(m!^y?Q0hoTgAT|gWCwzAyY+w$^FPK697tG*W z{v9(BV;2~lfY^0(l$C{bn1!9KjBQy(9Bj?x)!4=D0b|3;-hy4z%3j#s#pA58MO>NKnrBI|yJp{)LnpAgC@jM9o3n-U@EY0Pq+4 zdbx-fsAyW5xmvpDa`3>3>*rriz)OH%mv!(G90q=I0mS#~1-huY{0o2gcgxH6aQ685 z;s$&vZU7k`pg(y1kAC50cs<-lxqt`W!(o5G{nZW*2h{UIAQyLd`Dg4eF@g64$L7Z! zjupHNzbvm4xjzS`yaChVBr|U>wa86&-A~S;UmGJIJw||!SM5^ z|NaZm*I!USm*FkBc)0&TdvI+Dr#)SERhXl(v+;%bp(L!Jrl=rJ!vW&t;0FG(0+))U z2)h`}-O3aOl;BztqzeYb{Pzpc@8IwD1vlUdasybi0p|{2K&py4*cn^d14;s{&o1F+ ze_`OjDU1q!GzTo>`j^E9{zZD{U z9sj5CE;0GP4|vh;XI%$pg&!ljm|mDYAizWxHFlJOSy@=R0#cqE*oVtktehNNaBtQE zFu*U>hX~vzVCClKqJqDp;(!wja6ScSXE;Ag7~5IddNEw6CdSJez~2XiH~atrV0<_X z+|p(LMf$+&0Rsf)YHImoso_pmqdQv6 zWGGDL1i=VzLJ*h5f^bIR50I@mm~QA$c3i%dsr!g43wR8^B+~Fxw`kC4Lg4BFVuRwGjQkShn<01DgE8qlL5-4n~M9JZAvdm4!3L*DV+^K z?9ntXVjAY+e&&7>1{~}$JbmPgth*#fK}V=Z2}i_75xg{-F~Jz3>6`;GE04Csys7z= zlTlNuFmxg2qVDFB`Mj++tRg|3k866g$C*D9f5q|+Y6vheE_tf?r^lX=-WilSOb^0! z`f2%BX^uQC_N_n#qlfBx{vPwGOjB#gf4nj9Njz*Zf{^0;r`sD`T=MHY5L?UX!B<&M zqHVzv~1~7{I6XbBa0u8X&lORY^u!F*;;nt zy`B*KVmOHTk?l~f%(-3PoHHKY>pV~2?yq4CFUhtt|7yHMXUg&-_P5!gpjL%r0 z1>-CDx;s6AIsMRY1wWxO>$#dtGek(L2Mrl0D5s<}>1RnfpOazdt(kahQ>n z<7mHO5lsa5M#Fx6EaMG3BRvLxHT~Q<9+gFDDj4h+4pcaOUHII&va;pseTOgO$rZ(d zg0|s*KDvl1Tx03zETLSBse<17V&F^OS>e9>eBdNl-lp#G$N{t`Dpj!6e~tx0@UBMK z{3G}L1zw_=Qdid;AnvMZHkImPs|o4l9mc-e;~k#tb>l z__liEnVb{HI|)K!_Sd@;jkElI=UN{Y9yd$qpp@O-G?h1Eds&iLZA}`IM!xxI8+W|x z_N+!^bvo-O{N{~~vwLWxzUE$>zR)BgM6><@4=-(rJsl055f2)wV;{_Hd=o*p0;^OZ z4c)Ia&bHd9F7aMo)xw2!JmL_2|98181Ij(a+)g@0OoWWA)|3fdZ=T23i{`c9qW&yl*QHG@!M<_hTx@jD}} zxB*+&=i{$Xlojmcu!l`7cc)6T)LxTnW^-(qO-?3$sXU%4=Tmcks5qObw^|EID5H0L zMMZ{9N%|f!*R71yQf1ZpQt7u9$-bWNHt4h&Ov}^EP3qs?0c4ma2qb5NF5m1N8w(FPUe~axnAjI&yxeeY8fUxn38LPJd(nEfdH4 z-=LlHT2#4#5+T%{^M{)v;Ka}ZTK+`OeVa!G(%3=8X);h@s?hw8%jAf8T9pn;Yh^_! z<5gA_P9*puJPOtwFQ~#99yN!2v^d{j8r&vh*vae0pQYuO-ddG}*yaXMMYh}r?cbOwgD|9`G|!j-3l^HdzilD#6c7x+M~gSP`1Bw;Q!Bbd}XeIh@Y} zDLPnmVuuOhjZNMnJG3NO_eN@|Hu&}Er2u=1sC0{q*dq=@NvJhuA+U;nz zj!bAI*6a}Omqdq_|80@7*PFSown)H(xOMW&m(^>wb!9$lV!o$eio?T@KLkyn8%vb6 zl{8Z#q9OE2*p~m1*5Idh-!3MR#x^-7Zwc3Z9?#~7wVO5+Fc~-9{Qrm-yGq#cFQj4E#wtuTFkr?pkUWTO>vdiD~ zv|>8NyRA^J->&)r=h~#_d7539`zNL+jgNOF4wt8(=Sqs^Br0Ypme1UR44!XSu1X)K zSFw^8cBvw9BcFyc;s{;O6#_Gusrcl7CUZqtk~412rOC(OG93wj+VK)q zPDa+%u|sS4>5cdYgX|Jb&k$NfT~RhtAU8T3bBRBrw93;Gpxno-wK!5JJJ&zBKpfe|pf`H#1s7WsugZ7+ zNJ>9>j{m+_5H9e~dzBtHWDkg~;7zZ`E|}N2s=#QnS5-vXD%@*Yi@4Q?7nOKSbMXD^ ziW~$*wNZygVjf|WWA`7O-aOmsnUJxllWp1F&3SHIxg+@O7@@eB-QIa%HgA*NpFk@1 zZQhwB&CPJfKB03If05>|D`U}!VJ2e8SN-p~ewqHFtWNAIscs1}Oz>|dnY9^w&iulH z!6pZW}365H8}OrWx$#vEAE5?7|Q-KnO&1f(!~)w$r?YR78?=hMJ)4bExKO?oftLoI^9VsLz+;lZ46U z$s3zJ*>*;z!;K|-?-0-r`)o7bFj3v;Xf6~gB`tVj1$&~?z@U!k#i_of`v4K4Bboo( zA1UD{kN)2&fs^|m3amaJ&b6fC0M}NB4~FmN;-cW1e_iIb`@WAG0=oZv%{r^0sJ zAGw`Fq}_+80L_*ge_Az(;<}&3YPP>Ba&v&5`E|B;_j-;Rz1CIK7{6J z$)Q%x!)Mub2-gUWy}5GO{f=MVxvG`s__ZBF6@BEIt5i7EqgWgI55OeLSKsJ4uaUgeNKn8opPI9pzov_^lPz~e z)hhVTEz${44N}X}e$;uk{rT5VzON)E2yjy5wq%*7kb5$&jLcVaVhmeWqovsH&ijni z_P@##y?P#E+z}0{d-#k_^Puqtjm5k%FFB3h5vDu`?bsI~>l#=UbC|E5{SHn5gTd__ zxs_LibgP-dqW*?}G8lf(+xy3T`=1UZI3fR#wiRl+vTYzDzs(Y8)XKwxnreTQ@mh7J zDSEh-C0p$I)c z?#(#2@htQb{=;>YS+y*>{P?`cw$r0U^c7^IVS)FPPZ#rjyqCB!rcBJ|_yQ%ouujvx zl9ec)1S?SV4%zjojC>>^GZhNf7Zz_ztP$}(XMU#DRaW9J{W^V&=i$8CwCKjwx9Zww zkH3IiB4y0JJitli-b2jSvH!L#C37z2@9+CX1r(tlkj_C9W{f9vlqqS#dY3>cmk+rv z(Ja6WMa3UbeT1$GR6cz;$CEZke>az%6k}`51;zOD4N!Y~`5AZREVfy$^vCoY zh@{4=2FaiEWwI;u5=455^2_?FY_uQO51)c2=e9xN zFFcDYoHK#j+Csb@=t-tztH*1Sp7eR zBe^aE+<%87fs^PT(c3?TBe}i@$KlakxUmcbKmR2h`Ey9)*J#ks@BUNypY7nmy#EA! z`3@epftP-S0O9c)co}~E-QRxx2QR_r55IoIwtn0%qhoNmzia+ptBcjV2-p6I-d(Ql zWgP4W+>dpK@6u&(7Y_Y*>1WLTF8>(sB9it!Q2R56m$9;o_}d@ibC=P|i?=^!^>=jc zAG`P?BnAYzgfHVPyu8qVJ^lSF0t4hP!KwT*0>cHQ$6Q2U;HjciK>Po4_zTZ){y6~i zXR3ksulhg7VOYT&K(Ylq5(6Ya{^e`_*Yn>CqWQ0S3i40GM=$QJ^#X`U;=m7!bxPdH zL|T(Exfx=0E3B$5cNZbjHx4XkmIDo|_uW5YzDX)NZ+h>3Zd$TYOVPZDT`Tot$Cht*bN|1Jmd_kw)=Ss5K)bdnk1)p)ZBVWN83g`Z& z(5R_r`Kg&n@m3)U?54xk*7MR~-Z3SWg@!woY!LTv4Ia$-HzPMP>51KfK6X&ga+Gv2 zZ_ylGt*3Kn8CbN~!sg|9&bp>GQYyPcpcljZica^{Z6DBy&R*rZ&Cr-YOeV+OQP*cH zTAf*uE@tQ?We>E6v+_;lLsIQUKRj63{J6QYIk&m8KDR#6o0C*f9#TFi`H?34T08av z>b3}ve;P>hlb$ygA6+zZazBzqR(Z4b;Z2lT;m_<_*S>@q83vwEk6)XsMOnkr(`>Fq z;z#B~{^PZai~N;KnzZsBb>G2_>aqA8jDb$`C;D=Bl=~-U5p9;vBz_$Q2*?OMhBGOD zRBr#10}EvO|Lnll$Gwdl2F~3MydKxdk+_}3wMVLn%M6ncE1OeM2r*%#jIsuCGfGQv zMnz>H5VGGHChlpC@m<PlHHB~i6d%x)z3WyM2Os(`ebpPX z=gf7;;KPx5b)2El#|RyDic#X6qMaFxTKSith3x+SvYjuPt|8 zhQ~2v6N*%Wc=xdNO4{MVL~%y&t1%I^QCJilqH{^IFTv0GTN`qi3&C;MQdG=xnQN1Hol`Mzja zO{k;@Ghrrv$=3KY-`f{UIgrQdwT61j4Bw4h5IEfF8I={DWNBKS1SgNqz7Pb_Yk8 zv#Zrb9;du0Fhlq^I^UD{0Fe#kgZ{|f`&(s809gw^vrnI9Z{&^6tMvn#Bg%K=9AFK- z_$XSmutQ-Xq_I~e?Uv#)pNd&k%8c$e&~Uu)uC?%B)cGh(^;&gkzhBa9JYt`6$e>M2(~Er_uNLs4k*%?MzSsdTlkeo9mq)PS zbo9i`q~JM~~>EA_Zt%2q^j zZR*{_*VQ~BO*M6G?+HoQQPQ9XUtA?mFgFg61(M|n-&AASeC9ahpLyQkfG4>vE04QD zf$%u_pT{PA-V)%+(~e(~n%A?VGD9J%^5*V1|SYH%<0N7uuzTlFX%yL(JGx*AEGNu$iJy@@;kbH!Fbs58k9 z%nL3%2?(`0jm7thF%4UrTSsrnm?XHGxmUzO;oeH7GT3Gmh&PNCZ2J5~%wG0&EKI-dD(kH< zHShwOwn$!96yh?QFNIlKzgJ=4B zF{p*tco6532w0xYdFYvSH_AO|8Zt3z))}EsznVCB=hMXI%BwT$UE4$3DVeV}X?+7@rRH@@sI0}FH=36a zY1Rx2$QuuNFp{-L$UG>&xQg33W{jV7=fyG)5CK65$C+AW(LL9B-eIei`s~Ozlgh{z z5{6IBe($D7*Actk>m9%w!L^3-8<_PXWs z*4|sE^OiPJ$9M4a)y`R;p-Rc;1**h{CgB)Txec|s1d?hCh3TPu{?Nzk8i+!@Uv2!! z6Yu`3l+Bimlm%0Bg}a;w5h)8f=Jt0v3-CZLs|>V!3KDKzWkqHfyRqgJ=F?Y$F&QdZ zoO;5C!U)V(2@B_9u9p*zK3Ll>63M=?p+WmJIy_nnF}jK|v#5os!#cDfo=)c-*benJ z#cikkAT!7Yz|E_m+YG%u~VbK;(B?{B+y;i@A=k%mT}#21K)#JHViCeR`_N# zNsb*NZYaw-9Z1ZD-D#Ac!n3RJ@NcnzSVs56bgwo*dhwjltgz7C#0czF12oqAWsEieeNKt&1WD)NOfNrSAKt&I05azkB1O`Wz-6A%&>!m)tR?Os+aLFMxT|&>uNB|jMW#*kf3Sq7@QQkWcQoj(z=yUi@Mn!PJ1VQ z|EX@DxH_}Y#Erm)*xJC;Jqga5&!3xV%+{Y~(UcOp1rsB6?~sj_+|i`@c29a`NPk+} zBm2x!CvdB%0qwZGZ6tm=QQ+}M5+4Gba1K~x<*=AbE}M7NY?^)oZRm!687v4-1O|hOR_SNWp znXbxOAZMqjP|Y3M0C`U#mY>+!ve8;Cb7RlE|N0=+%b3_nG|YpTq`W>!%wFT`3dUQ( zE4Ofs8STEl)l|i{(&1!S%lK0GH9fij*ZxdAgOGBJccaZsp?;8eM<8qXtBfRDuEMx< zMs3_3H+HvGjn(#u5zM=Vs(zItw{B&2D2#_?98#3V2}-T!2A_l+JLXRw`mqDOyjHBhq)Iunt0fA{r_dT5KE9b{EN|JZ_>Qxl}4C_Po zE0Cc{3NJ2$w6B=@6QSm7Tj|`SI!>GRB{!~qs`rG!G^Bi1uB=!JafXzc?D;3CkVlOp zMr)Viy+OiZ5+uFXfaB6?(s+Ql=iab1aXU5K(SmW;{n2 z_uftHF2$cUy|qFjJ&BO0?dD$UiYmvHEu$9ABN6TGB;uf6|L{Hc+nTutreguq(KW6e z+(-4yWUezL&IsJ(Q)R6uejhxHW^mm~Wv45zVsxk#qLVM*%qQYeY{=3G4<}M&o@&gU zco}d7$Dw(?x}UA<8bq=svNXL70jct3FIho2Z|DH&=+Xl->V>J`R(whF7{XeDJ2B#) zmLA5?AyU*Xo4LKP7+WsUZ+3IcM!g)6HRNyK(H!2BeGiEs zpDoF+vFouKUFQ}hnUvo#E!#*8?|eTuyhhcb7om{`1R8hfkhvA z0W6p9#M*huyy7j2RqH5~r1cb8f#}ygCA7tjx7m)qrPxU7ZPO5FKBRiH$aK%ut{z7b z6ZOT1D=GNHC_TnHWUK=@1S9Kpe6L%EPu-X~Jetsr=^A*SGBOC_2xq*$LPB>!Ox?tz>OV<$C(5kepn2cmHEd!! zV$}ER>7#N%L(0=vyP05)x=elN0sp4XZ-O-${*9XZ)k(@pye#iQXFJ3p8f*~>aboyXT>SznYrPs~_aw@pM0 zTNZqbmOp%0W~qUn@U~_D9>G9an5q;Z^v)gLyzIDiZF_{3<(wfZwI0ITEQ?%qk0Ph8 zKQ_mlsNLwDRjQz?SbDyCT*jI-SlvbzSDe` zyL2d`zJJqIakkc4o7hg>y*jQXoGAOb|9NBJT1`Qubp5^co@JlxB_Gpq)^KPV4a*&T zgqdvPZSu+DJ0#1zDr`-r7)P8#5fyC#jtLrij7i?pmhPw8-L%5c6O3I!Gkx66&9O7@ z*!$l)T?~qzbm>uJ;oBo`$C15NN20Er&O&|oSQcC4?w6O@V@8=zx7Fh{TbXW~31OlV z5UyrnUT1wb1eM^;Xw5d?7LRbOHP=L3cx-GL>=Aw%zlC$%{NpC;X@g{ZyAzF}6hZIG zL+a=wn<|~@GPj(aj~Qw$(&QherjmN%v0=euk!79M+X#2f8?vdtdh^vVr!d*$kd@`E z38wn0FMZqOt|hV9c`>f;+EuA+BI)!v6ifN87|n`9>xXBrzVc?MR1gt9t{9!rVtz9z zZxHg?#@8MiXZ%$*W9+)c5%29~Ew^N{&b@M z@1p>p;sf7NT)L;grz0R<2=wP+)e^AP2q(xoo9@O*OCfd35$w#zU=EBtxJp1^8YAW* zE@lk#eL0%nN{xXL%3Ma3J%Ucnymkx~z$@0NtkSLQ$=)tyEmqgmJcd45D4i)DWrJiVfOP9Qb*B>B~4dYy5VgPlbSwS|rrg$74RhLCJ5)i#n(it{En4BeQDV z^m_YFbL!Bk8B|{N-14*$+>pj zK zUS7DZ=8Xr)SUN2d&(6O!eCX;Dp@U`H63LTk3#Pa+DUP>CmrzmJ(m=~FDV3eB%TOoM z-VsjdcxSeJ&Sf)OJFczR&YZ|uEz&7+mon(_$>iosdQIs8{a8hr!GoZ7#hV4z(L9?l zX-=ivD-Afjm}tsvi`R<3mW!SlVVi}k*7d~(F~-xS9Qt^p*yE0mh)@dHKt=N(gr|x) z*3D#NB9n#+2R_7`(07-9Sjk>gg6BZ-f&cWulVE}2Xg@U5h|*m8?rsz+GvYV+ZW0v! zp(C+lh?*E^fm~($qym_waacOT`=`46yCnrfq}xSphGCP*1|O*~SL=rytUe@ENLyk` z?j1Aq8{?;necO6yzwWi(zzyLpU3;tcxc*TQ&oD*9j9z{rghjz@-V2Vh1Yfnck$dl1hcJbdSy<PL zqHK@mZA{%+rl>PKTxffNM{%@jcHI7^r{;xDs?4s=wD^R(^EW=Ln_73bSE{2Lv2k75 zZtd+ya57!1Qtq2OOWVC)LGOufj89JAYPY9yW#hx)iW+v-C&Kx}r5LNSi4i_Bnz-Hp zyiMox31_is>3fA<(|aPN_ZPVkDlCztw?-eY^AdRn z&c-0*-^m~~ywz+X!IGFv7g3rQ(&RM@<`=HcGubxSWn3u7X3b2}^c2mkEaFt9q%FR? zKNke+Z(aGiUe?cg5|Om2si#+Ax3K^C*5*17Jfx& zF1e46P>yI@Uawq+$kM79CdUZ)W)ba?7TPCIURkro*raZjp?fSe^Q_8Z7>=~0F9{T# zle*2Ryb&Mx>j}QtNe;Kl=|@LL7Le=I)X}K1^Y`N(A1HMFqKtxsQYjn8le6cH3NKh`WF2 z&eO|F`fY2r-oE|nQu7jGEQVpPok!IqAq(pvGcSKwpY42@ zTVs{hqpLS?&{|(k(|93RV!ab~O`mC0zN&yTiy`DxLEpDU$6Kjwk}tWXOih~N-h6XqzD{g!)>HmvwGUk zYv`?NeAnMuKJ890rcfY-pTiBmDYx;@B6BxGX!l} z#v7>|MBPqqyUKW53{P*&m%LVAJf|wtbKtB zeZGVBE$U>p^Fy7-H{Vj8wCHtnI@@u~7BfuDH{F%-55fzP+n!?TqZ6&PS3I=BmC0UG zwnNGAN6NO7MCN2YY!=3d$A8Qhe#ee(AzTjD!!U|iINZ~Vz-{K>eO`y{Z*}+X!IQd! zFXsn>%lBq^XRW-xP979gyQUx8A29b-$nfZ)vr@^5s*~+6b94F7i|{_S)tzD!X@crbMGH zW}Vq4sTnDJ(>4&r#Wcx6qe^)YX_WR%3aS+1+-N#@W&QyYj^Dz&5lKc1H)}_cmE(@{ z+r5^%+Y2;zBM1PYI zxFXl2{IN1f)TFMj2GFuri8iviOw$hPC17d16aN&xy+TH9YPg*x=R^4HLsr_20U>g- zorh7;6?(V3=ZImBViJ!?cXo~B>t!A@AoM0vpXkTSWZpQ*D&LQH={VXt#k@B*2Bnw~ z^qciwp4uQ6nBDDBrzXDBk73`gx)qlEg#5gHc6T*MczHc(Sr8wphe6m%(N%`mF(~1q zK#Qh8@`wy%u zoRwnUbEkSH6}hLA$hJ%EC`sj8S4Yyd5<}VB)n7MRoU1ypS^As|u7-@=%{*Ut-+y9l zF5l!ZHymbe=T!DmQ01MA->&^~`M?v#NLxxuDYZVY!{br@5LxQzA{wu*>{A4`7KNjF!;a5DfY z0Lf122AQ5X9&OpNi3}ml#5JvNR|-rdze;|bKuShX-;$Fo*x-%Le;)4bIzL3q1x)Z;}x7u@p`H_LpsZee>{WTKZZ?V!n8oymGBt1XwFC>SZ~hK?FX2yM8fgzgNh0 zTwI#`fEyY#5qE<~6);7xh3_5R$1r(a4|Vr?AxHA9)~aPqhQV^*t2DX|V11*{o zNhRWi6`xiFVGC6M!HZd4=|)}Nk2qIsyR4f`&L$|zJYUD`nWqH`tRi$(3#&0q+S;TW zB7oIMjc*CiqDOjaNNd6H>Uh; z>Bv+jz{%=88zLPulN*dNGaC?!=B3YxHzQ!Cwt@kVEq0pg+;mMuonREj*B#kn?%y`j z{N}vxf&J8m^eZfezAo$bW1G(qSgJ;w1!H-IgrCFH6gHgG>C0yBmSERkg_1#UvaUaR5*a(gkplkK(m+APYGm>TQcsOcIi>upnSLznoiVur zi>*rFK7xw6+%)f~okKAJ!88NkgL^rK>tNFzO35fHv=EdOX(h}-7Ul;IZ8oX3Q732S zAfhYiZ^T)cPwNYaV}1-4dknQ{DA^?8ce9 zmT&2<0Yza3*#_x`n!6WDBcRi3P?2q&xZV7Om1~_UshTCQZc0jn+taGgZGqvYtpk0j zJxZUSlHaW7#k4WGg)%m|Pp_Dk;2qT{ThP0gaBP*anlKd1@aTL3Iqfsj7rS>Rw#YPL zq~E`eaJJ**|Sic7I^}9if-Slf{Y(6K5kYU zEOv#qr!or5kNF`pAx9J*@`3BrU#*W~d&YboL;iLUXl-NTWM^0Y!tOqnCw64mgF9DU zi!pn!6keaDSABdgo7QRLQF43nF{kI=m@1`Jmzkho1!FF;)@JE>3@^HeegC^_{ww!u zgI|n&^IN2-b=mLF*`Rs*V3h8G2I1iK_Neh9#la=pAnCO}a~DP%E=?!yp=5KGZT16} zvAS*@B_n*3jyP3OgT_9HawnjrIIaGDyb=LT6w;zP z^_Bq73;caO6V=mDD(A?~4EdV+Ppg&!QAr%dZkeHJrh;9w#~hg&i}|r(dfX#*VPW?o zrP++tj2&dPBVV0(_L=4q<4gE7XRFEN;>WwuCyB<0E610nHPXF4p0U}OWq!fLGV3!= z#`nqAKyN{IU)1Y-s;i&QfxNAX(SFzIykL!F`5M#eGm?d3)20j~E@^X9NhNHS=#gJ+b}-0h4D zZS2O)y@?^4HBR4h88KElBv!P%i(fnoy7RD>fmTaZA$vwZ*pUcboJ2*>Sj8JXB{5SS zS#_9J`SF$cgKeA}&2RChcZE+9$7Y=rnp`V3#3WB7r#Ljf`YqYg$!_l+zTDMaZZ|wA zIeTUPb?vpu+G<>eU5Hce2~lGD?Vvk8_rnnU3U4>Z*h_i zb+_$|;!FRCf8+M2m}E&W+Q2}O04qlVgDig$0t|c#Q6VdgftDUoRwn5ei6Xa2Z644w zguhFagh2MAtkuV?)KWY1vDzy*DyrJoPwtYxxx<<`aBU*??Ceyaq=YylG(OY(1CL73 zo9#FD3lu3=Xr5yb_) zam8JMTO^$KQTwmHT`9TJOJBMPERiurrf=yRmMR?Q{dzo@wn4OTAm&G3X(&B zVmGy-4{GMaBv!qs*!Qt>0fv?m_EC?+#QO*l^B_?ntR)voA9^mUX;Zm)nmy zJUtpQb4Owl3jL;Pp;CM}JHyI$hN?uZB&>w!j-(t*U&xYc@~nz9n{kI$iXNL?IQBh0 zF4?0q#Bh5gD{a(-_6k&xzLS;b`|3xOYdhBxJnqeqJW=1-IriKnW4RJCh1X9uJCf2h zr1!+t`=puwAaEU^Jni`p|*huuDkEjMV(LaZH3-GE$7&KkI#g{+ET14 zR1uHJ&NVa2+|G_n;Qc9NXgV3|j7>Z#l_k`z2H5e;H>=?o~ z+))=)JYq!3#q(}_2#`9?TPA@nnWPXbT}g>WFLXj)(reM87Q1=x{dn3}B%82^Q|6^b z)Ql<_+BiQWm`dPfl{u-6t#zIM;viz5(OMei}8Qk)b)Ozbt& z6z;;lcSglgeE0HNlq}O9muZmq-9)y2UuI6&LQa9=gSL0?v#E7|P|fuc8It0`WTtZfz9`p-UpQoo}&o5 zj3k{}2QFvx(pG+}^Vcb`h^*s#K5cvT?-p3eB}eax4>oNtvh~K0pi*eIN~hmSX67x5 z_{6;{F>Pn=zdBJ*aU4v+DOVL>gcV=h8QL>U(yd2<(ia#eA{<4rhFPT-ShB#_Zt54U zbM@-W!<+d}(dVulKJg&x%J%R3sPJG@nQs)c55*&_?L`y8%zT6xnI2`+1#M4Z{ zgD){b?eClWtP+uoFz&$)$1U0td(rO{yAfp^*&6kgw&&~?G)~3i3D@V*RLl)N)-@ci ziJCn#o$r3cm1$c@h9=*%x9A`jS}0WWj7UGM&yJ2lC7RKLB??h`)!aZXmi3uEgK(#{4ypV)rHR z_=h#4a7Tz#d4Dt(Cs+t6qYE2S5+=M#Q4470Wx?`Q9+ibWWh%4WIjlC9@XA7XMR2pY zeMSfefl5J0OHJpNzJ6hD&*DkAzREnNa?{$wu8+#U8@j$OnmNIyd?ZftEoeQtb4uId zmrk|pnp=H#_rg8%WW8Xo8e8cpSss&4o^G1nQQwjH*S6Y{rXap6N}S+t3eR5B`~gXe zX@D`=W{t>=9!FZOPH52CbNkW_Gg+)3V8&V}u_m z(>4#yI*b(M#>j*T(J-Pt4hO3><{@2%L*zN9Txr9|7O+*?YHbf}8k>z|iyqo7^|Z$f z;!q@$Q`58()uLv^Ak^SdSCNM0KiNap{!f9)c-l&1ol_S@2RdxH%pY5b)zcw)G#dZU zev(QeFjr_L@He&?#ngl*E1@cJNF*8#DpzaNs%HS%tR56Q4VPS8-LQZ5(VKM}w(XkK z)?ZYx8t+`(+}FCJdC9wFz~s?~wUht!#er}8ngi`?NAQK5-h;1U>&BiV$KP5Hnpy`~ zE5PS0v_GN?(`}{|S;tu>gV-5hFWzZKi|aQPhcxA(HJY_ z1g#>N?D<-^d4{k+U`-Yrz%E^(xk1;e(~QR@x{2m$JVjS!W-~+)sj1ADFlcX)e5TGKT#=wAyBk*;>_`pz6aemmUmxB{O(i{oP8PlGMS9b8CFV*E@ocOqCkH}zzhh>UqrG@&NQFf?i3>yXAp^!*dg8lq$)hViX=V!Nq!{Z zQONJ?BM7=Fzt{Nem%+ zD)b8l-H5DoYjGaV=fm1N#yciW2KLDznP$LZ7&9%K6~zGeNTcWVG|veJMR6kyG&)VK zfCVRqO;jOm1Vk8`RltpmT_(Cqf+8n(na!M{L=neyL5U+39t~2a3l{FT`@wCV>ud4n z3R@g>6NslOE?In}QJ_;NF_x#+FNdWOt>)isS8H$+*A?D-_wG|(dG%r?gS@h33&nC! zsBDBmu@-|3E+IS;8xx|~@dGd}519}MgyIYkw}UBh$UsQax-gWGb_N>2V*?YMWD=V2 zGR<^4L#O3e5`Lr%nYPTdp%y)NSALLmXS{d!O4jV2^PTUZCK7^b+1xZWx~^b621X2^ zC74Vi#DpN5`sk?tsP2tzYN_5;@N8vy-0i*Wzx)yVR?ney>m2{DyyY#OJ^x)r5mcU8 zPId!=3Yi%4pJPJSL`@&G)*n#}B`IT1cTdN}TOrkj+Cp9BTyf;Fa$M{z_9U5vPQav; zYMLzj!b;fd^95xMlu<)P3 zR2qE^+WD0_64~~TR4UzRCeJL5-!K=mLHGJ4OdL9-lDL@fd5z7HnN^ZPGZa+wrUcTv$B)$zuzmVduWSBR7Bd_Z@#s7ajj| zu=C2Eu5&AId-6BTTk-pKy&Y9Acf^|%wRJWq2F3?u0vI-ztl3>3KHXN*2=aQZxo&Ug%!4EQ@UEVsvZ~c*{*ajo;C&p1qzLNFG6ISk^1JLM z_BMNuB@&GVPef;yEta~Z8xpHaQK?TlB@IarB%a|2K`fM#L2MWcLXaRg-D#n<`lp(Ar+o#<$i!pC4|q{ydG+6L#^i+ z`N6lwBQ~`xz!}_1vX<;6Z<4n-K_V!Z4YEh%ujG3&*Dmd55AoMn@;;B}KHXr1B^832 zEU_XX@PmXG8Cg^qL9ww=U>jC#4dC-=N`a?SBZ-kng8DPlKUdHfNYdNLWMc_nLy|;U z=2({4FyT3th}dS!P6$g_Ok$ku<~UgrC0Ps#JcKn~5(ORvK*@pGqP^t^Zwre849_4M zG$Jx-iE@bRNt!dmD)f0eq222Egw*H21J;8w(7J+Hf-NO3bF!QgJNedV)brjHgaD2(_eoK zNof=MPv(V@Rk+|{=9jcPGXVL16ZsjVu8Bj7xi0Po$BIbjqFf($iW}k{Z~|u8Y>iBJ z4!i-tX4&&1MHN^7XjiaEZnC`S7%@|&1k{N|GLm_S6*{8(e z4xr3XDATPo1#xQy2s5J)W+;TsU4Sr?odvoM%%L9!oe$%B4A|ep^_ZbIaoh=YLoBqn{K#~%jGWCd7qw>V{$fMqUY0CR62;hFcf#L4G&>40*wi%x?6Qo%CXqk`m>N}0n@sic=ZWVP45E7sD*(b z3y=iev}eKj@Z~sTM<``Sz{tb|ld!e_OWPB#=icfsZtF|6?C~r;)3Rrew!i;%S5;!^ ziB#|>{Rh=KWsBZhTi8xQr_%N9&$YJvv~zlTD?_c= zX!a^Ap(<>2swk_Z>>$b*4vY~W&e0SFTnm*#poW3dISnc-28b#e7t{-Q2RM0*&Y#vA z&>%W*xF+<*J1(KL88mdjl7_`pRMR#lBFO~fQ@`|@`k1XaRYy`Bx6)b@%V{)K>4k8Cy+4=hn6MY@WSyWCuRd94)W2Go?{%~7M}vQI5_~PsS;``+*pWtZnDRn&#rJk+5>b<>&y4Up?{d)q%{XC)R%4 zd+_aO)Aso-D_`qd`pz)W--B;5?PLMGpMxo2zB3A|^?LGL?lYc_TyB=9T(2gJa%(+Y zF`1Sd@x)0Yms1Bp#g@X$PoWi9;oXW}^8OqhV*Xvg`@Er?nP>r8t*-I%VgYhGFzeT_ z!;z&=Rkiyq_r+_v-R1~0b}5j@ptabjlRU zS1KnMVPqNI)DKM*CEOIOcd|~(JdZdaw_2o^MG1h!^t}*cQy?3oP{BNS->GcY>W(^H z4~o63rw%N8^RxB8K2|@}zV&^FuXEu$AHLF5{bE~Hrk(rXjprBs?fUV|-Q!PwJTk=A zZkaY`32GeZK2Y2Hg*AojN~m)#^Dkq&#J(yW5PK!^KlaNtD5~>}0H?M|s$AVtv=z|e8s5?S=qjP^4hLt6O&4Qa#_LuTsDq#EA1J;Y`DI2Gf zg7lGC2Wvig*J8MNhW&=;hw6Ddx0LNykEv|XR+L{*Sa0KeHkHi*r-GHk?jm!Y6BS`x z>dkYCd|s)VT%PzXcZnO^`^ff+ z+<}{Qty|taI(qmp-leX7Vrj>s%3$!IRocD$(B*5VZ5_K?tE>Iqx=o?=`_`OkYiolI zJ~?-fImur@UUV!PT&Z{Iefm-TjP@PpEAqwc>)CgmU@4eImX0#ia)%AvnN{^^2l&8{ z(VH=b6UfPA4ZC8pZIsCxviGX5FjpS7W|voKua46`+2Aqz5qLinG#iyn9^TID@_BIiW- z?X^R>mkzp%gOP8);HWKGQh12jaUAmli9^TZBfCvs0qk!O>Z*_Pg9|01do9V5PpMbL zE6SbB_dFuZz4F&>M?9y*Q_4@-9}7}I348j)KBd>T*CUkUN~6KC!og))9xzAKa+%{dLE-%9)6UWT9`Kow)lfTVR^K2#G$TPg<=H0wkslSnKf2+x%ZJwH$BK`sSs-X%#q>`h{7Fml0&Axjb&Bov4i zF(erh18QW#a1rz2Dm;zZZhQhWcupO|&CGt3teZXY58J26rXxfE(srcuIFO!gd)lG3 zU6zy#cLSVw-M;*5b}L;^#Pj{A$NLJtvu`llwUf({C8|7E@s9dE9yPRq@$qq3=C7Z*kWsd*y$7`u z9f^>nT#|x1Yanv@1A>UL*8OUgli_lM3*tX7l$h$C$&vVfUMu04()z?5&Yvhu%;dIp zJ-&?z#;5v!b>trY+sA)ox))y9^;G;t==*bVsHJAmUdLM0m0>u14kn;S9W9P7y7^Xv! ztrC<@mCUcQwhHT=Na_^Fm;xj)>M&+I8Pduyc8wUQ8^*vf{5CQ$dx`X`58Ef<DDtN)yM5Zfkp7L+B%nJh+qediW@EPf~c zuUkvH5(8w0O04AuKvNew6J75!tJJ71Y93X1*{0e}*{uZCdb=P=vR%_egfo#7ORR1f zHKOJcMa`}$qQTlFsAxrz1xaCjPMACl4a29V#AH0ioJ5%*4iuj;Svge+R!6#cS`Vl$ zaJghVN;V_Xnle&fF}QIll02r4Fmxd3KjGvNg-sG~Q|oKP1?8cImxfwA4*aLr<861<4N{lu{H+qQG1@jGYFvJZdUd~px@z`u85ON9#xkeGngy-g!6dw2<>w77@ z&wVkn?je-338CC5LU}C+`34cnKZ#J`N`#7r5b|p&0D4EEiN-jCYdps7%<|7NN@w(am8I@R1|kvL_iiK$2RY7}GspaM zs_MOes^0tWo?lfjG;G$7v%}}ioi~3$#KOo$Q9ng5Ub1xA@|f7T6)R!&nzifV*KgRk zY4etZt=qQmpq;yR@7eqFzF+nqIGA|oaMF>Z$Bv&kc?yzKQq#_)pUue3I+vZ33wh`B z3kr)az@^J}#}#KWaHAo{K?97VdO`sFfHfw~6lB^({xpE5Q7A=FB(0|$s^HgnfcU)_ zDni6O5g~pOXT)9c*gV-h#hh%;FxU8n`mOVOs5V#4Y7e!aI!p~xgVmAhOm)ASqUNi1 z^?~|Wt=1ZAR?Vh$&^l`GY42;@wNcua+H@^cTc(}R$}Gat)Z%NgT5OiLEd4D*ETb&p zmgSbMR+H7k+Sux2ZEkICRjuu;Z&-U-$5_YvoBX}~Ejs8Xy`k={H`80{ZS?^CO}(QY zs87|y^l*K?9;q+Y*Xuj=eR`69R8P^f^c?+?e#;hU>tgF>8*LkFn_!z_`{K>ew|o;U z3G)(mCnP4+>=^$D-5zdVcPDqC`+av;cX#)IYX6$5ni}K3&>-FfCW~pfX*UJXK$=0} z2Bj$4NV!zWjd-B=g9s91!~(HMEEc(<%nSylspb@OwIBF}`NjK{t1VSc?WGQ^rxc=w zsRz|GwMf09ma7#SXg&s|_S)N8XDzUf(q!!$gHp5>Ur(t;J*9q@!IofyQuIqoO$qa{1hDbxcevwYqlWMie$hzwG03IP8BRxiV1bYMl zROMD>mQDpI-CTMPz;yuNMx0@LyFPUFaDCwF=IZL|;`*!WFRnn>pIx0@@47m<{#d(K z$(%YJZpgGdUUlO{*JUHJvLvEpCP4Az;^`$xuBlf~mPEP!RJ^q~#u?+>;9Lmc+*0dZ z?Cbo>8Dh*jIJ-FCaRxYgI(j$)9i1JW9Pc+`}x>GP^srDLrqo$6#KR_7Fg1c}J z4r2_)q6rBZ1-PLK<8TG8#8vPds?h^IQ9=!_#x=MW*I_)aM+F<87dFHVxDhI#5;x&y z+=2No$(Vv|uq~R=4^uG>p29P@2G>zV z4J~NJGnkHNF#|I(3(sLT`Wt;iM;qo~F6QBR%*O&q!q@S4*bdvn4Y-MgbdpZdX-cLP zN~JV9L+NyuGANU>=p1F!A)~Y8!WPP-^OR2oR7gd1fiBV|x=eO*&=pg)sfL_XOeJ)c zuF-Y6K{x3Z-6j{^p}PcxR7&^g-*lfIP#Ha>a(YCM=|5CKmGp$3(lc^X6+NeFs$pPc z6B9EF_Mm85OiO4fEu-ZWL$MS`D`+LHqSds9*3vrmWXTG9aYLi?HR8tX%}v;cn{qR5 z&MnxNTXHLI&26|Xo7s<5*4V;U_U8aB!V7p2FX3gh%U;}*KjdEAoBQxb+?V@te;&Xe z^B^9~L--RO%ES0m*<1FJAIToFQa+JSo5(F-oiwr>sy`Dyx*$ z${J;@vQCLt)^iXK=U^VeBY6~u@Ms=Ghh<;cj}LMpAL7HD#7FojALHYEf=}`(KF!IT z!l|6bXE>eDat3EY5fpP4T;y|{%{iRQd3>Jpxqu6~h%fL(zQmW|5?ls5IN<_ZVLLnc z3Ol)&OZX~Z41-u97a!iVTq{ zvcx%&Epm*moF~qE1$hnk3icWy@4BtTaue?`jbW}wauDY-LR#oZ|Hrc^>Dgput2?4?mArK%E5J(^}jM5>Uq)AAJ zP6r4C2s^Tc#SH|Vk$uwv0Tp2YS!59e{h>3CpzLdau;~Elx&3Gu=ZEKb<{YQ%yjNe{ zx>a@Wt?K)};t%*kUe22mTVg=e5e#sE6A%PQP!Pr=K!XVtARH<}C8!Klpej7YBcU2p z=goK&)PR~$3u;3hs0;PrY4`)Nt~tagp5@WJIXuT>pgueg4WJ=3f)}7MG|{if;WAs^ zlDFj@g-R%?l=C4pg$Rg*W)KC@&>Ui*1+;`#5bG>=24F0VgCZCY6JR0~!z7ptQ(!7g zLk81f266;6(1A`w6ev+)7-}@J0)}Hntb~=Z3RcCZuo_m!8dwu+VQs8~b+H~kjTSzG z&*F1fAD_nt*bp13AMpijj7_j9Mqng1!zh>uuNo_il^Bi9ja9~KjKLPh8e^@o&RCBv zu@%N*Yh#145!+x}Y=`Z!19rqt*cs!ni}Akkf$>*kld;+O5aY2ccEbc?i?P+%W_*O* zu?P0VM0^o@VG{PnKKK&$#eUcylQ9Jc;6O~pL24!OiXp@=UM7|?6kV7`ndTE5hUw_W z49vtV^x$yJ#vB}hx#-0_W4rMwjzk~kqaO=!6pqF*ScqeB92U{{OyhW*pjN5XYK>Z} z)~WS45sPsWPR1!X6{q2JoPjg(Rh)&haSqPKd1`~&sNPo}=wUityLE=n)LH7ZI-}02 zbK0Ya>ujB)N9bJb)p>fP_URk?rY_UB^lg1d-_`f91n1)dT!@SCHGCc4z&G(NT#QTb zZCr}W@Ev?tnfPb?3%-ZTaRsi#Rk#}0;96XV>v03jg?Uf{^I-ujghlWgybf=u3h*Yp z1&d(`ybViX8N37U;zkv&DymBIuKGxQ%)jR+`6>Pb|B;^-Ek!F4D_V;-qOE8r+KUdN zqv#|$i#X9m#EY(?n@AAdMGw(aB7R9}4wy$_wk%_d*){eVTgevk zPOOB-@lkvMU&t5n(d=VhBs-}cYNz^}+NE}@J?b;HSM5{#)dBUnI;bw{B0XMDP?ywY zbwwRght(1Fg`TL3^&~x6PtjBLG(BA%Rmaqq>bSb0ZmKeMOWjr{)K}_`x~i_JQgz+T zFf%zfvrLaU+{`v}%n@d;={57rk*3egH~nUTIm#Sujxh_(vF13l$Q*A@FejSD<|K2n zImMi6PBV|`f3aO`x4y3*=!d%8*kSB6cEJJpjr>;rUH(IUC;utGmnY>Z_#6(xAvg?2 z;0rhk$KXpi4kzF%_}b=e;l!tc?2Ud{i_+bv!{YaKc{wW=3$@dzCn$B$XO(R zydOQureJhD_PAU-w(ackZd=1mJUz{hbh`bvLKZ#NwQPAlNrLgqd$NQ7@gvypCo4QO zGM}OlXKOz4=&=_r+os?NNViuE+MI2Bm+`-xp0!rM&d2_w~!aTPX@Ac(* zeJ;Ppn;U7x<>XlXJegVke5;>3-|ZXaPLH%wQ~UNv9MmMv=W*pk^z^%OJj0Tbf4k6b zS)P2$W%+%sboU6CFWd5F{JItY*Z)W=mA-U>64@Zu|OZn<9-j#U!IlU<)j4jq%o7vXHF)aOPn$6X!p!T!kHCN7(?jAW*`qGR&*JwnRFgo&JObua6 z4?kzrbSy0|EB`sLf;?}?TF~Biqf=PS_OT;$S31Xj;wd}>nn1FVM|aK4K=r^Dvbi4p zgA%DEu@p9x6|spFv1M!%EH~zq@B267RRa{&b%oEl@9vL)vP4u6tq-3d3dCwEBK~CS zvJ3`wMA7ULjfV5MKrl0%?%T8P{N8)+x#!+Jr{w|m2MsMUYXqJGtiK$wI!hNhiBma) z2XZk_<@vmwAEM6~iHd%=c%V77EIfJIF4V`Dw9DEZ^qRHuOJ}^5WtCXfR;{(oI&ED= zO#4w5?#^;V<9TG&VytNut){iut*v<8qHU;Kj#8A4Q6qg!m+30qr3c)Nhw&&L&GYyL zuH^&#KL3`_@p&;*REVA8tY&ECn0vP*StuvV8hO5jTJl@gw?tb0WOcI+Sxwe0s~OSk zhnQy|qPaLvb20NT=yg;}duTr$Boj{~`peJhD?|=W9D6vKd+`7s#JT8~@^~_rayeJ= z5?;oy@G!G;y>_3zJYG-E+T_4qxVWgN7cWzJDMb_#2VDX4dQ)q0?$X{ z6LCTOO`OQD(^;`E$8P9+c$D5Apj_XcBw=@P_NHyy8sPnHb4fX9j*GkvVT(7#0y2)+08|V;DM+7VF z@DVd;2Yt+^=wGw*UN5j%Lfc0(l4 zbZ!=xd6Z)b&2xHa6(1Ipv@?7SC+`IErG%@+NS;m2^d;{^%{`4Kiq*7BRyjUFkCDxj z9M#A_l2^3x+C0%+9H+-W2T{#y$o&bZ;AZfzaQe@RY#J{X(OcR{{)&$93R$I9!^>JB zc{R>L10B|iWjrd8Bia!<#qVgJayA{5wfquqw*1XA>ArKXJfKA!d9si7Vaw;dhd;6! z#T^=9eW*=qsp73N73XpVPVXy-P(1C2{Z`xp(DQs3 zCuTLGl*d^#N%W_M;>RxCnT&FEAk|yyB)>$1_@aCV_d}z6S+17f5P8QhOXPHl%mhq$$hN z5B2WtJ!V~@-hMq?7BJGyr_+pJMPZ*vGKHrsJDlp(Q#(s?b0VIkSTrNu5?hgkt{suN z?J^s))fHAUr9GUiJTk^34NQHG4o?CG7Lu=i=H^p)PCnG|2{NqAN^HY?vx_ej_7o_- zvNs(W9zze`Cv3ZM`&MU8MJvad;ki!=RmstwV34=rW_GqYc(BR~mk%2WAIIAC@Z6lG zQITgX_UL#ad^9-#vtYpx#5_G+?drOymu7=7>q-MLTBq4*hsZl5J7|iKl6=r6=`l@7 z>e?jj<{<;=8|zMcnC{H>Z=$DXcYk$($vyvvcy3HyGSw(4Ef47aaHuu5C1stcm^{B- z){2?keE}^^v|=Jnv!%$y8STo72qc&?17D||$(2!;8>wh>ST8a?q2kzYFfKj)|1^qP z|5R4?>ycTjn`S|FXL?j8x|4eeVGRz;Oi@x+9uCKKk`>|J35Saey(k0NE;NT*TnDr4cW$omw6^=0W|swk&W+u<(V( z1tS9>3mnsN)!JO4xQeL=T@h5mNc2O(ZR@8U^AgqBQfV`6dQOzd=DFK=Omm_l#`DA` z%Csl)qU@Lxl`)C8Oz(nz?<$ri>w>Z4OU?X5Z;NO5PfH3rbqHNU|?NGzg-H#SIrdyw3 zzM!Lt8UfD%pCP}Xna+{$qlu;~TS-(1{&N^>inE@|!LNk;ICufPPl65s-vm1g_#c6{ zxHd3h?9;%%27egF=?XXizt?KNgKmwrQq=Qcj9CsS#2htvzW`VOJ++SEiqB0nhMO!m zqFu%{%uc1neaZ|+%F;VuDR4hT)0pAHfwn?&@fg?dE3zf@4RAVPZgH?w0?~bh- zpw^8wx33BQxBB#bi21mnBVf*-c7#X1eGlp$gGTKsPQv@w054#yI7=_e3E00c=o!a# znySD}oPs!&V~w|EC9QCi^&b2@;5Y(1(Ctt|C2}hzX!j@|vgOV<=nnWKh628!ec~3q z=FFhu$ggKH{uV$3#$0OWLM4^K{z-eB>*z^qXa_*eo!J&A6&sv_-L4I^3UU9BIuWqH z0Qi)f*bN}q*J1uz6?3)kJiYnGe_1c{AghWijGuS6H;~eRfTDxu+{VY*Aw{nF6NpQ`QebS zScXJ?n}zNnhV`=u*7nQMuFGW;r~Xf8ZPH z|N5`2&xU6hXnoAynUv4?OPFT?SQf05$zTOoMxTRvU({!HpYh!4Tk110TmK#{>zJpr zo>P4)%X;@j?#|vpd@E+Xck27fPN8&BcJrmP`mFj}%xV|Af9|8+i7bD0R>S_9Sgw|_ zk*`<89NQwx4@-%)_i9;7d4xA!O5NW}A8)6WI~iH!ci~@-Hq-QM&JnpcZeMKIlYDILSuKmNY6X8p5DO5q!0|2)%A%`-Xv zd6fAZC;c+XHn$Mp<9n)iBR;>zM~!hBBQ?%BT~lr1{z?97d@7%Nve3ri*JN;Xx95U6 zpp0*=+2?!Q+sPWsSnI8zNXmjOa)*1llm>%Y@2LDL$d@LZ`{Qg*9cGS3`ShANm#Urk zel*Ubeh103@$6M8vGL|rx!UGY_NMtWcv5PEizOY6J*M~2-obIwNB@I2Lgssqm_wZ7 zad#c|rrj%%8TOk}1a z>HdS4<$%W-{be@iKODzn{r7n@&EfPO&c9hc^w!g-sT}aP>shctCwrzrc5J2joz9gm zHtW4ZQszHT8?a-hm?M!rigRsYeOPV5oWT&Avp4#0@U2XCr-w>Ox|DUt$$|7GwCP$8 z@&DVyzct%!zOEp@T`lGANqlRJ^~F*CbhE|XAkE@D>~7`W-EVgJQ{a(6&u*Ex&;7A_ zL*?MJ=rh$5bI9>6<0RJu=ljE+x)-=|L8OL{MqQQ zmgarzUIboLr1s&T#qxOY2PtCyMcNPi*wnn8KV7PMA(%$Y zt0DFcLbF^X70mgGNbU7tJ^cp4M``OuxjpDYxejd;;WhAuU-G5@5kx7UQb62_^? zw2k8X2HLm7+oAwEY=F8#)w88cIK$OWF|U|gKmZ=#{1%qRpM70oEM2gC*?t_2X@JW8n10R=B@HXj&`{{=xh6Q zvzmFlJ%4ZV#t3hpX{%brJtcok*Rk)z&e)M&pa2a&TRPKLo}P`r6xes;#Q6VWvr}i$ zG^){ptX7N8ob*k*KQYf}p2=M|9+%gbe|kc^tUmjeI~L{p&Jj;NyN=6wZzO&1%=gD| zZ-}XJr&uwFay|d=ZRb|g#Tf?%$RKB%$;Wo>z~#)* zlbm?F)oNmP;^#ZzcfBiQp7qb+fd3C(rM?|(1=oTW)>e&K<05p~$WZGz15Gns&z)z_ zcqjc-JKmojEo0KxfvqyeABMeFaW_|>i5wZ~U&VWLBX{is=I9~~oO`7}Azzrv)MhCF zSAZWmi^%a6Rg*W$vPUL8yH* zr(xEpeTeema4-5jMn>o6e6`J}cLeRgt$-;^3RLl3-!5oY(-btI{(iUz!(Pq5z)jR& z595M4#62zY>T(B1NO%A5ax8tJOi{LRS7YuBvoj}GZL_g(pA2;abI3Ei)4HRjFOap~ zV)-=KV)h5id5e^ZYWLM}JMU7nO|^p63-9s%+ak04&zSEwye)5$d}pup(KWnE)N5XL*^$5##-@& z9Ps`mlhVzl*k8mOb`6?kz15Hpu-1TR%`sM6kt5UX=|4xNV&mOt$VY?Mq|pB#>tzC5 zWp%~z^R4d@r~`7#w7*X84XJX6-KnhR^ILJ-1mLY#{&{)+Bfr`^S$rh@7#0GJ@=gd zIY;tiseB{mrGd|y-K2Xk*&Oy~nFe$Xrgn9Y`DsSS3OK`LYT63flgn#j9+kx@ELY8N z-*`Vrt)H3wNAeWDF-MBLBk-{H>_fSEu8eF-e48~Jt^aOR{*kDZ8^appG$$FJPp$jV zNwV(RM1wgTzF``Y=Mj(9GQjdRYILwyO!AOi%lTDuPV`+u-VpA!c)WWtoimJ%(N7w^ zE31$vcz;5#(bl zut`jkv5I6K>*VpxGS*pUwBD^!~5s=$Df7n?Q5t^8ea*Q?_RV zUUIK;l1S3u$j2w!tbW7pnerBx93Dd+gR_vIn7cMYgRu46y>%9s30OqJ%lAe3=#k;xB|OV|_+$+>%}zruRCwPte*(3PZ;R^O4_O!foq8?JRV}WL z-dCi#XzJ-W_j<y$5G|GQp+e2cMu-V@SU`G>y> zlvzI5580Fb{|jH7k6&hZ%k8`Ic6<=J22xuFBHPP$droE2F?fQW2x;?dIxlw9wZL$H zk2&sz=vSY@eN+d0@NMNq-X}65*dT+vDw$+a4=N)ZmZ!bH$Ry`RndGkI|03YZB)Ap=4+aQbGsd5$LHQsm9a0`gV0vYLb zmRZpGuI_Bcja=oHBfByFp3Ff$16I37g8SnL!ZthXp z?X|*|8M4T0W&R_^eBeA}Rx!U7^KS8anl;S%sn^1M$egy!DGQ#1FZY+K-~@GkuO#5= ztAc;Z&|mN1SXVa|XD(x(B3yVF0oCl4e)13r!0N5f|=4=xeqaYhu-J(m^qBz64mRqGkr8B zwXR3CyGXx{Fviw~wPrQ^{b#l&h}rJmW|VhL_kUvcc`anRyIYwo1>itZ-u>= zGoI#HnwK<}AuZP`GPAtU6z6g`dLdiyiSc**8q>s$S7PnT3_p+gcFYGw#`^VA=*^;M>LVplFVhvd11tyhHz|$f z{y`o60=yde9Pc)zd!5v3upZF+q&VNy2Jly~8PsZD!&z=nzhpS;T~f%mKezs>mnF%Y z9E6=?b7w)DhbOje+cqb*ZQFJx*2Kxgwr!jL*tTtMo_({mRa>=Hefret{s7%|pX>fz zP|MO}bqc4lsd&@BZ#u$gw{;60-nlpXilUdDIq-*{IA)%4J!Y&YHt7&!Gq*y&bv?R) zU%kJHXFJ}dzlGK@=m?APMdd&d0-P9^bEq>u@b7>CQ6JErq$@ITc4XFn_V4!5LmuKs zOq-6Krqw6#6nhx|I&U50rJir{QqA%?mJ|~)fF=qiPcJj!2o#xReZzPKE}KUBD}*Mz z+dm~fK=S?bRTG3wj0n(oLnxvz=hod(Cs8ayY*rsdHP_R*AHOBrOLC$fQ9AP4;H z*=EIeWCxd-QZ^@oFNzYp^SG{Vp|a(DiA#K*T}z;Wz^T9e<>M3oh+ydoq>}>vqk3+2 zZJ4`H@G-*^SzpFbPP{_jRqWQJXWlbfbJHs0?G;SZ&~|0qv-WtUTSu#hZu5T0aM^3N2i?a5T3(=Xq#BP0B>J0t#{IopC2+SKxqZQ5U)b%01XFB@9-*s z9sN1QVLJIZ~{)w~F`H~U=v*gZ;H-rOCv@=bxCI-S8y9)g>Oyi+&M z?76@$=+PRWW2EU*&K_mGTeDTvdxw@bVf@O1$A^I1A=Bnzce2OXjr~AaijO@nBMVu| z7OM?9ZjN|-Fp!)_RNHOQx;Nb8Ff-4mam=n3|4fKw>KKn0n@!0ojHZD>_GS z`}Q^f-6$u#5D{1k^6tpVIyX_Njm}h?a%W)Sh-=@8b$CZp!r`@Q zGk3g6x*F_h*ThRRX z(67@K(1CUj5Z^N2l9 zeB7q|fw+g}3kC>^QEm9=evtQ}nS(yjUJo3D8h;U7&k+(3u2(z6Lb;ulOdo1}c=dxG zIgg%F_wG1{KWLwzso-DLKf%@v!D_GNCS1YgiPB3s>veKOT~gb`bOh<2S(mC8FZ#1> za;@$;Z8&VEM=;5EJ*RiuruW;v!~L#8{n6a8_HW zeYXDzCr$}O4cxfylij+Hw&Tz}bmQ~rVWdxH>6Yh-W{6}P-X4@5 zrm9=Fe+}cUc{Ez9T$}}R%W^xtqlW%=g zn%^wX#GUFq;Y@le?U3#0$e}htn2>%BFVm4WEC7+!O8=MYySMyAEdj(&i{+Zo6@szf zu96vxX4V)P*i1OldTL%7bJEH1G`bZoiq%h@(bW%;VkxO_fF_#Mo80QX<>&Y1Un378h(YhKzjT3yN_|;-@JNY6GYm7syeZi zu%hq8LjA@DSe;5MVWqW|E<~6wMsINd7+=q?!gnSboOn9D8N4>+F<8tStfPM6@$hy# z0;1MEPEg_#yR1EWS%wHDuWZ?l8tLC*=B(&xz28%STmM^>59%C%KN9bxc;H&j5mq)+ zz?&cAkLv>UR<{!`h-ZZ3p5j%jr`)R^nLGiYo~x){8%6Pfg>^{O6UiJ~kb#8%+m!X6 zp-r&(%d+S1CMzw5jK4QjJ|H!_)HSIf%W%BSTP*UI9u+Lz^2S5UH$N6t5PQ8_*T?rZ$rwSebBVcD}G z713yjNKwbWfwlO(>CIM_cK}n$DGo>#022LYxqV2{e#;Xw`(7me9=4=!M)6Wo=Mpit#RPFar($gugk9 z6~v%F^_Rzw6eydeu98I~h{Dnn>0-@n%iK3{o326b3!Rv%V=mi}OtIONV+9lNtpU2C26m*g*?rgJEHTj3Z zomKTvF-V4ga>6e+!=rraRA)23vp1TU%)P0uz|>f3MYKRz_X zGRM)Eavhp!7alWuGfeU2;lo!kEh~&{GuezF#}p7k{|L4K4D4g)oNBE+h~9F31ec&* zo&UF&;y*UO|5tO$%*@8Y_&=@(PEPj!<$Kprg;GgcM*F(*zRnRscLxJU`F2ba1HveE zq|0Zts3_e5r;b+?15cWwYaJx3PTN67$g_%$U>mMFixsU2=8}r){mQLZA;4RV{ z$(;9j7G_@8(PtXau6yjA((XM}7Bu0IXp3Cx6Z}^p=afR4eq!?J6Vc-P=G8;?)PYq$% z*v=<*O14_=?}&HLdW8UhEYt_INanx9#>ZC^MEFT>|6;bL0b2ZSVyfAzn@eNb3x zC68$4MBl`pdLuAaAwp;~i%^IIo4g%^j4T3W%<0w}i{$H6cn$`_WI3hyENdOGQCw5_ zqnd3BBAe_6fpCquqxWC_5XW@9;rU|JNenV@WqV~7pfKM!NE-YiF=Ldk+=uIoc&3UZVY4Lf zlF6A%CDxTewhuqA7rRu#xWNDW29{*R_OyfFRJfHSi!v$>V?ov>hI2}rRgz(pi%C}; z!81oebLhwxZkd!`mhuDCtJ`vbv;`^$$^$>U)awP>Oox$Oe4elL$Kwfs(6g}Zabuwh z$)?FT&0(ek8spUF?JX!S^Szp#va5f9bcQ#MO$>wj2+mzpyni}Ip&p70;Zym6r=2fh zFG6Ay@XSI_NuQ?KwB|KxctzNm2utX_6|!$tpt< z^aZkwd!WaK z?~Bx@6P!(}8V|5u-92^v7zh|G(W=)f;HmY&t5hs|+D%4sLz{K`(sSFDKAme_i$a7 z@sw(_gcjx13bA7V;alw#f~k8lq5M9~s)Sn;n!oBUs%*;;JXb=)POB7~({55moxIwd zG$-YT;LB&N)%5;uW5#>Gg;epk1RPbUGx}qKz7bV%1i&%l+yl)|Hl!TVI!+kI0p!|A z)rEcBM%Tvn1dy-sDoRrxrqIFJ&c6^}IEy_&sc%=miImEO14oUkeqg`)4qhj3R@NZ~ z|Jn+y*AK07M)uG8pd3a8RML1h0>>5(ebnUez!S+mIbvfT-qDrdke^Y(>{bree424O zifMz0oym5GKaVpgvTb<85rZepYu&;TQ&dcOuX^C~#f1=J|FL7GG)kd(nIz)9PpT_` z?P_pYBPy$rmyrO?BZcM|`lm=N8Wdla7_6^@ULbNge?4L0o{za4hR zyVu@k7VNJ(el_QNp;<%=z0>RJFY53_`_uYT2pBGvnNhLmhtEZ!P$+ z!ECy;l9GWYi@&OHMV)n#hfBbHV}+?e9G2i8JK-b7=lkR3e1|$9r-bzRWUITSwc3i;dJ&bSb?lO=QOxYPondo)@THyXSq-8F*ZV=*kl*hxs(B(GwIg=sk< zyE8Wi9mqkQ{rfh!0!4T_uqdQC0 z(3>+>T!A&1S0K|&o^pJs)tD)p-Y4-#kuMT(?gZfuEhSSCVI4Pd%s{nM;`l;$FzJf# zz&ZE#q{5+9_WML9bsih>c>La^vBDb8e|lqks5q@oK8KWSiOv2Na;3d^%Fl?nAlsxf z79gx6!8-~!fLow*F|$mUZ*_rU9~};lEsZ) zmbh|Nm7#p);|3{9XbFO58;CDqI}fKMa1#jr_&bmgk+{~pWA8%b=U^u$)`SdU@W~g3 z7gmKsaw?FLG}+4Na`sUY+L)T2erLJg>U^Eb(0q^}02AcY5(1HD=AJCWsA$tO!tfG; z^Ft5Oo2IQCRnP2Im{xm>kNw0WDmzL4qyhpxInP;exCBpywNm}WkK zSE#SeDK69c!xZc2mWD13Qrtc$&aE~}`-l84Qk(Y%cd;1`^l2kPoxr^B=KrilCH0M#XSll;njz)0{tCU*4;kO`&PEmCiydn-i}fgD&X zN@jmopes$`9MQ%AOdc?ZmkBC?UFDZ9tRxocMtWft&~UHO`ol4 zuGB2_p`HHvs}r54R}^j^#1-WjpIv%D+YMcYL$-QWLrPsy!U)%TZOgl3(DVIt*v(j9 zo%1La`rQt3CPx7n9%fizo~hXeuR}B-ydG*i>8`3S}WBCS=Jz>PS;& z(IH{jOaY>esxzpEb;-_K;W3kabjLkb*a1RKr!VdsvJU$q5>ZapINxHhsyKwWa@b=q7&Yyf zF;rks4117j->|naySX4~zuVx_o6X9N=BVnHiXkt@#QVfHu9vJi=#>gZvkhaJ` zQfgs;J*8>&7$r3s{=FNgX@}%b9~Hj{hv5opVul%yJ_ikH21v)dhZR-<41+vI4`CxmhOFosoSaz;UD*@-+%JmV-nPQi zyhofpUj&z}`>z)~T>cDQiqpp~yffgTMqJsJDz}R!?hfvZ6m@lV$HpFEwJwIrI6}Bx z3m75Egz*KPOyWf`MiupAr}CIw{S@85>x?MM_{#9tJo~;eT~qY$T|IYmziy!>Q4mW9 zPpSw5?-yXnAAIqGtqhtvUuX04Yb7mwY(me^^UxAWOMll-wz*F&y?JeuDw0B3YlgPV zBFnV-@W47yW79DdHj4& zmL&fT5dP8)9vxam!E8&2C9n;wB^JlQ_DOW|bT($fJ&N&92NDfb>r@O+<@h#i&K2hU zJLf?Qn$Q}|5}mrrc0;u?mw&0yA8pCgoBSkD@cXi&&=)UD&e#`)|6>7potaXUI2wl) zElJ}~n%J~_9U7U|R%@D&XRMp$YbO!n@fxpRaD z>s`n_pmL7i-qABH$r*ybxOY5UCmqAf$_JB1l3MrPH;;6Q``r@>wtzgmcE#)JB~r}v z8)?x})zm~4&2H;UoNs9pu@CD@e7|f+h{q*xcDo%Yf>8;RZKMjlekp8j>b@(zVfgH! zY;I=BvvIHi&*XXxLGV?Qz0MPSo@1oye{OZSl7{4Yq=?i1WGJ90ha;=VH{n!h+{hFAo;KE3R}Ppg38?T# zKTvVRl-vmUxIj8J@Y41Wd4~-ELiLsSM$!S)0FG&P|KEOXw{SmkRnnhnxRr?qaq5Kz zvBZcs?EEm!-~@2`U2nclcmHGuf2@y@x%E2waqb{e z$in8a+ZrmQAg?$iH_?v;029%fB+<{G7${JhT11gEm949s#O9?`!H@|+WkEyQVxNJo zNfqVI$tlm(%VD7zV}T-Hd+NQ*ylp4Ed~Ccv9(Emn@&bOiul;9|uKN#?!c&zU29qRK zCRkGWP)8#0b$|OQ$X84J>J!i*n0DAbSDN%OMRuU*7(*;si=-x8E3i&EO<`OW3WJ5v z%WxMG5m=%RosPY@fUG|Huz}J&BJ8VjC1Agv-8>Pjq|$Z`+`LH9eOD-tC=iWmu^JA& z)B-6R+F)`6iGShZW>=XKUW6Vd=U3g!`r-bYmr3r?xF;Jg5)>rDQ*%(XLzPCd&I|S@mYqeLY_tO5)`wcALudq$~vI<>XDT<>P z%m-Jj1%kz$^zwXwG6>dSGr5dDRtFgAv88P<7TxDhkD z#E!YjS>+S`o+kL8{sC`VMCT2Aln_~r+1j#ig#ea{Sl>Rz7Fe4m* z2IXUbJWR^$>HbAaaZ26iJlzdz%qpM_ezZ*vSmm=R=7M~e%dNygfvXgT9bMX2FmyE$ zNr|;LmD{Gdrf`d$=CS#`tVNXc^jo9gtC5X3$V~aR7|0CqPa2R+Zl*HE4?*b36yZdE zKun}QzIbNkeoK#RXklM>+_*h?KSC98uCGUKkPW*My625uhS$4|am_7_q)wb5hB>?Phn~CJ=A@)#Aq2xeu{1s(-UMs3@VM9j+xMKZ(?8SEhC7${ z%2`xQXF~<3i&jOY?X(TvoF&+U5)dA(MI^R~CczyHB9*^;Op+mLK7#7DG`qVdAAg=q znueW;-ovZ{Y!V#vVX{>Cs2vk(sE>%`7M~UEp9fhG* zQ#wtVb|z;k?dp9=bSSt8=<1>L(!NzmpHbPl|3Mw`ITg83Ih8$$9Lnwh+1ANR;+=)4 zmoa}4j(_Y_MkB@`ZXv3eV7@~Bjm7Q!eH2z|Hxt%#OU)iSaI!!+5mljg@p^*8KPy=5r`Mz zgT%s=7;`Oazc_JZ4&;<=)LI4*%)!~qxZg4kh!(hYXtDKA;avS`s*Y>r#&)jXK4#hA zYC?yx$`WY<$N9IenKYlWpb#sE=#O(o<7D(n7-e*M@5O6ZV{aFXB9a_}sD%Z)m(G!S zb>V$&&6C1m)72g^n2Nvg*_Dv^WzNv!b0bn=c0c6_xbc1OZ>BiUUafz9lo;!7n(*uT zt|Q<-QcKQvXnVNm9J1Z~x+18OapmRiIiBcv$KZ&!P7YODMb_T>8Y~~$9^%$Z>6-S9 zTvYa&N&g4GlU7mi|JY1n=rIXe?b_*J-S`@UG>coHidA_qi5s7SbG}S50YC$BdP>G)-it_qoa< zZc&Nx;8b10m8m0&;wJITCH;_w9ww0LwNDukTqA&3wkSuj5uR{`P?`SB`>VMGF#IbW zGXK{}wxbe7R{r$z4L=K^7_o?uZLA%IMzX{;V)PU^q2~S6d?A9+p*`~7xrJgJ7o^=h zeMJtFIY-h`j&u4BkL*nr*G<^mbZu!`d;Y9qnds^Bkn~=Ok=j9!k&+IXlM&UlbYW{$ z5hqCe9{pSj3HojiI!MuDRqJ+_>dJ|jHsb5)$p!J~82L;5QC%jJ?Qm*#ZUFfsWvw+8?K+KTaM2 zoD5{s7$&d?9VrtU%*{=AVs;g#8MXyBj|TDuWub&k;04Gav1rphTE!cQq94G={k_1( z5e-Ea5p`>?CI&IHyFSIG11Cscyoncu`o^7uc`rUyTW;5G499G^U29A+i>%!%aUy0( zd_c&)DeNlky7|&oNWyNU-+Y^SS9{!v6y8LNO|w4vmr#9VQbTksE#Ku^&3&^=-S^I8HEPy z1XyUnP6?0ZLYAQt1@-@yr6XS8gdy4?Tl@18-N)8zS&viGbl|(p+Spx3diB|R>BfO# z^A{57%D}?UA|};z$V2%E6{_M$N~^_Tx8@C1H7S%bIHZ|%1jJNIO0pums+9I% zgN|*k4&xfd^NDGW=LYj^&+X?7n(19oyE)fYxpT>PrmiXS#^!SNDnR`_oMzXmUKvBn zy;Rtj?PvDWwS|M;@Ali>&@dbVYu=E{^}k5gF-9I59S=Qq9q7Lwa5 ziosQ>4^yU0fq8p>P`4{`zx7_T#kMw0^9vR9k6E;it@U-G#xpZ&GCZd{4fHrDWOU4% z)2(I39s=1WusIp*oeZX|z$-NYVx~*ANNGCvGS!}Ds?=RHeI^Wx{6jW?vxIr=|59nGbg?9QC*5^a90A*UL{Ekt34q~+Wm&uN|e$x&w1TUdR^PTb0hEzR)j_;Ec zn$MoEb8T_&9_I^;cYV$!#m|_7{waBSd=I!L9lq!p1O$TCp3AEc3_tp*E)`z)uTO++ zb3CaWLW!2%EZYa|;Zv$UAgG9TtO!wq?BE2C_#a3Q-k_W6OwCk>%_P`HQtXc=2~Be~ z)_mq%Y3}%qF3a*ijs(`_h0L_vQi=Eh+qp5OfD@Z9OBN5xs4SwVQp(t@#&kRgZEI^XUKQtO~d zE|^db;6&oV-J&)kgB6chYYrMGdYqhIak5ey6<{-xy?0!8XrY5$?E^X`Z2IOJSJetGHmPPUghLB3P(k9tP6;Ht#KBmH8)C*00wn5H+%heuP7g{iyV8muKWEu z`$+LOJ)LK<>lG}8s-Rz>7s#YSNNig*ky@Uiq&@V|B6Yps3^5o}iD|qmWyQSr%KSpg4iXmTeP-er(C&J#BqL zv?dQ>5wBN5qJm_Bw<(D*(=w4^Hv?6^s7Oi(7KKbUmlk343hjJ9CoMt9+=s20P#>^8 z{OR!v;}%XP(gQRK`0svHZ77qpFDx5x1oly>VGJD5IxL&c8Kx)GG#hH3)x932S zSTRTz!Eloz17nJzR6l@!b6oRckfN|F9h3Z4;->(giRsC(@h`AI47wI}!squN`_V37 zZOCC}@~Bg2T8gpXT4BxVYgM`_{AA;bKP7HjvNE$VbxUgl*<2L&1o)!Fr#;_0?T6oY z-XG>pi9XdIVLo|c1L@?_$mmdtl&Xdy`-PRO^_7+Z5Nb%g?rD_NrQx}| ziKCN>SPfy6%M~_s*kQ2b)SY0e<5fP5-U+CXKF#qJ%*T;+ zt-|k4#0Gz?-@!1jhH6{o_tb-a*6Il?N`)5A)3AzKBfbR)5z}jL$HP?Sm;UW0j#z*R zW+t@e%ldU(AA`pgQ*7O!QdMOeSmJA2$_^7H06+9<#29}FavF>e!VO21{D(yj$|RpN zX%rY}h$xJ%8ctJFN$Z9!hyna@ZmdjBLCMXC-Up3j(QU`Bd9z<&r*f7kHtVCMPvg{K zVR3AqvSPdjeuE>0h0SBVE?jaMp7?AyvKB2_*nLkIvUQ3P4sV8s|JGkoz`Wg z{bciooEKO9GWRmLF9^vISc}jCv;@nsc5etq4%<sqOu2!}n40d9m%~ zsYdU4d)?>;GM97>guC_ zN1!{R4iuJgYe9rc{d)DyZg2?%06GREY>R99I@HamD*=ngXIs3pp2XWDzdmgh}fKN!ym7Sd%5h^paV?|PWJH#XnJ^8;;P z7t19hRLO%Hp13U?>2?4;i1q$6=HdWTQU3C`HeVHsX0*U6+ogP)SX-6Nm=21;5#7^v zsr-hzWNMw#zA#o>cbERyu}1ry*x--=T48vcoHf$WGI`|?2 z+?<@{p6H4)1v!lT5q6_tV}lx|dPE@_uK-{%IvK`&@*DkO6*|RYf-b~ekns*gH9Ou5o(B*Y80(qB+SEe=r32WApK_#Jq?8{O&z!0YD-d0zA}2m ziRvFaBhD;0Z2dBb)9^!hb>i}D$w3LFS^fZ?rvk!lirRABLmfz*u%S~Bcw|dytyWe&krcjLi1=hF8#s2#CZx)4}5AkCL-<#R% z9h%1&`yr@YYT8Lb|2^u)iCX;nZnLU-2WuZf`lX>`Ot>bP0vPKay$%*X%4&MY)Iqg& z8sn@paN~jwre@hqJDaBV&C5EY4}>SxYpX~9)9SAk_Hv%Dt=Ef=XPXiCX6NIE`++*V z^%YAcn-a~nfSL~tZn1T=f8x^pm zMincS{YG14e=0x*w!{*SmVgE^N?t1SAynnnXPuRFL9|j!= z&q+*(R;{ei)hu*VZ|B?2eVu-*-*+A@cLEyM0#;F;8rRuT z*=OIL9BIo$t(Aff52SjTc_L}`u(`eWKeOB22G;LqC#>YWN!MbFKo{sDO8-y)?llefo&!RB5?zg)q z+r<0dTl2B@E4BW+s|EM!eop7(Z8X`&$AHeyt9AbunwztNU|Hf~i=Gc{MyQu6sm zop}2s`_z`vbyI?Q)#7>s5p$tE#WHQ~shSp@8iqf5+gfkm0JEIs zqFrZgcgZ063!{@33tpjHr@b`5q3u%0JHSViH)9c;A$CeQr*0x{$ScH|e61}abkn@{ z*w}^9G2vGOODw>DyuqjpP*kx1ugi{RQx07DbrOFbR_?y(`w7QU+0L^@Y_5;1+i-WN z(nONYSFM2rO{P8qy8Lj8|Q8tky$a_e&(j0<+y06p5?S? zTg}~Og5tJ#pu*aeu-R`nzM%A6Fl4aLlY&ywojwa-w@LFB8P@Iw~Y z0l5ai-2i(f2r+3C5$AJZH#;;`;+L|P>8K|jLstj|F#L5hJe=qfMgGAf)OwL4EJotGw<~k&& znjl(n<;`$R{mq>}k{%+n>bVgqs?-*>?0whYiXQ(S!e~}4fh@hJY*^vdlAg2nmLeg3 zK_3ib@k9==St&~vVD$DW$4J#ym>1`Zg+K?hpP)(cK%|SO7abLgi4I$a-+))D#M%<9 zAr0nlES%cM%zF&~V3$%*{(8b|^+wZ3tAosl(`v~6mSnf;DH5P5M2&dex>LAzNms;D zSsW!+QpLZXd1e>9^8{gDEIMU@Hi5RZQ9Uw%LnS+vcb6YUbIQ^7jOcCKgiW0-i%C_b z_sPl%6{T26k3_)hd=sXbe_a~|vJCE#$}zW<)``)jK|xW&MqogZIr>0OX`xaeTlH!N zi_F2i;ubGj=PkTH)*9(XDM2rAa<)I!OS1?`My3ugK~0d;|3zQc`{9igUXV@DrWd6P zcDLyJN^5J52cg_pJ``C``=?ghOzAA`8%Zjx4e9bdeaZ}&g*5ae6|R{%8%1`FwSRL9 zDV-V!c7;+{@Q<|CP*O-#EJb(Mg!heb8B|iDM|wY95Yh9O1R3XEOJg`F^dAM!nfbG? zZ&1M;T|H>udKfn-yoiM`PvySP2`_?IlR z`PyG}XH-j%tV!#yH&lP``dB+okvxys;j~s!Y%bvLrrn+Cf@J8uELr!erG)?r|2%UU z%~g%6RV0$uGWLiN_^DU5TMenc>#&7gCQhqi8qtFcwTeQ)fpR?}{x%*$gwWr)4QoV| zoZU%PF&2X!p({ltvx< zk_iRGK&Mbz`9O*#nvb1H{jF}Sc*eq+N->>SF}-y6X9BWmiHKkhr_*OVjh38pbqo#< zG-Up=)bV2Uke~Y#`h3r8Jau3-s+ZQR9HO8RKMRq8fG- zh9#i;07kJ)kmRImo<92ywXO>E4leu7SvmO!sq z>-nnSob9_&f4kjqAhDu2Cr9u8^Ko;%FvwY1UT3{$_X*^^aEk^52<#UaKs*5WCrN_x z18jFc&^iUG-I_JOCM2%5l3_NV@4UlGS{w3Z?G5 zFcOhkrbq3`()!-1JM=tleVr9%8>k17fbcNFtQ35ZMd-f!shCiPfQ#q6%gOr!x-!MK)FNk z3(+~kyW!>)gUzPU+!|O$$zZ9$W2e>&a5SBx*vjgA->E=>#dCvn9E_OZxd78cA^O!p zTrH(%--{4_>Qw{T75IHWbT2xmS_O?tc7b1AYQ?j!ILvt~fy}v2S;UA0@1~_n7V(BU z%^U310n8ewWV>#*FJn|-Fk^CC9xkYlj7yZQ4KVZs$@ z7LepmCiWf@kgyOa@lG#q(Tx}a3?u^0%C5%>C897_GbI=zco8+H0w!*p$_k|f{VgI; zB4>(!z)zOp-mMTVeK=uh%aL{eVW_MyC-_m^1&~RKreCEYO_$k&gTw$Td zCKJWt>`13x&K-^`E15Dhb9gKRKUFt9ZRnfXo65GNt1lxtd!GODMym$HagN3`>zz4U zGfc^_MjEMRU=Pm5Fu*$6=au+h@7P#I?jXJXg7oPk581!`VuSJhK>W`p9UT{e6fU}- zUv1gUy#GpF^E67QvfjG*jWZVEzpq@j`6mE?&K{jfW(vvt;2iPs;l>+*Q?{fObh&Cz&oZ@ zs%e-~E8+?0q>C(E><;tff*F3+?xE+;wS2xkfeF&uqKE#kF2kjc5miY(4Pfv$2%~J< zCBONm7AozeboJU)3PyAKS}g0yKbf!xhBCkhpaxNMGH6l_nnBlbDt{ka90V9=6O@?% z01daSia z8G`z*NuF?XD5e=Ghww7<^`)@PprjxTiw%uRKB=fL=cb?7masQ}KOZOzqAByRENE(- ztG6V)Tj69jHZAP^GTRsQ7g}h0oUE!D7CvJazw)LWBN@Lajx$JxUy?X3(B_-s6 zI_&p{2?q1znmn+Jg+epzkx5-3DvV8Evb^t~)XSr>1klSWI8K5&`pqTm(J2t7;4e&I z9T2MkL`LjU5O4$A{`T|(8qR@@q>o=54`wfj&63k%Pqp&d=A>rjRc#(wDh1iX=t`b) ze=&||ZMcj8Q%pmdbwvm65_exLTNKXa%EV8DaOwT*qnMyAHe-$u8C>{oWv?nkrhLgP z=BxbL_4@y;=P1IVq>n@{UH?TRNhATf(U&J|E#LE%`DGy(kBy9}oTSiX(F6+1)&Q1e zFA%Z|;B$z+m~XWN(R`I#xm;J|cqMHj7asFn6quGk?HxsY z&eb4mkYjUAg1CafDRli*_q&D=y^syF`}w~4LwG;GfidZlq$aS}&A-Z(O^aIs$KunD znIv2Q$LzWh9@C_+s57FQfA=?<)XjVCu^~DuFBmjW@nMczA;p$5>x6+OV|Uq zUqrbX5W7*2S!_+Cu-cCIOU2y9M=tNPM>AXWegDp)F z=Tu_smm)T|jPft2q->O6D8)Dig+}=ttdUdiHthM<^7%YnHNCJslE^nI6~CYjzm&lY zt4b1pp5`8aorgM%gfi&JC%|N81v2sKH!VOZbsolo%wZ@IxFa1gz5_dB`=ygyqi|UC zWXp$y=UJ&^sqRBWAYwHA+gCj~tf!liPI&a9m=gCgJdQi?uk#eI81P#nsR7Z# z@=+1rd}MxMBz@*r6mhyh|4VR*_-OtYlP5mxmA@`g4>ia#0V!YVLG^4jQNtbQ%`fr= zFM4GY`cqGBi@dT6?X!~S?=`cJ_>PAo7*!u-;`6Tr_8UI1bL-_Yt%)M&o1btQijUd| z<`Zi^GhdNCj@U`(^i;q9qU@c5Gz*$`(bi1c-P5*h+qTWOZQHhO+qP{R)3&WW-?w+1 z|6H7leb&udk(rT|^;A?;#fr=)K{ynr3ts94)w&#Ey@jlb@WMbaYXF2U-bn;LvFcw5 z&ldJg;$1?X!x9@a*75wHO@nK`MAs=>zBwlLz3;pmo#pC>{JjCH;UdZvIKVHo@@Vxi zrm#F;rh5{Yk9?zDf08Pdt5ES0(iig^sdN<4BvG6Wys{2B=66_o&K&@w)1<= zxJ!7jJnP)fav$s#uz5}CrRXzvw~nkUlYfk+lwj-_QVqKJ!)u(A%8Cnn$sVz-c`)vr zvKE*bd)?Axejwc#3qR4Lb_%_5<6^yGwPO;xH8h*|0L2%|01eKcUk^giHs@@?PfE z!!W=d0Gn=Z3mRdJn0s+~`)Xl(K}49xwS-!OfG^(IVlh!<=a=-a5U&VK0cT%5j3ENW zCciT<2lv-c%46O2{&w=g6G%kYdrNLuuieNQbN%qTbhOWbznnxlG2=GoJTx0?k85v< ziqSCL;{3SOzRzQbSsVLwb^PW7u77}=gUNc+t1U)ogK`5tV7w+cu#2@C{xarGMs~(e z-NJ$D3gKpkzejqP?;Zs8_pR4G?pIN9#r`?krZF&APmo3GpYtcQMqy@ZR?H6Y4-pYI zlSYsfI7C$VgGysmuQHJWu~wlT@dsmz{CBmJG72E11LdXISKe7O)nHzMGZ57vC~eEf z=OpLj-a!Znac9c3=OxQ^hUX;b>V;?9{aRut`LvrbI@Dd4|NA zuHh}1(V(s+Jg+^~>#n&m*X;F-xzp=y%Ld3f4Vmv|PYvg}(Y{R<*R~_03pL^bRgYwH zUzHxFPqk$|y)!S;z7=PyMou@#fQz9(MNc_AVUf>G-GXCcV?}eZ}mg!@<1fwt)FvvkaHZu3Ik6y(HGV0+F8mQ`foF?NdVP}mY-YvLVY`Bl?K_Tv z>16L}!xsbOM2PMcYbx?Y^Cqt8OCh4H@RHm`Ul@0?BQHvzVC zA{Sbckd*r$&GVH+TH(k5pL_fp_l);S=HIV+2;gLh@DI!`b2iadejG{NLtlc|4jmKX zJ4}7sRKHYbpD_U;BUHY1k8^2aJMeP?qA!1=ODDw9M?Prh)DNr-qAyEn@5of}xw7sW z2EB#<-1b!KU>XbUNHq|!(ImIGj%oG^tZ-fcDBLdscPBl1rOzL6?6sHE(D{r?90Gbs zk7tQ=44VnRkBi9YzcMKg!X{r#Td`IrtBF&5`d=1Xo|~C$g`)Hz&3gt#Vu?2w86;VP zYQ-oUt)ukP!rxdJlYQV88CZ($OS*Cz$7Z( zDENSSI`Mi2vz*rSGqH>@LIVb6N_MoBY>V`M4W6peJYf!a(~RB!8Su7gu41Ow<@7BN zu;c2$f5NneP4;5)SzRn$b}0Ac@DVQxvR}HPbpVgAy?9X6|K49M0#CDTwHUErf4T=m zy@_%sGpPstIAlL8>oM50SluQm{~pw+)hS-^)c(!Bx~KO&qp|vL4@`C)Pb!+mW?koy zF=gi@s5(#%vN^)#u{)DIVZ7bsyT;WdQk1(IV;(f49}h_Obk5&W>H@FPt!kQ3{A=2E zbN2&Izs~y-oimO_xe0T}g=wtxCkgt6-{_onqbAPj+Y zOX9MAB}!aSXPH1^Ozq1DDN`>Y$ZI)*_)rbI6z?k8vKH^^2wqz7yH9B@_IYQM5AyAc z>vUH{VyyQR?0tTR9bR1U+Yj!Yffw@aAn|L@>o4wRS7hfI(P<~^j&DSakcRg(-Tl-T zVO8^)t93hHKW7_OPY@KesV;jqqw}e+0kX7J{u^pjY?|JdY};=i2o?n`&DOW|GV4I= z#<#mNpT)w{$?!+l{ev=|#g?4z*}SiP+z;=kmhEbV-OSadB-?9b@51ud=HNO1T%}%= zYK#20@vz%pkF~dWZsX5VxpJ)s`MaM!Ma##tPWyB4UG zH7qCly6aXmopFsfAQUg12SV4$P*1QPA)bxWZz{SOYs9a}T>*E{c;P4CLJ{3xjN93C zMA_D_e-*M?oA$pIeDZHr9JP2t9V@kAkeNg5Z7is}!kAiXCXq*aAM>RBKOn!lwOkxb zD_>|6vClvH^%q)^S8o!rx-*RxuDRFGd}Z}qrD*OdmU3x@x=9#0dp6%6h-WWC=;|^$ zWlesYChX#WZN(PL>EiTUTc`J>eX-kcJ!{(F|2n+Lx~jW?@1YH}FPVKr!#nN(yfSbn zhOhP-OD~l09kBRxz(-zC|G9%h>Kq-*!-v0N5k7y2FfQ~By1Bh82Q2U{lJfSM$NBds zJH)7wfp%LQm%;3I#oG5McMMIe_b{bV__L8%4Rvmx%&_{@r*?`&M7iR-0GNHm0;?YW zOj@iA?G@FAdn=D9xtYXN9 z?^5f2Ybq78yN;ob4w5C1_iwGJ=kC&e; zeR>Kzb8aGdK7Jp{#C=R@$P*QNv>>=T=jLAVk~LTJ=&28PP^@$dWIdAaE_Mn~D%A$V zyG61i^TO*r+w?;NS<^Ij8Lp$d_ueu*l9^E&q)k zV`Wf~WAu=%K{iGK7d!RwqKwR(Tn?wXDrWA-k&-xjgVdbcKI28?$R(2#v8Tu}ht0Y; z8)`?a9fgeqTx=g@!!-9NRmqlYB>LqDJ8TE=9XWZ}oCN7Zm8@e?yr2;Q*Dt31>bo-e zo4w*Z;=puw)dmRy0peGZJmjwd@;H1|lKzYk65$AZQGEJhoGJ){;WY zGGqvRIE0>p6+{t28aNbk*=Rf#CrR_r^aygz3-T5ppxR!?-A!i=D>c)1lW)gs@0W`$ z&sm-m-_PeUF2(C53Ha+KD)ea`*Pyq+W0_hY*~wl0FOmz4^y<3Zc+9|NpH3dIpapNi z<91YsU{5$7J8Uj?f}`u+qTz1Y5Rb%gBWs7yB5&CNzQ}EvX3npeF;*vuKsFsHN4N>M zYk9+sf2pRjeo;#qZp3R%!ecGQru1a)p*TG(gN}tN4Nz2+|cB|{>JJF272C#-?kreuM_bHL&@GdaJ`A|Hg!-x9UA*#H;XM~hnDc`?p;@0;{s%3d3~V=SjdV& zKa>vtIP&(s`n?vAca_U~5czynjfByEFwa(l?#lD<4deyp}i%G57i6T zCeMLf*nYz9B;NdI8-m|*)O4xMiH5^mvea}m55q#~vS$j|%CbKc_gNvp{pa&Va1{u&^Y7@(4!Ce~SI~UWu z)Frph5>h8Rg+ zX2JQhEgW6~k;-0h4jzIKt3&f7SXx9Pby!hP8}t*hm4_{23ob8 z3V^`Nu`0ZKTmkFV-=uO8Mk|)`x3WNe|8NH5ETFAofgABtwEa>JM#`l^ zj>?s@+ks36p!LC%8j;zT=jlqe4`_g>;SKzNX}{yPV`y0Z+q6hSBSHJCfcM$k4&#B-c(`RE$7`o7sHbstxqQ=jI3BbUA&i-KnLV~WSSL(q%zzhYr-br4~dnPhHI@4 zFND)FR(-1?*{Z`YqeSHB9a{|V%xv3nuR^SgHhV8%*I5m-+)PEs-_K10QHwrJ>0HX& zk6F11NQHw2Mp`T#H7ytJ9;QW^FWBBO^`f@#Q}Kq4Ei+&GdAS%|@OUHIJJU_@_^R25 zxdpCsCXeth$qPC+dd{Y@t(JLQ+70P!oby-{+9HoX7+s3iMSrjp+Fp{{D?vKdGw#4a z1-jpV*<0fqQ080Z}FKg9G>K6d-6co}*La;^XZ-veQi0N;)tAJ%8iPk8zX zEb#5aNrmgj3V6le6j)(KT8ZCOj<8PvD0Y6IiP~bLLTM>3U&rT}kD)@}F^}L>@Y1uS zqw8HjI}3U*Z<;&!eOkFhl1+_yc zkqFS6d%3p1xpo~8L^iXtA|%B)JLC3S>qZ|Px$$aO0!nlpBLAe zPrR&Ie~<7_8eGTpUqsr(wZ{066vcEkgS%oqeohk=_fRGAY!j^Pe5s=6uM!S$5`hWS z-07d|oT8tTcsbsN*5Ta39MUxpNnck5tx#pF=|4U5E*r)4Gp}Bgx%L&A!l-BxEboP; z!D9yvR3~8Vt`e*Cinl0`UbvE48+NFVM5b6~sZw#=TVFqnSbPL@(I^IIDrmH5kRVU|@wGa{SO@9|lma>PwSY|(BVQF;iKDs_A~`=ga_`rW&?MHh(jEOAX=oyDTJ=WgUx(zaFmb|jM_4fkZ@4&t$gjf@OFz=tBE~F&VH=ZNb z&ZMqt@S95(a|iHo`7iJgjo2~Sy7}?|B2_c96x@I)V@J<^6*|@ zTh0wmrh|}vwu`KB!Dj;-2mG(ni}pmZ{IO+H(G5`82#MQ`vkr7D+59C({IhLtfCX?E z5WRssUo2w-yShzoqu8aPDx-_Od|~5&{l2+Wy(ikJ7FyV@QlM_k2AD+Ev@Th~uIdmc zX8{V!XP@}&eFl7Z4P!f}Hg}C!Ci~Jzpi!j)78e>g0wLc4^MpQ9&m(v z=qjsCjavv^ghwd50@r@}Bfs)h#@m)1tQl?S^}v13H?IwTL`rvdlR71cTR?mjBX2Zf zU>-PPe{^B7$!K|a==>Z(IgUadve^>7SH|f*t9wT0dQD$Fr>EC!g^Fa(x$HU{Bipx}iaqwFd%?U)}KPdv<$%;Z>+8!OW*k?QM#v=t7 z*|;eew`c3qRWU5o2D4i;T$N|qu*E*v659(ME0Z?y_74Kyx(>T!S-_ z$uHg5?p2M|#X_Q|!&PuDi>IgH$F0sz zGh9HcgC+R2pp-;Poy(uWaWa%|JZq<8zvnHgJ0!Mia(GX&Ja5`xay+lSdxu;vx!+<4 zw^}i(uDG9n81K15>hVZJKX0r{YZ5A7pV2;?;&AC46?+>aar;}HO21Kh`YrEp?j5t5 zEt_C@wT3(q?+%Z>5-qSp?;DGyZe_iG&4xLBn@EHmPrO)Utkm@m@&=l&cfMiCR?XJq z>FdP!ygnPj*UrDxi*@4@_vb)klg|7ldCTsZ-7oTfM?B06>Ux)28w7Rp?TO=yvLAZW z!2g?2AMV=^cX{^o2|H?Thx>z?RO^xj{`l=&rRR0hdYX(f{IFd4g?#X2xOH--KvpWo z@Pj|og6`P(Ivd~4Zxg}h`>C`ygmc?MI%=~8#m4JB;ewL(km(!Se&g#!IkT1TfH8j} zi&7SYG%bg;?ODcu^~7~+QQ&cCu)hxiYm3P8?N|0jB}_w5(9%ne5;2Q;B|AK7()`yq zJ4QEHFkhY=1?vCT>$R7ksDgRju_?l&ED61xf`6BUvYg_LDmhPDS)kW%3Ao-fILU=V zL}9@=y~&}a)9gYypHH<3rw$&)n(Q(c^-9rVH8-&II4zK&`&~;!izs$cp$S8U-F<#V zVOQ~=-74BYJO2V5$*&pPMZ~{{rK}dEvg!nw#K;~*BxdNwW>E1Yl0yPc!{_) zW{`^5Xr;PK)?z;}#enf3*8Og1MoOMZd*{NXSj|LHg+(GUypgWf<8{CJQTk_yk$rSF ze+DBIe;og8VXgCL?oXFT>m}ex*Ulx0X~qzGQSpIPqaFHVL?dCdveQo7Vu7WI6~v*m zo^I0k&@^qaPoV>hxc0rK-$y=8VHL&m)Z>6^(7y%aps8{r%xC54LjhQh60?NxGxqK9*?|=}3d7vRYi#xsvvP>Gc2{=Bg#t-;)6iv&M-oW&GQ~-d z%k4;0&~5hn-LhUrTHs9lt#%XLhef>TpnGruStlU|6Y_v20*3Aj_x$8X&XJ*fwX z!VlFYANLJkTi~vdD;m>ZLJr0Sc5rZGhzW(tbaK(LkGF~Do6;zl(WM?56}@Dt z_;4eG4!YQhypYn8N|A}m!ysAWFknlic^R=;l(>mj>S>4Ah6s=CHnhhVOU9?Ozf71d zMBTgOCkstD6Cy~4L5{E$n2=+J7iqNcV64}h+9}c^f)B8S@Kh2chmelbmDw$>VM;^X z4EF{fUiEq=Pb8PJzctzyTNeV;9HFfXrk9*ny?YUx4MSUr7TTiT3%U8sH@9cgf5w!K zQ}ez1Js(Z%>pdz+glreE?%r7+MK?7pji2bG-;c3QWY7LpOz6KGc9yTO*U&9kUL}j- zSMIWDu*}{-`6!&Y?YBsd6Cm%Aj{$=}o#`%h61)IGWB=l?gJZwTd6`g!L(&J)Mx^nm zzOHZIhofCU%ew*T8q@KBoetohLo|gosm{lp10U%Jvy|Gghu4JyA+At6 z9ov7}m@_mZL+0g~EqL{qD=NM;p2a?n4YSDcTrkPbi*)5G%Y|xNu{P{Kk2fm~MN@P# z4`oL3^|3sYV}QNH1v`Mj;r(j^iR}hDfG$8Z_8{#butC`YaW;MHFm4#yd8`OP@cxW0 zGb79zE-TN~3<1lR@Rz?T1V(&keaN0BFQb;|>USl-2%FG6LbB_-fB(7>;L4B1+JN1T zct(1@7!OtvIfRoiF!2J#!k;L=G>=-g<}ik4a^bL(FuXk5s@~W!d84OSXlA(f&L}K(IL)) zjk4ydwI(<#)JlymmMgl`n#CHM%#{+2+!;s9RemPfODP1XDSzCaL5Gk0-!fPVHD=9J zH5eMlD`Ft-?F>;S=8%`wN!GyhH>0iqqFodcH*)QAI@bz3F6 zwWrXDtImUd^ykt4!wz6?^iwE6|EqwJ2GP-s?gnpHXRiI!cCN0Iw{m6A*53JKAA5Xn z!L!qq>a6I@^6gqdIZ4^5`L^VF^y5~Wbqsb=_hqHc-LZ}=!eg^LRH*e4f5l}Ec4E#) zTnkDjrzOi<4eWtY3~;A#p9sLN+I{AZvZ38vwaS{K6t1N>C^?xO+03C1bl-Md zctxJ&WZq@#Q|_~Z^5X9(v=d)r6`0YU`Cx11?yZRBI}-w z%rK2E&SY~nY3}EDJnKcL3(O%6Adi{7B;+a%C`Pif%9l#PJcvLKP_Cu`tT%`9s9PJk z*ue*vgzreVJejq{iNokhbH74Hm1?x3blDv%-694BuDk!?h@6m72@H+8WV0-7mOOek3UX&V_v*2u98popw0R{6@)?2d_*3MrWFjDtLc zTa=(y+$@I0@BmPk$wMm3Dq9^QI`&J1*D16U3E_PZy^?GO2wW-Hldf~0rys9pGT={2D;YWG%O3m6_^HR>zA7GrD z|FNS#pE`~sX6Jx_OPwe?!0arHA!bjDZk>ct@%!!_&jY23#|0chg$Esqk~mI_ zb{W_7kH|3gvwXQ8w*g7#6Ec$ zXjP}0v26xmS!*@HXu(T;|FXf`CK-eazhUm0t8KcnEtVW-V72bitENHw32WwxYS!-5 zufv3EiK?#{hTal!Qe#j>G(u%iZB|UK57Rzpp)(%(d*NgJl6;6xy=meSqqSJR3TO|J za!hYs7h3D^B9KUooD3lu*%w?L*cia<*jo`?1shW{R6Djdr}Y%?9jZYYOAHa2l4Uzh zh54%})^@+S~o{Wox-`L$jjVeK7~53?Rl;8m?HA-wK;q^=W^>-XO55zScmn zOVj6(!u~cI;@kY!-#L3P3tX)ftM#w8lOfhq5N$s`$zZ@ZV<^VOm;r!OW>+gyUTsN) zUGs3cQy;C5)=vkdvxru8f$hFRaf(cxAs4&xVWTV?FKS|14+I8CB}Lm677Acv?C9hG zFtCRG_w^szVKye_{~;h2a5MtgIN>wUGt<)vNeC+eobcJ082;%M>4XgI!~y1}X8$A% ztaQSF|7}Ub#K=M?YGq*Rh|f$XYHQ;pC}``ZMZ?O|k!^Wa~hu z{(t7d!ob1H`#&(S{0|Ir4#ofna~o4~3FCiom^-;s&?y2;&Hn-SFZO?^0VwE{obBwa z0M`FOi~j%Zh4p{Dh?-kD0UZ8=juSu_U}S3y_&)^B_>8Q)y#Hqvpv1#7`u6(z`sVxk zejYyHe}n0RLC^z~JjUFOxx3BAqhTq6Adxy@>|Z)=iWy7tCD6RjkbjaJgts=$BgE&F;_Q>mOO3uSP#$$lvyl*! zd+P-u|24)X*+!-(Cm{ZtsV|)Gug6W~^c77{^a~p(_ls*+Z+>HE@x$8tge5y)@XOC{ z@~b--pSvgQrJUli(jo};y|M_$zSD)GQ*Kz0QWjbSyHgF(%u+wn$lq@)e668};Pq!~ zitdD}Y&e1JEk_j0B*X0`+DbsMz&O(GXZz(~pt}KTY%u)#;2CK9zjw~s#B)IWJtB(6 zhw^N8aJcOZvHu>B374Pl3PdO}o&M@}L@NB`9YBvL!;6nVw?VgY@?Q2p*45+bXz_QCiJUoO`dsc?xKP1{ z#7mvZJ*iNLp6VCDLfy{yY?|Pk2=K#A3!^B(E|Y3f5%!=G#S`Kf>#`FyQ(MNgGO7pR z(P7ubJ*%?IB!9nTk&3C>pm-@)?O42;KXm{s-J|*2DWE>+Wwaq{w&QXCpDn=ivF8dQaPgQ}tNjh$5NS-(Z9iWv& zT|iDyx#hAPKt?}Q!>ZWTB&FvkfS;s8Aumm;fC3f;H4ELvE7PK<4*{GbnfL~YQQkH= zQ%PytiU)9$STN)wLm6MPz*izOOu&vGFzkJ;7k3S-4R00JgyA}nop`eZ#;cD+8!qfh z0CV~qh$vTI6+F1Ak`_iA>!}{;Pg(iUCE}WK(w2@hGVhLyircpj!p~;@1Lc^*zH`S0OT>i&W!<<=i(vok( z?(y^fYZ`iNLbI8?r}5*XJy&@LucL+LEbXSj$50zVd)dR`CeeC2nopzE_ALSaL$$YA zkU^ZInZ(}LTuPGH-NM@WWMU@$r%O|>gH`Yv9iR(UUF~7wy$DXOTZhizgNfP?!<#1A z#MzzJZ8Lr9Z~?lx99XhgLV(OQiUKzT%3=|?QPI%d9;8Zbk%NI(K1a(p?J zu`HBS+0^XTbg4G~h~Y^{v$R9u6_->*6zphUnvy%~Li5qfp>9!xQm}lP7#T+ft#tI8 zELzhq>`xjmF@uj}1VOMQ1{6WCISmRrWcUdGk)sJMGH5Y(0whXjT9U4rE&Jybd=1^^ z-FeS1Yf!l*ib#SrUo8L|m{~FxkW13ueUGYknTUGy_{k# z)z*0Gi;2?TXkSy!t>Bmu_mVf7~=pV-`5|q#q$U!wz zTC!on7MShB#$D}m6cVd*C#5|FCoy{wU}Z+(i~twTq>+9{wl}0gdISm)$4RV1PJ4qH zSb0c4@P>#isx8%Rr>~XD_t3xli$R#Rn~5S4{h{ip1yBSKC^UUupB)r_>Aie56rX%f zP3O*_p!LXiS{{6RW1-oYd%lOB*m%D|H`whtT6`O`yj2;^PVnGK_q;!M3H2^AUj7;~ zA`smMcBkhCYYzjB^>gbotHPv|fJJS=zlr;b6EbyOVyA$kWv}5l#n&P4xx92d=huQ> zm(4>pWIXoMBfYrYO&T-CV0AJMrrp#q<1Bz7PbxU=!UZ+`pxa!=-5RnFb$!w0Tqnye zN|a?BBhzS+rv?w!6is7)HlW`l;6}zmE=XHTOPv>7Xq;7b+VcNm(c_dL0XvM zhjOFV50fCByKTyxaSEA1`6j3F1q(@HWy(f~{C<{YB|V!yeYTNHsj}_;!tH}G&A40{ zB?p#bKZIX%Wo}h zga^)6R8|iu-qoPhLt$?(5cES6q4_nTcMe4&iJS~pMuIL$m;^;Y`nE3cBQUM?F6lVk zvKN^QfE@N5_dR1eR{KW|DpmUGdq87pbMfwj0g%@)A^0GrF9S+8{GmW$bzKT-;`k17 zD2t$c1_OW?bRQY&w2^EnjG&6-QWA;G5W1pP%&EtY>J(I{R?-oQbn)tqRRl0qv>^~+ z^bpGUk_=AM1(hsKNqIj_i_A|FQOr5LH;IRNGs&%}Xu9p1LXXrb_So2Dp9;;YPIV%8@Er zi$;u3yyh`{S`zQz(-jx{zv<{#8Z?RW=O11+jq9mPL$bNpE33H2T3Brf8!pAjCNZ)a zF02%n<%m_-5G8(y3(N;`gqn;H&Sdj|*Zh3Xr0^9(!T*pfxww0dmJhdXzgd>jyc;{a zT0UJ=hm*uiNQRcyboKhIZeNhujekRn;c>Df#Ug1*qMEQ^ z9QkdGt4;%^w%3U~cqdf&6plWQhNzt6#U4b-8<+ z)%<2owxPO`Ubp*m2#+bzuWPoCl!93^&CjUgiRc)_G4n0OKm>ClH(DlQ7L{vAAbx%* zZH)*Q`C~Yg5S}Gr7=}Nb9+@Bj!LVM?G=rjrdlVXR1*!~k08=#yc8Oo?6x?GUlxs~O zrOqRUXU3+EU)rFt4OR5@Nsovc%*2cv^Wi_)=0%o8Z-UoS} zdpGuz#1RvnUYOxsSvJ@DK8oQw>fK*)dTKNuyFyN-{OHAo<#Sqs*rsrfB4cpcxTZ3( zmvK67X0j2zgLS!GsfO3Kb{p8M2qy<-_4T_2qZ`g*QoAM)>ku7!r44;`5a-~%Jv0kw znw5FM3Xxf>a^7kc26-m@eIAGq#|$AwYS!PYd*HI=DSEI@VQE8pF7Db{HQ!(k;n#Fq zW3)^kj$bgjy3@HZy+I5R66SA6g}5jUAV|P`iP0{~JN=;-c01y!kKdNKF>_o2D!3e& zp-GK>X>TUnn6yaP4Uh`$Nb@_>B2JqyTJ>E{0#p8X0`QaJDeL}A<%f_Mj~H}Ja+bmy zrdD_HOv_yRR7-OZn!SH8hsR|!b=ED! z7=y&1iXrD1a6kzoklM~D9iF*hQiLE%Rf94;vA|dF0R6kidGt;}N{;b^%gZP7^k!>i zr-y521=TU0M2OEur&PqG@;r~XZoWKe90Em?H1Q(Mld-`y<9!97@xV342jP?|B@zznKml@+ zNmwPidEw~Zc|;Q+q8Sp-iecKEA#o6OjSuz^udR~MhgQB8VZPY}|J8PuWd9|$hju*+i1D$zgATFSP&Wb2aS-6riPOj&O1%*A5eOVvbK10du zjluE^;%}@0ET-4NT-(YbU2i>EZKG>xXUp-`Me*eNZTYfPCo6Zivl%qU912zC_x&yg znbm1=oE;jF2P+DHrW^tIVf+bxY*aprLsnQSN);78H`_?{AyZ@$+Sb$C(}v~?QgrUb z{?onaQFGVxXCe%ns?jfU7LI42h1{rN1@=>De1ShJkWsgi0&M{0O#dm1B9-$`id$q% z86Ag#PmCdmGDu8Gs&VlEy^YqJO-H@n%=Xrsr^)K>o2u-s73G7Kj@2dS;)z?_tTu{C8QpvibA%)JwWHt*b3# z*L2mvnU>X#c0!jfo^#ncR2NxUzE9Cycs?I$5&d{qCZFYxKRcn{Scl#_cGtw*$aJY{ zZthYDTsT2@l|%bu6Q$IfpC!Ey6(*DR!f`S%hxuJ2+zF|q0^JA-RIcoO6RzkJl#7?| zRbfzs%CZXnPYy?AWx>oa)4q1E8z$a%*U=;_SXvcKRPBv7J=_Ti3TUUB7`Z-XoEKbT`6|tQ^*^{yh8j?^ zcfWde2i;ZOqQ96vfDghFp;{2x;zDn2KB8Hjdpx*o68XvX0r%(oZ=RwMdIWIQxSZeC};)clGOMH`$WQH%4%tR zCAM)`QM<|q`=j>-=A0-99wz=Ig-ISn-z(g>4B?UAF*NFC;ofjTs4-WD}@X?B)- zN2H^>1qX{O>+QV*6mB|ZQg2V#PK5(__?0W$_2$YZ>YvewU(lnpF<%MYeb3jQpD7yq z-D+5xtUWGvWEXr5%pUl9UkYi5Ovcgd&$z3&pNpVy54)qdm-}hXc zhzOwc7GU4v9mPuWnfRO{OcWROhTg) zmPTMG7C2+~Ol{Nd4ow7rLaRoL6Q!a zuv5k{%PVFYmZGT85liZKH3)`C2k_DAug?LEBYjgERH=8Ue%cuP8nI8)S&2| z!bki}$=7FEET!&Zmu1{=q)7A^e`6UsivR+9O9uZ59Ydc%SRhYSFyJs%G=LU?e-QeZ z5NFug4Vx+Zm7dg15_e?U7_H&MMC|&jg}U1b`Ip~9%x%56$pe@Crig&U2U44dbyWF_ z--l$t<}j5W_ijacxJujC@|HPkPG{48b`8-X#RqR`-xujVFYxQnohwaM@HYZs@=D+e zat^3;trx%h#zRyuo1OM&v%ORo&GvH{0oT?Ll9L=w+*43ZdBtS;Si?DsH}9bBFA!dZ zJsh}jBMcBEWd4d#(>5Jijpt@5r0#YxYqluALLNWjS^D)Ln1(k+{KJP|Tjs0uJyFID z=6exA#ROjNenkvs$0fkg4oJ8X;g}F5s9rU(3$i7Z?hO|uIhj_I|1vMLn!VbPcU$P@hGlD=vgp6!{zX@Ypy%bIB+^}5 z{YF(TJ?bX}R&D1!TeFg2jGa_WX&)bxsMO_FQ@e%-Zwa5mZOsQ#nG!0rogYu2uD7|@ z-ziQrTra1I?M8hcN*t%fCVjet787{zqCblQOo~}f2-ny5Bj@0Ts zft5hB#jC?JoE;=3(!oh&m5oa&-is*P=g$zp=F2O%2XqhnK?=`2cxo}ZYdKZIS20R~ zaA+h7gyPc5z_UVY3}4F>HHQWdA?`ug=e#^hShb)P#WcJy;ZJGhIWl9c7z>sTX}t;? z3k4H-N@|Gd5pLxca248!ms#8GWgFT^8EyoBBzvKMu9LMd&}5rtXI($VTMetV)+V;9 zl}gjZu32+;fsdhZkq!Aqzo62(A%^Yj&m}-FqV`SKAh1ZNMPV*XBMR!($q^t!19f`= z7`HTkvmz1|h^OnSkcRvh{|eTtOR$^u#e#@jXfa z-%vy(T`}=bAOZ~maSRdhY5{SsM}@Pz+Urq-`%f@}LU@83;e4-Rh&MSxW1evNJxam; zMF`Yj1SnfE@&$+5Z#_zn6W#4f&nG#TO5Xoer{p@`cy~fV6ZrEZ@w*#HKoC!sBE2yi zG^T?={E}uio?Dk`$kah4?-xSzXkC(6fUc7fk=$lYl5IW z1sdKk6wsPzT3>z8O+nAHAF&_#o^SU&dD&?{$?=?B7Rb6uFI`R8;qo|M zJd<&HhT;?}b_{ef0iq16!S9G!5$yI@(!C%XKq*-8G+mDaZ0zMDpNp%KbmJ}jQ!TGVjTO_QtSsG6)lE26~8GL{@IRK zk@4ZNk9u8xGP#xFi+ZBhK+Ma2LJ8JZY8Wl#(sh&0jKY#Pw_vuaVeNkK&fIL&%I5xG z+`V;NRNMDI3?_|$gmfw(HA4*D-6beD9e(uhb&ij*|cjdcG8)T{Si z@28%BzOQFsm~-}7Ywfky+H387_8#8HRN*E4?-Gor5x_0s(H#MuXGctqjZ+~J(dY6*GFQTvmGD%=&l`nDqWYeLv zqP>ZzQXnurqPfFYgn>Ihcy6oMxFlelO33~Ui;ae&K*Z3$tS;C}Dm32Tx^b)S#k!kn zV`QTKp2P);)veq5Q9WJv-{1x&ZUHaQjz-h){Kx2g0XQF8sh$nO<0n_Pucy2hk&UKS zxeT{s`-&i2*4uWI!@HevDkC7V*#6}+B;P5ThoAN0!~+fC&ikHPv~gkfmk?M)q+I|` zz0Cyq2%6C=6+jZ9yj8M|btZS>(A}=Fy8DhSyxc2JHxNoa zN=K!hH$rqjUhRm;zU|%AodyE39?e)~2*d-6K`&tL6QdyQe6x`8BW-l98A>ZX zooe5zuUL{njp~o+MW5&KEhc(oq;L)zfBnL|9_Y8@P~Q^yKBl9m!?Gcoo8;!9!lt%8 za;h(A=6?NM)frtiU*4F`V3%Zh;b>mvEz-oTbfg5SOa#eh)Pfm_;L#_hCS1-^^PwH| zUFbQpqy-gV&SPECvQ^*RIB%M`0E1FEk`_3tcV5ho6WfMMpE!m*=Jsn-ZuBFWBiRl) z3!uIiI+y=G+hlpyzG*7ADVJn~FC#AODavZH(f!c&&_93I$$rx$1Kpw&<8YSj47)MZWHht;U3CS6)soSxIu-8@EM$=e zb}XNcn-MYMZu97_NuKPS2?Zw#Ds3D(8*{R)ydd`7o(vrK6n*E&S*z2*DtLe&%{&tffwVzp*W>v$t|(;ZDu za}Ir%zOJH>Lf$p=waDR|qCf-EHsT6gG0$Q%z(2u=MhlN~(wXO`R%8*lv~xECm2 zoPo-_x-(o|+(#IRoh)?vLdQ#^-l$AZx7rwIklDL$aRtWf<68M;DofB8Ca?zk96cMQ zuLaQcBJ?r{5!6|E3Q`4Yzbf*%g6;NXF~6p};m%0Y$v*2}OT-yN5JE>H}!!rWa-l;7glwHJD z8oPz~5(5_cQB`fZbd0FvTZdcF6te0=Nc0{u$e-C?@@vicPqg2uP@OGkI`0>V?!Ude zf^0=|@if0F#7K|~x#h_PzUK|1HeClHzw~DhgMv?Ej_>>OBir?MxHaG%aQW&>* z4m_(mO4?lYyng{U!#Z`Y1FAglmlK_8Pj=SWG6a!o@^wm)tGp3;C9hqav5Knj6jB;^ zV4WT|a0eiqrNC31bISSDRg+G|h1UVLB7isbDp*}gAtCrdsduQad5nb$eb8PF=N->H zf@m#dgPnTk_!FTVXRSi)%Ud1sN{UIlGwbTiCeo<~{0HZUGV$DIb5D1|3cGC$nA=|E zgjR=3s)$Xw^*x(?r}#2508N6Bo0`m1Z^HYc+OrJsBt&pUq{D7(cQQX_b6B{xA*~tQ zy+N$+e8Mz=fBw$m*y)35{`h^Rt(a~yiD^|zs4MK33ZRpW$V{Z3|Do7i+r@DoA%>oo z>ZIM}fGYn06v+TIi?EWt(@)77?U-9^=#`S6uIXTQjCx@l%N5>h@p>QnIeE%6jLvk+ zgyN%URE%MXMffY8O;IryIY|-HQdpkTST&o>u2-wqzKjV zIUlbt;Eyhu-ei`+ut_jy2~ny@F#qH|i^g40ZE{{)vmnkLRmXg)`uQ=Bloacxy8g0w z2W5kc#>cJ?ow~9s+XB5G9XwP6ca2r;_*t`ide)RJ$1^@Q7UZ=-J25%^4$3RtouIsV zR)CB+ZXXmtH%M#6w#&ll0pTG~C8Vma>@+d9hKB520;}3Y)QRqJ!EN@gRixC*8e|c* zU;$E~RW0Sa?KTv=8~#NuC<*2$PYpr05G9-ufHyR$@4pIhlr(mE=6yd(GK-1m#@xf1 zQUSVjB^j_G9*KbQt;c>*s`pCe1rT0O*IZsQCcal#XNhE7nu2aNT-Gdk9wsH85c8a& z&LOWxEQQ{`>uv^nYD(kGtBJd7n>ihEx9e(88z#p!P7Vup?W;4?N6I26mu+N^Mz@*2o^$ z6cW~tJiONP__L!PxSF5MACBQOPeMcAbk0A+LUGI?+876Y&OzU8iT3k*8ct#4C4T9- ztG_BgO&5-PV>UDAMjTEz@w2#`terfDkmEeeWYag)dixE^@fva$J|2DwRlfA0VN^zh znk`{eybUdPPc$x*vxO%g>`cq16l;IYHWG_2o;FB@xiH$Jo+Cz;BgZfiipKt)@7U@l;*PWiDhl%B-p5oYJ1%Ps|WgaiPi zc+Z8WQQWUqWfDI=T6H$bRC--I2ERv_xbpaxcVqc} zesEq)uYm`(kY`7@iI|G?YdTMpO0?whkN!mi4I2YiYTS@9De(o$&|&nP;G{Wza*}x} zYmDIbwR3iH#Dcph}XYQ2oyQ906H}iO&jN`zc(eE^&N5-X=Lg0|WfG4Dg4qxR8+PpCeMUxk z9RjJ_E?%ph-f^BDg}TdaPamvUsqxCn-D*5`C*M4B#BGrnEij@@Ceyur)-1ly)bq(J zXqQVQoFK=H?wyPzs5KA8tv*+EkQ@{Qnq87L04=7Ih&@Bv3;iTeC#ha~-lip{n)b+n zX`AFExLC6Z0;SQ05lPwi=HBqA{`AleHou@&P3@{wF#)9 zIfl1lx;!qVG%4b_McF=|tbo$(1-Djsw>qB7l&q9!Gyq_7+{k1yCTC)~hy!hlcsb3y zY+1-0b=a8{EY;{HTruZ#Z^Djpnro3)YDi99{Gp(jU&un^W@{3BOo3ty2=ODotI&HY@E;Vdmx;{XTU=$IL#N3DtHk z>e9=adGWxK&X>@}9vYhXz9he`m^t>%EzV-pq*Nmh{;-%A?%0}hXWZh^FTy#_ARm;< zn0->|)tWScjFDM|^c`EhJPUQR>#4gGOYFT7NlTx=lGY~f<@L=SICX1L^t#?a%4w^r&JF z^r$ax2z3@JiT#NDQItinjt*@tdX8>H*fO+RVCdxWoYaPtP52Toy)iz?fGCt*rG4ZJ z;6T`I$pEw+oqK*{c5(t7P8CP= zB@46|ZhKH=BNqO<9ULL8x4DR^g34YHE~@FtRA>#apSJ~;stlMEBPy@vw>p^&J{Y;# zzw`RZ%-LQ33rWJ4`<%~DhEwyaO5PTkP~qkfNVU3YJSVnWEggR9c9_9#Oy`?~v^|w2 zJk@?4v4!f1*64rupy|3TF zz&WK1bE`yWj&e>1Vcmc_r@0T)b@Ge{TmO8-nQ;4MwPup>a^`yp4o3Kos&^%L3vRRU zyCs#6=~g0-A0g|0@f@B>Zsqk1^s2Y6VOZS3J7;yhWy^{7O7p9ug73O3I(rJ~F=5yj z>DM;eOt=(FL?WBWb3I>`kPLbXgo~Qs4)nC(%5T@snxey%_gmeaY@7WRSBhqY6N&pS`0|Ccv)@CB$7sF0nC2^o!_s2|ViR>VPstiHRzCDGn?Ajz0w zeF;qGs4*%hDP;eq4m+d+1MFgs%_9g-n?$b49Eo57=B za;F~;gJkeg)oZsU9@}->92=)l9HxfU?&!j>P~HT?uuzsZM%-={diVR@CeOW}ZcZmc zmO&~&`<|8rn=<%@B6U|FK%f4OKNH0#+2$}23(MeE*!LRm@^SVfWysP97m-tw2%1sl z-u&dNVS&AC<+ZfAnYbh=$hF^dwpia#=e9Ko?Q2-_dgz9lonwxDsC2Ae>tBS<*ouo~ zaGpMru-nmNYNm95ZEi;BYZu;GrRI`e*ytHhgVx35`J&d>lP4@a0$+PFtHUU_UO0iq z@C`ESt@@Udc-azSU3Z&Md5@k0Hihd@9hM~EEnk~nYRJ7&wGAtd8Lw=*(fbw0T{U3K zCqe*#?-`*bKK^Qtd@gHrIMk_iEu@c^$0u7M6lcCtW3Q)kv~m|~_vvE%neCTDppe=i zdh3Ukipcdc>Sc^^`E1^1CxwCHTZu|JelX9e;B4%j-pD;I_ZIY(1lYa?Z zc^0YGCP2ay_tfN3Kpg$3>u6AnCzF5#=9G$?C+6p&lw?YCJ|4sk^Se~(9{q@m1D~KW zJ(+n2n-Zp57uemId7gdJf~WC4-PUTDp99a)cTKzcOsLY&3yl|TRWLu7NcQ?cPkZIV zjaawRCk^2aFd%M)=Es(2Vu3;C-Hx-&2ON7Dl|CP4OX^E%p|=UW4Y--_rrc&xeNr_? zX6o&;E%Uiff52{x@2y*N+*r6-4nxN(&yb^1I+t|tGG60!$LW}@_u_}*`4d$&dx)bx zOG;xCZg7pLK^OWlAJKS%MH`U$*h@0kqoTd+`GDH{%XhO{d!EuyP8Uz{k!u>^T;Ecs zAxHYUZdz>k8nY(PvJ_9FE$PJ-xL_C0yJ|W!#0jkr7Bt*Am@!=0x)cb@ZhDAqzEM+P zVwE)(5nE9GxuR5{t;UCA{=sVHh3SgY{DTq=UNp8uzqhpJ5sAW8r%IzbI${+nRlY;W zUYQr;bjas&%hq?Q7Q|OJ)?+_7pp*oZ^)n_oIdD6{AL5D=^nj~}Q7u|(Zi99=Lx5za zfowHucXCc85Lr9*E;W=saMS~cI!G_sXb@v0OfDH{I<=ZFd7fONIE*B=rLj5GHfggh zebwdaRJ=RX%2uJ9A`_nFk%uBSZcl^PT9sMDe5%OpSXkxUL~=ogYH^QDbe_x9Wq5w~ zaVAG!6@KW+6V}KfS)Ng^>8jnD&c!~p$DS|{#=}mzL2aYTO9a;SLDLwACqfrVWun3c zvK60$3FCRgmq=c4962-Me@&n11NK`#@-I_qz*tk9Hs~h)I9S3YR)1^^@<63<+JC-p zRvfNk8h~W?nRYDk6Kh#m*Wmpp1&fu|XFO^>sWn^S>#=*H(NVQx1K{Liw4p@_f*zW4 z)HX=z1wsA^g*LsAALlwxo^*eZmJ@7GX<~n6a#4I+tbz;5xuf>@W}yy+*y(AjA(|B8 znraWHf)nCWKxxVQtc}j?ZYI}o*N@9O>LHe|8WgIWoY~^M%pc^R-kI{x@lF7`y>MKW z1veRam7LIWhwK%0@!}+lF@H4=Af1b~sjFTvt13%RksjsNE}2d(1wAYlyL?@hvM5M2mQqch>@t%KpGR;h!A^c)G$01c+h>85hUImLx_qG!Rv^{L;CgIan!W(wGK)&5u%m^~)3H&6?*< z47)`pjr;XECruBweLTNpOKYBnq9!0G8dXnZ7$V{2css=~_u5$;(v(db@U?jcH8Fyg zoX>KnFP(@QfW2qN>|?d=D$|n_6T1Sj(h4$|>Z=4Umm$z6O@J*)7b05U@KTa=b@o(e zM-O2kCENSSniUfyoon)ME5<4C8}Hwh>K2f)FLF}=Pei}1d)~u4(||~pm-6VVT!QVh zrrxA6g1*aCz0+lQvN! zHiO+#T+rBTyey)Y8vEWXZ>@)=V5MAq z(if|kxB0knm$FW8DwlM;oPz9?&S#7K?F#Om8iX8O$Zd-}Is^83OjP%j9+sq)kj=-C zjrM*m*Uquyc&95U^R#LS;>>W+U#9o`^~l*c>awm!@WB9Yzn^t3gWV=Lbl|vp&;Gla4v6)`YGR!LIhRG{n!e=rOYCjSv8^Ya1{V+P@RGT1 z65CJBpo~*XCD&!eojO>x-!ow^W7le{n>6ILyMQd|Y!c8o8iUyfGx{=j$iFNu2rE*~u4Yb|!Zvw95Gdd~4eLvQ%2`{NXXS)6zW zZm8dQ3*lURHMi1ci2$Q6`G+&nuV=gxnL{HdmoE#>;ag8^cB@}&WS2HJ`2^k{K7{Je zZ}lb?-iCiMFoC6>gI1bn0pZ2emr`uX_HjHv-s;GuUKGoaK+o|ag$`Qw+ZP$;^W3fO z2rcYusCNq*(bGwr-szt#z+SDmuWd5zQ)WM;Xb{Ge@3yS4p;V;W#ToKEh-J(<<-rUj zwxSf>v{AW1xOYmlNN|d2EgcJRk(*Hi+j$GD86tGhEN^=qLSo+>=-8BQ8)T_(=?#*r zUEBvvmqhXAdpuiNv*!yu?8Z1r&O5IqlGY8C=vhgza) zJ{Z!5Hmvmsy!P*Qn0kr5ZE#4aUcB=%KRQscYO%B}v_dI`%rW3?>oaiPYjqroSj?Lx zoP&9JN9b9-bj*>XtAV#?0S~C#UZsI`2jFW z+7S1S(_NC@0!IM8+oZ?@b14UN5Vzk1!*=xLX}RP`de z0MyzUdcLu*e8+=wkH+6hbtiP!dm3Y()y4GHY?O$pHKNC0Kk>X8C&P-`9kVx2QW6Zf zXq#x9gcuB`+>VZD8dZ`5JVfEvyrY}Rns0LBbWwaHy<0;|17DUcLVe)Saz@j?l1f%b zW#;8yvTr)G1a2f+^b`JaEYVf9L~61s5h++BjoXpgYz%iS({Qk0(h8nOo*8;!J=^5* zRx4);{S$nL$Et1PzMFT`%`Nyk2OYnPiOh|U&FW%G3~d*~qid8!P9^}mRZ~=Va41h= zSh>rL(=N)ib{2SFpO_S5|SQ&66D%FZ&Pg zpz5jGwCB9M>tS~<7OIyBe@6RRDfc;U;Jo^o>T@2ES)!w-I$szEv`pCbTPUlNorRVUO=^xlJG2Q|G|(mso~O=PqmvtoJXJ! z)RbRhTe@5QseAJUvpt=HgYk52UuarbTkj~kzM$pNnaj(QmSqpb%XjF`xT}Wt?>Of; zEJ0O-)p7p3w#J@3CLO8W?33u0##Tj%)lgJR04HIKi(Vgi+}w@xQZY7(Xc-#NxVX`u zIVynnFWBkDlJS14XJjgM3#;WbFn)01wXOkuU{$A892 z5~gb$nqfU$dMDqqSu!z~&~_s>{mlU?>?^W*(__uR8J2C_UB~tJ4Ls-<&uVi;xOszK zQ;sY{iP?j<@KlNHm|k;kK)fd%3mCP%6x+45XO`X`E}I<+R+1>^Otw>xj6K~E1E3#O zQzJBLK0B9#r)Vy^!@1MZ{?f*pk^Es(FjOm}cqcxeL|>115eAxXMD32$m|kr<6@FMo zF{v~#LwPB3s(*ekD^vfJEjjSjIL#_vcsA;pwevJ*LEp{=_RJ*|EeCyO@HiuE3R`6+ zWNQ$^mhj}Y2!rd3ugPZFmcAXY$frWSYBTn$JxaKte>-F@FppoEBHOa%jZ?pBKe!Be z!V`G|Cpy4wF(lf4;DH#|%p-#&wa9TI_($$adpZ7dRG-=hwTJ^b3}y!_azj1+k|4Ad zilZOa?}g}RMWLi5fhC4hl6@M8jfDvLdgilMIZJ$H37Q|7kU6ewvG*_zIh3JTIw(Ki zvRGGg7#hp7@{Pr5!NGP%I(g(XSdZe#lprZzqDPWXJ+F&>pk75_EvLKoDj#UUoxZs} z6!3weeZQX zQSGqlkUAhw*rIISl3j-0ks~v=U@zxQ_4y=lzo4LF!FXmJC_?NG46O}Le&PQjozwmZ z@c;^cTI=iK6PJ{s5PQ%Ji)noi+)V^iN1p*5o-sZFY4c^K9|W@CTX-@A zyfm7_g6L0XEEV}h^;pT1ED5uh+!R#;k`!HpGMCt#have)Wcj<`@*JX){osB($G8WP<9A35l`U*mVHP{b`WJp z2DRkJ!buH?5k>m!1A4P(3xj;wnFW>An%0>ml~V^?L`Gj33OhS2ED!c2ckdMTT;$$W zs@kiu-?n)|KOl$9;rQ~FYW^C*d0vB`gPdgPR1I=WcsZM3`&u?66o@E(w+lY(J?MmF z9gh%a;0b!cS0`ucs(qaTB%XYx;;vk^g-~IrbXeq<@?w)cZ&th_W}_M!u>{~`ltea! z$h;v#6||tEG#m%)j9SU=1}so2C0wlId#di7A~ii;4+aHbK9InP?#Q6reF!WutfKR+ z+UB8&y`_e19sXzm%htn?_65#}?n6PzwC+fnx*IzwjpcXW)!%`Wn<+ zy-}Dtvg);~p&;y?b;_*{r10I(<}8j~Lo2-VrjJ?V0z31Ibo4#W>XZ5NV)&S~$V0>C z9`~;n4@%=+ht@x4?6~aE{u<`4Lwo>1Y?`CASOikRa!Xa0;S(2~NmVca22* z2rp^&@}cQ-a?Xj(WJa4?%mlK=4&GY`+lbJlP&0H{K0N?R$=fCI$*_>P+&Z<~!4dI# z+B`moy3o7BY(uEy?`a>s7`36_e;UHJQdIDO;l8@Z%4?>J``-EbB;$CNN0!|AS$@7< z^v6VTtIKb}0_{_8!S~Y6+}iEzc7^0(EiP@wBbH)~cPt)0;j`E4x{zy0!B86p)9fp* zW~nIa3Qwv|hxjB6-)+z*{j}t2_F-%0;l=C_=aE`q^H%GJH!DRJJUYdnI;_rgm%mEvzYAjkausZk!#!$#{w#Lvn(d?c!wvRqBVymWdh!Xh9>FGYG{ua zR6$PXG_>E@zq82W@MF5u!Oo1pP1{Y1(vDK@9;8hE>a$pWwj!Y}kj@a8h5Rt{@y=yA zh+%0eZ0d1=X9zh+?K6@A@2TTdHxD!CWmUgO(@X)V=O(LO9j#?uhb>)7^1H}Rf#_R7 z&ANjXUxq!?6Zwxi`u#{vGL((V%5#-U-5gdQcD&Ars^a6)S)R+Jj=t@JV6L@NF)(^l zDCV`&+NC4Kc!>T@L{~baTCwdEv=gRnsFaQ>P`zvr481EIu70}BsIy0(jGHU`s z?Aid(^#=>fw-0trc4lU6Hg-)`RyNY>Hw>TV$1#ll=P?kd4dT!Q0)eD3jz5ZFq}uGP zn#@;4FwQ?XV8(q;yNG}(Zx+AK^gnruug+N?lUO%MzFpAB^dICKR~#LdkpV`L9D1lxm2K`g+l zcKvG4tAg)j(neM$_NJt)>@0vkI)<8z^tV3zL&i@C9Bw{m8?b=|4Bbc{2J2(RL%vnl zL{4gE$V0BmD#IjWBV=T1Chlryq~t2AY~X5Pz+p(v$BV@6%;{`tV+qrU)Y%eZ1?6<+ zAqQWf0dc~PuZkJTNv}!lEqKVUVS-52WaLSOtnG|QSsB>q4FEu9QV<6NfR&vC#7+mp zMuGt;F##C?%=AnEP5|tegY^50oEHgp#BFD2%&90W`n@{X84tOsy}b=5Bcqd(6N3{o zgSDLrBMjMHS?*;xs@UKjwEN}9A{vW2aXS6Z-2dfC1S^jI@Z&rbk8CgML-2v89 ze$xqH&J_FCVt!x0%`d(5Po{)jfVG&J@sDl^>vi0Q2AsxMcwY9rU>Kg3nE}`yrVt~H zl$-JArJvee(Zle#AV$~m@kh744io{1{hv#(#kcE>KrWoiC zO@5*LoeXO`Wov5)@3%ZA@v~c#3MsKN0KPTmTEut4Kg*Dj;gqm~+JmhOj9|CF4i099 zoM09MLnb3*c6wt&5SX6D5CEbFgY;SGS(rHtnDoKyY(OUcYq5Xk{hM52YXgTX+%=e7 zn9&#vVWLF=09IyEfRHGVLs$d=00^*)3Ue?C2#azs!H(EOe~A5s^>1S3?95<80T}WN z&9&OB!ayc=4j>B$v!JN3AOJS9vk0)Waxe)q16Y~Zh1h?{{tf@%>QIDX?wMWna3Kg7 z3gxx2v$i(oyzcCFfwAMH#F2|W@QB#0XW!>o3|7VYUQ~WplzpKXX zAD!||%wMkm6!F9Jzj)=BEOM0@U@jGhp$1ypx%_hBM_N)cvi)=Ej|;GTb(NF;y>np< zS3K9l7bn=@s$=m&!LSZ;WnkEl_M_~l%HKGCGX2sou42jTKZ>PqvVURyXZcR1MpjoD z@h^D@mJz?@p>LwDss33A)Y{nI32bL1U;>N!e@;l>Wc``=pM@Hj!iEDQLte%ow)#=< zSC)S@K>XQ-Kl;PpUC#Z>sLy#7ue?993^(K7F8x&CPi#u|U^{yU8+kixV;E%SkHPC# z?!Qp{A_wMM*o1;p91MM81~t=%7|A&r+1XqTf4rh#2ox6T|AOLQ$x*fgTR~wR)yT@g z0d-$ zWrwS_%3pzlULl@Bf4O+2&s7HabNBidyWeLtyz&CF%5t(Iq|87zQhHKFF~Q&W(;uQB zW`A(709pPO$2U2DuKJJ0{8rr`ZBcRp!|++f?5rJZ{>#?9^`huj{{7_*bHzj`=3!$}T_UT!-Yh3EFq2>ym$D60(L^!+O5`Uz+(-^gns; z&lLYhH8r#U7Q|wlzZCyQ|G&tvgn`oXUU!~rk=LjHp3D9!gUKt( z35h5veYf;=(ZA=kgJoPGE9-ZrtFwR4V`67?HHiGd_3ixM^XWqzV6*W**sf3ik?S9$ z=cmEG-6#B4UG*pPUp*!WfvrD$H^cvVk1_u*4rBh7(68d@%76c8d6zRv$Gz^?)m0A%|g z!V~~|IMUT*;=gn|fcZ!E{lDq=Uq;#gzx(}%BmSG@3;_LaUI+b1EdQUp{<|5=&&>O+ zH~#a8{rk-SJDJxV`)bnmZKm^KaWQ zfNy&f(%|nT0N~H1cV#<=>tzW>Wos2Hvn%9#*!umqeTl1y)wL{GZHD%y(5r13n4bUY z=W1Kx=O)b+<+qZnqpOXWUwN-KVZNQSv0ZJD{rYpYkMr~V*XzF?|DgC;$Iqi5x?X*< zvvZKb_C~JX-*~R*uJpMo{lNqK{L>!Ll@Y%C7zK@>mbUrcujfZ9GJnI`3Cz z7Z_LnVoSK$DDY;ksKT7UXC+~!OtVo&mkayFn}l}6(~s4DT|flhWt*fkl!D9$#c!Ux zO$04-x%myLDio3BZo;0s8SdzN?<*lnwc(y(-AJt%0?t@Ai~W?$ZUBoHh}R_W9{p+2 znKUyVq4s22$p)$l&c_b2y8aGqjomHoU=c^l#I4T-baNlTF!(Y3S?5`CcKtAa17rHa z`0y67xk*Q`#xtzhr^l4;R+ytUzcIDj-OKjIvik7!|J;C14`HiTukatRrdv@wf_>|L8#zEUYXbravA8!2x7r zVfnRZ*+21sD2-tA9qOF&G%b}J>T`L9A%;bAB09H9=wLEI6UAsQE0IYoB@!yLh4}@j z5GAnE(qtZM)F~HcJ)`nbjBd_0^Y@{qgntK&HiJPNI-q>k;US2Ch-7$zvYr`A7dzY>1u>R zuNeovE0p<*|8UUuHWQVBZ(N!+J_A!?8(v3-*>mqc^0;TqWU1|8rLgENTm_|&^8Va?#ojRWE5-vP(2ByX*^mxJZ-RfYo@*mLDd6WA> z@?qW!Hjcj9-rkzITA)d}Jn5&KFN10XfXKAsI12Ecw6vaM4`~x7X=ruCQqo+B7#0sW zzvi{CZ7YNuGo}$YaR=6%+mS&n4r+Y!$8P$r#u_#8-FivDUv8n%v1a4efQF7*^Wdfk zN}ix^5&(yT(6NqAYG#C`1lKTpyoeT^Ls&p>kF5V>eTvtu1Drd69VT$s0fO4);hBfN zpJ5^P$rR(Bct3@Zh!|*xwEbNkzzE`)G&9vbV0O8f3-k|rl8N!EVKI37Fe;=08=oZU za`zov>lf{dh_f3bgrZn<`fB6%8lrG*G(>#*7T6S`SSsbo@g6kj^o5AF)aqy#^)lg6 zmlV8u;&j`>k0E(S^DwG3?NNuMmcSxXtuH}hn2rm}xg4e$zA5>%)#qvZIj8W5v%Rgv zJNSdKiPbOPhi5S!ca(XS*Q6$i^S#}=u=nz+jUbc)eRM64$9;d$ZS61cyaKx(Zw=Vr znE|O>XlQJ>Z6BG{yd~G~7ofCT!`hQ`%pPdDJ*PWGPos#LA&%7 zuIusg%*qRgUTPi$aA6~@t4;2ab5ZjWaT1q8R%>QyRdyZ~f$t|_$r`^~t4d0F>Zufb ziNg)*v8pQOQcif`SRPXoHgJ0x`Ecs;!CLe1^Xs1;z?F1jk>)>7`rtcp*76lD4~_iz ziMj|Jt<($lXY~AEd&KWs=)g^oVLxaP;>D~Z)+H-akM)Hfxit6+J=FNx-KKF(DK@65w zE=L&k>N}06sV}?;KZheXyeW-Cj%9rvr!jSL^wDjpMCW0w>y&Fl-O^0TW=Y-cmCdG( z6d{rw|Ht$CeVQfv+qd~gJV6b?#qT?4OSy3efREs*}?VpH<(WEa=@cN7|@3D(m+3|dqkj&GlD78vwc;@VKU(jl=X}TX+Yauq~ zN44Czm#+YnAbedcGkI#TyXm8QK}#f#dk)H&2-1I?vw#$B=nr>T!b^z`^!>twQqCGC zym(NW(LV%>Y_4Q=W5}pgA5a%xRz15QISel5aHrnv6Sw&^ziiS+6gySKTvnqj=au6r z_i(`+M{s2b3Eg;&MP=43`ijc@!(+p}iRR51zUjnT$H(U?pIdC3;AjT*2OkthEYfYl z*^BQL`#Z#m2&}%4`KryllAH6GI*XT}&xAYc3o2Hbvh;(H_oP6dM{U9?S(kLyg5@3I zx6YLbZlX#x)M|ta-_sC(FR1E(jjWYLIVm#5E;=Rdl-1GbbaW(J>qo&6()<O;8xvE+{=?d6iF_j{MFJALY5^EAOT@ z6@opw^j&d%!&@Zm;Q8IN^8(oU* zq&&nkA76Fn@>xt1Nli4Hjxs_dj_)T&h$dqT59YhtkHONvWH zb>A9o4wyB&Wxe>gkZg^GFK9Z^Q6JAd^R*_>mx?u7E$5~0G@q2AyQy(z)Pp`t?s4i3 z)LgbidV%M&qC?=SMZA#7ux|Ox&pmZ@U?CY1y|guxYF{JQ$Me-G$Dl7mB!nUk?S~DM z4GrVtPOs~nV(aGF5~cLf<~qYMP3;WKWAY7D=%l4GR-7ZN4ev#EGa)GYBSewke}!*x z?voiNpBq3H-1(mH7Iw5?56a+YrtZ;UnhkG*WMBJ$kFsR3o=sa;C9w}KmjQK$sE3Zz zZqtXyp1i5nflPX-t~YjWYTg|PZdSbrQB+L&jE3Q1Tv8d& zD{|T{hNs_lRL)gO_ zX=NOn$GVP+;TokLB92AmX|3={YIJ@P^r0QTFZP%HXQqEt}d zmyYsqQ{HX|0`}beL4MO0JD*+OeNn)H_h22c7<(W2A@y>t-r-}L=847B8-onwgMOr; zBK}1PXUK?gdWL&IuYUTAoMf=TgFEO99K70r9cUleaLn)D9c8;P*MvWMKWr1|7#8*} z;gczgLMB1fL-YQR$!~A>aM5=Qd1rmz_SA=uVWOAGjeod+#o3r9FzM3E;mIsKzQJ@s z(&&b#6Z&-aaPWY7m9+0bwI@I&baxiw4qOULTu9{k^3^THb|LDJLaIh-?NiKM*Q(9t zJ5V9h9GC8Tc?UAj8cDZ4KAeo|d^esH!~$A~x(e*8*l`~o;l*qM!Lg!Z?ZYWY}(|HHK{#HHL*4 zydCqw{Yw4Pt|4BO!1H)6wq$GU%|4YK<=$5KqY}@xD)fU%Yjjk4D{Wi%!64+vZmBM2 zs>`oa-2wK~Zw*epPW(#XF}bq&B5C1*XzZ$_sm`JScl$ubh_|F3X1yhORi)V}u)Tecp#h z#`PoQ)KM3hVbC~6ZQ|0d(6-+#hy=TIC;1>(px_$Eqr_R%VHRMqV7%j$geVwuzl!3y z`Yn{P+YrYj%X>t#e$s`n9^0D+j1=$M^lRmp8_{uf(wn}rasVdM{L_%C)Vw&WsHTMyRR>#Mx1kFZZV3?5fojmO~e>^Ivu zjYvT^oKm<`E|*M52?Vj3(ili?i*@GucW^y49lWG@#WVhvTLeBrn`-BcPsuBKE7H2p zbGA2iB`hcScW~~yP4e3gjjhp#(nv;*pc;a<-}v;Q26Ha5IK>^B6;$F@32acv=#Vpj zn_dWGQA_o6XOI_oD!{WELIk5)GN^;3)9@qj+a`ti*}j@r5yPfL3_!rjkIH=9Zm8~% zZ-J9v+?{t@?!BMXgjOEM$91Onc?G(;kUZ^ID7R>ab5s3sk(6@~kwi&|+e}E=FWGp7 z#BOqwCkkzCE%V4P6sJ9`Tuoikn%{d|q^cQepK#wz2QiKw55;$V(v@#i_0GYNw4ZE} z?U{^OnU$+yYFCIB?KMy4A*T0lqJrJA9;Q~z56|O~lX06+_#_!3< zbXcL(z>l!(YO$c}Q%pM%@l@-1oYlRL!8w+-0^*N}^AO)+(CytoB*s86j0WQeVasob zw*nOm&jlpP>2XMXF>fNb(6gYkgEP*^X2E3d*s^8eI?3AUS!$&39-nXKixoJj@Zq}B z@1;9FIW?GALw9@1T36z5*V*-x@FD$Ago0*?fPKj0xt8e($X zYwZWv2#CfuYD^dMWl5b}_J9GsV8Al@9$sh-Ar66fTzdyOnRtqS1pPaofs(oMgm^7B zzaHS|0=(A_p`o`KkpE)I$$2T;&^ub5Ax?eT-KFu8dihm{3u?S2Y9%O{Dw7HU2l;lh zwRpshcOv8hQgeE_f&?Ecad$XabbKG+`QG}%{tW*iI|aN@DmpALB^HBP(Chqf>>o!WG=la~|N z_x4~5{*?$nsKB3~bGj?4;-R>?I4*{Sd-VoW3*Knn8!eN-cyp|D0kO>YZuFC3s|d-| zBR$kxRT=NwmiOWZPfD~Aj2KoQ0TI$U8lvaf-Um%QanYP}Tl^|qGFoKs=GML0%DMG! z_{-GBu=-X!l90sAgI-#B@0yhJ70C==cI)Z{nm`rdffWqFU3wTIwBJ=_0#VxXed1ix5W|HjqH7<2?`vcC_UY5;W`|p-YK~7bcoh<% z1OANs8|%-*G06)cZ0+|Ac5H~+mE+zUF~r**d&OzU^J6KztZ*KgSCA)?snp$f@J$D0 zK6z>LV7S$SqAYQ9eM4>HLg@biT|lD0y7<@w*{L7>Zrfk-=U?9W`Ov3R%}byB_Cx#k zZQO8B`fi|S{yp<=x%8(M-_QT+_q#4`B6pL1GWh=MA6)-5bFee_$_sD)m+@)=ZsNM4 z@9j^!(n{Kut&b&ZB_mt@h}dB9GcmOoGGqt=T)-lBaR{ZcDVW5@q#pvq&%p%DV9JCh zkYONg0yK34Mi3jA@Tm*ap`NtOvB2Yg`PUJP z<^XGQfHiIuLZg^-4|$W2kxwfnG6ww(Q&U>%$kPPANOM>})u?S{;z)+b{S+>ebQgJfB9r<2xxC;d+!{(r_|uof;$y@2s0te-K~g^OL& zT+_l=7p%ONApgJf7~ zZz;~$%wfFNGI*^SygnA!T2Dz>n(Sa2Q6yt_Bx80YqY6Y??Xe(K0Xs%^#m?A!@b*Nx z$DqE)puR^pOvmD$)f?=<|lwP=O)8 z1h*NdshzB+TS;6@Mj03}bh$e>=!GKC9SoL{!y`fP?3WK02WeH%b*FxL3u=MB>Wx-(;@@QEQGRi^)aVR((9y=!0j2TuL!AMqiMm5MR4R}d&)74X_Z!BHb zzBM^`@nUjt`;Rv+UHsC^4UL;7PV1br?m*Z2HTzj#@vBSretc@}+_H8;j1UCQl zwljF{;#eoxe|LcR>6#R?G*rUWQC{h&wFE%j3slhdK?+ME@>CZf zxEU)Vxb&zLn5sffRF66`Vky$>wt#JxjkVdbQ8mW23A8GzP=krp0HxsRZudp%%W1_e zryCBKB_vXaetr5ULLKH?FY(YW#Y(7OQYja|XVu|reQT+*%!Oi)PxL<2QiA26^_VqAeN8S^YdgXwvejCmG3A*K#DTb(0`)*c0|HCRw!u%N(T zK|!mUW`P=08MQMqj0GTpDF&qQpSD~NCGZ3)1aB1@x_l%rDCl6XMfEMXzLp9gXR+x5 z2z#D3Ra6PPo3g(vfV!Rf0W)??AyGa!A^^TaRT$!?Fon01;=Z}dwQAv<)z#zhxD(5c z-N&YL+Xh}-`SlyW|CqV(=89i*A6&J1AD*MEeD29LA2rLK_);u>bO|fFlAkBPNq(6; z@`qFGnBB+vUhe?;1AO-)gg0_w&=3=vNfj^%y@Ftp7@?A5E3v?tnM$zThzUX#_lf&n z_0al2v}`~bpqQa0!FQDBL==N8lzIEB`l*OToh*EN`}_OZ+W!9g`}_M5GxPuQx&=K58=h{4UKOIXM<9tTU8F5DADRAiyRF>aM-Evol4NMa(miJQk-Zlmq z*%-tR2L-dyx4KdRGFlpokXpiQP6fOXNH*g&j1iMQ@@^d4>2H}bN@)}qOV22q*iPlo{5j#Ya#OL0d@YVM)yiVaA?22Q%X-TuaWZG+Y^=pB z@f;^xZK7Z@$qh9FveJ zUym`EVUA-9R7Fe7AqUa3CU$l;_da)tV>>y_^zv)^QDmpG%f9=9(trmQY$LjmA*b( zUtc?~AHgL}KV+FR{gC#&L=W7X zOyBFtsHGmLmomNA9QT9;nHV>rXXt9IfXIa4AQB-61PFpi-c+E_6S4^`g}DX8o;$ot zF`lc$3&L_Pd9G|GPm>9lW%4Z9I}+aUI~|JIXh5_eCZ!}u?nSzMrULRD2<|2*YV|-M z!OfVNycw#GJUZX#RiIm`d;q_dGwJyZTDVi`)~hyHZSsZuRx04SiGr#XkZ$a76Y~wN zO2pSKIZD8MA8m3tFw!7OG$9fDbwAEz7!p88RLv`QrOk+q3E{l*@#Bd12#50E+IUjF zNxYK@AGpxk(D>4}d27#fBzNFR>n1!ged_u>$xraIr^8d~CeD4OBiY3tukC&I>9?YV zC)YL}er7Z~TXi+enD%_}y}c%R!qTa;e>obqsbT0#{%8CL$dAhix{fYlmiQU08+5ui z*J2Au6h!6LMW`9A@UKN}{!X-uf17>N+RG-a=dFK5SNym9s?Fh7{eHGYC{jyugMr7b z@$7ldc+O&essBaC7RN63HQTP-1NgVh0relYER>DBO19$VpiF(%T~tA>O0cLxu>*m8 zS(?oHG)_{&_9sv{2%f?la2rW?8%cMkl5UQ>!$A>4U8bkjxJZ#A=4gxN(>@83NfQXU zgdo*+sx(b-slEkL6~W7WF*DRP&aNmj=j&n16HU;UWkJy0B(r+1AlXsKSCrUCx4?x) zvz`O$LDZ3+_+Wt`a#BBNa5?4;x$zZgh&Vlp0i>FZLz>k+c*73uwPn{_KS~?S|dh)sA zZ_XVr7Jss3*@>2=kNxxAYyYW_gTxVzLgM@bBBl%DJYFGLic_j60uforG&QaZRAD(D zwEWG=2J%EgOBh(IG(;7bd%PO}wM=H}=O8mxy$`E-R&I8yzzso1iP&--6IbtIGN%6} z%2`X2kf4^J6z^g!2hLE^J&uaCQ}2+5sXvf6q%$XqtKTacCm1#`=!m-k8&&un$EKQN zvtL1FcmSFoC2&H=xKjT=@vwCch{vU`;$3KHE1$V98dpJeIzZ&+ATh#`_zVR8&mz!I z{xbD9JW%Ll-mf@O_!_+FOTe$EfnQVTIQP9W7L0{(PaS%e29TfB)(S~~1x^WZ%n2~W z-WDObB5smoTvicE*QILbRC?{KO0O+fy3tjeAOtY1>%jyl^(se(i$aDB7=PrM!bPFU zeg$vut3!2FC9tbXU{{q%wJM#^kX9yDjSreyH2wVMIi%WQi|~P6H@|>azlRD}w(mHNp1pJt?HGJv^aqXG`a0IV@$|mK z=Zd%cwzMK2hB`cBv>~poGzB^cdR=ab0j?#(i1_TqxOatK@MSCIO>->wlei@LOGbxQlTemH^9q+p1>1 zH#Ix^;znm*u+Z6SQqEo#clJmn-|c$CYEDRRNhc+!chD>Qg(Jd%ATAMXp;P#)a8r={ z3Ap%{K=|!E^g_gq*}qCLyHSeSq-{Av9FN&i@nZL^X5IeG#`pVRQpA#aLY=S{i&VSZd@eA_H_#5&*W1mk}IqMDTjPyoP z<)9e%s_L8+S7)WTI+NmRTGJk&7V=#*t&dJ$A7t)xgL$nxZGjt(&Sh8ZFiTcFmk6Ll zzz%c@uG-T#t5oE*-R2_0Xd%cWc=)E9?q$|i)^pr``Nrd%&uRy+oSNCsnjZ} zMx(35`bgvv82c1eQ>i8qQA|i$P?F#b7)cHSDQTJ@VG%)TLEUNu>qrns@e149D4`?L zfOJ;6B8k!ylwH#s6_il=lmUe(qj*pLz6=GeNl$#N8x@v&y(L%0wd;$*E zSh9?MvRQTjx9pW3YA|21&cPmO5W!HWm8DsfN4e5PG44WAI2>772ucv!^(R zJ)59aH@P*8k_i44-@!3_2`PoQ@$1tObP~s<%Ye0Ssp+8 zTJm~`Dj-Z%-4v*bHC^T$WVr-%XP6U^1bUnXa@_fqidhbsavHuM2hxW1beJMdl(b6H0s%dW8Y8p>uTkX;kw&ztH>1V)g6X|d-9)-<| zgoicgKQl66(&Mt%=-3E*mNE-ccE~fP%7> zvrez(SpH_S)~rvZH9pQbY40oN_5vfp2EAtOfDU97U$<%FF9Z(=4?2BOz|$Ao+S%><0HVt;)D>3N*Syjff72T1;ohI z@>yUjU8%YO8)B7UtQrIeD6JT)x&iIh{?Rf<%T!ju=rmEGF6+9bx$OPj`HX2bt+DU! zxsN3F?|q)i7A&z7zigVK>>1H{af*3Jgi4jy) zjG&zBXTYOeF2;#ZOeIn?w$>r(7F0`cr8tk`{1W~VejPu=Gdx#4!sNZf%U0Gn-yuO=;6;FEdQ7lbW<&&Ngr0An_c0SsaI z>B&laLRG4_iR7U;0j%P){(M5ic8=iQ;qS<39J)i(nok!Yr+ zP>`gPbt!U&NVGH){#MdR))9SZ2Woo*wI4rij{N#9bM)vX6ubH!(gy$b%$w#_@^!QZ z9emY%@%sDb&(2&zJ?G7TnQx(1q@68(b_NG1-E4f{I!_bvO#yln13 zn_f9oS~%?~b0;(ElU9zbdD)zp_$7sQZ|Qw9J&D9^f=VMZlc7l}Tu-s} zh7x87SAq(t42e$&CZ+2FMRF;fb*uN0My(=^>ZlmRr5XVRS2y5@HsFka0jyqJ-+&|9 zK!rwu`J@_e9BL#R6Aq0M4vi8O;U)}d5PU_iykbqCmR|Wt!#%y;lWRwLG;!2A`;K4E zOW@{G9^w<>ZxJtxm+cHyDiP~(Qyy5a6+#R_u!3S3DZM@%P6=dzqS%zBZPqR5mbQhm zwp*IiKj83>cVMyQ5g;9wStH}ZQlH)`rCMz1!_~I3=Ka_JW*8azpWD~x4;?)6+l%Ha zzdC{DUdBGKcH*x`*Bl4=Uo$^I+Vw|!m#;in%5KkZT7CibzIzRQbM!ani|?K>-`~?z zI)L)SsODMostFI9Z)RpiFdq*?_kRf-K_TkMEfyaW67NG&TePQk6}P6Ac8gY6wf3yF zPeGHi3gyN1!NB7H-T~6N$q!CRYAYP?o~p~V`tf-z(<+vaLiq^y|8y#5*!SUiv3$h$ z3-bV^eer^!a3nX-)%tzFOU0$px-a}1&>?O$b=fM zaY=sB>tPhPJ1naaT|Pf~p+Z6#Qb7n4LY9d{o#k^1Fww{9_wiP*;;ruR_DoSXdGujHWW!KAQC*UOC1jI(b^6kDUS!T2N8g{~L^$!jVB?u{n8m=JZ1#zZd znu#L>8n7~~50Z!)$@?LzNU-?|(Y#m}gsm2~O88i&4Z9;U2%*6DMr;L}A)DHDQp z0J1@$zSu|g(N9p{q3LvHI+c%pg__4MjLofmIMtq6N-bl1V_o$-1HL3gWGnfk9FTT^ z;ed<-k`}M*hi#B{fZ+gm_>)3AM)h7JMW(1sx=mNXmXK@bh9L^n?} zh?QVH-rS(JQzNx>*qhTcX_RKd3^sZX<~XD0+`1m7tmu>lij=Tp+J_RtGi}oy`=u3f z>6FjQGl^(S*ErTiQ=|)}Q+067#pqg2Enp4(0J6uOFp)!eDzF9$5yjCG^axsqeu!M? z96C|(-8n~x%RDEJ8UOS64l+(gF213y3{v03H ztuz!aw=qAo#ik}4RA=a9i!IEIk;)jx^wzev77#ErG-zC|P*4ujvZZI--i+R}-W8WN zKmLoQOL}LS{a=6VTi^TmM@Rm>jTseQI(Fi4{sDBYduZdf@q-u5f4qRMifi}$m+-2M zjp8`NJF`1`v%9mmU$=LAwt+PeW8+{$%!dy<^737Z2qq!MCb{BPSRnde8H}e<^wDwU?gR*4DPKv19b;kq-_XzAM18 zECp425jZS?GNFT&_VC89AHpgslg|`DuQF*YmQD4Mj=(s~r)jEaGs-#IU|T)&+Z2Z`H4;ck3PE`uhdJgQ7O%Vl6KtX%cTywQTir5YW$4c zF%nF`Rsp{=BrB;|gqf-WL@FlC;ht0j=6TIO8iFqZjejgQ`L|;Zu^LWoIPa&CpYBfM z{`7(LiL{vhpDtmnM{n>|r1G~@;qBCrJ=K^!)fhW!g*{b?KSGV!Q?0P4ns+<;s@bzS z&r!711dmqj7VdS0HldmO1lfYM9osJ}0@nEYc7>)5wONs0TLIE8l}-aNEUEv>p3;Ml z{!p4caAniE;~$Tai&GbFl%~$UhV;AQwyB|Cjeh3}O7UG#K!t6DT~P75FoVgX(MQWM zHAoOdltZf)olZ|yVgagCya9=-&7g4%loKx|PF8TBOn+8kJsQU&J!(qVFGcsAhzHR^ zy$lW<2WkytGtxFbG4p>t5rqu zDM?XE1OOi%FF~)qTf9OpKKSIsffrto znkF}LLIL}7l&gRIPo8(b6pT2xVDA8dQHmt1vdow+R%VE^X2khs#CZr=D@XYzs#S?s zPUx5o#W;ZH#i}X^w}^AnsLD^Hih$YlNAQ^PIEmw+t*1$7u$t@!FDI-7u3G7B7B*R% z?M+^p69K7+6>!BskE7>Cfn>TC(GG-vYu^mcaR--0v}2I^=zgnb6p!k+hT^{n^wv+En8vKbBSS@ zP>kV~i0GMyp(v0B@J^m>Tb4j;GMO54je@odKCIYw!G$9b2--g8`v{?Iwt!AuD4U0T zO?GRs#w!+UvPJKS4Fl^ZDvrU7{3i># z-dmK&q)OMImUq4J`d=4{H;Xl;&%Zo1BK~}Gqm+NuAK3KZY*f)V1F{^kH*^}BU;-!3 zK;$1WL@cIhmnumg4ce7K8Ut&jhsYJ^#Ao#xH{p2kpz@H#2p0E%z|5kwhMVjrryZ>l z+iAPfuCGq6uva;%?f7>6a+^0v;N)TG^{7{(o^r0<4}rvIiM%<6j%m0-YDzR}i<1rZ zN@+D+t?@g4gYJ;>^jU3Ja) zNgkQ9fOVi44Df^$=J^U~QHEz8kf|sL1e+*=9&?POt|QabE!%N4*fy+dB6Cy?$tHFb z)p2}50g6^ctm{4#Q;dl~FQSOCqw9^46zMH40ksxM?y?v;AZB+XBJ#qpQ-{*t|yzn6y~gkXLa1_#M3xC#7gayi4` z2K{kKocc~p zFVBzepK8#^)=R(tmvLwLV#8|(q9bshx$t+ zk-T9LRG9$1$^=A`NRuP_VseEI(JWOq8S|wCLUfH2>9eUSeKuL;Bxkgm5y4%H%?tZK z=4HHxDyv1Q1NQCqu$|$Uy&WVWkh0K-yn$2KhrJNa*MklSq(*3LKrvmcwkD;$Xs^6i zyD3W?A2LlSvaHCWqB79fimz%ZRn=rsRzxV(L7I<>K1Lw0kjyk0f#pD20cQh6RRJ=f z^O6PZ1qxH14Ac`U22hL!x~4H-5TD$JPlw>+RClX5j{nVexd%6KU15Ch?rK-s)xKoCEnC=@<%a-)E!&8S zXbAz#!(d9Sl%#4*N)?Ca|8z2Emc=RVCfO)T2Jeak-5J$7pRr?@w$ zg5B+L)FxS-ty*(iSq}EUO6x_ELLNCbC~8j73<*_!QjNLg~>o3UFJYf0a%& zjdmvVA$^R&O)Y*CMx4$smeW83pq{Gds)sHtiaXO0x$fmIe!+Zvl_3yev}pwPFDKyLy-{3j z4mu9gu>s?`kNVTfL+@t)#2l zmA2~ES$mXSRj<4E``=Mql>p`*+{sp8qVr+&mhW)oX z@+NF_9S&?Qc5Le~eXqnQ=m~lw)2m}~K0bX3-#9>@!iI+7{Vn|DpXo`yJ&@#At}A zgx8~?n5U-1>3ytfQx#hkh^k3-uX;eusFnk2w|Z0M)c!oU&ZJa3nijP8pC0tFih}@0 z3oR=67+OKopbh7!s(L9idsC_!;5>co;Zxr1hSyY6-szI`V2k%>&Okt9?!61MutKT{ zaB|gJeJwM8YAMBJZckzDSQg8soMHqDkn)mHU*-lK6b7b@XG1(P1&)VcLTNBcXBL8w zOc{qAq63EGu^#;SP#^oKsaBXwSPxGN&kA>kEphN!kE*gQ;l9k(Y|x+IwVXK|T641!&2NOFSu>kPDjGT`ToTxzkQ7+K zne)2f%sn9F`k9#$RGfZ+GE?y4%K?t%YzviaIr>+VxJq}9KsySJ4i>`; z>5M9|W&}<92)8E|=5oDGPIgsIm~&*5FNCV%@v#-DekXX{_5YxSrH5+`Z!mMaR7x zFTb+;aI$>8xI z8w{2PY6A}jHV5|wg}DU@cf!Biz1*L+rj>7NY4>;i?bZ(EDQ%;BqyK#HZ}vgYpzogr zH#|3dpA=mWW`e$HmZ%nW&axym)3RJ^wmfMWEc%katJ`&#$^*a(15oTpu7E0g!40?X z6((MgVBQVhe4a2%Q{K>Jndq`9x604Ue9%Op$kem4m&TBIX`+ST7WIORra%)waGja} z&!B%GdInNgPJ~8Qgr`$RE#t^3l;P<`vj8HRy?K0!spawt=dzkNeJQS-WzQ3`j~pb& z$t}VMNs_E29Mt&})U*(cnMG(XLe))l?2tH6-Gr(ew*)Ad*jUR?wjF(Q z>$ano-;RH|cjeyqw@loexcYPQ+PRijFaGKBg^Q3&nlqnrBak=z?AmMv;K|&|*OZbq zH>I|GpydNSAK((bi;_6O(lQ{X?$C&a_-tl2Lq6jj0a^40c$ug!(TZ~|(zzDxIM)zL$ZxkQKB=8; zC@>CdU|nq0g75$XvT8b1kKXR4dIlrG+qW_ua;1KEHPx6OtoAs5uECrW&DhM9iF? ziJ6-S$gQfc|Aw3Y;1d3d|>(st$GU6OKzE{P;4CPh|Mu>(=U4n&%j zaf0dXqCICHadu&Bz#GZIIV;0SQm4C?tu9-(>Jiwzk<9ryYv5|vXarlj9sp%Pr=A{y z59$@SPiu0;X`v|*hH*fKaPVHLSlEU*D0*dz-ed%xt;H{hmw1r6j1hByg54f5jH1oL zl}nr`y7`;IiT`%}`;}uK1-pGa9@%~P(97*VCObW6E|DT49VP4w-ES0b==kGbul@lm zo<*SCkAaj1kg|Z1a=XOxO1TnK9#SlI&bq+E?6;(EI#&nQv+FER*uLj%4V({Nv3yu? z%{N>y?7Zpu%r{Jl=ne)We#Gc9KQdLE$%d4f?zwE8vW#7*EOIUhJS?S@^~$jDFZW$C zs_MkWsj{vCDP_@M0F{t)KoC<=c{^_+Z!KZ^C#!0v+|cf$s`h{77D{R5x_;Rpx?viv z#`6Yn6|It`tKmR=8MJ~y&Kd$5%b>J{vtPiipb0}oO~CQz6tM=f45elC=SK%%ea4Yj znIo?oBxgw}i&~bH z$yx`%5>Z))DIRisR&Bsd;+$k9H|L3g9UpFOzw%P+Ytd8V(~fT4_U7BqJ^RM4U;OCn zUmYOao>lW%_3k3p@xlAQzcBd00OscZ(OosLOPo`TiU$>;bd-t>wh=@r{h>;=4X8$^2&r1xI+3jtYBzOFi$^F_Yotz< zCT$9A+N9BKMH;H08*Q|883dB-yVt(KR9YnB^PY|KbkF(D`Of{2&2zykW1u*XE6z`& zR1A7*pVFsqGswG{T#y zaCIu%T4b*yZ0$_rw0BM{#HY(%VKxsO(Hb315W zRZ~lG@pxQFAfY>>wlCK+#S^yI0Xa?dvwJL z-KA1#3Y=nD7zkeR1XRN?)m5rNt8w&55{0Rq#5tVnc=lPG0}I)k{3SBHs4PKSsO*-h zVV{u~1EIJ|Xo2rpg>cJ~!jfWFCwK=070anuA=VOp^Tdg0;#>RIY)LL%yy4!lG5H5a z`*#&reL39nN%B!83&iUQ>RpzS9%J~mu9+>}C zb$e#}{B8Mx{Kd8_nU7mOX$$)vjh&I+sI;e|D&KURCbW?6IRjkKP<5q)fup2Swz0A~ z6*9b7AzLuOBX0YG0a*COY>+_;8QI3h^FDU`z~AN%_#_W3N*nV$g?yeu{%i{QJcWFZ zzXt?YQ;2LJ`KO1eq3sOxFbIm(%m!vB&HW z>=~P+?QVN5?0CXf>{?RYRkpdU*a;>(yO}+eonlX9^JKDlGTHH5eqV-#Q?B>6v-I~= zo2yfwPduQw<4lymVlFe6xy)Wm%vbthF@kLfhSZZ0il!94$-{|x5azxwF-$%9Y7^4gOJUfGmbpI!XOgI&Yl#ihT#i1E=E2X1}s`q+V=%N-X+ z-}&vk@4d_X^4DLIh56dLT7PC$D=y-_%h=KOh9@&|LrpI=x1jB8H5>C zIxly6f_)C|PE^IDyG%@QeZ5*1b%S{ad;c_JQ}6YdG5N*L;;=XFNqf8@Z`hmhh$p#1 zM6M8uEA-`NxvvEdJl;4Q3TlF(xr7L_B%ZJg1U`4OYUR|}Qz24wvdL;OL=v|ziPzEI z*y?qS^*eTduEexcasYjLr>b(*&?;3+;W8Yl`d9;PP_qp*l*E<>4u-(Oa?c{LK%7gS zHVtIR3OLuo%5Fu)q9j(Wi#J5ii-lXlTrM;gK3sWbbpJ2zuROME-SZ`=ga10#_tTfA z9+G~1_^B^_@8HxqaIGUC2zU!Rn<^Ph4W}fdc0#fMQ~(vg@;E0M!yX_AM|D`K!$jap zSu$(qFAG2gPyx(-=~P|2x{Z}OOaz86B{gr_5BGg|wGje@@ z_2xw+&HQ9~J-(akC4vuC^&%!p79b>mB|w81KS=;tfRF&z>}SN#$^wK0uxjgNwoH_) z@_ABF4k?PSDT+#nM3gAPmMO_@h=dhWt>dJHb7E386}AUq2l9Q$0V``5gC$9j04kQR%AMi2{Xi)Fy}HR%n+l&)Y!?JJWb4a)O{_p`m;Gw>T#w@z0S%V_kP%q zx_hp50~x?WWZ5hu;X>iCqm`-?uQ_(B<`_w=H>gQeop{`LCq-|BRharf-yOEida80Y zu5cI631G}sAvX?Hrgt`Xrn@>Th2`JBk6gWQ;l@+P8}2(sw%i>0bPvI zBb-G>-ts6P2EES9wK`00_u1KXo(iDUQaS~<_~uT_^g&&=t$!;MRMtaG<`kBO3OiLp z09^pcnJavYBpDH|qz<6UuS@J^Fj{CwiG)RsTzG zXt9W4D6&i>N>yFg;6m55tV&%~r7|H|#c&nHFksAyhGBjcs%nr1$RKC19q0;Sl2Dp8 zb`@)a#v`c?FENQhC{m`F0#nG?tRB`#+03HZ@tKu6XzYr@40v1ozHiEIiGX3BSRH!ICPqn*T~nO1QjU{b|K244GkdePc-wztWHDm~9Lw8!-7 z8Qh*aTd>G1YF%ebo(E#}9x)bc#H)R|5Ua<-g+c;`zN&h-k(NBwnMa*eI*reGhvFv& z3sv=)fWd-6hRX%5o_7X#r@+uBJ13`G@ctkt+Wt!{5`zYwEf{Qs7kt)O!6YaDr_XW@ zNBI^iU#dzHi08FzpM+JLrC1TSRF-#IX*R3-!}XiWAP)AXvQ%~ zm|`x+y1}I|lh(weG58t|uII z)t0NSaMk4a^5R8ZwdJZST(vS$oUFaBT6NVvR~>N0HO*D~UA6A211^K^HtT*@t-ES3 z7GttF;y|guI{OcMrR>e5*kN|qb1nQyg1g9#CFro2NP0{w;bE9$Jj#bi!V(altcYmR zPzv>=dQ)@?H(52+qa&RqaOfsUi#oCTGC@QS5=3;O`(c8KP7_RY=&?FathG*@I3YtP zfRX#r2T=Z)DVDa4SyoQd*&VhwXQp~AWWh|<trSiEW9O=nJl8J5GpK% z%xRIJO6IiiRL3d0E?J%=S(fKyNuGnbWC{&YSfG0;x{@Ly&45V9?h8zCyxCn7lZFgd~zF(d6LV!&`SBsC@SHuirYBZ2kK7U-Ylr{P1%PZ0#$}k8N6a z;>hvw8T7B(A8UB!(D(tmuxHQeU;cdj!*Y7Pj`y{Ju9JOj2RMcg(7(|Jw2zs821c2& z0M8PCP>s2LN<*(|L*`X;!ekR-Pz@S>oL&)ccqOl@s8b@W>e~70WQ2|8)EW~Ks|o2< zhTJMcZj~ol4!FdU*uVX*FBOb2@=C=wK}g_$S3(r*v-V; zbrSPn*&eTCV7M604@hwiQZAfGv<**Tsr&U^mo^?;tx5eew=LiKCR=;p$dc_V=RPyO zlYa5L9SirL9e)=$sl^ljVrO7lyi^2D5MGXlO!5y0u<(GU_=o~+Ayovv@C#BzS;j9H zb9{^VZN5zuGg^bc!I)<*(VF~C#uBrYYxO*;<^6f%QL}^V@NCvP{2j(-^Lr@d;W_UH zW-Yf?+Mu*ETevM!yCQ|7te|6s3@&sxonRR;s7n~yS}s^)*#s#Ia1(I)BddiglYA;@ zrB6ru$znnQcAFGrQK10uNmf*?No8gWh!Qj*Auz%p@SHHu@tiQ{3RX&Tj2us9X5(5d zLpB-KREIWuA>IR&qWXx@1XWW2swhCE0#uH8fp}8}U}fW}i7L{RQo1fxzAn+j0df=6 zoQll+Qp?XzAxY~@=dnG_Pvwisj$}u$73jnUk~l!m8g7ke6Sv935}OA?)hFu%%tQU{ zvdo5YQD|oYB_}9p^D#$MD9Gc8Ls1GA~t}j}Hfj z<5v?C2_wm-wRC76+n_CFS7__CPvo1iq9*GqV??8*(Hc=zrqsw3pdv1yB9lNxbCH@M z32BloZIt#(Yyz-K0Ae_XUAJWdmt>aS-!A8~Pk}E8Y6L5Z>=GYYSg8vI>gYOunHGOp zlKiE<7|Hol6g7yG3+hEj(I{doQ5LO23?fz!nj?bdh@d$lAQ~xTIz*I=Fa(DhWMZU{ zNpY{je4$9?viT;$(Pdg+)QB$K8it|tse=pVg@_T+A}UWDB-Ls4Q>v~Sn2opFRT)bT zA01#Goa|!RY`UI^PN3YwjFg?jVkJzwg=5>cZQI(hZFX#bv2EM7ZQHhOb^bn=a7Hz$ zS@FesmSX&9`||8OHYU?f7|yHznWU(WyPl`l&z$*1nETzwO_m<-SETK>x1i^7LY{p0 zr^Lfk8i5_wZ#K8f?Quqwh5RqRN>lgxsP4$Jr7GGFa=BI71sng8t`O&f0<{lEatxce z*I|z%1M0Zu#`|Hvu?@g5=EY;*(ZR z95E$sIxYq`;c69sGfdOIo`eRLhA_GjE2Umr5>OE0v>*alR8A^V&g3{2&76C+eZF~V zaMT%%LW9)z@mtjODeem(`|xN?7Xa-AB^Ae5wunb3YlPte3zN-n*7L%~>7fJnk~SXX z?m6{6U>rm@5;3F=sji2aQq$rJM$vjZ3C4-#C)K4GV8m#TH)FwmS07P@D|H_V{7C;a25!`c6He{fHLsP_~+vhX+h zVm<-r51j|qe{2}!8*p9WdP4#OZVla%nEZufxbyt_k;n;f#zNA$UtVHl>XaZR3fskI9Nl3_R^)fV$3Vj?AK*y&v`F{(8e%c1@MkiloBf{{~OK^dVM|M`t)*mAzj z;*?9WGr#k>oAG3Q%l+DU^0k1{w-gNvcQrS#TpLS&xN)K54=F|IE_Nhgnm;Db@--D1 zsjkfb=;P}4aJJp~9C6?6aPX}LO!hU{Zrt3Vh1%e@&gBl_t)4PK#)=?dO4+6lMTixN z-v@viPUPqNHe5iVWjgdiyR#qYqTc=)+@RQA2xf&x?Qj;G9BpiSI`OfxBl~i2qZI6a zJKc@_Tl>WrK%`Kd7y(DC0^<2DN&Q6&{ z%n{_udZ-QJ2tJF{UdZb1^GqX201Rr z;-;hl${aX>6U}xSN``DgpUzA4u47DY zAPq4JS^q~)U*qCr6z2=7!6O**pdinVR~h7d3`l#*36Gxdkc5`L6fKXIzpl7Fp7g$p1D!CvQS64g=r ztdp!;8c?E)c6PL)J%6mJE%MWb`!}as#}(`5b-31=4&milyZ03-`ReX4?5wVmO?EuD zA~lt0^G$;?*bcu&AgCmHSOcfEUs)V_=*MIVnH!OJ?_ByrqK2Lq|i<_#H8{)1xg3B9G zPr_*Lm(F;`=lZ{w{rQxyiq>N8$g)Ch36>_g8q;fkvHV^yauisNzUD5}|v@M9G$ zdM6W{xq-UyWy-Oat^OOP*GIV8#{rdCpG>5K|4aB)>fJmM=*xPMUAZ%Jx0^3edaLeV zA_KM=wzfigY4uWFS$>Y7M6WuB4|D%QRv8*8LSl*?plDS``GSMWmi1qxK@|D9bU(4&1T&{ z-bd^<4?|%aL32v3Q!!_GS^OUM?l7*WXZfs{C46$;Fz&Zx(!z@$-C`+bg%8$PX_sQg zD^AI$&6eB63+=!xwxWNf4e)$4ckBmhN3!^|x{0yqNgrxW2f;JsJJK)2l%kQR9S?`M z)z1; zdvbXaGi9ZzmncInk_Ml%hlay9MsP<1R0dhU>H5aWZ*d`anGkg4BCoiJV+HBIK`gj{ zXC1VCLRi0hN_#>#Nwo#4h41~J=2(&2A6mq7J(0{P$h8U#xyJvg2HCV=sZvc#r4^f& zf`x>sQeOW{6V;XrY=IKuZQti&BCUnOS)LyT2Iu(%2Zs>~6u_0|RSyCl{#TBiTkGps zWTAlfzN%D%#E|dh>9`)F{UWqroRR1Azq+sd(qEqot<8hP073^z3jpWwM$)<`##{O{ zmycz7#vbVG>0Mm6n;Am_UR(-)FTE%?cw!R!Pb_CROA@>5>M!JWa5b`?N_in_h7F6E z6M{nCwoWgTtzLSlNq|0VQ*!QQ8ui4cC{Lx^Ji+0El&soNK!7TspSPw@ba+p9cU6g8 z97`;3tVvG|B@DQBwo8!qRaF`yw4OT;%~PL{fh2sGcF+ef|X!WA$M@im6iI z=!+i3(Cz+T7tc;PFB|rO!e-v`s?61q7pyZLXeNkRE(vNmF-YDTt7s+h70>?^JJyQL z)t&Xy?oOlg@-IwkyLHT2h|(<5Wt&D*`bcstlxMHkuQh47ovq+v7`%2iNtPRNl52dO z6g>B8G_)_#uX?{a)|5N`Gg&tgpa?^s9~sM zREGI&XlA&edY8SC&5pK&aM29@L?EC0*e$}rn0RlnBW++WeeFM+j-wD}yLlsT)V8nQ z0FhHXi>g9{>I9VrqOUbmC%H_lJ`BFPu0u?5@Z0(_+vdn+>d}Ea*^V)o#^}$u>GcYntS0h=wGA65%3;c&@Tv zr9eTBssI#W+_!AUY*eTo*@z*rDjX(BPs7%@p=sD_^sCc;{0D+>T8~Y)EdlA+udI6u zgtVjDfw%qKv&){}_HAzNh9__n=$8y5FX-1~e9V>>8w6FO7?e@G5)tx-X@a2?oguh# z3R;S!RfaZ6JfU_8#sOyi9B?5KNeD4fDik>yMrwTiYQB0+ayai*M^6)CwUbxpU5BrI z-|Wvnk^?Eh+T2HNcwgGx`)&PPHS)urlL+z$Zq@F>eQYNeL)^y#&5}&^<+KH{3XGH* zuzHD(8q@`_@Q`(iI@Z7b>qoXyU|zmK_-Z3wD&>*t(%6o{H}cNWYYy+}Hh{G_bR~lj|<6*U~K1x948_NSosY+;6)Lte@a<<9`OFs>-SSB##isqubYq0|KY#y2xY8}TXf3@+oJbcX$7PWGD@`E^21%DUET^{Cz1AcIDy2-+ zUom4Ww}#wuhmE6O)l+@53MTX#& zxZlUQ!2X`A>!>vQ2ZIC77$TZ&&s``@Ur(PVcwCkSmY2t*A`V4gkTgvQ#|Z|B@@TQz zd?*~Kz7K|OVv-eaBEh7XD%@DP_TBqh^!owgJrN|&g~_&HaUR-8M@kmep0*r?O=cd8+)21Q0QS!at| zm>H`QZ%9aCguAH^X{XSvSJEhIVStg~;0)sw=3um9Sn;l)nO%u_mdOgUz;zw6IJQxR zgE!8vBn`?ZUV^PLvUtxrz$Xx5YakPmL~o5sJuH2T1`Laf_2N-{*yCCt`TLH(O6hw< z6BZmWFHy9l6maBAnwz5E+M7wJ1Jq2x*FF2{SZwZ(MMSl-|5YjNNO%KEb^TzSgtCFY z`PdIDbHCcT0!Lohpf!}W=E;d9acJ9_tiWLn!?68|nt$U2t)-SVUJU4c5&N8vHUk&) zr0E%UevM0u9)zXwd%`i#WISU#CKp);_4;+AzY|Sr3Ds@+>Nc%6pa570&_MxW9~-8D zjAeg(ja9RlmM1=}waU&>LphA@K`ai{lj_xfAy-+;XTZdz#4^ZfjOSZ4l^s`1v3D1m zQM-_%bi>8rcO9xRd(d$2ETE^`_Y9;>ZEjMonb37-z~RVkSOE}%V5%E1Hpo>H6-qYE z7%k#9t8ZB{HEOs%G}%o~1G?o?moRQ2tr2xrThlkMuk%@(Tc=&CT?1{`zR#j3q({M? z+katyrvH|4SZ}wDp|I8%immbH(uav~m6II`yn4PuplZ52l6JQRgHNY{=BF^P{C5qa z&1DT4q=wN_Fr+F)s0P!59s)rxtA?Ji2SgqXCQT_%Ayp`}35o47+yZMFQq`KafC;AOKv5QoOZ}FMrQ% z5g2&WAPCoDZdJ)%1qy%*Z8WDzrXM1N!dmvk{#|10L>0tAhY=}~?J9~^y7XLHI=?{> z6Ri)`NUZV6TD&5H&fnZN6I9=ORSnU)(U8fK-*ZrI$`*uA?#xS1y3pEC{rn^@a+Cii z=NQu9?fhL?^VTP2(?p4f8_nA0igd7ARvAySB<$Mlbn?iOsG8svWPJvT^1wq41npro^5SRr;DdfpsE=J zdxjh!k`rs3z3XpVaz!fcmPq2~J~>ZDW5NYuKg3jsXCw^AUjFG2jeCywE}3iFhyy=3Ovn3k5?vC{s$t)}iJ0-9GjxF ztnacJy>(+-)COSaoNP%i$U9KHf2>Y31x5>UZPzC881zPYBY&1hbY^tY@Irk^#hdj; zO8id(+g+rigB5RXQkm;7m&TYc`uB35F3v0p?yIIpq~U?UgCC4YFOexkmuJlxBh*E& zT|&_WFw;!l+(Zj@R1<9$tti+0q9%4FxuBn!T7`;-(eqhWTTl4!XD(61ov+O^E$=ZR z5P8-;d7}~+B3tSK6?Z6D_x6g}LlW5}QJ}tB9`E@{I*ANsK<+1)hD3tPHAtAPitN$1 z!#G5`y8UKXgU#J;ZH)KCNbT+}Lwy3GOn=v3-oJZ+66qn@@ljzPsN1Qa>h|rNZic6> zS5EIv*Pn71rauiG#hs;gc6y^3?uze<+{N(R7CE#CKbgrHX@0~#?0`k0!q2KRjuKeY z9^R^gT|3M2Je@l}$KK@HDw|O5pqiQqnYNrcdDX&YcNMagD4fNM z)LAm~iJ1w#iN1i&%b5OP0cmEBpx`kNl^zn`>}pAPF1}-Doh%(19Y2^IGJQDaB%-S? z-v)#%L7^Cdg}$AnM%gF@+_zK=;ujF7&CPs#Y7n2zC?Q!}Jh@-9zVD*K_F68#v$fmt z!Nw_jO*ad3cZ+vwqAu9#TA^sca3x}7!2F?o4T;3PWQ_zky^>grcFBS!X*e=!j9PJI z1hZHFJ1K{?;#Rq|GmgAhVy>!91z<$a%Yv9W;+5@&kiYD#oBNFQ?shA~)Be_HujkDb z!@Jx1A^y^}?9*mbij$*CMN!Net&r(nlE3%gVWP}Rym=HN7h9!m{-Z>a45U>wJigHi zJdw=IB?l4^nNmJ3U@30%FU01aP_>K~E}Z@+XmC|RN$27lAhqd3r=@}m8!6qsk6vCb z-v&#gWlfq(AqzkJ!cv4&!ivY^0)!zy*t08d-HWPR{E_m&n%S&&+dP45kkr=V;iC(7 zE#4BsfRZ2B7YK~sAmUa&ghr8Q7C_KjKY7|?Qa zr(^iAzp58x->DOEgspV&o_3oeSl-&rH?h)CjNw#_(ZFA0c{b}_7rEo5t7$E(wAF@5 zdBos{POSIIOSBnpQyF;ec!l|*=e5}zEUO|}5?%}~z9plug7wi*sWi+|-hA*>L6BXk zmPJ>=>JyZ)EcKyZLN%ToDwa(hu_0vK{-VT&+NN zo*sH~x8G_tDb1w4@@Y!OP7a*rQ<$nG6 z52ux)9}5K;fBf9?PawOCkY=?yW^oz*0*jgTMekO1s;3_*RX9OVR!gjT(15+qt7}{x zH`BcR_rLtz6R!XC3bw-%Fokcu#Lvx$?9V8F_p<#rHRozxuQ5di4d7XLNgCC6;yEIM z0~~TvSlD{=2{C9?<1h9*yel8u?bq1TTlrCeW0e*@LJY4*!`}U=L^-K%VVV3TF1R?&Ch#4Nu{Z&(Y`@4;n;p(%O1a|$|ZQJl6_Qg%V^21a%UfhR>S;^~z--`)4i-)x^#0gp#;Pb4UGcgBEijmaRk>Go1*!Sm@OFc9lC% zC`Q~Y;A(IASeL8Nup>#WvzC#uo=j?Cs7K(|*5GxI16`o2HHjx?54HS>&oH51DDc-C zLWv=t8~N90#mxL34VRA}`X;{8u+KOqo!PV7afw0=xn%lmS?Ct;bcFpcCDqNQLd!F; zZB=UTkV@8vS8ncH-SGwUw@YrRXXF}l|J_onB6tTzV=*i4OBNHu*s>r-K!3VFuUK>szgOQ+y4EB4Ly z=;-N^vf$!}32cVYpUcOpDhR$5COTu1RNo%Siy_4eO~ldXem8;tbinf`Zcpgc)l3q8 z#`%H#poRL!{)r)hKJ|bkx;dNSh`xD*mL2}H1Qq%N{ojAB4&MeQH4ydw!1<~m!$*85 z62N4K{1bD)&p)6-G@DlMK9Vp_xJ0OD$951{Oo;tK&eIhjSi!IJeE%6)3L*pDAItow z#0i{R3yf6+6wQARW%tHZ3!aa4br8X|nk@34)f$jF9e8@k+sx?4bOs0$C$b?yYy%OJ zp%P?&BGP{)Sa_*@Ac_%p{|yb$_?WZ5uI&GdserN;ELs3SI{uLNjd#C6H%A2Zpg&4u ze=U{`KK2@D552n;h>)PbKmvsR|HHRC5IkDBBo55IS_kJGSlvT4& z9*~}4yui9495g&^TzGV3Eb~YveIS*Vt(#Uvl!BC;%xzX;<|^aPfs2``dB+#xJ>2x{ zgzumEqo6Q2{M5JMdrHY@ycC1`<)tFnv1s4ZjY7vGe^oxC!{P}hv&-fSRzE&x^@;06 z?ztIy+B{DGfx$ew&x=FyIYzzK?n0-L$vm~5dTZC0%*>Xn)xLJ4qtZP4UFEN+v{pNB zY`>19lpNQWE*!VM&N9b4o4Pxb*FML64M;?Ge7nCd2}Sf{yFOR%Ta>%po-o>5@_pW? z*BwuHdhat|n2ZA_h?{k~J+C_N_n$FYgudV2hNAHAg+cdfElg0UDm%?mm<#qy929w4 z!>UZ|(>$fQ9pl?(LF+yYDY#RBV|8ctZHQaAH}JKJh!qzb+IREbEnVYWTRZkVPIny| zI$nBzC*z;i}ryNalAgMC4IVZ75x!cJ_BW-h3~+xQR$a@qoi<)}VoSbIRByJjww%xQGF+0q6l{2+-2>UF2|@ zN}*?Sxb=Mrdl3-ePXYxHrQqCn%hHN?g}{L%!F$doZ|}F89ly6PbN5wqtQ5T8bfddt zff_i}yutevO1QET^kQ^=Bad-&k5TjYB1*Wg(ziD3*H&x^Gz!dE+HFk_cR=h65{?MY zA&#FV>-FY*Pl1J~l}X)b7GSQ8#b-Wyov+^0;VB#=6tM~j@1->vXq-?`X5`e5epBg> zjuz0Y%B-?Q#8dDSmyn6$(ZQW^Yb~r$Ptjj9pkIgorVza09w0yX{o3|UIQ_~DzEbkO z7YX+<{mQLA3>3Lb)#6%DdN%*oyuNOp0a+VVWh-*Saxw|Z!Zz3;yC7|l8P==pZ^s@f~qBi=pjo^|VWckJTXmvV049>Kp9s#$#0 zSYIW&7MP{A%x#+7w6<=o>f4003|tzyHtE+Z*O<~yME0fI=e5D+?-A)hJlpKGQMY0c zU6b_V$&4ZXfgIfHVN{OQ+z#_N}XJwQe`o%@G@K33ZboTBdP2X52t0 z>yMxA!|i}7g6XRq(44VscOW^psmKWrI^TV7Le`0Oi!9L7;+iRKjY+vHc)$xOqQ>FU zYiBn7p%Z`Z!<*!1UwKNLar0(x**l)6ODXNAbhmzM_lqgV5dW;6)sxMSygQ((*7utQ zD0RRR3w0j$ai1kRgqjH%W6)X?A%JNBf)B=g(6t>n$p|4@6pV3sh=eLo#{t!DNLdpW z(vYw^sBbWf3I4O6_#F1@fasq~j{{ijAo@FQ_J2o;a90O+ijYeOWXVF*-QXGnh(`s2 z&p~Ym_*g){|AD+ycEf@m7~I>xBfQ0Y&auH_cV?(2}@C}2vBVisG)Af^=FGN7-wWFmC;I~IjIfB;? zi|B?_H9)QHYwLzxIfB{^1KP3M`uVv+P#s9@Mr_}q?Zy-qVr(5CZ^aNHjFBKj$+O3h z;70V`u|tixxT42;+7WMuK-+=T(*KJ{ z51wczMBEqV3l4jLA{a4A53EQJz3{+`dH_o`q|Ob_S;t^yLT%b7(H&G_3!qpe%al{G zqyZFNumzbf(q#fX*}_gIRVT|l>l06xK(wWzESb^gQM6^IEV*m)Q&i8-?>w^97p!Rt z*_%^W7rZuwaIH{nOX-_enEU^c6p9oqd3|MgEh%pb=gyrs0p91ZpNYMNbr-msvR{^h z`1wID;Rs8}JThbFTsis$IRVJB~Z9JI*`q z{0{8xIC_9u^EFY<_62&?NEtON8od@ZY#J4_3OAOS;4CP1kr-9N3MK1ioTAanxD_sT zeSjkB3K_c=KuKkVja_d>k#&WR9d|Nco${rq6WO$ai> z0fud`Bv>R7GM$JRl^c=~2_CO;Y&cQ^iHukj7BcOjShrMtSC6z?U1D@jeUsFHZUW7s zSf?c|i&*J6$_*d?4C|?u33eT~#id+Nhn?NMUjqGR6hdLqIC74dMFMRu@?0dtA*9cL zh>^sHpv^H!LlD@d50_lGZyc3 zX-y;g0%HQT`!WJ^nC+2_kL1168ts`j>vJtWF<0%mbx-iU7q_Er?;QS9?(YnHM_=DU z(Wpme`EZ92cF6-Q!CCEEp_5G545aS`5HZ^0QrIP+&7!gZZL3vn-j)RhYgc2g z7U3>e+?#~}7A1-a(~QC(mwJSS0v@@rD=7SmBA4dag$5q^u`95g@+6l=dB-IArHjs* z+4&3}*|wz(7PZog*Uq5Za%UIH9j&v=-v`~@13fe=yuF;aH~I8`d~0{%N)(a*(4dG5 zpp=V6p)O)*=tF=Cr1<5%?(o6D_|UIU=7Y|}N}vK%tbn=2;&zKChq52ap9Vw?+l&q(|uw1sKs^q=9qg?Zx` z+$0*u5r0Vx;1t`2g_xz#!Uv4Qv1mdgG)5elMWWIEEigwOy&>!dNozX2k?aObYj%xd z+s4%&a-9+NCeC%7*3=pk`$*=?*v_ikinisgOZ=AH!)`wJvaTt57xymjWnVY7o+^&= zx8<)((3gTwDc@*#s$^?LK&80Rb!J)>LH!<8wqDo-6ME^8Tsr)d5iwoJNj=Oe&R8R` zz<+W3sPAwbgB0&5y#e@&PbZNPYo>o~>+#+C|GfcZDluk8?&YC9^@OJfLU@5gj%>k4dyXLTgVbE`7W;HZ z^`{3Qy1}vz*tPvB?Ko>f__`J}9WUp;_ZX9PnF#`hzBd4RCN3={(U`T{B zeP|T!ygvTn3}o__%r`}JE_5~ldrSJ8cjz8LJq5c^_R*ZHS$FiWsY}8%g`zI$e#NBE z20Su?3(TJxeg(e^jy%GY7ic-9tS+gu3!a@qm=_wIVre~V%g}n#8m)0#l-EhG)L+fM z5_~DC&E!c$peW>N!i~{r$};5m6(zLNUW;jFX51fmFZsEhq&>!YEpy9BJug2aHJ<9|pKU)N4!L^k^uI%`QRac67qHD`7F6jts z$UMsKg?N)CeE4;|S73TNYYR%93@>FqI6|OSNa*v1IE6*7{P;yCu9g3;Lmp9!%g@e$ z*~JJRY04|KyM(v()*i}TmAyyXypMfIpXUz39U9 zEB;sRPycJ9?;k^VsLgGONy5XHr7;i_yTh3tY08++5xtc_3}R(RJ_92h__50cq>6V{~&+j0{z%!i**e5l*9?+sx~dIxq#>FeBWA&Mx=@e=U}ag8KYye&BVU4 z|4V44YwpOn*4#ni)%DJ(iEdAO9aBG~0WBiD~ z&JC%#Az^{bKRpfa-zq=ev@@m&9}VNZYV$`t<+`A5f@yj2oBR3}Kry-ja<@A;nXI?w*|3cKytt5k@I2nojXsw-t2Egup)bi?&t zeb{MM%~Z}juUcwe^QbClIa|=}<6acgWkBVYK5Bb4LAfx^KVm*x$yzVXdQwXg4e20T z652HTJC%jAbC`1K@t*|TH%{;Ffc-U7FQhaq;tU)T%m#%;oOoNcLpl#O!9EK)4$oS~ z>WUBH-3GbJSTsK4)OID^@ z%Nzj<{1}0A=e4Oo3XT4F)zeq7;2UTx=`7n*$WGOxY6dKT8iawQ+QVOoQ z0}V5k9@&?ve50{q7Oiu~$`@dEJqT5`O6MxIG+9?^`?+$L3gEK6B||LBNrwvIurXXI zY6gb3tuhMpFMb4jr49F}^Uypw~|r(daGgNSG2LZ^CE zK*+GZqk&i^7O$>srWc^5FY4*b?<&XQFR9ijm&pgsHV{nk0zXxZ{2nnsy+5S&`hQFz z;+kNreusF)=VOL`3^Nxdc->~=9Vm@Lmuo311t@Y&=7+s>LV9Z#_40~-06G*1>M-Ke zTrM-p;YhG>;CaSH=Lt(uf}g~P9m@@iVgLJ6(0K^P0!aPB$~(2|QZ2?I;>wb}V9W8K znt8Y^L-L$;Rx4BX8&T?uJjg62^}GKT>T~2DZsw)CmyDS4LI<#l{9$Abxdp|WQdPhZ zNKMO-Kx`Tm?E|$O)ai;Wsma7}?wNZ;49e`6^U5MWko;BpfRT|T366s0f1FQ1e-z^7 zSaS<2Kk4kQoAQv-5#o@~nu4z}^r;o=R{BWb4=1vCD+Z|o<@rWYtX$C!kIl zI&#gyeZ-G1c$HJBLkjTC2d-Rkj$AmDM{FF4AL|^qVN8i!spH~)O*pxwD|3b<1cnLK zQFln*!|YQ#1TE57vkM5ub=G^Ea`+|nwX zVQ|Ns)=dk;GA400AX*b#>)+NjwkJtF1O>w53L|?GtN6w-@6~G`+CK6=!2g2x$rndC z{9h;sO`^m{WFAG)0MJFlOwsy>eHccu%dpWh;Jb9~L>YBY%p?hY z;DnD&mgrjtaU^&}4=h^~W$~DJ=ovEL!%sE!X`F{7>vPvY2Wx|?@r0O1c9XDX>5;$3 zJPzt|`fR!WU{m?AzCdZzu^Iz&^W@e>_{B!7y1|&#G!ir~E@?QyeHH`@gSW#7hQ^p$ zoWNnE8W4l1niFlBwe?FbA}$dQTtoiSo9+!g-BK>JCFiA3nqai~N(L~n$uN#6HUUR$ zSi%u*sBBNF$O<2S(pn_aGvtSAL86*;q+n(D!E9dh_R9C&e{-SJ>GC>HCve-FiBwy(af4TSpme2c0=+TmHEe4 z;=>#?6{t*+wE@Zg>QNF6az4;DRHr)Bd*9iI=A7cO$Y1d<^N)u8d+YmEc~>=AM~-W& z|`$M;~qgNEaq(vI(>wmv)iuF z;gL}>L;|+^Sz!0)yERT47Lsm-^p!%WS)|14)^C~$a#bsm0d>XrKiyk+Z2RZziX}=9 z6(@VMMH4hhfZf>)@oe_r(TtU$j2Cvw*R+3j=GkO792Kso8^^-gTFSydEuO8|%3Xh6 zqo)5tG!csbynAF4-7w$sRT!l-+kC{e>s+gOHtTwedDPNtz$?fHzTQJ!N+>EswX!#x zxKL!`W7zif$E_$;?)=w zPJncjV$CF0X#toQO*BCjCSQw9=xq>Q!!9d_rP5|j($xwa$KXUq@gvAM6{7r}kJ9b+ ztsa0o&}qH>@dtITnRERe^<5j3bT!_mudm}Oa52J@)=iQ(T11HptVOliqv-nah180`5~#$73t>RWZgB`!%aykI&`yYboi{6$aWjcp z$Zd;gjsq}S_c~acsyS^}-1zshz5Q-`&T_qPJ4}MKwgimBmvSy-Y=iewLzw3^VklVM zP*ey-kyLgd%vBJztP1c3lOgJYHBPGS*Z-JEn@=OLpJF&K6HFP};QSHRgCtpkhmXiu zgj}9CU+1@CCE>U#P1)JhY)`wc1x>p|mH6=iJWYytB%rPj^26>(++fn;4w>crk#@E# z>6}bZTPJP8hbE5B23A<4SX~~hJHTjkre_+OQ=XTFGm`8jw$^5KKw_4|f z#y0%>arBW`O$#kH#2Jy5O?jdkv}+TkDOasIK#lTeBzJHOkm%p+dD0eDX>|*WO9Vye zO4O=F+lzA$C*~0phk?olC2`EVgkV3PF93*GVU{h&UY+*J?9^X9<_C0mkBU(kP=JS& z$_Hmo&JEuC%5F*=iFDivmWSc{R&L3;zLv#s@E(nFtgyy8KhvA#Y@x_%FA3Qvq) zX_K7@ZKP+o)XW!pfUs1AMGhb}D$AgeQV(X_%x-BTMSQ2_M3yMDr;)jLb=XCE{1NCg zA`qPG(cXd#bL=Vg`n2^P;KNs*$*A8UANRPFmW);x?%~Q%;u~4~13R=R4nk~W26~)F z>a^h*+PT$we{}svKSQjb>899<>?S&gKJ_uE6q(}&`vw06-`hZER5siAVp2(uEKVzY zr5xqoo@*R!4TCw3GR_RvS2Q)zyHb+nLvE5|#$qKk_rkKF9`z(j`8z%Q!T&5;&JM*Uj22nIEm)Sv0s$x}syEf@ zr3*Uyqt1+U>Ws1L?GE1ab0M1rbu`6E*LojEOP^iNC)&v5n0pslVxz{p5(8&?5{Tg| z9J09|E5baYa{7d zgz~nr<(%f}Qkiru{_)S=i@7&KljG29DQbs5H*PoPWYTwPoJ-$5R>tgidr6M;4R9CX z2Ntrdr|}wM{TrLM6PSmSvRC2w6m^(=AhLig1SWT~w6mn}4tA1i!t$W6`QTuf2(>!_6Pm4_yp~!(OYo45aJ-`lS$gkdLD_PZ`T}F?P5n zZ{-fN8azb{DX6HFu)@{3UzLK~mvbEMpBR>d}ueomIiUWZ}2f)=_^KCWZ9M~S&Bzr&I6bEOppEctLz zFMsG29}iP%{X7{Bi?}@gTJjEN38`OfAeWz1dcKM|$CRiO4VI z{o`A*m3|leOA`ZBHlMpFP}~&o(hT{H{p_tnsy)VW?o8qkD=+ew=b3w4KA?14E7w-tqb?qzs&f+<}wa*3Krh(}cVV~*r90&kHy-o+b1A?biyIAbh z!UoPy1u*B2k84fbRH?2Yvewh4bv3e<@d4m7n4+pOP{<_FV>bG3I;joU6$ z)P4Gxx!-rSbawEbUI}*U1Ix7XQgW5gj^3+gQV4U?+mZ~459yMx;v4sBX+R48;I-nP z;{#_(Fm}<_uhC4r39TQHxAS-E8Rx102<1z*t!3?^`A)?;;5MWmy4nQl;V;TPc8$7V z>8Vh%zL=lw$U9_!3f2=M3t?zEhKlRf3x~~qslCHxs5%n2>*qmh_3v3gbi0|-acgkA9aEu|ZAquho z`y1X9JxznV2##^R2mxurRD;xls`PUqK`QsNOas!_D4)=|hdQXAKsyflBC!TJGn!^` z1(Gf;VN867}<;XSH7EitsRJ*|AZxq0{WDHLq|yC4_v%O0%v|30{nq zN{d_|Ow2)o%Bjn&x?brwZ@-Y?t@Gy9_nfp(3_!70L3Ijt^K0knYW|f~fd8)0cqJld ztBig(X`XowJIDG(rwdfgR3KV8NACIeK1&Kx-+j(i?WNt!Em%`E#l}(>DZlki{t}ko zea#h_=Q93G2x`z6@iRVTKXoRsXLSD8nnXIH#Tw+T56aX-J_{{sFd$KW}RXR@; z>G!Iu)PC5>^F!hEe|&{R#p(J$Y>N?;#3#M@a60&=zJXe^IjqmDH8}b8$_fJWU!GW~ z<;JR=SlGJitE+gn<@b7@p*jEb>j+*`WE7UMg3YflxPHYhUDl4yrGySN*xLTHHd<- z!Jt4c%K)OWh=>B?hb654M66>Js8=0npo;^*9IK(kRbk-3;j|rr1eHtI)korBYE-^0 zt;UdBid?K^2VzINZ|=6+viLZHfj0d=&HbETx83h{o6dHpu#0FYABM zwlFNgc2RJv{D+Jb2sZo;nx|RH9sPOauvR+f$dnoGz_#vAezM$MZ%lrM zSZyI4cLjQ5sW$XQ?18yyrXkO-XPZ1i)$rP7OnqMo)h<;f8NNRH*35qGvhqnopWty8 zrhy==>$j&b*d5DrJ;P+LpsocrE%b8=dE1?b&$! zg4P4{&Ey(L>>=r$cr$nlS(|uM z3f$}1gMdB^y?5l#Xq{+ZWZPrw=Tru1^?AHKuE*E4C*9d74cSEdpkqHgAm=Kkoc<8k zQ?JOmivJ@2z1USZYkQzxPH~s1P3*xTU3LE=8KO8P*jlV(ICIdU?;u8i_O;`C$yi0t zuHtOsz6KrtkCY3PPQS`1^{cxq^MABH(YnOf2m3~t?Q$M+2=eR5smSU`W}n&kxsA6) zvE*QVVrOGSckldvg0u4~Y=f8n*K;L=y$bo@@1hPVr;gl7eR8SH6KN-wd@&r>5QnBA z-=dA|ojw_Q@mxULmB>d$+V=B&4edKK1diz^E5f?6FKthUV^iV#re?;sy)5$iK6o-S zzO4nm&-1^4eBSmKHjg~?nM43^M88-`t`5{eGmFoa0$=%Ba4uyLT@;Z@k3yd{2zIBXfMXAd%d*NE1?C+UTEiLNr^2kuPoQTJ`g zzWW^NjuT1NGo6j83EHVCkJUO_Ygw(IZT%QZdw;WaEc1#KMiP5+w0_mvS?gD%zQ4)$ ztUWc@b-A@G%p2LUHL*{YLq7Bi9Y?Ik>XJy0)8+s8-izv)Vkzz* zcJ7W8y<3>gowa{tL;M_foVQTNM;By692gqr&{gnnV#WX$dMDA&oul=lH(W`rOus_{_$^`_+CK4(Gse@D$9B z)>`k7%>AxI*42BisSoy>?GUR0dz2&Phwub?9(o?JxX>>kZdb@!p6^EHB6ErFQ(z{s ze6qJKX!mcjeX9Ad%KS*i5$ETaoxF2*@B%S?wnppicg`b+4&?tOjBQ3QLiS0X zD64tTvy5M$Il$jb9;_oD=4kF<-db4>v*>$~*((pa$H*AoQ(|^YVJJ`c+T`1sul!+> zuQ`vllaWKM+)ZsfUB*B;?;EYT#$C@I`GB$HAE!{ZxRYdyd0ejHeH(IHWO>duS)OW? zs?=?=#BY%$?jtgfwnvip$s>NLH0e8{ys9}ddZw64BnQ}>KO4O`l$Fsp$7=#>-*lNs zUS5?wZ!|7dMK0aT_cOQJ+EZ;qj-L;We1}1P8lO*nFYVpcLQ!qPa~+J+b+(YZbzj-Nl%dA^ z$gbCTT{Ev2XE;ySKX^|4W4VF;j`TI30DDO7RM=mAq0V`S{>wR|b2uyPL9>XOsYUJ# zp>MFpj=Rc^8{jpb%aif;8>y+>VIusOa?jEF3crPY zlg~(1vV#43j8u6WrNsRK^~>|by8f(PHS3xu^W6931ap?ma0{eSNmK>f6MK+U>WcF* z?e8Feg5D(c&RcRB{+bI{!F;~E&GIU>0&rfg4!J^cS`uI5|G&aF!{-5+XWGt|r!CPt zT4YTY^b6jDHs?X+u9QaSS>{-QEzINQbN*s5<~t!n!+FM6RUFAt} z!uH}gw5zO)Lwn^x?C7pvcXo8wJ~$5TgTDehx_j@SIJ6Hsgnbj@&_3Y^?C8$VC2?pk zIfNaP;?O=RZU^y+du;k8?xv3;-wyNrN63jtc3=7hp1EHHGeiCDu-{K%DLe%GpfZG* z7c|hP3Hf(e15-Llzk=*9_7}|)EP_*@HZzxwP5&}8wFf*T> zTVro4au6&Fzppu*cSFYZ^rFuG>He8-wBt5(61Z?N;|C(Ac9PzlA^0uw7qAPqg?8!l z386pqo1f8lb;bqx+$e57fvANHsx-z^-Df|3eDfJ_aWKJovqosL=uemlf%&OC$F!R8@!w4 zrqpcN>i-j4cgjri)j+>N&i3w*anaq@pDj7wvD5{jOf8ie$x~@>krT~_(m$t(y6*Qf z8K0dQ%^lTRCMkuq>zZu|_aA&w3KOl(*h?K|OeyskHIvQP-@+%2)}Be4Kh0xe+_@s& zC*UVIC-Uv@S0?>SHl{WQa8VkpQlM~ zB#G@?lQSgg)d&A&ys{N3Px4rznsn7o4is3_z%0q4p z>r|yjwYKhT16{AqPb{fT(4W_`e1~yHvI9Nr>&dQm1?)_}44wBO?&u3>dn0gt9i3=V zcO^8+LT!~cWV_MW^?cS?>m}MiS6{E?k*f@+4L@f@gov@1CnC=(ICtv? z_hpO=qJuTwevQ)q*8yul9wKQpOIep=;dOz^V7&hrmikD^`0MVkqrWcrw&VEjnZca> z@lC&r}RrbHG({G8toCgnKzBN>DEuz6<29;QEL+|9^`NLoy{^!DGf+=7%c$9{# z=NEBKK0$pTY?S{3>rfpiu`U>vY50AllMNZNvyOw%l zPt(CI*y{r(f8f07Er8XMYfyf}`ZY~s#7Qbwyd>L1F)-HoCDl8lX|Qt{`rru8!BHCJ z{Et>SchUg20$&8N`Mj>}6pcU4xqJP>KdTtc{$DJNwQ-Ge=(DAzUCY6Y;7Y88(N zXPP3&wy0J2iM&IYyQXxmH;$ghJ&pnGi?r7I656Kn-NXM$D#mW4KIqBPIn))bmgM_T z1>ca0HkIZMSK>Ua_yPeD2QU5z~Eb|%sx zS2Ce;8}mh%?WgEg`xY=7|3`N%V?Rp_o%Ys!f$m`JJ5i6Rr9t8)Zmah?S=pUL#f;S- zW8`;Jegb*jqsR@pZ9(#~wT=2Q>bwe`?$`ZMHhfbl z`$qWrOB^Fi{A)zN7g?8zT=;+XWzdSIf6kN2xIOE9_`UJ$`<$;)HTzojsVZhbi*lX@ ze^bZ4#oA9JtV`MlMcNg_zDV?h?wCPL0aVHmlh5iT0Dq7s5~Tf6iS@{*=jg z5dLCJGQDK9Wov?c&9}*Pp=r}<+H|d7OI7v(oRhWKJC4cwXrMEh(t^B;Xaujnb6n*s zWq8jT8k*=dMH2G@yPc|dZ=Jg+i(?noX)ib!vePswPV+jRfG$f# z+?}AtfR97}IXI^sW}cj%XqrZp<>7$@HTh{d<+hOBSe?~jy;{s>k{NcKO^pQhjRq$wU<(&6DZGZ z(|ScbN%JF(RLfv)FEV)ktAG~DgX@ifkzhEe0ppB?&!zFo&&RReahfW!pbsi=PGU3~ z<>B~0+I}2by%^8q(9++h`(Ud@9@Zg_*cey(x`dzE3sG+<_BW3G{{dAyduW#PSDNme zr%fs4v&8sI$|fD@5B3l!4Aj!xyHVSXLI2nZa}^FX}LX< zqV5#< zA70Bucx`<$%sO`iuX`Bv-E*$)Ljcx*_eAZ5IRoMqjLrY~ySdI8Jx4wR>WtKqKsASb>TH$s zxcr{i)9s*4cRT7F#u&X&XFBy$V{_k&_54)ZdiXH(<=%()g@{$h@QmrD->LbV?+WJ5 z`_FSnpH8}-JG5jI?&t(}0QxFG{U1VC@)@ZHdnx8_ReO~s`cV`7L0>SN{Q~$3__BF6 z1dIoRzz9=j+gIJ=PYd7oPs@4b6T+-c2K$2qN)n&hz2uf~nf(WtXw}1RRR6tX{jiT> z|C9{Gv0wQ9D{&0=WehYxM&Q@Ntfg;-)?cl?{W0Rvzv*ShO@pRsrv~RA)L<3CuNR@; zBDxtAfazcu=neW92AX$8rW^$WdaR^aMh}hwvMu9XWm$XUMoHu`a#b=7oT&AD4VYLD zI&pX8kemj461KtVjkxd|=sRC~Cn<|Zum>TaaqkY3Y+hY7>030I2{iCFdDJ0!)Ltn+ zvi~VR@}@!)9;Om612&s(OM8{Glq)x5O)lZjY!GLgIL~BS{Ih;H#yh7p#hW_k5-n8Z z`~g~Ii_sr(szqKp4t62ReY{sF%gv!&cOtEEeou|wW=e4nQ=!o+i=)dZ-OHxjlnlh# z1JEI?WvXGY8ZyTnkO%{KUz1<+Dc5LZ@Fs>%;JA3OpL^E}-Pt$hsR zk7Ml=iOB=3TQS~L)p>+rXX85MtcS>oG(!hBx4ZAXyTIUG0!g4=ZZ9ER zNJ0rYzyJ;8E)C&NYl93J{siR5-Y{;LuUn=?K-yy3Ydmv%$1}y0Z25gtUi)Tu_~4b3cku_bteApt$dT z5B-2BM%(Q|2}dIk#kOSB*C&?<08oDBNQsbAC8{Gh(bgC872L)aP`jW(V# z=25!-57^%YaDpmf>y?gv0QZO2A-iGUPtqTqvZ=INn@GD|OK3N2dOK`(JA7!V-cG-E z-fN}pMhf2Pq~GfM><{&A*juWtt<-2#quyPVXC=P{f2mKyU1tUKI(l3Spv|E)OJ7S9 z48(lKF!Z;WI&ddl&KBCwuvYsOE=jWM_EF78<;GsxfO}=5whQ;@y^t%wV>Dg#K;pSx zoh*Znn6S|}h zFxr#feS*p{myJ&TG5d3E6~^-^#(31(`^WiK%5igAuFx-2rTZ#vaJZb11Jm(dJ^9?! z_p=}DzYo|V+QOq$qi~<6DGK8Z?ElQS{UI;Mds}Ey-?@SIF2YZh=;!QHTAjUXz%C+p z6a2|s_?`yHWISi!xiJ%WoJtyO7zwl=A*TF<%8Z2==K?Aat!h6e{d+%uuVOQdcLh5@ z3m_Ll{-iIiL)&9?9s9fvag!n&cBnX##GSa?rcAN_Bg@#9zd@zlbfMNC^yX|Nx|z$pVCN-hKUp$Dj9z(461u9vC8sb5d2 z#w#>L-;Viw8a{aq=!*@n(LDVN*i1W(6w`5c-s9|}QoNU0Z1xG*&OT}|2T9qp<CPEN*tbt& zY*(@VH!;u8pzViAbK>4&D${S{?yuIVm0olkwAd)4YTTtuL<{cVS-6MngRR}6MW{1h zor~yCJmp!+)OOfCu5maM--M5-w|n(DG{8Am>#3Z70{tv}*~j*02F9l~0gOvqjJk`l zhIeQS)@U}E$aG8QN7qU*5&|)jfZ-NTpi%S3mm#?afBJr|AVFKri6?OJUop&ABSh zapnSZLyRbO34fJJsheEH$xC5+9{V#>|B!U#MbbOuQ49T#-;p^Dk%3~A`tuyhA}I<* z0UDE{z%sIAOpJ^Mhsw(*MLyjET;yAYS@O8Z6Is>|WH=^^g1mZyO+xWl7-=lT>mYQ>(H+A>*(5>R>{QBaYrdEN-AYP^=rG{u$rXJ03I)I{ z@N=*V7|^akyGAD11a^SK09J<73j(|wTmaV)oL!?Zm<8PYvW3sY_$4b?E+ZNIB0oo& znDIaJ*ByBQ-a^SY_!&o@hx9{s^Rt#;Qe+^?3GaB-=?__ia-IJvnwuu=NGh(qSZ1U} zpbS)j8qf?39_Kk$txQ8Boz#tEU2=;)aAZ5}bW?bl3Gf!nL%cbU}i2e1FR$*^aW;C(zi8#9^>o6m+%h$FoOY$q2+r9e)i@-V-WInjc$M1J(7&`NBd zF1*-J93@Y%`_x+XiPxg1nE52XJ^F=W? zo}3KE7{rNyQ}wuSf;`ymR9%mPR!csoM2B4KnNP!X7z0xIj)vdlkOL zGF*=~J7k$}kt|Kr8n52zkYmt=!h~9Y&gT1^j}G_a$x%6e?%a|XTN)naYH`hTRkFpeKzWisLh^l||GdHAnu%yq(=0 zIVwlwNqJlzlVy2S9+3kwlBaw9>k>h51E)tL+>DO!c0}|e0p3C*UVRwO;%^aqB$)xT zreTv^wvf54uwON`hsV|%?18Fb7B!Js*Vs#9_JaKlmM10)R^M4J#-AyuEby_1QQhj{ zK9>#>EV^c$BaEjGjuPBHJ~*Nj#bdjx@zrQ?>eUs%`0pT-8)rJ_!$*~6QHLkhkD4z|vX;AHqp za}FtT%HzIyTo%Rym*EDuPzYrOmkoskH-b6mIvGQEGa13mD990vGo$>;TxEgDW(5=5 zM^}QmitTfT6$O$^0+LD!1ka+RK$3V?Ae*Aew|2PQYBQ>~b=FdK#Vy$PxNYt( zsJe@6qi(r&sjZ(DN(DpR(&<^Hx}3ig>u?xrxq8oJRX*7=k5$Rv(w#~#m)nbtkMX`qq^YOjJ1sq+DQJ7FRu4-%oDtG0| zxiWXbqs3j5AV2h7@x8));sPK0t_a9es)k6X>vU1vc&~ex0|lf`mnYn7iSw@lK7*CE zbf<%m$)40qwz}T1++YyYCSMX;8EE0K(d%D&;!_Y;xF|_OkZZ5G77F>`-}k@k+co|a z><7M|;Zh2BkV7SwGV1|&Bh(wPR=Z(cz`=@tD;x?7bTGlrU=IIp6N0?oh_882J6*r$ z=c40VZzK$H1}Pa9o-8uVSVv4N(n)NqJSn}97Eu|_&dCGJw2F}67*Yu0RRE0Cw&bHWo~41baG{3Z4G5^WN%_>4L3A5ATS_rVrmUM zJTF8jO+i>QGB!3aMOIT;Qy^PVU0*RaI5s#mGdV74Ze(OSPjX~sa%pa7AW3d!Z*py6 zbZKvHIyp8sH7PGsFGp`wZ$3T^Wo~3|VrmUHG&mqIAa7!74Lm$AMrmwxWpXb@Y+-a| zL}g=dWMwZ*Wo~D5Xdp8)H8M3XOl59obZ9XkH!wFcG(J9abaG{3Z4C-YiCk3+a1+-R zz3=T?Nh@}(U47R2Se9hVHok>h9b3_Ple> zy$=WgNbo#xFtch_L9r__w;N!820op?uz5ktnfKIP0KW_aIL9npy)roR)*q%||5^a^ z{6#H|&ByYT4uHdd2QW=&T>8wS`LA3Yk7F^O*4@;w;K{GrCfooxVayk8!Uy(s-bXO5 z!8qL1yt4hLf7xckxE)~fwxv%mT);c5y#UjHj`7RQ3))*eb4_!AP!Zn`E?dytu<8bL z0d&m7@1JdXdd13-F)o7r4;%e0%Nts_s_1@O zXF3N~Gt&upEGAy3+zFBk0_i4haOcZ6%ZAHl$U|lE;W8-4|MFdIiV8zYNXfy50E4?h zuJ5kK!yO2+J^%ts^lI9~UjP}N(9%ZRIyq_)2naIREhkA92tcp}x=phR--tVdtdLQb zq}zLx*OnMxObiYW4a$R1UM`o(_$`tk*}1etc0@}fl)9Yubd@%oer3a`cw3F^lGYb|O@U+VY zCcqV^Fdedd!|xOgH1@?62AaX)EB_0|F@Y-?OSy<@cR9_Jn>=gMqZx}{IJKkm@oBH? z)d%0a^~tL1WFIN`tDbr5{6F;}{f>cT1=&b<(mlw3u@)*M8lj{V)sVR$SI9AL5-&p# z3bEV6UR&zUpCMmK#O2QuP%xM%Ds&**E9hvlk$Cj0#%x=$d7XIh^|0om(~by#5-hb!y;|?B4KylEYLmAyV2RO~DJn#zN?K`}EIc7~3h&Xg zrZd7B=_0*k`at+VQe=0~P2I_nJ}t+tj&*lfq=2o!Mr|5KwhrL^167PLZ!ak{F=W>a<+C~|2uJSktWIaax;LvlPXorzfH=zeP!N zkpls0HDL)|LtjK%S&}@|Gmj^HlFmJ15qJWk0LMukazy=C%9`0URNXG8#)hzR_o zT$^{;-RnK-dzZcA?sxZl`n{G4UqwcRzt*#p{mR|NIx;LKZxBYCO1)EAg}cI2;kAU_ zVNcl0sky9{ZF2AO?aJ8Y@5<=%TkPPM{Xu_`f3<(Te~bSkzr}CpqB>n;{Ztlhe#5}j zaG-`Z!mb|F2$J;G9!f-8lGJM10kJ@&qM@DG;oyYzaRQSh$B@>56s z8zx#&Q>Tp*d>$Y5IS6xLMYPB8Ow=3Bm{whP5_}_GJZl1j7+MD^0Hq_TOS@bVF#PasVTT|i@{pJ*v@cNx? zM7Z=u`RpIgZCJb}p#}kKU<{B!+F;{%3(nD}jE8gfj>!NEiUd*5!+&;e3 z*~=Z{d!2uCf9$cSPV$oaQaTM;C151op@ssIELxK!tcg_;(WK21l_a7j3Ds-?M}dPn z40;_MKAs@uhh_8?`VYw|#>cE9PwE!?Py}o;=Uk8V}z}P#2_#Ht?M)HsoCGJdvgB68+OlGzjV{-6}}T2PU|;5!+b(=*(BuEiaYryT1*T|F+uc* z`Qj{biTJ5#8kC5MsVs+$mZnN`q)zFG^tL1rY5~zCnR)9d$qb?>NlCI_^K#6|VQHo! zlQ@YoE0{H@PwL0uI2jEV+*A+s0%JG;lBDhszu8Kxh7fkyyxV-r%$dEma{3&l)RT6M zOea%QS$(AyH@Gxa(_MLUVCq5>|#XpN5i#*&j zEz+BhyKf1JC=pk-LKH&R4ezCEul4roH}nG}?r<3or>`Uu%98K$0PCELOa}LSHN0mwPPt?QoeYxLhJ`U$_I3s4a zUG@brg$y@kqMLjjHYpG)2+@#Xxll*BKs2FW7!f!jNve7d5P}vAX;}eQfF9IR{&VqY zuC~m9Dv^lZ9QT0T6aK%dJ#;VShY~l@Tlea>K@Z$_7A~CdxhW$>BgOWVfv}tdW+rhy zu$zh5^c&WiiuyJ3Q@gtF=(o=OL;sYFx!!qc_|>y`eie^VZy3e01Pe4SiZacd3rnVSu4J`>Q6XC`Q;GOuV?a*GA1bCw2Qv#(9J%f3gq&%V#G zH}@0Y-ckF)J&xnKwg&T7^VZB}AJ+!4Xah~i4()dx}&#!X7%`WIV%Ro_!pHmka7=Im-mL&f~nYbt7MSX=1B z>OI%4?OEC$7;|#nm&%XV_cdHVv*#|Tnp;*?op@o*PdC)pZrD!!#w5s2W*X6dlz%kA zSZb`%Gzu-kKC(~PtKVzxVtUvveYf#5^Eu{{@wC|(G0)Rf=&Fo!%++Q-i!@kw+rBp3 z$Rx|mC4PJx>k+!BDB2HB7VcvwVb;tlaZ8sHr%fDhAHXA0vwdtaFenH=5} z*0sYh-v88_{2z=D1vo99Mc`I&VFch!L7wNyN6A=Pl5veAgL>|Z^BaxJ+YdcK;^iAC zSGjio;8na}!!DG>)8z+KXA1=OAY%Wj(LLgyRq+Xl*tJxRZsl#s%*{VblbEwi}O-MVE-eVv~r) zUOa-~;0aLz@0O)muz1K+XF=Zj<8}7L%ol5>+y;LJL5W3Y+=Kj$2B#8C+T`X9XPZNI zI;afMX!F^#DK-C0jEK4j6QnX;dRS9ldN_A>CD<8uQ2A8g)V+fWL~7s&&lMM^ok+^Z zxZNxq$Zcj>a!UDaQ{vd0%6U8il>{!GM>CY8q<7$FSW4Wa9bPL$ei208432CR(K_)I^{wdKY?^kQ|y7st&CRHHCJBIzoaJ3WG>xdL%|Nhrxh!<84O^#*`0P*aX

gH502lvt>dbI_sbh?#n~3V3K3D^jt_fLI+-OAr@oFx+Ev z@sRxRV9SUb?BUp=@ls4EK#6PT`uG~^|$baTg;FbBM z(?@-^ADKFWlgs5dFOlAy*LGLc9t`?UZd&qrold~qdF2oh=f5=NhaySzC~t_`_{_<# z1*nT#ICzIFfIiB>T$5*R6)D|$u0)~_3xInuh8tQEHsL1k9i zY-XEnBo44%qVkj7q)oiYp3{&RDuX6sj)6fpnFl}~8gipOk{s25mu^%k+w29LpqKTg zh`zKc15rVjE%pd_YrpI<6{y*a`}%pzeJ1%TM;MBP#n+)i87h}53~mInchtEtotHXV zZ$W?31-BpU^*@4iq?0)9G!V7Ggy-@kDm`w=X0@dXFZ4_xK3ojBCM%b}Q~s*FgBno; z>3+RrtnwGf$Mqk5`9(+le(Zep!CmwoszfW%&h`a|r>@@ot@6F{?RTwI8{P+mE(C-c zplRJCkMjfFU$WJ3HChs|mDr|PE3C_`q{KGf+3eg+S~bLFq_8xbycq@|%h#zwsx&6) zu4HqQ8%gdkh_%rKC^Uo(*g#PzJr{*fAxL*whbW9}Rx4Nu6;F@66o@8biW@-D`#9%# z^;_N51w~6|yuD~o;tKMAy}oE#RW!Pyd}0^r&CWlg-1&Xi+Z~Hb11|2&K%w3=@7(_V z$CjG(6eByqkv@Q(YM34J3j*O(f|obB2nv%<5LP0xoEMr$wdmC_f{HI?@iaA~K^ljo z3+rUCnw%&h+Ef7~U`N{FJ4z-nI-@_@AAJt32=FPVgaDs_R^%C4u>=%pOn98pZE>g1 zom_0-F20$N*m0!yv2x;(Quhc}-v+DS467HJ)p870&l9go62ihM;fBDC7Ip|&5SY{! zL|A`}F9X$>!-6%&4oMT%V9m4ZS3ht4@l=bOh??l)pSQj?_FiHFUXu8LuI=N;iC@sj zE&}#_4(yXa;ieYnmgde=)eH67Ey8B)7Tad0$lG|Q$!2o;jefh|;m;MOX z+&Zq&zQ%D(f6Q<}ciwQ_aL1r$vw4ZKO?Ej-T-1SKgsj;GylA3?GnJN^k(sj1OxYH& z7G$st)Qzkvxa~8|!!Ai;VauK{c&{v9hZ<$5UFxu!Ra4fvH?}{+I=Xp7|Gm0oy-2YS z$Vc0&Xre9{O$uI;fT+-I1O|FW02rYa9Vc;V4q6N*>JAFo7~U9H+PiKm`wyP(`T3uq z5g0d0`LpXl)0tb}oQ$9N0d_u0bXT_g4%J?{g_cykeCtxtiVY9{sXS4hyd3F;ebQPH zNaFJ&*(-8H&0oSSd3c-bk#)0l)jD>Su1SX}R6C$E z;&fP(O7>|eLMgbeeU^Z$A10?mB@-?*=SjS>NxZVDGC+s_!s`$uo*u2pkEbBN(w8_% zdK0Jcr0=KVw-THFLw5B5M{(8Reedn=`?Gg@dzZ~!?vf*yhU73QAzTk=NSLfbQ;_m! z2o|V~lc81!3Qd>-fevYCOGuQdw4;(B44GQ3#Y!L%2(eUZu`}psamw&V2PYL2rW$2N zL<-!--`h(l)tlS*cHg|&eZSxPe&72(h-oLl<7W^PQJ(SYU?7!FYmzXEOT6BxwIgXo zbF{@;yEd$8g<7N5tX@S(nhxN&qDB%(VWa!3Kxtluv%Jfj(;=;B@^X;K7R3Igd$p^a^+J?Kpr$6UD^KqfBh}dmR{7-53B2eoqtd6JbJ~6F&%|M zLTCgN6^>FaI1?IYT(CiO%qge3O{q?##1bH}gy0~l1mT1kFdGyVq)jmlMwvQ@zuK?O zWzabx>d_d!hl_C%d+JkEL}fwbWsjkIpoju0;Ovi7DR6<9$}3m5TBp1lCU2Nk!%h*| z)?`)H5uPyoX+X`d`&kmym6TV??B!0hR8R6NWMI25NA0cwoko zG?#Fg2sb7AEb%PqAU&jq?-2*c5IIf6yW|YHE66PI1%lUu5<}%J4J$mf>Z8{NLPo7V zIC{;=2^h6%r9TXf&#qMyMyM86a%Lb9&Gu#_+rtd6he=*PHm~2zsa3Kf4|y$!8;K)S zgy2tuJ%IDa(aV_&xX#_?u7$%SvjKlA^Q*ht@S%UZkHAju1D5`wc2LojSx#&*l^9k* zUnoq~Vic46bFWW5em?=b5=#p*V-WVLD|a7!Z&*8cXWoA#%L3JcRT@%!IL|Q*Qp!pV zq5?O-f#UFjnQ4)jS)KN>Io3O`7gWF~G&*4DGXJ{(%_&3JCAD2nleYJyJ@tMF>| zX}(5m!maA-{D3&Zhr~^OTZH$kyZCdMqR~Mi;Z}*O6TDD>Kr~_EMv>~azXRN6f=!ys%c&=YE4S8Pt`dWxj3dq zIL*SwDTG7`uK6fU>839Q;@KjYEoT0-Asa7B6OhFmGnqDI14DZqOWqiaNZW|1P?*GS zZH+{1b|V|mlo9Lfh#bft9IbXH!&ee2<2*%Fn-CQnLZk?WTMVxuJ{4mh6cwke&_w1S z@(+NTRfq7y9({eeAxm44`lV?tMIHJ)L+r%!unQ-Qgy93)c-RR6UpX*QabS{?DwMJv zUgMVxY~28xS#H(*!>WlztxQX%r6!hVfl+}0>q-kO-tsChTWt3^mob-;QxAw|qr-=d zgtR0L-p}K^as?^^U;{|aA~yI6`Z*GaqPN`<_mX?r{Sz=x9=UeAPJQ7{o4f#1-M~D> zQdOYeIVLAMi;@UaL%_O_T}9TFb33Sxv|ObLg4L9U1@BUWX^YD)hA zT$&`%sADWP8V%BHv>UkBIBDRl5@CGorC!aO_4R3<`pju1?mMl(#KCzreqh0q$Me#U z04G&d?WmB!Lx!?AlBd_O!vPg6k;TZ#V_c%fvwGzo9nJP;wI4O6v36sc7uc*=MVi$^ zqmeL45r?RCWIKqeUe?d95d9SSfc=4y1ewCpq?#>auaf<&m-MkiMhUze z<+$fFhXDYS$%nV=$lG`4NbSD@blX+XZ2=`;dCmFKZf!T~_H~;oL)2senwQLL<(oou zQ?NC+L+xT+z7BJHsLSrm?TmEhb;Nu$1W1VGhGKRsFE>VWCi{7GG9}?;pFoPRL?WxY zoJ3{Zi8scZ{UnXK&>XbgZF5h!$K5tG^{DG?;j-oxv9(!+iZ$xjkwnjE3Iz)%rX?5CN`Am=Vl32bSw~L}BUyp22 z*s}^+L01FBHpezk*qrz#?Fx3qIubo}kMX_WoAhY-{qVVPa7O;}U=wW;Ta?WpN6=%+ zlgc*~bz=B|WO8DdDn!Sn1t86ngZQx{rHdYz%(2W^AehF3sNM;Xvz(Wf7DkhJeqR|1 zjb$^GMN64e)>zhD)?U_IrYFj-m*KL)WUmhee1*PBAMr`FeC~hI0(rfX0o|_D-WVyj zGA`gIt6L;dK#p5L!^718%8Wu%lX~$ujHGBQnLdW2TnlvDjMC(cur_sV``X!#d2Cmo zd(hp6+R;2zhuWr1a)+v_hL0Ti=%crsswW!iUmaRl@q4?NK39ugMyt^(^li7r?RjU{ zI%oDf&$)N*W&mip)kSZnB+{hD2aOCnQ>5H-UZ@Jp3oN5+j5WRk{0+0W_^5e-3!UjA z!op&@S!dP-D6@FbHtm3IO*f|p9t~_TH(MVHqm{QtH^w`8XS5@(^RUf*0khuRV7_F2 z$NYh*nF+to_WOMSU#>qAF3GWM)M)qG*tY*mb=3zqaa{4;y}i9}BU#qRNhmqp`3q!h zL1b!!A=ici#xcPSUxqpZZ4C*X44Bd(bPAYG11(7>P*Ou;rqDJa$+%?*#>E(D3~3?o zPnZlbA%qfIKGJjuGbtscAh~Z(0?bL;x4XKL_TKxw_kKTQB{+})(m^CcgFEDwA;SmM z@N}$fC)>mN*(pZZhGh=6oRmYHL~tmc?V*g(%hGE%TJ90X`@+$y&rq9N3VSH{lOQBFWkPs?~<>z=2MI6&V9I~?un_z z`>u+8Pn`VO>t}}7tnbSH13%i;oXBh!rWeos*0lM$$a&JV!7KDjKz{{32nQp{R3U0*?C%DRJb_tO!?x9mGSlQj)Y$5 za4z-G!)b2aRx_GzvYVVE_7R7+*=^1`d!2L9zUZ)|I?^b%i=A2{?KG$j#w7bOXNl43 ztTKLL|I*lK?^53|-n0WiYDsE=Cd6n60pyZ~>)JMkXek7fJ?b)&UDis`74O62-r7>C_JI2~?~ z%1Wb^kTbqLKH_V@*ZsKwnxj6*SEGg}=e~{Hn&w6xz3IN2V3W%sj39b%v?MR(?DFI# zNT6I(@u;>9{)ojVI-D}+>_7JgYHe^27+9UyTgX?Z++l^ahE-UrdY@r@?=!bRhdrZK zjqp#PHaYZa&Xd64ICY#+ZC8UI>y5|k$<8kIEn7t`&G{l3C<%pfCBMvjRj0>7JD_2) z6mnN$!J=@8ddQ-X-P5oY-Lc`N%@ZC^ANXRyhPBtSVfETQGDQ>CP6N}QoAQ`(hHio~!;N~l02BrD-?l&AI^MB)G2n-73ncsyl8~3$z(#xp#IZ|x+_vCyE%4G$*R)4*d1~E9yFWO)rYH{d zL@cQ5&RL+_3d<++KBLT~x2LNrJESMP`m+qGb z@BleW&x#_KAkT?gaR+&YZWDLNvVb&JC3BgxKwgO@6NT6aRLbhn6gCHyBm z_J~Hok(vvRW8lGVu)+|#*Q^F+e$`c?@w95fpx<2~z7lyoY!1cK)Pg|*DaZ6E3MwN| zi6Z?=y{dnue64<^i^s(i>T&&JbQ+Z7fc`nUtSDXd4`P@4x_*#$iwD&n{XMEwQd_K2 zExnU&5j)l2=yLAi_sO`xV4N2eBy!?Ife8q|1V8fj?wlsv?gqI+`~hESOh8xy8K`(F z5Kwqu1$yl$w(qD$MXPr()y+Tz_70A5zbhc!8iD|oiQ*VdEz&eqVUlFYN|7upRMYjm zT!cRnbVM-K1yR)`MP{-jiFuHC!V#J(WPTOs!d{$mRf`?g4!czV2)@)UCdaoCnu27GAaPzeB3 z0v=>=YxeiJ>O9t=qu`5pWOjS@SoV|bdB{S)aQzOV2$bT~+r5AwxWp#`K^h+K{?1Qh zY7?EO(k^kA)TO+pox`Uk_Pn+Yzbw2eZk1kDUe?~kuL(*N2c>dcDmCNT((}Sbl%3O- zC_I>L%Qkn}wr$(CZQHhO+qP}nwq14pKK%rJl^4j!mt@SfrbAI-@q>FrdZkF!vc$84 zOYf)CXJKc?t-Raf((_&A1FU9|Z9&eZgQp;m2uTXLbP`C0g>t+INCIjCA#|V!Kh8j) zMP|q_Av;bmY#=#RWN7{_?&jP!Km80QO1j&W0&wC30%5#xy%Z+1tY@>g)UpS@G;Rch zUErF&Cli~H3Wp-_P|yYkOf9y!SN>2+3B?&ChnHjDpN7j;@~LtlL0cGz(dllO%dZl+;?<@8&kr4k`}<7p@OoDU&IP z&@@a+1LAL zDvXp|xDm?R$lGvV?2>yo5MQA7`iW&qz6PXQ``O@YrY!>N4~eV7O!S&EP`nJz`*9M`4A!!dC<{nT-Q!5kEapWt$G_S zO`4*fla}ZbB9)i!MDv%BFY!l9$(x7o+}+1dc8YdLJhfw1vHvEJPQVNxWxm)Fw4<#VG=CFalIDTLPTabhTXhDsKJes}VE^Zg z;sGL75z$IWIbDVayW(Ke8tp0isA^aqWKxAV(x?Q;e*h1zmi}`Iz5bMdszHS8#|r!fU381$N69HVExZ&BM+&D)$g zb|)qe%UhJakJ(eBh(cV{_p?`!SmGC5rV(9FeRaOBTWfRlwbqlPm4JoAz@$jbDQt%- zRUDh^a_UOhn$YAM5N0etytpu+gLihkSy>FGnvl=$p||3#KIc1Ji;xtW_Y9+pbJ6^H z)s&ua8&plN$cNZ^5%wKgfPDlv`Cxd^%aP{0Hf_W{FoBt_9Res%3b6`lOo8N3jAsO( zG*k#A*PhSANrv_J6KXE6{J49maeZgQHnAj&3uY~DY1o~DSF>{fQA+Rz%<3p~MbdzV z;NT=G-Z-9Cz2M|4q0ia4%hGggozGUhk({mE&1R3m5AImW^)cd8?MDoU#ja)+Her=) z+e@yy(etqbcaI-{mmELY`7mEhN{*tUY$aAnbGGVN%gv|NvDNJx_nn2`%l0y9I^=7&i-=U`lC5j6cHOGgvg(+sMV(PxvmPH+p2FCPLJBfX@adSaRO`Eu zp>n_5v;K5sA{(i;AoGCGtZ`iwy*`COKPRClguN#u7%8kCD!6*^T_J)3%|0^0GMFJF zT^{VmOSddn%2dOQIL(}JDI?-NhEfHW|N6BuG0=jk#CS!?k&W_9jhp^FV!VVup^nim zvfp;A3hi-plyy>F1X6wRWabkhIj%|=* zGwVKV!Cx3u&#ZU-{tXNI4EX6wLXP;{Xoo;34cQ4ZuML0? zz2cc1cC%eCf|zeSv-oLaSj)4{5Ua@w8yvpKF~m>bm;DLoFgsv0!qczQlwb6;{5;;i zqKXTSX)H|U{CQk+iQUUP;gXr}m3#EQ$&}#d@keg>r=4h46^Di*p<# z=$DQ&o#N=UAanS2#f2KE74MAa0e?BceWu>NxlyFqHnXl|C)M}LUR~YAZZHd>_@9&F ze&`I3wbtPwbd69V^_A@^mlLzCO2NLbx?l`OZETdoTf!CC92+L zQk>gL@GBRfjxK6Kyr3mEXr5QE5y33a4V48`<>Ly-F-l;Tg;^D>N{ab3HWfAiOFUIG zUuD0JMF}cdRfX|vP%~FnBTvQtYxx2212Ao!qG_+(7VIboOXdjV%3sv{=hhEe1x%eE zr3+1bren*cs=NU!uV^`znZ5&&ooEO9OAAh#O;~{qo{$%We5Ffc*V?&tb#0 zxnDzlp`oI8ne6*;bLO`UvGem5z%cK;$9w*Jt&FLwlMQ>l&Ecmu_0dC;zIZj6+vR!& z8vHL%oBZyrFI(e#wj^(O3_kq0b`OW|BWr1Bj6kCf0VD@RS1kgOh_HZg*&q5sBOJ~1 zFSb_z`@g8TLE}=_!WDn`^G8o(++&jXpae~^dhFQ`R2@=q6rGc!r~|uetWXp7A^wa! z(o2H^s<^iYE1Wcl*_)GE>mSHl zd_XoBwZ}=c77%+#JwHSu6hDV)3>R2lA=|5t2HUc#`7Vv_hx=4%xd*!OfEiip^DS38 zkMwG`hSFNMpWLg|Ipb7E8xKx*~1KE^Sh{Sc_@Cz+VJSqmZp9 z0GiS-!);u>wWZz1H*{S8zP5F>dF|c3z5Q@Yb|^|ss>-b~sm>R7r?jbKP{}nk_s>%c zOF#sMG%2c9@y8{~oePkfRK(hcZ$?Tv#FUt}W|e>Ag?*5x_mFCDW9NU$$}g*fqG`jOary(9Q&_TgE`g`8z=A`c!3BjX{7pCik}(WBzLl!Y~}oXqq+&ji9fY)-?r?rAI&lO^jAV zWYA(E3B$u^jGx+N18af)}Xw@sGsRWEUmg-{Npbua7@B@iEMl)LQ&?u8!5V z*0`>ViV#tzY{;~eaVGvmu4^J|&x^EcvWpUmXo`pk&Jiz0g0(+ji+F-$EdU?7&`ftr zN5`O*bQ<7DXAP~+yf?&X*s*6-|CcY^0A^b@QZ3deC%L~uz)1t zQJ{MjYrIG_&fA#WoKfvvS@A+xVd1_L-*ReBf!;dBNOH2GLT}kIl3u(# zU|VHot+nuIuC?oou=cRgok^_44I*yhv!I=F#m(piWZ{ZlfU`U$`gGwtOW>JFWrgOE zBq~pBnpHge4}Pd8ZSG+2ia`YhET)W5#xoGa3V6JauM1+W%8zu-*2|LVj<6@}1fq5$ z8_dY)gg%CfVW@H}a^ug;AO_& zk=Hs~`nRSU;L8J*u-Sj}d?`g+C^6+S=R)Tb+C3hB3xK>oL3*Y+r>U3Jmv(LRMcs2q z{>Sjac6#?cc1X-Lq1((Mr@l+}H{5IW5j1|?h^@~>lRTvz5*V#SypXLt1F9v&C1^a3 zOLALJ2AZUXaMN@>X6IlFG}AjGMQmcgwr?Mz@Gu87_P%qT|+QH0c3gyjY9O%cXEAIs|aLFL=rKvV}=T<&PcBvdf z&!u^aZiSy92mSW3nUu|-cP#gnH4lgrhBCq^m!W07ayzmUx&f8%@AV&H43^OqT#Y}{ zm+o%!Z--BuoiC!~R{g+x8H0^9MxHTN8DG(;sPF6Lc*N09J^mXqh>FQ5Z~2%P#_-mH zr73b#RDt5H%PZ>_9GlGvj-fCye1u0rHL6;8F}tL%o}4{)wOyofjYFW@1W@fJ0}5^U{OeZglQM06H@*-7a(!pj9aV8* zPB~tp+8VrxDr=qBl;@uJxX`lp5*sMRl_0RY2w!tcZOcW1-FBb7N~Ee++p0-#dfR!i z1F9i)*vobBKdFhmi=*nO7!BqPlCjTiAH}*=ok=G}Rl=RV=9rB+VZNB3G1iiLNUb89 zq8hDpmpu7VVOs@hx>_YRDy-$7+n+_=lMlmQNu6oa5R+EaSnFqj5T!_#7RhO0CP~s% zic@u}qr)QKEK0i#Ex_MHnT(i=Z&x)`b~ytqTxyVxVCKP^LZE?_uuaPOh#~t>9x2C4YUY+lz`q z6fkDwqNiy_pCS^(9Sm?GGM#upz_o136(=ZtTJfm*)OZ!w%LzBlImM^VJqv-Vz7Rih zHJOb7W;zrTM~isD+vE68#KmC4ZAEa-p$Z|6gEgoMXSjHH+mQ);I0TB&KA~g|5xhCM zH`NHP?zJ_-!X`aSDQT`IJnE?=`r&e~;)xuix^+rVM)Tp$Zy*Xo^?Ai-LH2UHQ>pAX zLWpy9rDp4~UW?f=LZW0q#a7__I-~V?hgz0M=%IZ#?9GLvTs{; zV4kro9RPI$9S+u@^NY4qn*R5^3&=^C&Yonqpe$ZSol-WI)IL@^3Pf8?{aE9Bx>s5O z`nzr-7q3Dd%{)synD1DOOo_U?5yg}Ce(!VuczhD#&sb@O`rJ>al3V?bu(_YR&cj2TE9FnRZPr67W0v5|?vL01opvJQ_mf zs>6SzPk}EB=q%4POpzadYjQZC{ONa{W@U}ChU!0;^I!4*)B1}i-s`R5^rr3>bQIqD zQOjDodq4N|5Vs!s)$j-q$3=R|P08!|2d@8iaC(9217H%seX_yQw@ea5E4_@g7sq&RgeyO%% zooIIGk6ve{g(47Tf!sL(kdbQ?DY%IT(^0CU6kTcl&qOMTgO^L`jT7Ir zV`2qQBfoZfx}tLNaoGvw5$}8`6U%t6RhZ;zu*Q6fL{3P?VCziU5R|;kni8x~GSbmw zZXN>CW6uWNTLdoSl@ZrYr%xc10@(^-6`i6{Vu%Hpi83&jK7(U{WHd<;OJyo%Q~r|Y za85il2r-lsBO~}%GuFg?0&KN!Qfnxk7nnnUVP7%`u8+|wU`6=y|I)TtFm+3V~ z=)nr+TKr_WMg{%r>g4Qb;ueUCpH(^HjN2@);0JU&wFEoA zl3YdB5r9u?J)I}g`>bMJIgr~YqhRZFwJeITf3aqX+@JRl+NVn z6Zjn^FkB>INQHnLlxl)0MY+t0I>upzsPXAYWGj>2jp7&cVkZhBGi9KY%rnI+nm9U@ zKcSqd=2R9%t*N#PniJ5QR?jXciLOy_mhdcP;;14kjOOXGOOqBgta+8bn9G$dC7Y%< z%r`}B&4`th?OV8V{u&?raePTFZZv%fwn&u}$rf-};N@@$5{EezBB$-*>T8ly;pv6} z`JW&HDaJZ^?%dVLt1XNV4Y~k{@cfA+kVMj9m6!N0&{~}*j;L5tZq-_++^5}FC^>{# zXp=7g=^R8I42ZEp74z$F@m{wAUq3&}2Eg2w!gqoaljVjhWhi4uG!1R&v~8*2q@N+U z^7|{7FM9Js9`KuBU5%h$GxR-eEG;%f)m3Dzdyq<1g03ZSiL3lPQm7?e<9{ZWfbpO_ z0@JaH6N))sxfh1zPHZH64!)3fq@YsNzQrW1NEWl!^q!4DcV^L@-&uxD8&%Lc8afkUs~B7~4-;B^ zCrh2m(7kf;3R3p~YC+;MW!JZ1i&gqtNoOP+AvrxkLu-_(UKBB$P)JPM)=SfC^PGD` zl?rmAT;;|P4swLd<3XWit;tBq;yw2+MMTbhpc@4*;gbN42UE(&?5$V5iOM6@kxcXC zym3wVuI?b}-9uR$;i}>rN3CjPlSMl$h}nJdWgt-XIK(hyX46#W(Ak#XrErzh@l1Q# zROZNSAW#bTsuYZ{X2`_L(Y!!%`V1N3xeJq6ca84ExJBorF0$Osg^0+8ZRUD5^d3;; zqa+~t2a-v0?a*w!tBSI&;l>r8=ruBO;MKx0=EysV=)^m2xfdniR$h9z`xR1LpNI1N zu^F>JJycqg7ut|O3Ueivc`X}*MMr)eZ9vTV{08S_qOO@gL0cUCpKLzh^h7imY6*E_ zx+HM#tl-21Q7(i+xI4qO+#j(N$B>eGco-rnLhJnrweg}SYl3L4jx285JI=b(k=di{ z2_*uXPnNKZGs&${7A4#3KWO+Vq-L&9#hbCpVHJ6+jiyeE2v%DLw|ii9n>ed(4_{8t z=g9o=b>e7ZK*{<65{ZXX_1|94-E9i`o=%sM+rS{l7d#GUJq+oj(jO?1xvAG*$Q}^H zxm*Z~JH#qcUVfYh>fLS}Aa=YUi;{UCI6csKt6$tx9DoJY!pXj0aT}2PAw=!d0Vf{s zlO6c@J%BMB5J)9}U>$%l4nVp$1U^=u!K9FXwyA%&9-y!);PKICU=6^6t-r+xK*P9S zX*o>(zdfoA5cyAbevI1+?22??1T&bDaz~;rfJ!Mq(@+3QW`B)GkT*cnqwnk!0GIa| zrw6PvH^AjPF!lF)zqFAvc5Jw?p#%H>`;z_V#}5Eh{myU9gCuC2o<6LKu+H_TeP19> zqTx`UY0Iu-aqAqnb~ZN-10s~vNNOerd3Jm%@(2x8q*OLiijJC=JWEc+Ms=8?5^c_x%r0Y{*iLm^ZA2^&F%c& zLR8nc@G!)7AjZe*dHo)dROb7rdUjEp_j?`v{-l@f^R@kPo4X5r_xzI*=NK1t*m?NQ z(ZzM9`$n4(77p)f=4zm-U?e_0CN~pSI$GXh6U{7|H?KOH@b*6l5RsTvCYzQ^!R1xk4K%B!s?)CS16jMwuA{coq7MPRiiDG2GN}*X9pRf( zh*i5)8n+0)B)39#q4Z+$sqNG8+dwc*FYPJesfS52ld4z(x+qa0;3=GNY$nm||1}eF z3VC{Ts@SxbXsluMp$nHb^N8ql}a+G099Kp;3*kfU8bomCiC~*|b^HQ)8#K*F>tWR4rAVWzC7TvAHE^bI?l5 zx`AeNWr1b*wa!W_iH?eGq9U+TLCT_jlkciXv|`?p_F0KpCae4(e(VyPS!!C@ajEK( zrdf=u9JRvOqTN%t+c>uIwFSN|wl%iS*C1E#w@X#w7CdyBelzZd!uhQ=`s1(rDC+*@ zdDLC!wRaEqjQjMNHOkCm`784@bSgG8(@VZ*te03fv3LAtRyWVb(5)Yyrkffng(u}y^06pbA?V4^kA^nqEby^%!K2x6DMZsUt8#=!3`YjTF3~QMt|O$N54Yc5 zPl?3;`O2*19Ba=I7BzDXxZiz2-K3g1mn{{TS%o?0qr>>_1+?9+oe!ly3B-OXKgJ*z zLC#Aqe(V?#x2P;9%wwF$;~6&x98M^_KP9^PDL$#}dbt>mX_bL~Be|NpHlXdVX#4DLK%H_@N%|WaStP8B;Q2R&QN5@CI zq4r?e!?7_#V#HiEg7(k)g6wX4AiqQc&T>@!y(+Guw zK+{S9*9u{LLHm<+h=j+kZc>8?snS*SpJVY~l;#_?XulhunaoBLlZ?*hA&L2CvrQ|x zxvYH7DBXRo04(6v`lmen6+Wox1u{Og?EqUm+><`4b?6HMOa3|A*@L$j+1I%wM(|*5v z1V;kM-eBW*D9n7sS0gl95uD2fe9L!3V7EisZCJiR5$O>Rb$>5=I|ZdQ6pOBM#MlkLqE{ZUB*W*e)ZOSAQ&f zWI9F4nIh&)xr=6hMsot1LTOEDDe|b)MMjFuMhgz>V$|kD)dfpUA&z9J|E$C{Ww6Z& z*rCEhAe+Kj6mhNds+*!8%{i{~vYR4Z75(%J_ZT+?J<8%==6t9NfSlrC=kz!QMb6Q^ zB}dBAWaYuKixiwPCC;If=hQhxj+OMx7@4rVL3%)tBss-tFp0zo!^E^LNzsY5fpV1SrG}O>0rl$vuOX;baBIp+cf}>swRjbOZ4m-=y*@k5tq_)xA zr2klWSa}$J%s(fdmycOkGBRa&llUM4>BYwi)tQwta$JmdG+?deg#rA(gGF|+0tH-J(H&>BUklW0t(@-Zzn(6mjZHW*WDT$)ie&9p{T zn^>sBP8wURakSvo^1-tAL#6CfZ1k}*f@}=4G;p^DTN`bt^K8tx)@56xZcIU~>dNW5%8w{tkS2$?)M({p7`$D z-sOK;@kWn6@IJV{b$)UGkp1%h+sns89}v8We;Izm$nWI5oAtuO;kbom=Onr)JtI^8aPtLvmX7?f9h;zqLA4s_)X^$q{S#)PqzhX{4zGf;W4%mHW zd1u+#KC?V-ds*>N68Q0gNci#i5HN~@Ve^9JioifXv+DXWgo{y%Aj62@_A}A!uHZQ8 zM3f>R#mJG*n4E$TG|llItC=i*{k{!;b-a2lftm_dmO?fwk}Z*s6*-^dj#!O@5|3m} zjIL2BO-a|RT|>8xU)6y;`tTb>sUx^eWYvj0CL0DN90;UT_7DL8kcjyJ0!^O!{h-je z2=55|#KHnBs(6J2p)C3w2+$4)@R0I2mi&ao0*>llPeZB_2Cy1LzICx-D`yY9yEboaJy8LziqW!Z<2p%MLDrIm%_r z7A~SWDrd_cDwVY5QJ0CG)wShTmz|$FJMwHQ8J#7QD>hrAI|^m6Xo$1mWz6ao9rS@`fOk!pr9KsE~!7EROT(JT#UrTR8h45&-=I861lriN&y zQJjRRR|Y=T{v7KFSO&DG21edsS^7%eXju`=39&N`pud1xhfeEIT1HsbQ6KAYTUlGD zaIXEatb>$Ms#-=m*TFdr(5@r4>i#sZhY@Ms2l*Jd>@)Ear6@@ki&pvyU) z>#eMNtxY!-WG{ug%Hlrd;h&0pmvX@0Y(EsgTEEJEnS48W2Xn)}>;T}0_};kSM+n%F zL-y>k{f5{PMfafCF-Frm*im$c?AUS0_axs$zmR&PYWFJMuw;Wk>JU-;I_fY|`V`&Z zQ~N$n@V`KK{f_Slzfe^AB-Md&_K6*Kl+(aZY)@`a@J|db&te{0-Fn?MyR3Fy9uR$5 za?_Sia!(Z>)84kd^m>unk_DT}VTu&6w(mqUMC}q6@}p%B7~W`kLuU`5-iUgWX%8pf zChh8~Pjm0+-&DV_dP8fEcOP=zOnZ}V_dLlWu;gi(@=om|Qtt-7(Rqhw?;^e_d;2Ec z*pmmW+W}qn@V7#s+z2uwO@$dBlioJH3~@8aN*1}9lxqcN`vly9WA`AG2NcQERT#tiB(=taZo3s)Io#}+7 zkr#%g=`^RI&{n!_UK;|g$vMVm8zQbLJH`Rmv|i)6jcM03 z&?a~q#9x!XjeXerVaNPUquy_SKJBNWU4L6*aEU@D4cIhe=`FPFjMaQ|G0sNYAZ!yd z4ollGY)dn)PTSmV6 zJ5RWtDZ}AO`4EDQDDuochjFEI7gnFCs|%i+{CrESn-X0Xb$qjUcYVkIA&QTG*L3&j zUsL9MDf9n3#ePJ^&dG6#j+_Jk{|GbtpZWEqEQx+js8cxQ98$Z;@(1g*IlAHQ8ku8i zwyxnCn=?jvV_quYgtI6pg;S6sTGO&n+q$__?1OVIj#E;#z>jJCpR%SpSqEYVUL_be z7&jC*1b0avQ&7m_A8Z*Sr{>tXI!@V(?-S+w7c6bm39r!45As8RzF(iO*MmyewsU;?(o;6Z#Ns=v#2{(cg!xmT~gZy zR&CxT6Yg+P)sQUbEMVZ4BFJ`jvEf-gaR0J{7>xBvo%Fz8C) zIX-DP>|x+LK0!Gy%9m4i%q*#2E#I8qmY-NJrCw5!e%8lsH5*?#-i(}S(1tl{#!Nkq zZwO93vg?5DI0Aig|>gxz20jT7klJYwBH_;TOqAb3_Uq? ztOz261PE2IA@qM`icntE(ML-=P&qE=vu3QA#xccRVJN3uw_D3gl%Eu+{ zC6`(gZ2@t7bLP|JPssB~!-J=K>+x0i^rs1U*3$wIc2~V!0rQ6leb(~=1xH-8*8;2t zy9o2qY)!m223k7XQI8TYzv|T(5&<7JK9Z6()NKJ~DX#&WYrU;ocMNrB`ubJvo;$=(#bV zol_ri}z5gp3jzG2B;*{wd@Eg1G=7wE!cTocHEZ%dy5^0Ol*2=_|m?$;r{} z2)NOwVopV$j7E(@9g!TF7?m_ks@qgE=rZ^kSF=<`yNp(idTz+@lGjaIHRfH@fsY3` z$_I(XM2r%1h{&=m!Zhs~6vZf5eD|l@PScxWHbrlY!vE(BZK8d%f{;C>=hrSYNrXl6 zpzz0BTNL^Rk&~KC%pCua&=Al~O6H08%S`S`rr8@2S9Qr}lF^l$l)lI%mC%qEl6xzr zUf89hpc+3)Yy^KyEWR!=oXgTLCOwfyGf|=~7Q7dOHUa!+#F5MX&v4jgLW~A#!{cin z*ICTCkPIH1DcBKYbJWlmn|i52gPbNBH_ojeCnC@9GES30lg3^?DYSEQ%HS(qtCs75Qve?`pZCU(U6?UL<6t_ zl}Oc+79gIHn~~!qg7XoCPYEB1Ev@urkSBv@wrXBhgkN-nsvJ8;#f4@Cf8AcpT15)~ z8_0A_Ck^U9RC^|~!k<}B7=Jlg*TCCuN47Se?T=Kh!9s`n3uDgx-kD-Cx6w>nFBlrx zUqUyv`#T5r9|w2D;pu;k@n^BsG3T-}oU;$FyLWde=h=>e@ouQf>iv;z` zpYa~Z=jY#Fv2-*ZWzI#5`2bpF(`lN9O7(Kq{GABtt@-@=-T$e<`6AvPv_Hw4ZUY17 zAUgar0nkP5wBkYI4b%SX+s*bnEB89M-~*DqZPUm_@Df*odRJ2OZn>+XIbongj8SSX zKT{d(P=+0T zSEbC+MBKpJ^FR{#GAf&+7y(_k)%hZ>yJ=4G9lO~mUi%D>^;|HlY;=2s@nZVa%LjG_#`P}$7iJDI8Yk#D zJJs}@{=--@k6jsN!xorDBtqt(7X~k7PVp01w$7&CLh<{Dt|Uh-mD@AdD}rMYpjj@r z@_|89xO3g`;~KwMPBVjy@hb5}ggrE7j$;!dhtaL}QpT!YxzQY`bOI_5@53N^ zdevrN0l*VTlEMFE&V+M3$}OF@n08}!?KG>0)Z)f==W#me0_tq-6WbT>d8{(eh zRfk!_dcF`5cD?e-wH)p0sIxotOBP%v47pcr3Bb_w1V|V<|T}z-&o<-Fd{id`nK8sHtHs_?&8xQ{2@IIcZ zuooh{$gyObK#p8BEB@~50`Qm|ZuHUVzrf}Nxz+jHp}{vw*oTPj3`?P=Hi}+33X76Y zh3(a|u*{*9SGZ43uK*1oL{bG(htAn!9nmwcPC?Z(2ixJDJw@G#W&K1{VXQe-Xk@rL z?P`?GzG>7k?~2Teg2`n)XAIx#hi)F5!_`;>NrX*=(SIoBzgJnIbGfqK@pI?v$d`TM zv4WqsXTThXSNP|*eL`O#Rl{#;x)Yo3ytoHYVTs!v)n|mgpq2V?LOG^kqz07LxK8Sm z=68N?(po8+t;4@hiw;%QDYj#~6SB$#Nwo}0Em#$i$~d&%0vH3UFI1l{*sg2!R;1LV|nlGE_BVYuzC{#MyYr9&ic zJAym#t_d(Q^28z&4#V&%3r94@E^*sbu@eUmSzk&zgB8tkW|accs{cMAI0aww|5Cy6)fWpUh>U(wEP9Z2C?f?-e#d^l(=ved{{FNqMQ-mJaMUxXrty3 zx(7E8$}>lj9E6z@@mvv}8_I%qIQac35P0)WsCndPIl5cfyQPm|%oTnaK;9mKsfI$W z3!+_NHjORx09z#UW8g{Ze&0M9xXYLUj;dh~Y0$u6ZZQjRFa9J)o}LxsPHDfACr@-& zWjy?g4q^Ek1;0k8n^|@Pc9Z6XpYGS82#zS7N3=`X8;9)Y&i+K~>`R09XApBrdAkH1 zo4Q7`&7^DkA2267N3z}tzoTwRqoU5*`wqJd4C^59@CUU0j4+-0F%~I^RtEYPcbJHf z0sSltqlkyle)*97QB>u~Y7|MvQP}uk00Ko!5edt}S6G1+f2qq>K7UeG^ke22N|u1d zRGCN=@^r-VE5!S(51J!WpVyfyw)o_7iHgxkv*)6O1t*)qb;J zav2;fY8DW#2jVM&%C2U)1RsC%J}9+hkn0X`Ah@2lV9ug^wh|zaBr;{buc@=o+I_f(2;fB_lsCwbFYUbGl zywTgeEET=GRH3R;RaQ-=(|a9XB#A;#@o8FCQtY-LV2gx0%X)%}?r<{Y%g#&7O5&lq zD#&0e?P4mEnGu)EI&|c8mQexm_%^i}ua6W=RW+{F(VFyWf{kuP5gi{q+N#VcH^LyO zFqK|@7IfMoma&sw%34OTEsCs^&Q3Xe<;1=s{;F`ci!9e?HA;HBPDTA(HG z2N=q0>KUyU9>3RW>De0km4_{jDlYqw)>G502qUz(FfT1KG0Ey8BJ@g$hb7t4!%!G! zL6z0;Fpb%pWU*QYmZEuXbJ4`Y1p3YV4h+<<7(?oXYuX`f+MJ|Lpgc}8r4E~Olw=x( ziw*sZ2{&W+Cci9wm6s4L+t^setY2=$-%8g7>S9rS?d?1D-WWM%@(u{9SoCJOp4%|6 zXT#<-S0926P__X4g9T#%AEgXwDX^!J#uG%kV#TO0#fAW*b^suSjSVh}99c8@%s{?m zt)?(->EPMM8C*OLq1kM2+n3hOearrVJo$*RH|nRZS3S!bXw3{Gf>&@q+I4g45xo_b zs31Gc#g(!))->%-U|?xkNJ*YZRX$VQ88tQq^)luS4Xlrb1I`7J9#)?9>zt(6R)Ayq z4g@NymaQI#vx=lcaaCi?8`JVE*kT@hgFw~a?chmRLOWxJ+Ib7l(k7cw4B}pBm6WVL zG^9l=gSUdhQ=9m0RFtz*_E_G&VdanK-SppX1*Mvb{|yAv-Ty65+gxb)!~|;S6}b5@ z8`n}rYEDLw3Pnz=CQW@NA)YuOKu+;awHH%JJ)xBRopYYcyx7yVh|tg@x{aK;V$E=Y zr2!nmgBx+uT4OIjPf8;*c}QhhLYIF^ahIAReJ(NKPb( z)||r8#QMULrXqizWKbxhGLc{YjTV!5JgIL_Q#F|w{*8qEf!s9-R(Y>NrErO%Ik4XZ z(V&6Lw{Kr%wj3(3*IK@{VuNkN;4SMe=|VmYqNw0MI3fjh%i(s=QNBo z!y?puxGqiY=V0U2&$WTcRtP?XRskn^B7n{w@*1J)fO5*1zzO0~CX+uiLrGhn<2xg{ zOj49#H0~p!6o1r)%*~H2M>^^-3XLo#pwrF7QJ^7xAZ=#3*JjYwllBtO-U5xtA~}OJ z_(vPO^gq`JYXE1G|kKkl| z;z8Uax-*NY38@8w4ZP`({$lD0*_eidx;*Q>&Kb-h-7M$-S7{Ug1ojkGKinp9lISwqZ6;xPG_bdCg^xZge|_&j+yyvia|gO{}%<;U5Oo z*gJMxLSESbACNW3X8_GOe4JjegVO>YKNpU#4B!kvsfF-UzEa3rEvP2cSFigv@sTL@ zMEZW;^F?1##E%rH_hGv&08#&)qIXtw0Oa|=lzD|VU#tgZy&y(`<*`Zj(PG`!8%B9| z9Ksqx{*g@2y2va3w{}fl$A~ zA94Ow4Q$6+0mT%7FQ@qoeq4GW>;q3=L4MGyeqYSzCF7n#({|Pl-gegKs76(`hP#G; z$GYcncNum@-)Q*g>ih0tnn&sYI}?6umX;Wl5Li(Ld~KT7izb?W^v5kGbJXuoMlRhhD=$4^GB4MQF&( z2~os9G&#fBGqFVFOIpfxKGHAlGiz5~0&#@BPWuG7uzDhvkTq_wOM=xWp3yuE5x8dT zVj^tTJasvuw4xU(8EXnAxvZ4VKg~WuWDXj9HQY2?e*$DeFk{Bj7!{3wDSvD_HDU22vjgZ@~ReLA6p9MUX6P9jQpn3Ux^o zYcP3T`JCpouR9+45bx}f4^JQCX4rWN-m?!oY4dr~N~3+jO-FH$*ti9$@4dPZ z)IgK;V{=DmYAwFT=hP`IZZ5C;+ zDR4t?(l2EUy{!?KAJWU73t)I=oKs6HLyEl$U)i25A?AIs|8c->>=kPdRJcZQ;=3*B z(H@@AJ<*+1OSwgC&k&&QHSDo|PI{*U8agTfe0yGZUUyQFpp*d!#!UPLp`oG>K!QV; zKtrbLi>m*$ph~2{Ln;4Di$$71XqAmGCi6!+s~b1q(~395Y$65&HV>{FmJKO3ur5aW zD>icbo%!C8=;=WKM*h9ee4lQ6^>t-??RLCnTfIEOU$mV~n~~(EzU1x?nHkqOrSPOR zjRA|MV)`vMczsuO^3~-vM{Zrqefh^m)TO}%@T~sRO2)#fVdagcah82+pI{^YCH>J$ z_K0xS$ZF5}x@a`^*d{Tp*0yaGEx%{{e<|UV*U^Y;D@|d{6 z=Q$4b{f#Y-PSF1p%P_)iYb~+Aq z$lN~rLoxI^)*DZ@pK~1^kG;p3z$uOB?YxV>LHFcV@bQoI9kmyZfR(*Y~6`i$Kfv7R#))9?i_Qxxpr}$U6oun(?z%H z^_UB1p7)(J!?D2S|89rC8;@Y_Tq3UW6CaoVkFmE3ZmWqJEn_<|L(GnunVFfHnVDpi z8RM9l8DeIpn4OrJnMr0QnaBS(cjn%Qd6-(IuCCHUA61{0TBrA3yXN{S>Bxib$}dX{ z#q0ygrS>}ivA>!BL)*SbJ~HQ~)+Mh)wt-P+F!!^}Z8!S)K#9Q3+f|BhvsaUVZk+$p z;K?|jK*}_VXeU37a<<12$_(%IZ(-+!A(xXSyCp!l=y60&C{M_dONsvmfU+%;Bgz}@ zBQL2VWz{<$sMb^)1Zc#x?^3Ftl$IJG91Ad0_<)d&G@z<5ICmki8_c>yfA+e2VQ$H| zZD()XqOf92t}2rkXq<7y10Y-`+TBNF$GqTX-hRMMPLgnc>3PYk`IR^H)hNVLRPmAO zCdOdDg6Vpamwrs5B1(cbfI*cb!{;-a&z1_XN9`eE7%0D5LKY#JQc69w+q38Nm6ID_ z?hvolQX`0( zxj9Ft%!|&*W{qD~ySFZAYXsE}yMb~%5dCtKQI9w_k1z*gk~-;?Wa!BQ1(a4%kC0Ux^o;%`Z2U@^+fZFb}`h`Geq0$Z0KIb0?11?E}ka_ zhxNkC3n5FSVAo2a#XqKyz*T5516*=u^)IPrUQjH&9Et(6-Z+P1v(VDHyO_T{MBX~t zGhx^@9qFgKR=n9SrOPihYi@hWrEU}J2#YsAKC3xoOqn}xijp2)SngyayI4Luh8%Lt zlX%D4P3b9n^w5bJTT`3q71KRRb7@0&YP{K#vrK=)4z`M?>{GbQ61wfyez2~iNQ^8W zTJ`(Wn!ZWR{mu-T7dQ+*=41I?#u5d^`kWrIBMl|23$?%Po6b>?F~7 zNmkfj@ThsK3CL(;3mfX?Fu8yx0-5;A4+rQ_jOIsI49M8{r3Eci7kF(V@M~=^T3^r1*EvD z%lof;Qr3vt<>r9!s4pNd4CcIYylW=f!1g}Pz`gj)#eLKhw3gMapjk{1uL1Xv#A&$b zlb3KmX|I#9sU_>#lcH>te@z=*x!g@jpVR@kT^$wQ;BxhVH#hlnXsu{`H+E=vzUL@! zz=fZN6Ac(__<&Ls^^-7bL%O{Sy>Nn?lvtWuwr{ChxSaxwxYN9vpDWsQ1%xo-kSIGUraxZtF#)fxA$FY3{RnaRG6>g&Ieg%Y3GT~5@ zfej0Z*}A1!b0}CBS3y07Yhl?kZ^JyczU~nxswqTw-T{VeoZ>-jBx4V zFcS%FRJFL#nrF;7^Swd~Jy_7U@M^(WRbqW)H|8{BoE1NRP3AR=4roF4ZH#f12<6j@ znOYxspNp=c69#Z05KW+XCcZ?oVal3S!k=}EOJ)cps;#VD>z$dUB^c$T!1Q%TycAFC zMfY?^U(y?In9Oc6{FF;PejpXn|JNPH>i!c$51U*mLf|y79)Fa&V42hlIfKU|uK%49 zWhKl4>w)&C<6qhbSCtw6l1tDq!}S{T|UE!k_V-6WgHtfyqN|_GT*@BkXpn?6Ju2aIa2iHx82c< za!=@;by=4j#%jkpv;b}<7a#oj%F>LZC&p^nRxlybN}C$SDxZN=T!M-<(T{~+FA>^? zx44e;dEcA^7+ts;gj^_J(^)SK18kLraPEAnkl&upp+bAjpTEbw1@!XbsD;%WSdk9} zpMu$_KMpHp~e%L6F+Nugu63UwKjA*K=LjEjhx=|XL2BxcirdLMDHHs z4F;JmJ6)2VA5~N%0-4TwCs#cFf{&if7w2|vWfvSB)E~^l84u7c>*@NfnH~jOxa}|> z*h?D-F7Y0_>=%8&m<70~AzallQobaKi$-+-(f+_t%S{KVjqiCI|#`; zEbEc{vD-`OJ>xZ4|L=4Jw@dc34QM%#Xmh0NDH^!Ik&UoVH(u?m<%<0BCt5|mM#aJO zUN{Ek_^o*OJW4T*JnCu9Q_3QPFXDy$DYdK5C;FNFCbrbP^K>LzJcIsrb3Z^Y6txyQ z?0jc!(ctLi8o!M!IU+zV!6W^_ikvT(Vx>KINp8@jadT(Yq-KC%jU9Y(!Z((r?uRUi zlQV<8g1<7@Z#t1PPuY`ZdN(oyj@ZcLV|hwmi*(hXFz8~xiOQ36&ZJ=SOgi=ue&%74 zom%A>sXl`raoUQ{20v2tEqf=8yDOP4QGr8$k_*z`KFd^sksq237kOba?7D#AR?>D! zeA&#dR2JMroHrTpGy_x(1zrm2QVSrip({9-pQ{`}Z)Nk#84UqsYBxw`k6?$4?boz> z7nGX@+C6aN<^51lLBBCw1APG^4rx`I3Y;plDQesK04^srG|fE<0S);CE-Hj`o8=lB zJ*D*zVl$msVl!>(d~5A|>ALbtVlRs&S>cB}uSuWHgP}N!0N$spr}5j|M~_X{TvwmT z$2Jv4BxF9nbThTQ-5gb-^ClnT#04WU3sqk{k5e*M(pA#FzMZ2x6;^)NlH`6AnS_%@ zPh20hdt48oI_Y89SO}X#C=}n?`j^v0-@kQs6>DX;LyVo{Oof@iDr`a_E-Lf9c zpYPLS-45xSBWiMe&|YHHjSd^DpI^vZG-?kUH3qG-WdWVSrVGEz-JGlDSWbaY^{+Hb zj1r|B+ys@+UC~}fy%~6OgzcBfWh?9w6Dz4WaPRIHN1Wl2B6dD~ov8w}bGD9M70cRO zs1LZ?gaX})>ZgcWHl})*$HC@h>?aU(7FqejYM&0nJhls;ZHMeDh^y!XnP+L2w0S(ceh!P_|7RjGOI z`HPX&9!k7v$l+L$&+I&3S2gcLYH;e?$cveOaXptXT+%7L*$e0C#>z>W1-M1Nz0k{6 zooSh`pfULyn>k75jrsyn1@@UvIwN*I0u{G63lrvFRiIg6vL3RsV>M4f8D+!iJoR3o ztGVwL?sp@AKDpxaM=)i#ANGU}%`Lb#%9aQqcK`c?v?sxfyaZf1?5r~RZfkELx@{If zUHq-}w+f!8KSrci0Ox~d`iLvh8GspevF)){&Sp8KMKm|XaNdW%9*gPki(HVAC{gEQ zZsDeV+pyj4hBS3BYuMsS@Zj7>=!$poCd4xiLx{h}BY*aXyO?Bu3$}353sW`QnRX5s z`G<-&6ksg6 zdrrJJ%x!zHRU5rNZLtbh1}4_X!ak&yWKLUH52Ig%&|zUQ9mOfOJXc6Xhh*M}XVTLc4?7on$V#y$Qi zw*z2LwM`Tbx2#lsSmNCh==p7{Goc4RhZ385b0Yb4l{%01Z8!R^aZB@n$;VNeX&|o` zUx~Y3{zEKf(fN?(g|4VI@uGE6z^~z&E3;HX%nm=s=csW7ZVJp#cV^KS(6J)dRpycQ zNMhxV^4N!ZoYC*JYeM#NVFF&h=xLMjIl6U?@H(Lk|^2Y%&w3nml-vHX{ zJ|8_<9t1gbdk6QPO$APOZ<&tGm%F}35aYwTrc8aV$caIQ5)+SKhV3{*+ktO;Jnve= z$lF#x5=U7)Tbm2kiE~Q9S z0u&-)x;mgVSgSLXy}K~Y%?;_XS{Z?R$X)bWcQmv3={xrz67BlJ*w8L{%Qr!=n2z1x z(!-v13VP5q8q^#U;AUDUHe2`WiD=QoN1;9O_@kg{Jz0|KQLEzk`__)iK9r#D)H7D_ z^yW`Q_0u%;j--PQq1)kGC7K20O}7YX2i0WaXwaK#_4XEP$fJ_^4%rBaPc zYP#K2~3xc3CVf5AmwykEv-qPs7!p9%vJFhzL$vGHB=7f>dFy2C> zOx--10xtPL)&C{NVc7?(=c z#G=l%n+=;=0Jv3-&mW52T12^f0fSXkZmE~-Cysc`bs*yoT**%vgY9B^c z0dty7i07IigFsh6&r=tM_D9DbFD1XFd#x=SHvMDYIIj@NAY3G3mln+q9F z!_k7Jb6&Tr(%n7WHXKS()VmNyrxu?8lDjYj{S{pwcOeGvK_Q0PRql8N1wnRbZbnyk zS&=<9e*M}&aj*2Lg#V~uBKm0efF|unhr!~5novMg>&@GbU2yN z9q&8;+-5gpxfA>|8vgPi*M-KAb~`+EfsA+HP^+#f#t{7z4UCLK6 z!IzF`{6#c1Ovz3;CZm{vpoz2`RVu_g*aXLaoq=%eU(6vvVDl*=?^cTB4OYcVD^#il@oY_Y&3y??L-XMfT9@tEC>DI>!EFy)8EQqJ zRy z3=#?w%7gA3zU+#sa4)Z==PqG-TU zeGfT653XlWR^`^euFy6;KVT-s#=HKCFJ7hIEe%E)OmoD#3Z~{m=RDOoYbU>^`!n&#zYw-^ci>=9Y(H*++(vKVlZGZw{mKZ}gm8pi@2@d&9$;>fLH?B7aq^ zy;~&c{HeQYR3~4VKte%_4Ok3g67D@(YAM`_$UHuhHf43~^T@xEzR{pLn`Lnsr zldquu7ef+!N9I}j#aSBa1?spUOKFM8X-IqwnMr@hBy+ox z+W(-5azk)e$n`t%rHR^r>W@^jnd(RUWucrvhVp~CTmIV1rWjIXgT|E31h7)K%+4WgrBQLNSewZmk*(q4gz+7bn;}EP z2B_#{zLx<%UXY>zSnC8AYd?hCK^qPYT1=#=BY9an!`9`V67Sqb%NVOxRt(q4k1as%hI3zYv-$aD@Hh9gX4ynyL(){9E zmm237>kS*DDekio07Y`y?yl;*_`>8#xsc^pABjO2{_%$ZDSjhUaN znVfd?XDeknPSTwDs`qMSn3{4vTHOFW=>>Jl&<#WLg#L9ipVlc?Y~b9!GPy2&)jIO{ zI?i?4r;46`E+@qL{ayf3%{@nXD>!=bIMPo zq76#QC6B>Hip9uLn#gB~_2WXkyz%WxSvT^zLCY}3F-%CjhYHNj|D6b*__VwOUr%nl zYxqxQlvcRQJDM*V+?eQ40#6eva)8j5)~)1zG=7QHsQG;{V$^!ryDMm$cA3mWPd^2- zYDTnfxVNh9=Pli0WUGM35~UT=QH+q_czg6tbSBA> zp37gi;MELc(Lb!|Ur^k-DJ|Apryx@Jz zi-N_y>9w%U8~90TsCNvLzJWjJ?<;~wPX8S{0Fm?I7V z-hI{9Q*TR(>Ekkz58G!_-wIw2rRg!1v`8wUWJeLodA1LYiMV0(dFLsK0>4=MX zm-9MrI3n87x-s)7=uASDa@s3se2HFdyR+(Y?H##8%!e!t&d)fesam>Xbbg4%K1Yrx z@2Y=WVR$&b`{vx54-W4dgXNvOxVVY}ItvYi95nc1R5J4tJ*sKiW*gL{+O?H-PT{(8 zJ_9iE97m8c;uG(_3&_ZLS)0wpX+afs)rqxZagu2;VlD}jRgwWyv65FNYH4Xy_f{74|!65-R3f^T9;0(y#?f88#P z^!LTWvern%|A^KwSN@MG(qEsLVG_clgoOQT{I3<;(fRCuwi0OnF-x0B{U4eM;-54{ ze*KSN4RYmA-o?CE`kS1CO*}1GHba7qVGG!kCxbfNuNFy&!_iT9n$4(eeTiSmbq}S! zkrwSIOSk-bh9OrilGH#el1-_QjqwptnTx#E&8N(Vggek4kn*lTS(i=D^)9}QMk=CB zD?`}o>>Ga>=gK8;7a#`891#P*x0CY||3~6g;D1Q}BfC_BCJ9ITk3@1=;{Qmb{`m=w zT3dzb{#R?OuEQ8>DLZ;cg!=|WsQ-V(eo4$*wkO! z#N4oqaL7TQoj>Hpw9hk1E1n#h8=hG0$IdIsNS|O_%M5s`&IQ}do8&)Bvk^l43XenO`X2XvS8f(w zG^IH$T-_B!3g$v2OY>Z1hBVnsozYikk| z3!Yy$9FR-wO+UZgQKnU^;&Kt;+{obbcMX^P-OMf&eGim1QyD>}WZ7^I@(qHh#;`i@dR$q`q7+LcS_&mw{`dpZYGZJ@wKA0&%xLg{A0tgQggO%O=>y zcrMyh{lHhj9c1E!pPP!dNl0ZX`GV9|H@sCuvpaR@|Ef`Y{#?&11i5%Gz~*as$sgUL zH5<&Y4jIW(_MCph-Y-`9ja{YNg8xbgf^{Wr{ulQsR#TvVq{ivEZnkAY zZ%g0cxPtmXtUW#LOLW^!rN(_0Yp9&74YNYeH#++lDb>_!g&WUO3$cmsj8zY7j$Fo; zP6_MNE)PZ(4B4q;lN3?BW`7CR8J|)-8Z-49xxV?6zulk>F{?KDPO`{ljL}ahL_|9c zP51k~c(OcgzHGJDdja|0uUP>wi^~z`F1%K|V4DeA)XywHtuC+AXpj#AtnEL*{{4Yo zEVn2SNv#qN6(PzIl1=eytRX5BEp8K3Ye*3Rm-8X8%P_r~AJw^vSKP@Wf>x;Fzb=n4 zUu{Cjimorj2Vt?za%j;YP6by2(fXLJwusm!0B91&N%%t0BEwdQ!f#hn3Yyg4med z>+OVb2S5XFMA5}!s|{jD&pNZ-K^MV1pqHC10(Ns(d$x8demIMEki0H+_tMBuoRksI zrJ8{cR>(G zal4b`zHiiaalYT6biuML!;;S65<=z!loI#Q-j58|M@^u!53#5LyVYM#t?gs7I64DDb}r9Cb03=sW(vT@#m zoFx=DZ`++ql;~Cx1MEqbk)!v|m!@;)5?eaU>K60K_<~)2Vx#Bfqr`vE56&_G^lCrN ztt3ScL`DP7F*>w($wJXiBTDatpuSv*%swK)TR51z0$nUj07#!bM^jrQE_NPbX5#

@bF*+n0VR%Y#e|VRxTz$3v)&@ zM*x$B1CuMz(Z#~j#nA!ye{>nGfdG3NLBaobC=TZTHIyC~+y9KJYVg0`V)@@!A!%a| zv~XdPwErAI+``P!+=5Bo!odn?P0Yr^E-3iFuK%+DfPUhbZ6EV@iO2Uy9nPq2DN;TN zGwUSi&ZA%LZ(pQvOM`T=8XB**v=)(Q)Q$U0EUWqmhQgk;@dQ*}FQ8Yh#B(@DuetSe z%z1AmvZyDdZFn}QJ1w?WsUP|#_!ZZeO7IFAioku?Ln9M+S7o7WwFTe_8uwNGqn*>~ zDr$$C#doJE%YxvFNDPm6F{WxifKLf`bhX{Vsdwg*Kd5%kwMAZM8-vwFnSWKY!EPd? zkoCH>;AUS5vtH%nz+ULJ>_fL{QN7 zsI*^-80Os4!P=p$FqPZ&Jqq<9r@qeLi1$Dueo5ZS9sB>f4LDf;|4aZYGbb0%|C$XJ zVs;L8PVWD6LVQzT)YY}nhdypWg4*=qzk@6uy9_+DtfO%`B#~H_JB%X+8R0dR>FX&X zS#Ue*O|`0)IR**MurU#+9}FN~X#F3o6DiI-L}Ir_o?^WY$H#Mg4k!ICJvMV7AgCjq zjm3jotM%_61Hr;Pd&#qfTK4Apli|s*fucW6p?r{tN<0*Z;*r3Bubu9Xv8U)hZI>f1 z!p10{`)PExipO6oDy0y&D!=7jwvL9&UR-1GA#D{?pKR|^(7QEWZRI|G--$gBBI9K^ zjlU~DxBj%vXZhtVp$Z<-3dD)J>oX;j8hj$!{tUTuU^OJd`GME9;s>a^8-hFm{}B-j z^P(^IhO|p)CFCOKofNe4h`)3DJ?vrJU4$P9pVY2h4ULd8(V7E>^rm~K)Sx+Iz>v6B z5y$cs=pBA$l_NxHvePjN!)&LLQkViLEOeeu$1+dnk8=gqRleLP{)*T2!iz&o%)?jt zL1Xw$<|dIw)^J>isBKvE{)Y1jgI2$&%#o#M_Z@;lHWeO`)}X|mr%__Ax@G%&-}~RN z13F*z>pj_&N?+Ax-FqcFU(H6%^C_{OvRe!74extAcKi81GIN#_dQJA2gfs05YwkwP zmsf5>ia8y?^TjSHa_@)8$cv3q$DVg6Q&Sk^NIZV?qdLdXI2<0^yVk9kA9z9+FV{ly z>EOMh5w#MMc&pVYjfchvob^S}wFbSP~L{baeo=?pjpkY72SuNhf6YDQ!Jo zflui?184R9dYam~b`%_qi^_K8ZqvNBIn6bzIDk@jeYqw4Rkib!)Jp6*K)XS0f!31U zsoNd2H}XDGPHE0*&Tvro>sP|flTzLgh04(e? zt2@uh^w9N+7)dulxJxlF56V92UAbLhU1?pdet~|<17O*oGK)nrGI~i5;!veA5Pf z1Sd6nHKz{S$WurU`=0zy0qO;i0~J8k78h8lE9+chnu+~|>_Nxi+Hhqd6~2mu6AZUd zUOff1ybKbWf%Ld6SBARiMsi(4Ymv+vju}#TYPY39n~rAl^GJ6!pZu=H{1-V{%)1J2 z1_Aaw)d0WrB6c|?`rqmmfvR$LdUjSaHj8|+0~E!xoN^Gwge_&hvd=!$5}k$YW76!L z-G#}dGzLk@wmpRyfJ-Kt`}kzYBzu{pq!y~K6?F;KAiiFJ`%-f9zxDf#7?*o~*<8LG z-XE&+s-fLoifwN=^@Z4c33G6&AtVcszK#VmZy( z9N7!m8rcUqoK`wka8#dZzzqb9LjptRod&VyLnK2YLxeoMJKCqqAnT*D!?IIY=Vg4& zumjDC>`O>-3+XnrX5t2=_^NXM22F3Kd&AwXWuJt{f1U5>R% z_x#u8D%%=wk4f+KjnivvH$x?lohzrUJbt*HQOU`^pjRVeGY(4Qg2Z26*N5WLBW$!o zk+h+N2u4q!t$P9PC8Jb{n?qMX>e-oHNX#5_6A-+ehxW_-a zO+UE<;k^f`nh;uj^ouGzM($5I@NPiN+zkG?kO-O>iv=fK9PyuVzX@#UE*@f^Q*O{*KT5#P;Aqb z?XZVzQQ+W@pp++M>Pkm&=+LY7jNV3j2pddVdP~tg zt_7ww+B^0AMR2yC^_dO1gE+ZQ-#yU!guHd&(g!PtU{CF?@P{4V@aIm!bp~SJkQ$E5dD1ZL z9dwF%A|zd*hDe~UJo!qn>`+A_1fUYjh9?#z!(xaOG*Od{M!Ni?rx!(UuY=Em#UlAn zZ_%#EHEd!_iW@Ol5MfQ5838H?v8K_DaGKF=LIp_hU8h(x@rKtbC@4(GPkhL^8Vq?j z(?fj8%UR3WFo_eUStLDBSr_n>rBRLaA^y{$2m77mPsbcq>rJGXbL;N`gCIGy^&6j; zGr_z!yGPAS_inR_bPrJ1ld)i?5~%UXG*O}Vt(MJ`@_&CQ1WyvC-GNuS4@xl$wiW%* z5v+z{dkVcV&P{iE|d@X=L*jD|VFm zYUVWNw`2eu`sTgvTLC6|6$r0bZXoSDNv#OBIQo(|rGSDpzziZmU9ZI=to zVEJ!6{afR%hoS*`Hg!W(K>?0GQ=}R4ctjgB7wB(iI?C;uW=Z9-rD4Vr2npSebNmDW zt2am+7QqaaK60RrJGD1a8~&F@1H3Ue@&GJ;XrJ>}NmGnZNl|RSHY9#Xq0IM0sYDD^ z8`l2hAo{1|#2{+S;*wfwBtHck8iL|d#N>qTd`B8|ixT?el!h50YCbL zegcMEp*4F78J*|BU+l#{#3+<1rE`q^Q(&Jc|K5`WScoxhjv z&Vv{n4*c@GsjNH^b=kXh5^@6`h1Zf)zy z_ZMLh0m#<42YlB0ITfz|CNong(0Gy&W*V#Me$8Snp})AF?NwBpOyS~Bx+WeBxAS>C z;&Ds3IricyM6~_OHyq^_Rd6)UKA6BV?AFZxpVAW!(IL9;Y;0Wu=cwcw4&UtHstW#4 zDVRNP=d0pAx&J`z6Mfzu*Z=)vaO`o%2e;$#&;d4hbMW`*3EP^xJa~L~0sFoA`v(LB z3QZI3qdbIxcixqS{ten=LtwHu?T_R)SRdosH*=viCeD?$nn>#w=}-25S>*NC|TuAYYHf$|?TVS+?})H^2jznP1Gj)TIwWv~d_o#ERPKM}qoc9>5Hla8bQU?KX-^CS@Xm8&O68&~hE zw=kYnmtRolsIB+!z}p=wM|I(+w7{lC_ zRVe=8e<4Y0Jdm}Tl~QzH%C-~a_Gn5*SD)Pf&SmLNja}2D;TIe;jC;rY4xftA zxW+)Yc$>fo$ea3PRlMCXfZiV1EHdeLEN)gmz42Ch9im^%2&34cNs+A`)}e9nOKh@5 zW->2C92NDv$#YSno&-EIh85VWI1IJ$TQHbsLz0JWDg>!+?i?gob)fM8{T6ju0TFr9 z{_pU;a|07q3*t$q(((4|Oh_O!66wFuhEo(3k@^)2d3|AqviqKrOnI|a%5;snXx<#j zIZY}VyLt}Cw{iqd*-8cbl9-b63`)vHcJ2xG84{r*dB~UNu2#|CZ0FrMkN+j(PYFD( zyLNwyUF%f~W<6512f5-+d>VcHNY{5|t|6}sP}~z~1HP=BE=!;SeZNeh zodvK>wqZ)YGZPG)p9c!2{rp=C$yCya))FcJ&F%~R<57-{?1r_Q@LKMW;5E-Qx(w6J zl@|n&w?`Eg7*RcGe)Mk;0zlb4yTfwH&wX|NB9xfy)z()r;4t z-wxawFUE8uXBwJLW6G+enBq@d&*2i~E1MB%1@V0hwOE0t0{Hm=zX*Wr^4jL;veoSA z>TCDvM>ZnOGWbJ6GHON)KBn)Ot-wkC&g2(T*mtMn;)%BrICIXwWM=poY>%dI( z{7)sX$|M8jMYQP2zQwrG)#%z|>#p$3VvH`88AL`6181{>&_51d9!k$)&cn_FT#InZcOX9WhE3zGC#_J<#+LUSD2=peJ&>&X5slS-K zN!AmV@fv8B0w>;kE8NU*>OmvFf!6d-XBC z<-;=XwIlk@e?QY-@OW|*Bi!a0{_zjKEED*L`AZIc4>1CvuV`EpXSE#M@OIP-hQy#( zexKvM$&JAsV8{gc3h%98?Ik+LwmBK`eoqtBn7qAj!oJ?6CmntKK7_kHVMmhz=tGkJ z>k8TZ@ncsEI#x;q(ICvn`^$9$)b%cW!JyQ3kJM*UQC?wXwo1&om-S|h@0yqqD@^m8 z`hGOVdNJc(%PXC|M`A8k^yHcv;NXVFTY|@msg!fizyIEiJ;GnU$KrC745;als5EL= z>u8hHTHvd>GCuo*;!!D2(|2p0Mk3@9Yu|@bX*4T6i%q0ss5+MDF{ZxetZF=(<=CdY zP>M&YBwCsD6`_O)X5; zo22cehrA0;<}#4nwZcMOGrsnjL*qj(rDRas5Agk5Ktor0!BO}8o2DK}HwX3T&jwEZ zGh0@rdQON&58?7E{&iR-vk}lUQR%i?o_4s@de(_OtgzV18n1x9Fk1&HcZVs)#U(mW z)4N6UV5zZ*R_&X?J{V8)h@)8~AZ6?v8FT-1e5r`zq)6k0Odu8ZsmcPFrPQi7R>lBw zw@$;IxQsrF&)ja;O*1_6yVR|#uZG386BPafv!BDXh zBd)8_)yT=6e#*I({~gq;or?}D1*z@bYBMk{N^M%<=s;0lo|3s$6s%SG%v`N%7h8qA zC)_~B%diO&%*{Cmz!VKXR3^0l1})O*>z3u)+Ox-yMz!V)-&E*`@qBwyIV=n2D5N2~ za$V|n?F$?;O1Z2UI%OLY`wRE1(snvfu_W-0p!u)3QL*Hb^9`1_C@)G@ z_N2e!vuaXfVICVZf7M?FNQl<&%T2L=U51d%Pnw4fKdUvly=o z)-;!pjw!$tP@NqNsm8tA?c+a-K{i)^2JS_Y0(%nCr(YR%+WweKt0>)n3yBItW4J(D zRCijlfMUzaF>c^V8?V=K=n=(s(u?Yy54=E}Qs@+uad`Z|M{neVMvDBg0ir&=y|3%g zo0Ss~dtnQ^3$sqcN1fIJ-FzxmrO9nznF4VzBfhe8e20_5H64tVRB*FRaQ`AZ$8&@4?3av_Xr+?HpX)t!j?U;6-!T|JFY583nO*`%Wu**?AEHElS z3&R9W;G;3Ka;kAer)oj<8`6n~C8yXd1>X^R0$t`6HhvftllT=se8}9mk>^&-z|TN^ zhO3x*fnoh+<#_|kPoIIdLnKX&i-x7H0m zds`{dQ~PV0AcM9I4@3+LgHwi5#76C2jb3@3Od8a5YF_ncQpO^8HE^&^`Rm!M!p*Sv zjraF!uV~TugBwDxX=~ddFo#KqiFL#c;gg)uIuz*2F2Lx? z=*8&`_t<+M@aYQ|z{vUa(La%XM3(+ZiGIZ0p2yjgigGz3;+IXN1YCYR1jKXL`c?hr zyYTU{(@~1hxjWDA;8{_f*4T}02OYk40y?_lRz~x4V=f$E@91)j%2CY@G|BYSu;%EX zkd+eWkcQRqG1W2E$Ys~mqW~(yS}x%7kaDo65Z^&j)KPE=kBNZ>wohJKMD2QNeY#rl zwGe#IZF;)@E{mb6kjv>-t9N@M*vvb)s6~J4-}|nDCXt#{W))AkWkmBlla6j5jrCc% z068l9h)v804k08cIW|ax27(RG)I-l9u zk>8y*LM~ANA5zz9nFc*?kKdOKUNx>qt(`9xNSt~a_WG4fK$Bfkkw%U0Tf5g|_Aprk zOusF`ZEdCs_DPOiA5XohIESV`vP4v)_fPKJz*Z7mFNWcSSAN@kmwZHBDokE((nXWZ zg%LCRPA7`5xBZ7QB%jd+_kVJM40Cx4DDhk1$(bG-t2>pgg`-lIAyR@&G6>3}?Hu8M zd#K@vK}&bv5+T^?SeHZzSBc(=)b>dMb8z49cdga8@| ziKxYT{|MR*;8hb}%o{o*eC0c*v%_+KgbBJ;h(b0=mY59B zgwIJ>Qn;>9;Ou|*z9d%X4~9(ZBaf!+m%AqVjG&v9pyppK8yO=M@Lr{KP=DIzn$J=IcLi>z_x09rFA-f?a zSAjBUR=D^%P%Q5Uzoqv8|CBwz-B=+opjS{LYu8A9f#3|GTjaqrE^*9!{@Uo~hwI#h zb<+_I{LXrx+!22z}uB7wD>B zL1Sp;N$R+*E@<+6JZ@N8R8s07l4!Upr*ho+&?E~a>OZWh$mHYD&6rgt{7I3{mPO>Y^LK2tlMAmPaq9X! zj$J>Z4zJQv7O%~LgBA3Vp3U}7;oE2R{%R66OXO|jyW}j&&-AXgO|f~QDx42rSSPCt z=N4><^Dy*zV%ipKw5N;i{WD;}(_r1;i7-GJtPGaswh*E+*r|nU1!w&W;BSRm65IUa z+88BBsEg}8F^Lo8J{_2b)H`{Gs|l^1?C^UdH4DPN=AgrisYuzo{_5tX9Imc-7?h|y6<}5_o^)KprJ2dMh z0miB$KbYqHRRL_r0quql6M0&CP|CoKG8;MAQbw#|&Qqg^D`Td*wbAQ_0OW-LLTdP$ zneeAX;_`@Bhjsx9U8O3^FEzB0Jr~jp(S!vPOHr&Dvl(dXgkOe_>YX$nVl*+&t0e4J z1o%DO&Q~bQe4os(9OsS=EW_sJPJ+G)MPNE~USwvL^SZF&2*tGJ7}H;qkecF+cUXFXzvYTQ@paL~|$beuBvLqa+?ycpbGP13fQRE^9<*7%}0;a>@oyTq(RT^wlA3 zB1<%44}$JR2~9GjmV$KEAb=;p^d=sIMcfii*4A&7;ln*f1DUQEx31}djvJyJ2E)LS z+gG}>PD4|?8=VY|;5|sMFA0NVc|Q%?ibFjz~>Xn4LMk)~sS%jQ%uxbjo99!X~M69ty8M10>~-1sRMtin3pC}riC3rO5Pv;d{vW#OtIIRyFb0`QuSNDZ23)6 zoMy|ST-h284Q}RYm&CTo{!g+9sU|_)9}(4}WSO|TC*h!-@J0Qow<3lBQP0Fn560QD zi#bhA+awLrnFf~44|1E#2b{CL`!NWLSr^+4a9O!aM$dy4rfCjWjB9g*0YjaIB`b%k z9vfW<{NUXf=VmKhUw4}iOmn5j?^;er#zw}*`uT>t{5F-fx!tr`FtM%qQ)*+cH;eTq ze-vsd+A1>f93$#ig!N-xpNI>DQv%OQ6-*}yLx2)0PBVrFBt402Sd%xO!>psHv8O5G z>Nr|Gj&4$P@^2>Djn|Sg%xcg0+s8e+SF0Mz%35->X{vI!GKQj>{aZeN&9GW{NMl}o zHS>7HKb~(QJhDEudwl*nE7r`{2qDC!j0wWyp9q$XRAKzs!pUwWwB2Hx_3r%u<2Bp+ zT@zB6%TlQ;8Zu0DG1*w=u&?VhBv^T}(^}(m;fT~^)IP@>_S*tEBpG$L;m<=Kd4C<< z8oUeYHKvj%`02`Tqa>4ePz?I~gGy04ek+Q1-$$6Z7%Ku*{4-5W8Lzm^nee9=N(IeM zMGgD|%q}LFYJ9Z{mVTMSex%X}v{-!bv+#qa7ZfR)TS!fcV*?S??Tn^8q4aNKZS+w$ zR*sWa1~SBx#zc1py$*%s(Z{-|8815TBbwb3y|MA;siGq~u%#rqwYQx?iRuI)_O_7V zj7>J{*zx_SbmUEU4%d|0yP>3(m)7{S+Z(u>f}+)71D$5C?VymxX*bW8^KhfrK_=7J zr)a0gZ=E)I&f7Z&Xz{>E$EU6XT2j6~veCBGlj^Lh=%w8wJK~F<+MP&|WEAuK5&dp) z1a(H1s&-*%A1u!q1iGaI<#Worh|Tks;q*SQn4X$@H=!<|HsR@h-kwOv5DXaqsdcX5 zMHoF5eMXVmPkI{?p#8j4x2quhDHi%kwpqM+LIVrEuu^G6p0VZ$6@foX_OM} zoABt!hB}hF>4C*LTGl!()UJ^2E3>5t*F1Ml|IT0ADQBY2nn7hK%vvR!L|Yaqhu8*#Yec$2KjUBZFm%dE+V z>&^k#ejaOWyc^WrtWfBTr-~sK3g$-b{Buw=VHwrVHWs~w!fs5sp4Hy!lW@JT5bsw4*xkklrGB} z)|;I?N9`%vqzW~04d~7f+BnO`A~auSKhn$=?7-7zyc4r3Q)Z(hR*OyTA;F6hHkDw( z=rI++Z22toS@84OK~7cEaD9+%NfhUyRqJY=X=}5*EBwljdgXAzS=prz1s0|(p$P>l zZtbXRmUo>7c{!8d4Rjf_jdBd@Q>ca>QfP8u2M+zvj3 zDw*NW$9~p|S!bYqHk#Id$}lf?FNPU>$;w)-AJ+kaq%7Tk340xUZ2aN1)R;NfSXgw^ zY)emXsI!anJi1R?=y6)D#o5Oc6Z{;>upi0qG$=9Ut{9EGob(EnX!o?1Kl%z6E;ThK zxQWgo18B*9&L5u(3!q8#GI8JOZ>R}~F-F!%oGNg%hL z)7*0s6Q)hkQWwTyGE-Jv>J>v|dQN?Vz&Bu_9b|&|t=h>gs=iuATF>)WH>4ICq_oIJ z3bfYUxAwZuQX+-g{Of8BB9}d)+8_39Bln#H&IlUHGTUAEi5n&fyI}i z0_lG9d{dHICq7Lj?^n++P&a^k=*q@wUVf|@iX`){IOVo}hmnJ)4aaLFUk4wl5po3FeubqR{iiCogcQ%}^VZK@GK*ZtZPmWN@8)1`MCYjvT+Mf$;#&nu` z0kj4RI|zE$4GRpCucj~3us|peCempb3tQ|MC4eONfE^V?xg{GuG6v;I4}9ngK|pK! zWA_agDfClQE8xaH7ielar(1aU_)Y8S*wE`>v4eTnVQOuk`|cobCnLk*rPrl8@tv=H z3+;5m1ZEdm&QNCV``&T!hFCaAV~IxG+^_rTr-KwfFZUDN66?J5)fAY4saB+SAWN`hLlPDu>31fm2)I%={n?1=ej z1$)U+Ate%maHsQ!@+An_pY{s^sS4!jy25 zFbB{eN4_N{HVUzz{B7#ZFv_9cQIiZS3|YG*>)0MLI<7g4?-iuxKC(Jt;%DjSKj<$5 zVi3-)zwm1*4-g;)Nd}XXv0YicgdDbz*M1Zi7$wU~H!Qf_*Hh(=i5QSDcO+_&i6l*K zGvI$+EJoD$w7NXPaC+gEL%0L;HY#hXTK!s*s&~R#UA9u((WEJHt(iA-gS}C^uRd{R zDM1<=Zf0h0B6f=%#mO4&jr=Be681j9=j%SJBpJMJCo86PDECHt{M4Dp$)=49Wj7kO zFG&hcD!h}mDMTi4cX4V@Pvc1=&+T+TQ4`^=+3A6Eujv z&j|?qG~-xMFr=q&&82hF8n$td93OHtZw^=;$yaGR`WQGs8bD`NsJH*KXHx~T-KbDj zXn|>)Y)PH?uH_23uH=gdqReWJb2EU}xkQziN)k!<5FQDsN(o|j#g3YJ8%FXQnGM-JDG_f+?-FQiB- zep9c706g$(r$&z{K?X!C?(QCZ*d9s&QJ+4aoHP+hYq^5@qxrOg;e|#0<^x$oK7B?( z!7zS_4_R~e)#}Ok)kP0Evu?nG6AdN$m7a-VEH3ay8c)uiFg8U`Y;H$@RaM`A>YHb| zYe`eD*!$=oIai^OP(qZ667yYCAu7bbeV?c43b=X(Yd%SfWeKwL~^N`$wq z^fMAqkKGB4;o6dx?e!ZYHMP6^6#6Lx9rjndXditQlxPk3inm8$FcWBuZoLA#IM=uL>6w88_rqzBFu0hJ$Je6}@MMub>_tm9^k>Y$KdF zvtLzz@qIvZ~v!<~8~-%D6|!LLi4S9=5L)nVt}*5!rOVV~VsmMdGA zsAsz8`qHOMm2Ao|q^2y?-NjEcF8pY_$EPvuRx05MSX9bwf!^M{k>xekBmtg2_svxaYek_Z&vHq}1M1C*-f4zw+0hcl z)g13LJ9drV^Tf9`&-A%tDXTV!$T}VDNtFTbPx_?e+}Y#h*vIiB<4)Kg!^KgKT$pbf zbVhS=^tX<_WR9mS?QyrA9*R*I6lS_L4BG!70-oyHS_vEset*L)LlEg;Ri7v^Y}|gH z{Hi4k*m+|mIMS8E#k(dvrjjN0D!GWT6>!P7>1ML@%bRKp>(OnjMu^4{ud4c#qJw7^ z`cP+&(hSiBKVs3fzSl~!^t)L;k)1+oi~d& zjMLyv9}SK5IxPx1wrSo&%t7XTQmTHT+Vij9q37;iHuGcQVwsEd(M+vv^-e~La_#lm zVT?G1;e=AsW1gb#@cTu3v`Bawc^XD(LA~8Jyz{_ek3(l-Gp9)Mvho?R!Bp zW941hx2pKLJBv({DA%So0(&sDZdE_}2i*Moum_e=cL`cNOP!!Xp%jEG=ovB{IYN$a*e`U&S2_r>a=wiqV147fD?kNA(;#0@l_Bz; z%$i1)r~7Sq)D)~Oau$r}!HzfpwX7uqs-_HcpRg>$B5+Vml!NeKoh&h%_J$S^d# zjTvheP5?poTV{~F%li~&*v2dMc-NFc1 zLt7K-mx^*Cq~IBIdJ}R>LNgwXlb%I9=X3`#)93Sumks-!0N5Sd_%cZloS|4YV=hrL zL97(%%*>(NyvOOy#8|($&w2DHZKPQ4-q3SlZOorklM#c{*mGIT^GVf(e@SM_wdEco z(1keAK3%B%+GQDENzBBlApSYV_nj}DYuf^3s}j%3&u5)`Mh?f;hqup$jf`_n&&aMn zc}96u1ZCX!^{=Wy@(S5hUPmCs42_RIO(`d*Y0;0u&P0a}kAZT&u+NJwV@8D0{XRDp z24iGeSQ|ZLyd}J7-S~UfARNl2Y>CTi(P*H%#bFbE`uK=^YS|-%*9iLVDZqQrBX6nn z>ee2JJc3AIH+vgObF@gl?3Q421l|EymZbYs8NO};e-`$|LwonoemOdKAQHn#=#Go= z=hLa1iwNuMtEjx z#}n)u?)+*r%L5hIdo%YvZ zz9pp(qesc|E;=1y(xv-s^(m}F7OS8jWE<|CXW4*S64pCpSO$3(gwK-XC*(Gi2R4oY zvX}<2h?t>vpHZi;(J1fe0$~v7hUoEo;L%hCmE~`o_}2DQn)Kn^$gp1S4o0qAl(P2s z1$W(x>3EYfSXUw%PA^6;N1bVJ7^#4xE9`pdj~wp4$IlaF9=g0I;~8!iY<50@ z{Pw)@b|35#W6P#ExdrMLDh*;7my*E^;P?U zvxP?WRYQ6cZ}~PrvBS^i%qlDLn0WDMH-aF72vg8jdn{R~J2iyUSWnEK#(ZR@&Hx6y zN$y+f!&O1$IYQ$laX~))w50Zf1T#fmJA&77v3awTu-DfdyG*#1HOa$w{!)faKu*iP zujTXaNlZYfChD=_!}tWD{Y=CXv-=Q{;Zr}yrnYE>+lN)~!wvW;v2FP&Ulg61+KBxN z2fxfSM^YVqOKM?#M0XN4J$dJ!EHPo{4SN==TA(yVqN^ z@|%8LH;r7L&6SkIsrd@)qqI(ghmk&VyGCQq=v8XrbgS#G5c10Wx)T&&g+F5@lBo$= zOJ7bKYd2|Dxs-otIsg7yWGhi;u(eTX?bw|01b}=*H`U8D zYOQdixMRiMHQ{XA(kElI(WIU9Ng-qKE~3L>xy{&!C!I+GIuMAp9BoD-@5qb97=sr; zoIGq;UcJ~}xyg8|M8bJ!W}J^DDdF{+C%CK3@s5_W;k%+om4t&oP}(0pzzp zgO%?oFL2t_jgS4gYl_tb7xTTT8HI;%AO%Lt%Gv#f`hBCNDMAjbXvhYnB`%`Oq}83Z z9Lc;j&9!~U<}vw1bNgdrv*?Rg<5rnrJr>lc9q)(l^^3!VAkSz&3d<$>YAx0mw#vnO z-tPQ~U~8TXUmdjNF~5xA)uwPZfKur*j&ZR3^|%-aRfNreQV39MRyAiZlsN5sGwQ-j z8+;PxUXhSSU>4y1X++*vgy=F!9dLLJU=RAicU`;zTLa&qh9O9#l5=JUDsEQm7lVJ9 zAfL@9aHIHIo0|H01>?M#-{*lv6Z^b!RmLD%$(42HkZ#k3_>|j|8_~BCrCP4K5?2Ox z$N8q?ML+pVwavJqX!GJ!y!bIN{%1u4CE_s^{CQr9pThlwy7w++ox32EAN%#Hq6`?u zBEnxR{-@xT|5;v%E0e6Kw+fqI0(DL+`#yY=J#Io?9(V^R=n_+8Y|vM{y8gN zl}H}Ik?HBjanXFD<*sX;mVle1_h%fpja@D@>wa8M7;YV|R#je$(d%C9KJb;@TUC`3 zua2gKg5CnzO0~e;^YW@`lm#2@&S#8|f}<~VsY?A0ftkyBuo~|zIk4G^d`aw) zeaD#sqrabw+|f6^ptpr&N|}*-iuPR1d;|&4nZu+*m5cbk5)%mPRWK^In<@SB<8DE- z**Z!y){hazweXXPx{rS|z&=#*i=agFTlMTr)!ZV`vq_f2Q?FvUW$vi4?LAkjA?rxH z;cPbBEPTK*YR{==N_9<~pzVMHa3t8Rafd|;?P1;bV%JT_4tt25ebVJrksTS7?2w;z zfHT_6o7EVr-L5h=O}JyTQbpa;-mC37b}GZjp2YpokO1QlGew=9A+<2mvkvSOKk=8% zERTT_it&TxU}f=$-j$eKO#eXeyjCdrXjv3By4?<0gF$p_WrwUJerlKF8=DaCFS+L< z61p>Xl?8VPeY_Vu z8_V|^lN5G9DaUiQf*>>HPAyhmT)J*n+ig!!(lY)b-wb3b#WU|h8jsjXC`bM)Y7#SF zujaL6nH(E5_pn@n?T%Y>@AQIei!DOaVY+tK&Z$hNHvKlr`h`p7=suj`DpMF^nQxjj?dhIhLUtM8Ej zSU&%l*`I!SoaHInj^~`c{t<+Axw)JAYDC2{h=63cbG0vCD7&M)6HI z3D?H9y3rW5IcUq5&vPxW`fcf!txp!gl0GoGHkN68MhRZKJhs%;E`QCnb@*oqTbkHy z#>Q!ER(F>#=Zva~uRqI^nmHT;VrJaAGM3&G?gHTpVc%#h73{G&zxwt81H%vj0qIV7$$ZDBgU-S&yvjb43xeUZ`{=j_gT8IFB8+3^{NH@Ns{6E{#X ztzXwZ{=@!GK#uNteBstRpY=Q8sHo4PR(rlKXKfO@{o5;Q>4HYx(*0L^1lz=A__X5f zggwLY+^&|y&UY!ryB}-Y=_y^GI%nU1Aii1a`M~N1Fnt&}S5avATzhcw1HHG6DUf*> ztLO8_LqYsG>E3MJYpV+?p)kMTr^v1k7TtwFWqzbxu~X>6)EPVuEG~J+;25< z=O3ACp^U-?hxg!W`>nt0jac|B$&WT;gd29eGB}kbl_bQjy6xvabeH`~$qZzPPB6VL zb1^fp=R`JRm}_?&_g8AyZ62IfoqH0YTk+q}u?Tl@^bM(GVzNnR_!{5pu#I24*Ztk;l%DQTsT?mYM98sB9AS*2L$v!TzY*HGgoI>YIy}6$! z(SHpd^>~=>R;_rPa4R`7IZ7Q7->|0lgjv1tigyr7#A#VqMTzz_XbQS|c4pS!iHsSV z=BB-gT5mQgQbJ7@&oX3@F$~;osh$+N4rI9aF}zvz(J$J&_d@Gb5^qZ(0lC#p^-!Vk z)qc-pZPz!&rSi4L=ww0zOAGh)M1XB~nG(FsMF<)sVzhWRxwI!DX7xp#NGgPkFlIg} zYQQ=gshpLtC{^!As<`588AM14aco3VOw}IJY;s&%S~LV@X)D?ga>&$uu6S=ienp>< z{_~+(`C4ka_s-D*TkY*gk;BQm8L!1H+4kHM((bF-g_}ACt|-|bZ`7KE0`R1Et@7xBjd5sqAz!V2T-a%} z2E8M47@1f*Pn&ts*Hs`QDKhP^9PF!6BB96p@!}<8OP8S)vER-!It^bp&aGTt0=qi7 z*PMAeroK{sP&xz4CgGs5!LDxk?c!}~eZ_~eYwWq78+_g|7c374PIyyPyjj;PWsj35 zD!@6LJP=mOgCW-qMr2`%X*M<6t*EI@n9ojG8wUDJ(yI9ZSvf&*iIB+=B`bW($L4ENv$mtk!SA_TxPla=BlDkIsxh)cJK zed4;M@M_mDukI7zy`O<^e(d|2w8t!-G%T`<0Np)+&)~QGL|f|@DkB4=mDGZ7 z0I6|+P`~Mz9G~{S%Icuh+L0rU@o%iI3v_00)zz8=RN3t5a0$5%ba3mk!E-XIC7mx@^a14odG~@H2+Uryl|b3i0kLQ> zh*aT@c^2hndJwMksvz~J>;7)!Gb(tDX4xo~{)C9vN4-oWqLavaXG%=k2#}2x6>%3ma(?OUI1ZI;MIP_YbH;mPiE+|C(g`ueNF?j8i`u>+eRam zwL9I?@r;K9}a0tfy3lV7_0PoX4uy%Y<;Y*?G;4))(4Q^zP*oV%in8`zICmh5AT#b zELY14L)3mhRASy=a@g|PcYdqtiP&6h9X#l8Uh>4pIVhA9<8Bl|IMA{XPV+wM2*9-1Tb{yMnKfkoV%^Q(}#fD^? zwf_5~enrm|Q>v;lxpffig*Hyl;`Tsew?-&YWaF_srDwA@xko$u4JFwm2wlk|&6`@~ z8zY*#XKn`PwBK$*oKkfKo|u5n*luO944da|hUU-dVI|QCgLeT{dm| zD@$U~_jDklKVP_ugTu_w-k^m|k)K1o1|N5yb8K!!>Y{wRsnAP<`cnSa6I+33+)MpJ z>@bYwEW(KTZfjfU$#TaxXNd9>j(&Wf1x37EKXc(K_*BlSPy3_gYI7hdYGU}9Pisic zNH0V!u2e>+^A5QE=zPy-lFF7E(aVk(YJKD6Qf1Ufd5U>;O(>RoORL1Elv3m>480)b zq;VqlRa=;-4x+plVm2Q7r}PVEt8`Ucp6duaP}SUxY9k00(flHn6)B zKkX}>(-{&jUiG~TCrD++bnL46J}|wm*|kcopoc25sv20@x#vguaAeo^NRGL)f3Cxx zc7;9m%R3Gu)G*ER5qNAXffV<^~rf4BhF?o%%>_HB>80`{%^gHopyQ=jD zV8hNG+jmq3$CZqKPPWA9<&3a=QxKQAQP)3wA6bP1I$|ni{V5f-+cOw7Kz91fNg?oeR=+Yz1#SAAZnwn{g?iFcp(ceiKA#4|HCnNtg1|}qj1~C*e{GF5xf@rDqt+KVW?YX-3 zh`L`vCw<0B@JFoBhK&M_{=K^=~!M=|w;fU?3=={4132FM;Neow5Z+`GDb?cR6I z-EYmk4RkW75GRJhvla92U$0Uuxt|}exNHgmx)S(_%8W#$x>%ENgK$~JA%0OR@X|{( zlGc1yn8Xy<3l$l)b{RwUw~R}0kD2C6#;?6KMCz4{B7=6GXMI<^!xmd}{w53fSo`gb zc0}jFUx5_uMjl-RA z&%dirf1v&X!iByicO$%?Vy9}w<%YX{)2C9x(9=k?sv>Uc0vBtq<4btRR9TBNGoE{6 zJq=Li`jqN~|49JK8wwOpe~3%JGN%Gvrlr{;>7{YQ5`l#A6JOgM_b>#X!ew&Ot&8td zak4-pagpW?$E#M~cnK0hcSQ+<4Wh7*;ia*qUEw41b%FSj#L($Yt{6x#6U-|Kk z^Lz6xcJ;iAtv5YMSyJ#`=tUSwo|_kT?n6(>>OFgyvzYG%=%l(2taNM#?l8$Wo~Si5 zf7SYi+*`Y#N$p@Nl2InwNDVjReiaBMV4>5(6v#?w=DW#S&b)o&Z{V9Z;ZJViLe+;c zW%=Gj($>V89Kgd*q!clSn^O(QyfmLI1xLJZS!sWY3Ac$XUh%X zTm%U4W0UM3ygp#Cn)DP!7@K-Id$7CNcwFSia^K7l`Q`~Ruecz%(~A1mMf;2kM3;XD zA)e9L_tr&(yk7~l7f;;$E@FBy==1#KK)!kJeJ#|au>owpa`)bjlkv~R@ezfct|UP> z0`h5~&*eQptnTQO49wGet}yzNtbP+|qU+l)UXX|C@$Y5l{z(aMA?tg1Qv-J7s;n%6WDyhsB6MWKsu6i%*Z54|FM+ zjk87s&W9Pv2R*zvEUR!Q(9s`@I_R!uWa@>vSYSrV#YqOsvR6|UE*Pr~i|W_Mc^~<~j1AFHTh8;;AmGG#C`tTVBXMRrD6{Eq1%GY-#mHSy=nTS)<$wp(NU7k(MZW zON(T~<3hba@WTnh6W#$IW8ylfNCS}?`pm52Z_qB{77fnj3y2cjGU^WVfznG=>{=m^ zYy(XZvPm*iOz>Q&f(=4$R*lDvM2oJEuECs^Ss<&G8~b2QJLU$H-W&=qTzJer$iV91 zDxU=7bdO}XPlp{u9}uh57@5`>vQ+?*C?V3wKi}#@?0j7M7++y(rrKDBS67n+!Ix+S z=>e%j51{}7kI^9$jvHUgDBKvbpK%v-T{RnW>gEHj3sLmcT<4v}e-iyQ5;Z9j^=o8v z*8tPXVEW=b!~J02$K(&eQ!L`YT*ECHh-L;Wx956ExQ5wad13)owp6w> z>qJyaa<9W?X?4F16cj6~e9H9^!K<8!C`koquoc?$sgEX?;;C_%mRiXF50!O_1B|#z zIlLXU9&mAj>Q|p~n>&5ZN)9Yu#P5zAV*-reEk2TE!<|7<|H8&^rFy=>{&^Ci(hD!< z`M@L#QQHCAQFuClYd>}_Xwy{>?2-U>%>cXVGrB#9zQ5h02c;5g3$GwEj%v62K$iQE z=?xB`EVSf8huzSZBKYAvQJvwPL2yGyK*N7(6{fF3Mu3Qn(2ri&l?N$QacRfxN2m}_ zol#U7L$5AZaE$$8EXUN$bQ2^p3V&#q_Kq@zKk~hp$94K@91y35nZ&O|z4WFHP7vZn zXYr9~a>wyeSg7|cbnj%Q>`$vj(~pvqnY=$87ctOx(>d3+bNJn2#cQQ0T{4fBMHbu(jh1n?ceJ0mU5>Zt zyx04s)M9y0BA^oq8-CxN{4eWSA_o!fnM&E1U*#N2h}|t0Bt|k>*VX~2;2SVR|4*o1txq2mz5*354H=-< z4L#toJz&D!wUPb%4>!H5JihI*jfxTL078%$v^(9Kgtqx6#7svSiO){9t~s(U=o|>v zFr{*gE}jED13e=L8NMOeyHma%Nh|WkJrWH9qs+=F_Cb_JPLy1Ham;*iiBYbKNwqyX zTMUjBZoC<8MWZD=gH24$SKN1W>lzJXhU5eG7Jwg5m91Zrs$x)!Zd%oTsIq^D60vHBb2xbTzOnXtlnOKd;v$ z+&nYny+AHI$DUb849)OXNk=5M-)jc;PFNjwD756sg(^stxD*zY_11fjMmd~%cCwg7 zwpnJ??AjUS?;ep?^v^^DIJkEl2c%)ztA006EFqD2fK#2L%cE^)`AU};JAE&>S^r6* zT%I1dR9g&uhe@PeXI@v+V2IXeej}cT#&1&6?a;`&SFHQaMM9DFOH>4T(X{oYY=8Y* z(Ooncg9WS&)&AiM>5NFkmB{ZC4ZA_c(h68A`ZgOHSLqh9Z&f+eXJ%wwL*F7{5tRL) zRHw~iFiVY@PFC$QBv->`U64~n_n>yti|fQ1%uaavmD2N#@}b^5)bB0&n*TZma%1mf z7sDi*W4Fw@)uC;eoE){=aGHvC+oNo+f>-uy;)U|pJ`p!!IsPYe6)0 zUIKO!ZrU|0R}1g@%artHK;{)rEg|;%G-V<*0cWse$X%FUs1ZIQdm+0Z+ap^co4D^r z-ZqUr>K~r!ou$Bc(S1=x$VN^@R(R)v?MGI{1S7VO9kBX1%=Bs#_gbZ)LA@E>|F)kM z$f2Xmd|s5Ullrw478intnq2xnq9d~X3ms7eWNL2jLJD93a5IY;J4%~dTUxo0asfD) z#m)a}Vr1ju{0(1e2_oeIpMX&@MMWJv^cXofSxA4kkpkFwSpEnw_|qzB479fMq!Dqp zHnyYvtH#>SoRyU84=AJRpBhDDpgFUWuC$z*3jH5KMo|YlGZ`0SJ8M$~^*%d zQ!8dg2WOzM-JdG0zm;QQVg8F$#NN`*oRks3%B<#M4%8$Cu(L3$dper`q5iGEv$Z1_ z%ad9AZ?iZ6JpT^h$^I`l{NJH;vi>_Lq<-uY7^U+~(CIVg4?AXA6krC5=!Ywaruk3c z=Wly7e{^i%z6T>JD}~9zvr#@oFx=l(?rCSr&MCZW4enIu7FpsUa3r+vBxUzFPM1}! z%1AkB-gbCc{1y`S%`?4<8T^D4fAC&F+$N@lw!l(OXMNb}=iZ-9^kr>PGx)g&JI|;$ zd(_~c+#M!zk%l&}y0-`ustvS^+s)Ri%A;$c;|mn|!-8l4iz@Di#Sg_RSQ^ArbfoP+ z=`eX8nX?G<%dAJ;iPSSM*0~FXeXs>FPBXE=CuoI1O^V=?7K~NEY2fXMt~Q%x7v81F zT?fN6$L-wvb&Oi8pJgJ$t*NJ@;+B29wd&mFt4Izh5~#3#ch7-#kP1k|FR6M6X2+~! zy=rc?pu6PnB>c)){s&qgAt8P=CdK{7DCGE;`~OBn1+apLR?XGK<@XqBE6D#fmEa&UDvHJ5M$&v=S|7hz`lPku*NXS+YW zaB@mWigR*^aPn{g#6$rstgNDumCyRKlikdizax~C|1ZBwTmQyC_WV=z zSJ#rv3|F>iDPYLc;=3vqP z%`N}U(0>wx94uVijh)R!EWu&(kBP7Rk60xYQ{yD1(Xs|3MZ1G&|Er>=R>t<0=4Jxq z%;d~}8_xWDg8svDe&+wpH~fDH{%uBs`TnW{X9__iFvmY~lpxZ7On;pJN`Io(E+A!d zXE6t$qk}zIcT(_L{5w?C9F0xQ!3(jQwW+zPlqmDxtCYBy`rk;>f3ItttQ^cTU>IvK zV700_7--ts&HN9)H8>YKTbup^aGH~alLf%e{EvJJUXg#NU{&TntFiV!6R*sl@colA zw3SS3%uQXGwXDs+fYq!lJpTre{5t=70)g@;yF!>jfD7d75=1Wq6ciLJ6f8U}EIa}{ z96SOdA_Dk>jP$!8zd`;}-ux~oD1Q!bQ2#po^9TtI1A_#Mgo=cOiu#A7$_J-XapoA z7$Zoqrf3kb=wxv401*TXaus7IOx91ah*%V&In|vLXV`42lwu}v@ssQ4IJoR;rp_*( zYijYR#3eL93AuSw9O`BPNchz6X=v#>+)aJP54XS zyuTH${qGu2{a>p5x(M+G?0!fzC^QHm2%%&dhAtTkbUBtdoiA}ClwXZ0YZ0mbPv!q{ z$p3GHq5bvee~;QE_z>>Y_`p0JTS_#^(R{!gUvUfvX@O52$?1A5<)g~mgbuf|d+2Eo z6~2x$h*M0)=p-hD{wLoHNN8Dt?>;<#dxc0;b4B^pg3&{QJYd%pLHU&RxQxD<*4-@i z3L)WsQOd>AsBz64f`S|LP>ay}2a0V*rKIRXQcN*9&6MH;Do-)OS8i z(kjVaa_A7XH;4X;Yrv|hNzC9$VyQE^atEw+p^#OK!iW2G`ubaRm)6Q_fwQzp%#6HN z)L)r|NOMXUgv7sCMoW(7s;}plDjxiB{Trq>_xxc;)*Z6m`7j8rB?vH2JowuN@#R}{ z_O1q>$#ENs^%x=fcXInSqQJKsaQio^J#^2V$sg+VdaK<9tlQ*5StzG1&Y{5FKs#r< zrhqU1D3pr{!0SKVMvxAt`qyQ78vq|5T#Hv#6O)`M#gzCT{< ziH@&c8grg7zIJ=WtWzGQip;KM%`F{l2IO0l6oB;`3_S4>b1de3GKYOpT6(r81Wb=l z5|^^6znx3-h*;-ny_DuWyl!vpZf!_;5CeB#PN*4vm-%aNG>x+e-tR}hVyjkBKU#A> zEti%TNvHIi$}i_qoz8Y_VWv~f?wllPr@?Q0*{;BD%0PBY>xo9x2=7l7UW%>qK0cfB zZ!E<35{>ySS6|O)`^)+V=DQ)1rdNp8?mo_xHsga_4&fD_=yy+a5`C7i<^E&)-bM$T zZA(grsOmp{wp*Sfch!v+TyjI+T>EYPaall8 zzUL293mdw@{ACL^$XdyG&h6!v=zcPK7CgF&GhWOgidepVmP)scx9x9*VvyTxWg++7xVSj6v76Qi-54X$rKL4s zJ!CexzT`eEl(QV(_g7aRpN7CoPYOwUy&!4qWs=>g%EhLtX(KRXUHAb+^j z>&Yc}XMkBfvR@bV5ZUm^GG|KLs0BNrQ#jco6(Wem`3m8duyi6Ib!)<$PU~xYct^_y zd&Bbz(be|~aWa|aa5R3>|F>p{I1sI5yK`^Uq14z4$$-wd$9@^rr2VQw($uLR?VD4i zI9Unr<)$pyE3oRahUw-Xbwap$jw|M@ME5-SDl{AfxJ{Yeqx5GZb=e9!Q``jmeb_g& zxezUpzxqfz#(Zdxw61Wl1qkro^pJ3?K6KCUYHsO2t$Ri$u@&+e9TEo|b=^D|X?s=R zK(tL|5dz3aEnK#u+VUFrx*Vic-J%c+vk5A(&!M|Y5qZjKpiAan-UuzxK7VMMZ48@? z?}(%74>t$`ahJ}^IbI>ANLHd6^Y?yquCsrCt5tjX7?=lq&t3pG z_wWkwt7jD)c`&P0PKE%FpmmiJf*)O( z%P>Gu^dpz2fr+k!voTDMDG&s%-K{lQvxvFh6c44rQxY3L$U(}!@lt9S`L`RIXHn=^ zh2H7YvL5O$#uT@02urT`8{#@$rF{!dHTba65*(6=PFcBWBNx@4@CpG(aX%D2M{K?D zdCk!4Y&bk(>}&Tk2FiV3^xW?_z{n)zApJhF4}1mxtigC=z~=ZX_i!mca9ohgL*D3l zY2pa@w09VIEdS6cO^h`E3h}-IXR`8cHs+fPxv-{fnIALXoplH_TLCy@h~qjm zfb-`lQ)`RpVnDi&fZ8=1zG-VijBCGdMb<2_Z7awlu>L(q4UKxO*3`#V#p(u}dT>G= zWol{>-7Vt1vlnqnQN0x!Lz#E%sd^^cX_{*c(Vevz2aM@{}^N1O4e*wAmmB z`GDBVu~(RiO;-}Syuk2(@%7blQ9s?nODHHMigZdhD6sT`l!$anhp2RSEYc0qjYv0$ zu;ea{v~;s{cPuQh_^!X_x%auB_r0I@ubrKlGbg9coSE;n@#JWQ_(b#cDW1n&+O1|#xgc{NO7x||LcC0{8f0gtY_CJ7J-K+JSIrQ+! z*OJDozihK1;vAaFU!b;twaxMe;Pfw1nY~yc4MPe#F8>`V;>=u-mZk2}#qc@H(?sc|x}8D6F{CI2W;K%1Lv^ar3q%g+UR;}zUxl<%}vbM6z? zQ=P8ia32kW;jZaP#-AJQXLfs4>Ofm*iz9j`UohSJ`&5=^gd;}Eem4ecD-`~iq(OWdsbXiC)YzIc13CEL({hr)a>+bFSdzA z>)w}QwHAr2Hm50WZOssULo?KVJxX^q8#lj;`iKlhn}I^cor=BVMQGQn@2n_wbdm8t z$g^k(_va<8HeP1(Sjwx`l*HX0h|N>{OE@!SF0Ysp_qIsFY!1ag+05Spl@4Ps57xvx z9rTwOhy4_XDpKev%6FwC9;E|rk3(ka-qV`7R5=iDk;0H18!xs`lW9A*Z@x?BE|~pg z6*$Bi8E`~);5+%tlFV=*I_U5(9mhZG&_YOY>hXdIdHRWNCCNaLMWEwaxYeg=S~ITo zNQBDg4;q-dFZ1c$>SaH|K{{kVU!ftIrZF1NjeodsGDW!$vEz(*{sBNc5utu&TTl0? z(B>e&HA<9MJIp4{GA+pOcE5l({~pbj$`IlH!d;Rx-8Hk^9{@=Y3V~M8&G7l7k9fn# z>aNpyWFan0X-W=u=Pq`Lk1n8#JgEFKG!z|m|MENJ<~#3kO(+A}uK6;IAFdDEst!@- zq?0Og?rKxsyf2PshZsDa7CN>Sp8mY(6+EVm);Vd-mc9IRgQXmF{icZbxOVjOU$#6d zs1?#oG+cNY(ZrX8B9@C7V2D}c-=h_9zLqQ}W6t4sUqX7Vq!ez-=#EeS!Z@Ve>AF1F zr+f91-M`B6wZAq$9cqDQf3DjrxGt76|L*6YI1IR(v}|&5w=r?G*Feqjb4U7!0a$@n z2N~!t;SBWO98)^sqfIid$3u8cd}h(Nub-tMt2Z28SY{5oZTLqVsG7gXwibteC0eAb z#ocj4-QMy3CEVgviO)nKU4$OJE|ddbzB7SawE6t)Fdy;eM1m6EG^g_Ab3)g?bK2Fy zb?vW>d_3d$uL}$@Z|+StP?EWdXpt+R5M;*7j6=+6P9{-(NHN#y0twhKG1xBzE$^;> zvKiCf^TTdy@)xQvWet2$dPe_7p?3~qpgSH3yXOeIy%YOuXk?c5YEB6C3>tyUi-g<{ zB{6Y37O`%_XcN_FT}bnK*$|YbzDEQ7`uzS-Z`&9>6nARC!2NhE(A-`U55$eE?jL|9 z+NA&D<=i>PVl}S6$UT)sbCvxM%D3m@J^k|A{P~c7bcY^j71G}7mZ32+U(AeCq3zKJ z-1qOgyztEh*WD_5P!>!?3la>caJk!Xr612CVAM_4`|DM2g?X`&oa^@?)f3 z@>g)t_yT+s-RWHBcjF4+3q(EcWI?C*s?URT$1%N)zcc&+w3wl1+l$Owv+Jr&@ux); zDS0w^_!SuV1RXee*ly%Z6phNpjeGyQW8oyzBDhm24oEzviSiED-F^`_?SzBwZ$L!X zsmg%vm$k)4aGUENz*6GX`agQai-(a#{n(n-p2$TaLC`+$ty5YvC;vYH&X>nXh$?Ch z)2+Pt{va1^ zC#GxS<0ko0&Re^>e;a~!jtIvIPVL_nfBOT-R0F%I!b7s0Xl)YekgC#u079nsd$}+? zw26)|u-otVcU2o(C?OBDP8fTI2bvb}zzdVUT2?;3vo;{RO(-8GV`yK=^f z_D{jCCh(9nr?jRo7f8N8HtJROxx;p=(HhPfSsJQcZ3$E@#HUFh3a<3~#<_>m2BZF~n||Yn z3~2^{5(TR%76Ez|7E9x)T#~`#Q?xwUNIiP0bW%gUO3zfM))}8LnaCIVLgr0C?6(@6 z%k}g?rR~!!y6sX_4Vr^MrSsE5;rkXk#5$U!N4$;aHBwk4?khtH|H)8q4mN9g^_oH ztNv5%KQLT(mN>}&YV7Xr6AtnKjE0Hbdc>QT_Fph>6#XJ+02U5_4?o%278qsG82C6u*CE zKGt}DF&p;prgK%IZmiIy@d+IU#CMnXvu1CVcBbV)68@(l?7SWC|10lm*Xa*H`EMrI z%?I#=Nh`YEg|<9cF0)wfFLBPRd;RY!KA z3sSrWQ4D_zcHR)Z<||~rt?>C81lPDOuf z+G|DLC7DOe8KF;=c!LuLSD9dr|9{6P>`?<6_}?y3@Sm~&+x3)4Haeqswu5Jf(r7?H z!}g=e2A`2o-R*$BI={j@W=KGqCRpVtC6+cYs<-uZ>zP!E>#b#nh{#Q~toAeaAQweQ zymbV<@LWv=Lh4&+q$6OBkUlDLLN>`Xr>ySuqd7D0PX$IHuDFd$La$CQB6(iKDIdt+ z`gpX#dZGp#p8O!%y~)BUdpmvpC}nje0*cL#`t+>s3Cn!Z9ZJm@b0#QGJo`RIwNZj} z2FLGe_c%&plN>3nK98~23hsYV^j)2VPkv;Bn`M(=>xgxkXo`)Q{O zq->?Cp!lN~nS2c$&0w4dH3Bhe!c|$-(ql=qHah+v-jRHzWHsSeCC6Od&1l8E7XMX}4$xc0o7LZTIkX{!>>fkKQUhg*tnJA( z2tN%1%o&ZO0x6g5Chu3nn#%Kwa)0t5jCznMzJYpA6FLGz+_lXWcd!IUjnf>9hy`v` z@`Ky;Su+x)U9CN%N*SuC-axwGm8jb34z-rTJxp8f=HJFPqs;w9MfC7mq|Yj)8F0He zJ8&v9O+DnNYtq0H(f6+9E9jHY>X4$np(o-4+e*Wtk!BXy_^L8?eS^i$BFgF@qx>gk%|%9szK!5#*^{tg$H`4+f&L>iO%3o;0ktV3;9L*Dj{4gy`(ev~!~} zDGOy{XXOrVi$e=A%;D#%@B=aL_v@D3;++bj&=BQm#JKnEB@7;73C~`J>@;Oe7FZQU zsBEof861h36+OFm_cFRhcD)y=>kZwvsU!UZ_~7kdHER_@HWIr+mTV%xM6XY-+a2;6t8$(yY@>n#askQ}44#GsBmR7^V91$s785on+xYASxm8YGJ-rD=yJX^Mt z+nx;mGiKna8^;Bh5OyW zi7Z}I7QdTZA#2*|4n9;;UcOSDIo&_+3daj7vZlpb&dr>dFvMlRM&Q}S*|>JgVy2F2 z3t{;E0VF<U{bU5HqfcWwS2 zipo)bscopPyQ8zGif8rRR`-py9;L6FXrBQ-NJ>N`I0>7grG1=D_G>F!rF!cdAaj-- zVv=1ml%Jd7F)~VK^5fk3M|+tpPLJa^b{wTi&bP*&waN+D>6)-H+HUaN=4TyEllv~p zXUsFR1O+;R-!O6&JS~_#wD3VNnuAnrU$nn<7^$WVIp=*@R;n1WYjw$8C9rrgzd>_z zc^F#XUP?2bOjUDkqV4Q9B#2Z!$i|(VY7+^pt}W!Jx-6+#JNaNnD=KUNVD&~B+ADw z?8l-OTwMHsY_fGaJ_a*~6U!MFcGSj~0((9*{bTyl+@L>g( z<|Da%x8aVE&=pw&(~sR<>-@lDR?sVbPyaZo7d?(0 z+IAn2i}i?IA737akg77?Yz}9AyAjHrlpe-O!uy!3eP>mZxv(_FY)-8c{Z}r=P$~b) zh=Vqq`et`1L%=3zq#ox%^}XW6kB6VX`L)+^kB-dDqpBf>Vr*N9-o_=X8x5sRh3aG# zn(Yc(xmGHUbD5EmH-C-uFey5Ay7)IYtQcHl*E_3lZ z>jwO{i$RUXZM8#XjN|nWyJk*J2u2J?c$E{jP>`kr{`U6o$hDGHn!Z!Rbde_GBP9jA zoK((66v&})-+mK~MoyJ%yPK1Et6|lL!%Q6P)1<>qa`qtV$a8&x#l0bg z>2awVEGLH+LOZ@9fn-cV(u5FmRBl`JP2vEn`DfXh3b^7C>&u{i)^jTj2{QEW1#<1DrqWB$bU&eu#lykd1%N_1B*n8;VF7?Pfq5j%fL3drI8=uXdJav&1l;%!}QLD&akP zR>@$wJ9y*SeCB^uCh z1|z08(tR%L(P2KlQ2QBRGOi?*O zV87G(0GNiVcLR>Ak9v?ZS7I`%FJY%|#Bf>;_sm)whW9}iLtifRAs5sk{}JVvVlhI`1IPNi~6^^){T9s z{>iyYeU+ld418SFA3hZ-%lel^X0pepJQm%DOin+E`|#~JZ-De}HMHOT4u2bFy`2tn z*Xs+)M?*Onk1ZJ4rfEeRlOyNyiKG$1U!I4wLMY|arD<#3YZ!gj z$oOcIV_y}~$pSfp>K-*Lwv1~Ke%94`@HE-Sry6Egc`r_A75qxnxjc3J{Ap`I^CVFq z3cl8bC#6Z4R_1YG+?W3Ra4LSj&vWwPmTxX;V&Zw|zO&?qkA#?YMTw9})unJ+9PB@U zoU-g0>%_SIGypXohFP8+q;tBx`^->+S2uE}qc3@AsG_r-ouJUxo}eeJzC!v6+ZRxV zL!fTA8(CZC>E)9nX+d4&_8wOVyM**!)I6$CUI%l5!XP)%kN!Z~(QoBF=SC!OE?)=p zmJf%*NiC$6PlD+0Oi~Jgyrk-xAXiB+p1q0_o zB|UoXd(W`-l09eiJeWKvrXP!1u|%j-$aiyGf~XvBZcL#uUM1I#UmtwBv3atm6_shh z!1wI5Qp>+mv24ke^{BV7No8=zWV{~YtUTq^_5pHUit}!I4N8`Q40b|V|H@y9nwZ4|sfeLJHl_M*`USHOt5BU3_f{0R zbLPqni81%u+ZgtIrL}j+1PpXR1>PSpD&|`f?|Lul%)2~nEX7~H)kaa7eb4k{BT|~a z8Qk@}`H5GN0`}vyahDK{xw+$8u5eV5;MEaqz7_APQn$0_o!m89iX|mPFWotvjE9H3 zheKNoie;|sLN8?k6Sp%KbvG8fs!M<`?GDY_n%!^+1;Vfq7i|{J)Az>Ofp6SkH`?$E z|L9kx)MWnNZb>3l5dny_qiga zkr$Z~u+0#)Zd~$~?ybk!$6dJhQSZ-tPV<(Oej%-AbMWx1N1NZXCxZX)_@#L-LMQ(`uG2bNq7QBI4NKr}*#T zm+9-J#aVpUkBJIK1sUONI=?kun9L7OyJM>v)j-3+^~LThT?EM?~@!outc|fsUDO*>VDFyp`m( zUtbq)4IP|=VL~vt->8|6#MdHKmLUjOrbUC{xTI>n8n@g1C10tEFuR+m0K#-?*E>|G z;-uLK(Yn8&T19##jgCLQ(^S$Px*I5+e_!;nC9@7xl5)j#Df~$XGum~^qIp}(MW{jJ zk>xA^06XqeQoxq+HitY%Di2d$M=+otx~n|>jk&uUxu~lmX|)Bs`1KS%wy=GbJtC?% z@#D*qprL(>+PNwpXKNd9u>n=_;Mc8t-%EjmJS3uV$x3OMx{Aws`3e%($)w^j-5U9@)~h}A<H zAsM|_ZEd$cz78|En$%A{-mMXhkJ(M1YYN2gdL7A6bMURdb9_f57%tlKoBIti)mVAn zAZNi9l@_gmV>#nG<TTUGit_N1vG{aQh9WPWlT+kK^`U zOxbgG8h`$X4WRb9^IzmCVI|C}?C~uY++WlDsC=_%c%OM6E%MW#Lu|WwQK$88Zir4f zizg>#;o`E-S%66tZ3QKZqtz_;R+3k6nt>_wsL@#cYMAR+eRqSJJLxWBjZCok!zp?; z+?B==I%mex%BZyaMQ3>;RkVx?yy&IR6cs1i*~<13t&eTvc9;q`k}Cxk?L)wFV})D8 zRWeNg@m@ad9l?dN(~x8J)Rg|0UO4}&tmW*%6WP=lZmM& z?&CB4p(0V4IgeReAkvIdntusokT4sRArj1#%eS}qtRyD9mIi%z6FU1zZK6b-DCv31 z&#d;cDIbIC*G?2&b%8>;Dmunp>B*E8&s_uGmbO@dUd<5x0*t-!wyza3;2!2k;4Tu2 z=dznvwNHzlpeD&LMo*c6E-DQ|%|eMM3l4TQt12Wo#bw#k^DfqH%B`Ik`+H(nSKUoo zJiB|9OD# zU3NTZQyN?#B%n)(-z`IjQfWW*JxWV< zBilFCy}*hk2SI~NCoV&y+%q#pqHe@TPf(4)wXlT7k=%-X0$&{znBV2y_x_jSa+5E^ zbkI&yjQV>|n^FLa;IXDy(f$PAJ}-Gw<$GQo4S&w?b}ZR2Rc+Ddb;?7L0XG*VLSkPK zSGx#vR@gc7lab6a52FENi|G>D$}eqIOZSwOUkpz`zNJPJ+VcCYSzYB8es3jpQN_^C^NTq~%Dr>r%E|Ju7b?R+)tHyxY<&mlT{q409_wE_3~dg(wAOBZWG*n58*Wg* zvO57PXu0rD>a$S4gI^l9DPe>ovP7IP!LBcUw^%G=68Kq^qJyKsf5!hGr@!FHV*R;3 zxp~|^B|1dO9KO~owkc1(W+j+^ov)gobYT)dK#5GIC@QfOd(yjtSVqUNeTLH#MVszh zcwXr8jPX$qCBG|iUs8q4-4o9KcC{=eJZo*)=4 zt3J;3NhjrHl-!`Wi(gmka*t^l8bN^dRmwH)booZQN!IGFpG7usnH+E5YLEQ_;Lm*F>2uQas?I{ia-wMuKkY&yR9CF9?*u|0sU7SF*3PKn>lbe`O!9<_3W{nIisYDO zsOHF&>m_IC{nX9hGzW9pq}?FYp}Fpq>mtpXVL|P z*6tA8&|c9v>CLk!d6H|cd-JX42L%U@`HKecnzlPMqVyQ9U3N*l$ZGKK-c9^nPi0Ys{z@^6u4eg`g_ zX>R-uxoXc3$A_j4_SX)zErCv5&l5KV3A-y^@jaY5`>?!3@N>~@q@(e`H&G(KVX*gI zS*62g*0v*sgj)mHRJU>a5go~CsN-*5?SAVu3}~EsBeuW1>aqWwfGO=^!k+4b6X${2 z@yD?c`VM9&SQpAfjuVp@OIj;&5 zgH5;pl&)@X;m-3j`m0f?YOila>~yy})Fi60+kE26+gdKE;MBsbj-1)j?t@d#`ZKTl zRW(cO?z%&}itclBM33SeDcQ0^U3Va+tMmP8R>h@2A-ed4CD8aGV&O>^RU^AWAgkrk zBFG3pR#;kFUyYx;yt>@G`opGKqR+C+x5t!8WO*SFx4x~X`40dGUjSD+>sgvPS+v&y zWn_8iLe&}^yy#EEbn*>BfpN};$?5_)g$ZH)tOt_}9Gd0CEGrPt_i|F1 znm_8^r$&8t)}F_&mYIv8L@MTOnmXf$cc0OXPvA}}XH=(&?i4dSz{{gcI}gF6X(Ec*(c0J znsog<`okm9TjJkyv|=K~f4p5ueluwp|8Qe5fXqStfH!RQM80A;;Bi9^ep5%Q)&X76 z06lOR?L+DW-|z4#?jW)sx6qI*bFiMzbcLGzN`4y|$niP*XXQJO$CPmGq@&<3N7Bo^ zx8~3yipeD^hFTgD{W-g%^kw6dWL<%CwzI{mSKP8+!qqReb=^PNRg{U=UIQL6KGW#P zh-@@_MDL`zoO$A}P2Q0x5Ud0#G>b2u?+NnjI_MzW=^*78ZhLN%{759PUE4Qzh%)Gv z(bMIf8A*degqw|h@d5qP8*KBRtAp?4!|_$+nsfO)c}7{AEYr?Y8pkb_%3p`3d~EJ{ znppca4tgWiBG~L!OX4VBI2;%mi{ILrQ4a-tdh2XHw3bj%J>^MZ!CEy${uL(UP-E_$ zO~d#@dv@n5W!~`+Em_rOs2Ph%dS2-Fmpoy9Z@fjn*0EpFRZ!{T3%m(YkJ!1=1bNG7 zqpv8{PA>fc1Q6{Yj>CMoK9?^AJ71_U1WQZ>>_be(-MeC`V-oD2#AsZlQs^R?J|;D3 zQ+*%R<%@Y=U5MvqF&PhFx|9erR1E8&EDoeM?WcF4ZIDf&1Gt`c6Q5h*nK;u!cFsY@ zTr^{cEZs^)B|I537Ul zpOPuaVvb|9zJG!AS~;qY5q5f2UlFaOj;E}Op%kj!7W9VP>SJv~H(u18m?p;8I$#8w zA*zR1F!vgnkr_FDxGO z`w)X4Uzc?Mlv5-%Suwp$V?5!EiC@4n>L*h^nYny27cMD*kM*vtt1H-o9~eSI7|FvV ze0Hj4AwJA7u$4kTaIg7%lFXuD;T&pobsRt;RW>A#S0>^Xl1~W6DR#rChN4s#ED#p? z507X|q@^kceAj4f=f7yruiYL_pkqKK)6&y4_FblUKk+OaX=cXVu}+>S5Yo~M^0$8N zPE=MQnNx3{GyGbbL|ks>_X}Pz+e)7AUz3uMP=+oL;(~ZnaVn zqi^*%#r%C62kF|@%8@%JN|8Jv1zY^79j>_0lWA8fT*VPnXM2C#wcypF1fh}~y#$;^ zMy?HP8#fvcGA6y*>>4q?_e-f6na{>hiexiN>@&(M50fpl5(VSqC3L<>vEI@d9f(F9 zA4g$*aiEhk6=jnz6FFb#Z2QDwmH9H(%qTUAu|^|7R&lmDMn;jIC(n_$tw9*G0XN9P zJgoad9rL~Gf~WT;@ix?Sl3$C7ctT40v3X_g?_tLXcpy#I(k9A-gVQ+i zW$~Gje>wLY6TYI3Je}SXTsYW=8k2ACo$@uuJ5_nfkV|S!5$&vr=al5uTuO8yAps~c zHykJ{xw8~i&Zg=C4^y(_Io-o#S3|Z}+a+4#=_CTzrG(cgZox0$795D%MdQWM5#$J@eDP`U7{k#?Qdh}D zH(kJ4TbeqLA^atM{6n&jW+k(;^PMQ(D4`X~2S?glba@@NiDmk99lP?C;NA%XJ7{dm z!nd32cvF3#Tuy`)Wr^kJ{hPu5*5y>r=bUOBW0KJwul>C6y^ZW`-DuJbpZFc|J*yeN z%hO%+=28np-+nby_a~zK6d@;X$C_PWbGBkc*%H!X7&{}D(?AZKHE7a|4Ymoh@>aYS z-;IB!oYB8EyEB71zlDINw!uD z<7)ON&3QjM86p%2bUII&Me`q8l(;UKi@_IG&-x^?2a6vX3K+ABMWOmvvr?*ZJl3f{IGAG0vR)gPZ~gm<8@Wd1x%6nAao0yRP-jgQ9|K7doVMDTj90^JViB(KalGOoLX37c&1{iGCf|5-BsG%pH4nHa zl$zfN*)%+73w)+o3vK&_knktpuA|Td0(Oj*yMOaHfg9j0cb>alBwazwKD@+o1r2%Q z+YWVyUGfxtA4J-lb$>X?vhU596E#pC{B5ekicTaBr^!1+S6lib3GdFCF6=BP3ooTD?7DXJqjSU(sqVUyrBFK+ z!L1t-y`1A~OYYAFJ|8byd&67oouNVGjqS}FVK)HI7-9v`+xFM z2zNelD0hJ1&1H8GiVChP@w%?170{;K+Bwuw3+p<{0x?gZ3eRO+peP6XZG40-LDKp| z-3oCF5}!a@`$x6FPGMb|#Dx$S-Q%;)XH6ix*jeLWn^-kGoMe$}3G3>N%S*Mabt3qp z{AfoFsg!gbT|l2i`r@;Nu_|liy}GOi&R@)LkK&w>DlAbgb_n=EpI`D|5MaFwb+6S4 z5U!B${pQJuTJ z$(Hwdd*Dqh9B&ocx$y^J#@AqV4b5z!OOB;?$Ji9O@OnE0i!?3!sz%DS!3Ll?uBmTX z`Q+-;ZYV*!v974ZP0ap6z*e-JU5AcO3IsOPnEC$x6Xp|?;>XgRO_v~Sbg<|feD#ZX zRJ2EOE0WJ_nMF;yydyT5Nnw^gbEyV&0LSS9oy2|CM`f6Y^u;vc{vo2f0o3)h<@?MWgj`H;^RpyZgiuBrdMBrk9 zv%j3WA0zXWOGJ|-elE<$=g!GR26r#@QAKCdnudnTt75wjAc)9UAOBerD`3Uag)WLA z=?N7$b+0@Bp=K{eKTxyB3F|Ue*wJ3@6=1W>vx))UKy?tSaf_Audu>(@B8(0=ggQ9y zcl10-Zad}cB4Iq?Nv^*u4$o<@CdCovG{Yz7A?(ZDn>SfXfVO_v)&hL}1ZkEtq#24` zP24^9Y!Wr=QAg4iGALgcX|7VRK;TZ2%*jW{nY5^m17#Fecp;B5c;ci*&^98>XU_?3 z-)vLu`T7@Xr?ZjGWbDW&culX;=BgX|ODzn06XBWgd=E`5JG6VPL6x`%pwah{44{v) z^*6zHnS1NGvuzC}de-F^0dZJ|QXLcmXWuRvvwayTZ+hg2rnh9;HGcXnYu42I-STX9Havmf2ojs>$*W2Htpi5qX@&Ar`eZ*t~n zEF+OndD2N@B#sri9m^Sf1?*P9R;O*>LWMo}f=? zh@SQ_;?bNOe-z7G3S{sZ%mGMZ;Uk>2>^hUnT9v8(V%50COGxD!S!2J1LX;4H0A#j& zrqTU6TAw$c=u$LhkVia-sI5ocnk^5gg<+~h?IX1xA2yLfl+5rM-nrveL)Jd$PCf{z zxwV_|yV^etyJeTT#Y@T7ecpEop*igeVSc;#h?(WX+N{Im8deb7>DZB8t1j+UpI>x= zBcTDGldp6DoB*M~1|J&~H`Q%Vrwh@f};L`8-Pjvs=(8 z_B|x2@*y@+>aL9mIh_b*N9PYXak)3L+Bbgs5ufJB!|9zLwY!a%_ulqldFCRjvupLn z@z|1CWR@1g213?HQ6Ia80?CUUeBg=3OR30GC9_#w{-rAsF{qIrsj2D{1C?nY?nE0g zQx&6=uYoDp!bvVR*7?quH>#~2X_3>tu|76p{Ppw$?~xr-eNgd>%yrJ|_d3p5CgrgJkB=hGL7i$vf$0t7mUQ5wC7 z5U%)!Dlm0c<%=(vjqz(c&YSy0ce>&tYQAo^ z+_B(fB+e6=rhWVAeTScB)cl5@)CI_< z!6nZkAQ@oQhh3G1biKo)#4}JxY`Jp*J?#hKnhluke1E)0$QyV{bH8EX`@i;0Ny0YFhG4bdsu2sN7du&du(C%k+^|7Bqo)o47VNhF^D-CpY^ z4v(8^GL<5O+qc=B?1E#d`FdX8M+@O4s&}jJG8x|b)~tqb<_ffYNU`TsU>R62zBOkI zW(&PANIe9S_XY>>ykT_mhc5T6$3|1w%_t~{xb1pIh&>eAkM-ey%z7cS%9a|jULypB zQ-kIrF_xaJw63qE>18J19$LT_ew!`-(z5uzsJnfZ!|10=+eIL(%U*i%o-%p+t|VN4 zJ`Oq?y-KaFytA|93Q8dkX~h2O6a8v7GI#47?@W?6^1E1of?e3@?dez$!>g@voq|>a z;<(xB!3Y^l3leHz7GAiJnQk}X|aR6h$kUuh!6u5 zb9TFyWPQxiPN659w}8J(tkN5Aa}wd&xgMup2wL#PM0jSr}!;w*yyx%D+9z9wqa0QcbbvfIiko8(U!phCFvgZ zJm@ve>kf^x6hC$_H?!vj-UjPb3#9^W)l zEwbg300L>`w~rCas9M`GOQyFxxj~HFfuiDD-}EMtlc&$@y7ejAaY`Oky`g%V59M+W zogls}C_Q=2k=XHF{CE#D!LaxAS>{=oNXea5q85ngMRBUhyTXB#y-}BfKzEL}&tF{G ztkqY!of8}`{!EsYH<;ghw0Td@?v*!eTP|D_Qo8a|;cel?zAJyTjkD-eJv$duKvUO^ zIQ3C(!Q--Bjx|b!-;C?7=9}Lmy~FotNCuxcM#~NC*Vxd`VD_yBIxl-E1lICdKy~Z2 zDRmS{3XJl&2K?&THLn6416r>Ng@Rv#9;tY*iRbeeby4i$Lfpn99S{vmr@ET;y76SE zsEwPWL4`xH6_DFoqKAtL2_JnKo$yWf-sFmr0AaW;#JxC9778lzohWj>oa?+I&_9=f-zn<2s-@6*H$79Q7Ph@enxca3Ghn#axYBs&H zIleK5_|*wxm)1|D_QwzAG8&ii80>)N?=NbkRHv|OS*E$`Z_JO0Fam)rS`26BVi>d> zE?EQAE$*)B2XD|38f13B6S)sOFERHmlcTaR&AxtMbf`+9@<}v($jEbK1bn+#$2WM^ zRaI6-YG%h*QPxg7A9FZU*kXokCsna~$-C3&l}cG;eWU+f5`n2*Lx=G|8 zd5bhdKqf~nqa(v=?#+C(0ELRrhaKOiuf^IR{5=f%zG#7?k*L5u?`F)j*Ovx z*8DT3eEl)o(Wo}LG;;Xm?HBaA&sH(Z=~7+z`O?63N=DxM{PK`6@L(Q%eXpx)UiOzV z0n6^#%DX-C@A3Vb1ak-zLGLf-{*aw^?PcNeXvTL>vzj@kN|u;(jZ<~-C3Uf*ALB>p z;4=6><)JS4C7hA-J%yKfuViY|QtkPo7*9E2g%n1de`7_sPnbuC%s4&;<>9ga2KuQ2 z3bAART=A#B>tuPQCFAjq5pMf8ppR5|!EZg0NbAuKcKr%4R%YUC-}YLRmy z?F!qG68`MSJd*(xs$9WAV}Y_~U6d3S=Oi{ZRHZdyST={@wY=Qni`1wu zu&h>2MWZy?GMn9WYeq<3bs3P}VaJ9P%LmJTmZjyP!AY*HBx&_~f5%XdFQN=7r$=Uw zg-TWm%VmL$9ChD=4q+y-^c|vlUIAaqhLQuQjZQRQ_0Rzu^HZkgWW~jlE(*%6u*Xo; zLo`A3T9Yk>9d<_&#|BFCZmb;1R?d4a--(ao6XhnBI*Sang*ZN6t?wi> z&f95-XCaYB@hpPu$ETHp-o~I+#kvP-mlU4QpS;OTi3ukRh#R&P4eQ1VTYHa9vn4)R zfm!}WoXL}UCM?H9-5Dp?;yFO-UbXh-v|5Px!+6b?KE$xktCi3JyYnNV|>bGeTYCh z-1+!A+CN*C=vyhoTt6g=PF;5fN-3ME`s|@N*Ks zQPi{AJq%!t1Y#b6wHkrN6#Fz$mh<6tJmXzl9sL5h`TF=o=$!}kE7kei=~SHJ(=haV z%qx@e;!XVvdm0xY3Q$}qOTnzwt%;Miu#MO?yv_6cPF|!biKBAE>&F(C!*8IJsO!V~ zmHC>4YlWjx_f{W$i&wA9ozsH_Urx&rHpqdMaLl>dV=lh<(AmDM z3#TU-9t)5$^u+$TS^NYddd>2hOYK2Fi!TBDRVmL7X=ZtbRwZJ%r`>iIY~jhc4ur?>xCDN@f5tQI68 zGNp_q^yPR5xLr1{7!rHMXWl&5Q((XSZL{$S6ZA?rm1p3$^)qdGrrB9}Dy`td-!C9t zhus$$k8!}T8my8Abi55L6-LFya&IPlL$bQ03~sgq5Rg3uSnAW z!`3;zRoVq?e`nk6Y}+;`+itS036pJOvh61CYI2inXHB+szdi5Q=lKt=59>ItwNCub zT|_~QQ*Gc0F)s+GT$bBQSvz&}G>k-VifA(7IVYlpw>qP`XlWrxU7PAePkX=%;A{#2 z78c;p0AvaDPSfL+)J|_0vt3~ghTAw?2GUzZdDuylejOm1ENi0sd-AM0N87W{H10L5 zGpR6=RZX#Cyie@5JM?o;aT4+q2dYgJ)6xyZ*TyUh#)ds^Lp^X-X=$1~oN}+3~rEsPcZKN)5chnR9;@W9I zIAHe_1Yd1m3{6_*0R9H~)*l@d_BbcE1Cu@4J$0K?D&+fBWtIEh?#BRfZ9!!1;9Re# z;Ueg)apNcQdZh?3)CKtYEWwJfeq^U%+De{>2Xz+?PPPC)7`WMfkB@FxiW0=q?v_P=D6elrF(*|J7ZL_7y?Cz8P06su?wx}-`mx+V$ zinbae0r-GpZDC~pnwMeHS>kBGms0Kp3o?)Ht7=@rQlo@3izHHWzMouAf_|rAjOVJS zhev4^&|*pGUSjjT)?J%ctUrfLPgcibgfe4h!Pkg{HbchD(#;cuuX#hK3+LLK)J1m~ zGDQI-ArF7A+~*qaS6n@Qbo#(^PzTT+)XHxVUkX?>7C^3X!s5c!#n(CpZQE=Uk;|t$ zjmCh_x@blM>)8o7%*f`xB)G^~ME?FLlhWzTacHi^G7H}dbGWR5Mrrt3U9g*%D*V=) z*|}x3wg=m)6x!RNw9UfRq2UVLj7kHN7qUsg4$3 zrh(i90>ph$a|GEcmcQ<*X-MRr+T!(Es3+;Q**_jIcX3BYCL3uDyeg5#C^B6lsE@#X z3xCgJS0|p7t&)!Zp6~Vm6LMmA6^TyEd<9US!VX_SgRL@*TX6sL=m-6sh}sczx^=Rz z7JT)GBqgJVl@+zZxi?%r2$_3&BGQwoyDX6^K)`zAKR{JZF4Jwg?VQlU)QE-H=tN(V zZVS2tU=>p3o1#L7)4{8UdnqYb*LRZ%q6vpWb}fZ0#Iw2erSvq8<_;q!cuG@$;!$#c zGoCu42rQeritlftV+nvrW~7J1W$a+otxdLvKPE0sP|Dz^=SL_o(a*1KGhp}DxEhrP?o&q{kuBA&@6VZr-hc&$sv z%ikmhUe6cK`{%;|!-*zikU_sm1g044Xr`>>o$=$vC$hKZ&Z>#pq607GGx(zaxp97J z2ybkjfCcW0jOH~o&X|C-Kg+;^X8bgqhex<-z@~{mGIMdM)Gr(00)1>Ecb=lZ;}1L+ z*`Qc_xLraHqTMi)IDj{1Rvq^L%LEOCN9 zv{)H^s6LA6dU1Mn*f?k!aCg?l&fGXr?Tuw(%c9)f2_*rXH8vc022xxTmO`GAC_*nD zXJ%Z`_v2PNvNk`<%G~UguW)C2W7DT0dxbw7Bk&)((GGmKWKXY zJwcx{1t*~e^}KnHN}o^Z6tflE?hc8vM$&(>(*FlY{*}^1hYUHW%J2G_;$W z{J$`l^1P!acLaK$<+&eqjVm_U8j>5Tfm7c(J`R zadcMwX0EsRv?X%x7X!8blnYl?eAEP*%?F;&+PUuay1!nzYyqZ9TH4?gKs%2Q`I`2s zh2_um3CHdG_XK9QAs%X9Iq&;-fjqKq=+aF+D>u=RjxhvXHZeUM=v1WjVRV5aJB#YJ znsN?vv8_??MLPv|n#dgP12t6nN}s^`FEsT^MyuVRcF?9N1KCcW-jr#`m%&DY=z{q> zz0SSwO9v3Z`W47{x`EEVsz4ryz?B@p7p)Y&Lu)OvYHF8ChjduRw0yA*-{wWe% z5ESQzeZN9RDKbFhk|mV_xm`X{U^KHDS%(O>0^vzMLCE~W+yKLmEu<&0hTUZ)%~PH9X^B-A9zXC8$RPqt0GZq+s*)L@odS=pTcxIo&GiA+8y6+vuttnz5wznfQ z+aQa}T%qQuv06A|$TB)AO+*8S6?~Q*V#Qm=3vHO__j-o9j?iIk+A&GS`$fYFri{ zsRY-6(v!^8T^Cxg2GC(X4z}l^JX=Hs!E8mc`g_7X>{&0uK%f5dnZ+9Qe)}SF7py?` zlk7iXMM@kq8Xc}Q_ACGEzkIuy!)`)4$8>-gQ?Nm@E3XTUaWDDiFs2^j#k`mSYy{h% z3wn+LR9y6^DYk`SNH_`xFhTz^KX) z0aRu2k;fq0HJzfYt>M7yOeme-kt)jlLBvSm_IAu$s|PGYO9e*u2{4of9)-H!ww^vn zo$&V&huQ4T77o=FSLQ|D5sk6YKwQ*Mux`sWady(w3_>Z5%)emE=ZiAP{gR4s8@tF% ziDZOZr3%<$2GzWn*YtVPj;-I5r=E33P^6ehtd{U$4Ae>fOu!MOt`1X@CZ=BLQs;u%K~#yYF6 zcw`Qa{@@>(8V=v!>AU2*U-VbfPN?XfUL1ewmzz!H8S9OtA9d9U@%JRlDdmNgKa!-= z-f0%pfib$bY^DSWRqVriR*(`;DH7Q$6A*B9&E2t)%XdagPg?X3P&0XHHkDTyN~8w< zLht(uluqqd!DAxvq+8*A{rleWiE4l5~$*q4={O2a)^-;Bk0PZ*158BfbK@{o=mq7GJtKg%QAb_orVd8zALpM2C2c04d$^ z9-8H;so&T@O@NAoRuNUmo6Yl(y*mqLA-x4r5iI1ziG3C9*hRmp*l2iGu(o<7N|Rjn zNm)6?vCNpi7uX$)i{c_cR?MTPMxfROxLRpg7u6O(%%5)lr*Y*}x?RynqREWjaabt< z0SS7SC-ruA;J|sB&0M)NmS}rYTWhM==yTS z7ss2oe?)KWHt?%03hGzzhQFv}-9Qi0WuC|?G@;kXn-9G@@OEBZIAx5`(3TNMH-F9s zraurNRGQ`2pWV@)kYMn&$7C(VwYQmnInPiV441l9Ew)$wj!s$CFR$6`6Z6QV_~F#X zvHs9e*DkaZI(Q-n+Rj6NebX`I3Hh{9=n(btU{wK3P6H_r^A0HI;-J-_oC@86mEfJ4 zn|#7o3OO=IT95gqLuU#6-l!+y-jRNjZ#K5eXn((xJa%}_crp^E3;Q8mz14jpqvMG~ zGt*>B|7jePQ-SasIPcegYV5cgcB=r=;$?E4RJkwAJH|aJb~J{L2oRQ1Gra~=p5xzj z*XoT3|7PgoA5}%WFZ_tzb0BVNh2wmW&8+nxy)%3Y+{IT*bdzS?pkB(7XZ>&Se~IM8 zv#r{b=8FiBt_v3L;HQf?or(S(%8SGhX|soGN$;q!LqOBjW9=s zvz%g@dQEVhBqT%&hKpaok0JJxEVDHdBq?Mgzi|x8vc$%a z0X@C8oZY;zoB9j{H7ckEQeB!D_zQ5^&X?VIt1^k)e9p!1l36vaI9lv@hDQsq7DM zOhn$S>k(X%%2+V|;8wtdhbXN7S>-G|ZY!6?S4c=-5p5+l=EuN)fP}Yn65uoIP2m&O zOc45$*WPtCOgypZz^B(fc58{?Ec-R$c4^?KH)*sgepP|kWDkT3#46Z*dF-6D1h!T0 zyCH7Lgw@sn%Hzl8z)3VrC=o*Xn;zqQ+x?}ZqtQsD;%cJ*gK*={LEfr5RI&*5DL?x^ z^+~1s9DxXq@MU$Wqkc=WU0fb#6UVAiPaS4W>g3EuZMvRezr0m{C@y)x3=4qkm}M!M`nfJ34x3B48>n4 zv6GYDx1FKAU5Gx$&=6R6R?hTmX2B|c|E9Di)mzc>;flLp)<2hCAmqAM1Z7W1XU4Bb zG0fn%_VKWx1MUx{vc&R{pFRGzRG!fBqa>#Zj*}8T!%C%fgzwv-&68|@a7NA@5E$F0 z{{t{Mz`1!5BlN9a>F)-V{HY)!veU6fo(;DHe0A+D$&$-3lnbM&UxPv-2#?I+l9llF z*bq$6@~bL zl)Uip*{)T2dTfEw?5Mup9c%u(% zsNyP_8lx~gW?!LjFmXu>lb9D%pmcA_)-!Cs?Eo>%V)iXtr+r1J?{6BdddC4h)u?lN zW6EpK?-HUx%#4rW9j4C=?+{UMmans0!3Cbf4_tb2FZQEDC9^&OJ;cV=y@X;{?u{eL z*xyihGPtb#qxXJ@RD%pb^^svd0@dFJRWemF!n2*t<)YO$JC@ACn(86n4JoJb!lAj` z9N#kw1uMa^KCD~{!ph$#jrJD0OjYFoPo2bq_g4`XIfe_{PgRX7&`}#=x8G#LNwI`P z3$4~nkjLsX*%L^qO)(1TbGVtNQdqWX@LFtnshl^GRke9`MPmB0PJa?xCThmMQ)NqO z@uQlkYx<7sTWELGD2~b-JTx(sZ?eXme!lsJCi+avZ$v#8-Eq^$dT48yS@WFv7v!Q2 z`xo{-`y8}f{)VY-$__LLr*)GfhUh%ITK*3ZB;agCM9;X36TBanX4?`|A6fOAwcr2k zu^nYNLjcDTB34dE8Omp|49HN;bab{4d8jSQ4gae4XLY8iX61wy0gNGYO~Mjm zVU&$>tYmc#z9;;0@>eJ$bpnryyz9^ zJZZTEwo^zye6whs9;rUEkZ{eKK*43@=gpUZ>c>g$wiFTAvC6h9d#uNM{5X^)9N8oN z`*}o9Q&B$Wm*w6|FF~`NFe~6!6>3{T$sSs}Ih<^Dy9ao#vcb0Q<4piu~_LzVtr4m3sig9RcY7AgbxG;JQy)+}}n>(Qdb?a#{bJnillQdaSJt9%$+3bX{Iw<3pg+6+*kyM4fq281lO`h z=x^=cNI~WQolbX~sE(fNm76%(VGnUXN+!g?Jr#$$1U=tDzDpD$y0yP?Rif=kW-LW# zEgvl(JX}_Yrv`Nkyi=D+^4Chri5@Mm&OYKUB;5-uC+W%H?=A#p9fH34L@c*Tz|p zW;jr(#!u}kD`Kb{%FL%C2DxkOK0$h6Nb9Gb9-u4-E8gFL9fcaMNetmOrKm{#Ry|K_J@6U@ zKs|ah!P;X))bTnr(cln5cfRqRS$mgOkyKGkSy~vAY0lSR$j&c?GAl~L@Cb#ODGAC# z*Rka<6*~$AX`TV0Vt(2W@Yjqk1j7DGXEM081fVTZ74G+8jIw=@327P7@vkEo>H4s8 zr%;KCSFvAt-9{;z_yhezzq+$TR>GV}?nbBMNt-eyq06<0ecptb4t*7Fyz@-rWJoCS zZ@T^oS#kR(=dwBO*Ov}*wEF5Px9azsDA1w;DznQSD#Oz-u zpqr0*7XOCJ!MoirO;v5=X?yBz_|chs5xp5#0V3}%VVrT&|Hm~nD=%b;vXt4h;dt&( zl4bAOx?K`-qn^jV$eeL#f-Z?~Jz2ecK8Th!^vYao@&=4eoy#>tcI5nmre6pNMu*g@ zgf1thzbs(FU>{t#79Slwb^@=!`w2bxGxQPhT@CX5t_2zy9IA^nsby{Cgi0N-U+oGJ zO8W==ow0@2L7|-u)YzFIi0P8Xl$au@2OXto;a)+Y{!=>pwGXTZW{Cz*@h3^ z@b6J_A8dI1h(5;bvnyfbPc@F(Pn0|Ui8-Y|+1pENk)Q)Yl!BCAj2KcMi}VT21UbXC zX15_!D6Co~_}MDGcTsjO);UcOjHiTewz zIT?Nz&<#W^;&7%o?t-d2=Y`tu6nVaC*MPl5$k#k>H%b33E?Z|gd8#8v7_Br~q!iU# zFY_AI76P`2nh_~nM$|T&mW0xJes7qyh?{ad?L{2?7RsTC^&mZ__}FjAWpUCuY9@Rt z{?kRq|Jr$8^FR;R3dLN=$=<>W#VG<^kTg8%+-oF)q^NQYPNI(Oe7suc`g_bdIGR6E zZSYD(;hud_6VxnIPa}?qiz#T&AWkvu3FYee`jASarsBCnQ*xba`9%qq8Lz1gEt#&2 z_`>a5%-8ci!fpSuxQaTpD+c-ZG1xx4X8zf6$dD%0VUDl66r~y42B^l} zh>w{Zq>gl@`&ixfC7DHqxtNFkrGJw+eRIL+q7%|MoDivz38Zvmz5V(~QXyB^xz?p2 zqyWc`8|Ms6=_;e409H|)BkWeLVa+xTD)z+l{Lt9zw$?_AGV4;Wa8DdU+K| z2tG{+Gn+-0VaNFTpzpAN254>_UeG^4f&Pv1_snn#azT02<@dDhbme?8WS#Q? zWheC-cFi{hA#@_hr{?wH=TB5VbQYw+++C-)&xQc%kz+&FYEWWOVFNL_fLYKV=YH|k z!bGK}k)b|AIN^);A5gnLb99>S;}h+Z=f^I-;HUwCO_S+!IulrQ=Z+7ND*Im@C&fz~ zyKGGA_Hr^hK}bLS4<_Lm>{7hL->F57kdIVOaFg5j+wj=?R^>CzoDd7H3*g;IEXJh1 z^r2V`@N?VssuJT8P{$ceZe^kW!4HAEnU@Pu_icGAfgW-Wk;3G+wF#cI2H4EoI>k%JqNlAG!x{_=V5 zreWqVB#|gj7UbD&0?(4(f*s5~u&H@fyj%oK?q=+ z*XTb;{U4wl&Lo&`%p;aO>;lLA)@L|>Lxg@SK`HP@Vhe#R0^?j(3~i*UEb2noiedlx zjBv@pz^8df@Af#3LDW-B0DHCM|2Zi}wN2odq5Y$({A(%0fqR`sbM6V7sAlX?;KHi0 z-`-+KK)OZk&npd~VBf*^9i;0$Ld0@g#OQ!YU2h*gZSg8p&$_mK#q9Z4f51IUbU}t*E9FJUUN1cu5&| z^xGIv(pUz0qm8g9IIaOqW52)+R|EO>9bZTdd%i;M8yY>a$h(1#t}(wA7d4Xj@5>^w zyB0-p5nkqg$!@IRzzD%*p+KD3oON${4HaBvjJPC`<=5Kf?fd@#xWgmgKQwRteiJO@ z{%0bevLZ)d%2>TD^&N5f2^x_n~ZB%Y|13(i{N2#;n}13I}~*r3(iB zuILCe8|KRBA%vruABywvbg0>Hw-cgaYw@(ko+c5L8$9Qfog7&GU=C&m!fBJ74qF5j ze+Up?@A;5#tm^kmVImb2R-g-C|2iZ0uyM<*`gC;aXnRH0>0PKkMy)EFA7a5v$afYh zA{q4VKO5-vScO!J8{l8hGBGb?iaN2CbIv|{3W^iT{LrF5?)w2%`>K>bCovLeJ-)B^eOC^5JJDsnjT*++K)_w zrTEJOi&0hl6!iXij(oyE(wR#g4u>qyb16YbtkN-z^T5zn5tC9t@E`?3wQs_elqDc9 zWg2c2e7}e?Qq7Gh$^Bd5e%!ahGFh4`mUQ-o2^F;_Wav>}%>NsJHb{5ci6{`xEX(Ew zGa*{Ky-HH(Ln?$maUm1~Ss}CNI5Ony&Q$%aSUbk^FU12MTxDky9;pqV5GIc2NG7BQ zmAUDOMr*xCqzuT3ol_0+OqFycVJO|N1`hbPE3w;rkC(K1KisCoc+hd#4O(GmZZ{u$piXzV7IVS z^g{;gmkB{8%Cua8)z4(K8RKpC#emlU7Y+Epp~@QZMU;zK%Y@1z+)h#UFv1ffD`Unu zhl)jZGP;3|?^8!r(d6FN35+jxj#f*{V3Qv!2^DylT@ytgy{>b3R`vpoeDXWt{Y2JU z{fdq^ykBuOsmkc^+iV{cd(5$-0UT0#&#qQQ1r}Ue*eW?`uv7VVWngDLH(*I#1@Sen zXGPELcVC`Se&Q+RyHI4^JPW#X8nASDZ5hXvn7?&BCO;gyR z@(~hh5Y!}x+$O)JGpJJXi*-kQm4a~2D%w7-q5ecuD=rC?XQrGIfFwu zuQB7l%VgTAM^f%97-Qw|pHw4`lN>L1lAb9f1z8fIL=6*OMDl1|qNX;^pqhm;@01!_ z?KyVdu{t!c+myvf)YV~Ab+4Q@{iq#qw(N^7$c2bu;+2dOY>69A4yB+B?HRp~ywP|@x?i~6HeI-6KnRuM)!s6WkRZ;6fH3ryF zv+>?-&`yYF3|$xFSC)=!{m4|leEPL@;`_0BfL=BOA0AV~>1%3OnBF_O-+MErCt(b< zx+3PQFcn+WT_1B3G2U)~SmI9_?ZVx!);wJEXf-oxt$|&{UrYQ`)&21wfHK$^VzGoy zBWO4;ZP#kULM^=`8~Z#2pi#OONNFF^WM&`gL`Cpw@pdjEug*%eq7bA2m0#&e-nJvW^^) zy%9SbYU>kY<1PlL1lR9B=fHl7fMnhs(5ZqgpoGhwsP+oxJpyq z9_=>fQyyQE1_+c&*lh3nLLxg??74nVA5`b*bchO@CO1f`mSANoF5o<3Q_3_Ka_ zBI~h3bWb2bo}{e(ShS(2vG@G64S%r05njrCPEf(G(h*PK{fkKKIh~C;Trw#ZKLAUR zc7GpbmXQmsVguhVD!=Y0Qq}Z^I9J+-+Eke_`~5-jhLm}*qRTl#7!UCn*mmq`dUe?$Pi_L zb={)I=746MK&(b7nZdz4xGFMN>cD3GPS z7nGj~DFb`v>TVBqUx9`#_8aI|n6IO!kVnzU0w_VrW?Hz{KP~wq=`VNn2|%BgxvvA_ zy$!PtbqBgO3c&kG-9&g*UU9gD%A1ZGpBpYeTMm(&3K|t6gps@$eGzz7c^ip&FTGbC z9b6tYh;>=!XId97yv!k(v@hIvJ5Jw%HnbLP-LlLF=#O_l?U!8~7#6FPlqfKjWP+`_ z2$j}f{XD*i1qK9DveGSB; zfzz0oW1Um(Hj%UB0>TPjXDxMuh1K z^c!hM0PYl)tst9e0i~9namg8Sy)R|RUKb7#VOPrOuRO($J2!OTp=-ysgMminitcJ{ zA8kpY@_s9pch2FKBz~zRFrla}0nxHe+p|kSVG+FEdQct&p_Sdd9Bqc24^kjgx^^kWg1$xGud6G+lAidduVm{av1U{^-MJ+73b`+w{`BI27x3-cdI zZVy`#)`r5*U;bWGqKg#k#{bOE_W!r^zx+MwS)ZwF53wJGiH{vG7QoxGvPA>Z5x9Y> z<17()XIIU5X7S6w5D~ER%GFrRebKb43bm#163I)Z^0s_@#Ft=+`PF8*wl>_as< z+H5_Ysif|qIH!p)dnhxv^(e@dvj`6Q){7C{?u_q830K%WLIGdo<;1)0fbLLnCISz2 zS*qA>f{oB!gJ1Ii=!B=o5k-?_q2IOuG{}mPPL3?=gYjpIAG`Z8STR154D~F8i>|c| z{%gfXXzrI>xqD~WJ8RzbS|0?grob=~89beojXxDf%j#X}JUg>$7$l?o_{<;CO0*Y` zASDkrzDug&mLvb%!MPCLXeVl+?;~ApO2f4CM%WJ!sA4inL=(}a-SNdbRs<*oH&vm&E z2jL<+PaS%kcz{or&aW;821s=O4x7~v^7x58NGwx0@*Rq(+u>Q{X@ed~oye-sbLg)< zP`uHZ00tEdrEcF+ufKZPZ zkulBw3#0Ju^BC)g^+xZ}I}QbXJ(H07mI++U))wxim0D!yyfk1;}nOrmC@TIU;@o6tnGCTChy!KcQ0`W4L zdhJbERms0;c~Nf-mNEZkDLwQv`j%QtkFM#Uj;PTlL&+2PT4<5+*$k!7#+RrHqyb99 z*F0j;fp{#VxQ#ry=GWdyXZ9eLePCYFkO53nT7Z13>!#CmmdmuX5X;>{ZOpuq0rDBg zZye-^@hYt_5WMF6!P>|Nq60lR7Q31cR;P5!xmRKTYffEQpVSOd{No#pXl-nDn zw(s0-Q&BC4=u+IA_KVbkuF32B3M@sCWl{4rm3iMblw=i6(V39ZB1vpgu1&XwP#tHn zi+2k+cFi(MAJT3ovs+u3EvR^d86$4XNHgN_ptrS;$L2K+_0nrZ9qOjIf<3^QT+9lG z8PjeaAG(HB0pbX>32D?=8kM@IV}C;&p);(}$QMb)t*n7nfCwr$2n}?3&*6NEzwNQD z$CJ*SO*B6!L)~w;JP#Wwt+A6^_5W#N&*E!B1lmAhp3Y-SIZC(H01dVja10lchnvy{ zpW>d*e+3~o#?Qhh2MPURKJ}eW|IRh8-!z6PwnwPoQD(xRF6Pb52(U6G&|12Q|uTU-;ar1Ww+rD?66qm-(@7A%5RFNwVL4p^7UWY|sP8-qyZ3ok5HNP9tKkaJhATaKMkgadDjlNqz%kC!ESeOt57l1A)>s_YAko_hlZQX&V5@q5&A#tu&yQb9(YcxqweU*3B(VK z`K2>2AZvy9XE0^g)2fM6XWUY30fX7!op=wWC-lGVD(d(3Q`&e8lDf$^xWZTd@$ol2 z4l9VoC2nhcLW%X*d9rDfl*d|ARrpP-5dWyh`-Y)ag^P*=D7E$+9iGCel4`C?XyC(2!Q`VC5?bjg+19EQ^Bgpn~Xk1@!thQSdSan@wK9&B%DR%=s1 zWv3Oc{g5n37rwtxxOhF^k2p8cqNyOcl5Q$x6R8eTQL+lQCQkeEqZvc~)lKd@ih z1j=(a!ETDI&?1y4d@Br*k&I=!Edtno0bc(BxWq)tQ#OrYk~6CS=%|mavw0>)OI|oH z{z51*DFFn#4H2DKe5oQuzi!WTg`>UM$Bv-tO5*bAl%It0+9LSKgGah*@Q{yGcW$m` zH~JH-BgrPM^oo9^A5>*~%M`3jP$Gq59yLd75a%>MVSST+O3QIIS6AI(8-);iLB1s~Y;CRDE8ADYaoqAO zsW=Z_ffO@tzAe5nX#f3f@8&QsHAxW?RQEOU07?LxPVqHC-)U%gJCH&v@qMY#jV>w} z2fkF{T6es8!mpJW$r#(^U9ibPy^Xo5c!vk|3_+uFK0SYBS%)Y=lc_FC+z^f&OUsPm zy}42hpj>}DE8s<7BMa5!Iv;_Ne;B^}7T``?rn-upM*^{tFi`^G3qt4B)$c&*KCUL% zERK|^`nqaR)*B`0`0h!v>e`%W)sL;jhg9j*tD;<{7WKTz*A2;4lxC%KQ#1O6OIi}=hQE_`yA-L4G~ zlF8$si#!6IeO<^!0xn%yH$`;i^w)~soHs*%=TNZnHA;rjLm121 z0Nv0t|3vdAd~HBAk4eRndhJ3Bc*+)?rt@zY`c0KJ8AFxYL%pBal!jer1cAK+&(`rf z4N+@kl8hPNl?EmIL4;23Q5b)X9(6h-PW<{@M4X-_qvJ$EPPD2#Panl8{>nq7R?y{K zXhcK&Hg>Y+Og0?qL~|+AXtPV%-^15pD$4r!Nw(D{0G34vW1`*0z=rgqR+NRQ;cRho z5K3uDVn`w1v~~Oy*Ah4HeU!AwpZ0TyoUyLJh5#Zcy5>>u0^3eEJK&QKd1|Il)l5}q zj?lbq-ro=28B0W^9gYmE-iu~pkw;uG#N2RO0vgpgZ{M+yw2PtJ#S?}2{w({qh6uX5 zfbL>;-g$H}=7!lAj~?5mE=0&K%C^C?gnfFRCafmG5{{U(@k0?hUAM73g5+6_)iY!6 z(pM|GUK)9O)t*zSZ3$gdci z$TLZ%%tEuYC#o>fg}EsjQjy}u6!!)D6)tT-L~q{<)+_^ zc3#9gbT>vjnbf-Z`&0Jj$y(V~7Dx=}ECeBOM$+}-WV$3-kma!1a5v5SbkXqC*1wl$ znol$0#)(2`Oo0U*txK?s;bKT-gVB%Q$zXp&e7z;I_oa?!2hI+x} zw?;0(1w)ZowH&2x{`6YXYS_(!kvh5hDe}N@g!cK=0ra z5M0#Q$ODD)(wBMg>mUT6$GCTtiDTUz!^q+Bmza?wRv!#-U@Ed`eWl3tAc|k?vc7KP z6tTS#W{gPBrl!u7FK^li^|IQ)fC9c{2=qA%;f%iCKh+ zcCWm8GO$4Vq~SMj_Qn3*`1G#|{3hLoAOCcY21?9s>vrJVN-X19LqDB$O>H#s44B;` z!fUg@x3LKMzEJEP9T=~)jhy>mMH7UU4+}n3^;WHm z^;47m7I?0n>Qc!;Xn{9wV9pQdjw+6#3R6D5X$xMAY~mqHZbvH1h-P#0EoXboG|3BM zJlOyCaTid|Uq!z}p=)L%mLd}uN@6Qc2I(LdmujWvx&pOSv|c~ud7zeFm=(1a;8s|e zzA?O)gT1e?|J>OWQ!JaPlvXugyX#D7*T}@AeGtm>#m3Q~F|>?l9dyxVCHrci?3s*c zOHOR0-v;6PoZbh-amu4Z?CVcnnl!BIbCfS$Y?A?~-d4hjMSc{3lk#a0pvWOjWzdHnaL ztl5W^o1&C$vuj;~Z+TLFJc--Y^jVpWC&%4dhWmRmQ3zIySR0TOh!MlCbIc=8SAAH1 zBC#GT31|8C;rkxO{ji^AqPUj6c}w4jGn%Q?akMAM;ffR8{qt`;BTDI_oDRP>JWadv zhy*p#8y}q< zW*7=Pbb9+xpcOdR3Y5jeE>E#3P6EAvh!}nx+xyPNBN?stxvxvTPE+H+0|OlNdK;9u z_%i(^I2g`k|8b83ht995FkG2c+4@?>h^k28@4%uKBKXusHp3HYkpqY3LwvA~180eo zLOnN6??aTDkE7jA3w=yp`rgmdD6}P!flNd?%w$IysJ14NCTUr{yvP{=yPoq%brsmq z2jRE`BS~!|HyG8`;`koWxYwx@rv^uc6#r@wn^G|rtPJs~eG}m6R<<`uVVBw=&w@$z zV})Jx%vG4!3QxQ%MqpjMOPI~PX$e7P$Y=nTFs?;7K~$=#ms%3(!$V-NA5`Tcf)v7t zvd9-P`8^b?ULi>!#p}fj3>C`|+JFaGzbD~~&-q_mt!yB> zc2gH`)kRadMGZ->&lCmALB8?q*L6HT4k65-x2e;%r5QSRz8HSAf8Z6KdPJn_}9EW#k-#o3Eyfn)(l*1=ZHZJMo5k zG>odQX6;YODF4jkqn`$o(!i0FP*+dgw|q>=LyEe}#9lpRHnd6_7Wva_NiB=*^anHP zdjnF9olg;7^(Sf&c3cg!j5(8^Z}QbS+85MK8~>G=J`#1IIl6$#7f10=&Z$oogRzaB zg)QWG#gs&yJuw+%MRhL9AaPUb2{NOtZTIB<^-wfM_kmltql+BGSJQSa?uUH4uHaI~ z_?7SGps*&&eFVVx2>`DDxJj&BI%cA4x@BRdqzG}e=PLZNVIvQQIm~oLRzO9rA~(vP z+;Ud`C&n#fKmN9Q`+@2IW88WqH?ls^T*dErJS zZd3~muAcKh!{o@HHlO)?Da8~ZeR6Z22TshO%2gyJb1@A!3A>X{w@hwidQ#=WI-p)& zLPy_c@+I%na7&n_7EgG8#-VLW;gK3m!c^_>8Oqg)MbL-eMW}%RlO91DpTt68w9Z%4 ztpuH^fixSQX`%t&?8KTX#2h6&0I1TeHp_#22Ht6N(9Foq ze|E%`wRh$@sEQS2!iwOMZG=b%u&6cu5dA{;u`jFkZeS%3zXMt9A*z#gHK43`8m);N z=7;a^@f&J1Sp725esX`i7_q=Dr^ft)WsTePb?hVH9QpYZgY^T1(FY;Cn`=96Qp1tV zcd#jzU*4IwA55oS9HNV;0Bf`=hBy|QG#>=LD{{H?uI`go5BY;;az0LAMwzlW7 z@De(ETkL8mTMajigq^St(vS_(2pWsw7o2R6S$A%1Yp(0J5e4S}g%y?sL1uvBo|&ge z?4kA2N#n~q+rhDcs_Z{qkKtC;&O?P9qPopw9C>e%{O$x$fWXz9>38BeC+hJZS*jY> zr#}ss@nDjyy{c$Zmj02K(J5>+lzyngJ_6BNw-2MM zc1J|)&N)L*HLk1b$VtR%0i6aB+pn@kf=?%_=57*KT8vXJeo|1f)a89iO7&tVPXG2) zEVhy9kJ5{nbK*v8D4i$RT+qs;wq3zn`<=qBP>s`W7^&aNoJ{gGZoV<2SlSsaMwK3G z^>C~{fIS_fM47}Vyn>VA{+J;p! zX6GI@NMdtx1gA!@=Z00%&nwl-ZGY{oVYSW<;?gHUUt&7FCJbK=AId?q%Erqv6`c@A zZ>^plY~j_a;cb{DGH@I#yb5KPMJZ8daL7S_dUk_%1oI%Y%f%Tx?O=OK%&IjkS(yzI zOM;V%sJa}PM#1naHCR^*5G|;7{#%L$@^wYbC)GjE|BtP=ifXG37jA^`DBJxq_}B%Jz(_0~LnaQ)N3@(9%S4*;p|p@0qUt z)&R#nD0OuNiEcSc(0h9AuPfRR&cMY#4uD^cc?FOX%m|ly zWga$1_tMjLhhsLC?y)}R>61D5YtwU_dwxJGnGwDAoQ%0t#My`go@M{(pFcbb@M0bo zrbs8%KUYJKM_a$ZZ;;$TWl6l#3#-;d8LZteaw)+b9!Oo&(>NabyvMn$CspGk1e z+U3!{Rk;QwhOc05n$0@(UG!~-d_2abtaksfuFjjZyzcVNV0+hStKjuqPLQ(N@ek44-JlaQLp#3!qIgTi0uLZk!xV9K zZJEMs>&_Gx$VvKkY3$Bh_fjcv&K_o|UKD8rjyI~pYEwZ^DL4Uw?9or! z9l=#$TBQHX{UwuBq@dQvcCF%4wk5*{=GT{b0O&&sB+?Dq2#QkyWfF- z0)MOMhBe1Y?E&t50%2(83vk%{u4#^uqCnALdD9FboNQpQ&c`D@QUF)%**}w5VXhnt z{U`EUVK(b6ZR(HB5JYC$O)_OLCNhUxwAgGiUgVe8jeGg5=m(dtK(E`Yob%b%(8N<00LE_ zI{>P|X=3#4favCh*lvRbwo5(U%29KDN-}B~FE9lXi|OpWc29~+5|#x&C!j)7(h?jz zE?nOQeGqxwxnTZ-O`z{krcV6uP6(wlA~ZoMD~yI~c|Av(dR;c7A1vjSGIY&ME&eH6 zLxfY9^2X};={Xn!p=NSNAOljerdc!Usi;G$C}XzR>(M%am45IENaUt9 z5tY{^E@M+{8oqlf=s*1vtz1TUI_XauSQU0@ykfs9mOb5I*$5!)ZJ6Au{Ce*Efm?z) zyj(G~0F9GIkWxO2lCr&?o=LO7$~f-iU4J7j%d*q3q{sPem=~v)VTu&NtY`)0>aY|S zhi6=@^WV52>!%-EZ!&Q6geH;4>EtDKbm?jHJu$6x`{%)uoiR;Hv|H;}zJstnv?hEwq^ z=yW|d%V)jt-Fj zywj(g(k7N{Q0#zNTFciyPQX~9RrDvQ^j2yKlkn+iVk|ybpe2QRb-|Fxs-1zMIT9VF z(4BW621o4Ne0{obMB2z1W^3CcMbXnIWeS59^w{H@NSrmn-OTmBtVwaJpS7oeKE9ux z$P2a;TvHRuRp-88B|dN@yM(}`daq`NhIVfYd=Y*%!0VzGWJXgvFMmlY3Ff`9C*K8<9Z|5_1JV@pLF(WSi{|to< zP>7I^nZJCjek2q1q$)=%{d1>)jVz!oY#dZKF2Lcz{o@~>KT#)SP%?HF+(>?EDv$(| z$6@B2&QKd9JmR$WVDWvX7i=2$L3|bqH?C(Y&VCd)VdBOF!MtQh>Kp-5?U8y_7ME%f z!f}#yeGY|J1#z{rOILrc9`^jCMfGvhkL&y-PlaU`0?eJD8$K9$Pqdk-END*%ok>Sr zR_e$*9y01goC4D7g5d^OJ|-W}em!|ZcNJHF;PtoNoWsmYJGba(+ws8T1q=zM^R>;5 zNZPF)Lznr#_U;NU>~;>sCc+)Z%W=~RuO{n1&V0;xf^z1_-5yK*WhNDm!YfJ&it8$( zqZOJHXloj~YayjbJx3UOwbw5R$4HWk^KP`zwJ#zRhY(P|+HXVyBQ?e3U^-cAn}v(U z`6`DGL20Y6bKqg0dQ+JOje6qGg{DhQl&7)_A8< zXp)%t7_S*Ghg1W`Gz9Tvqo6yy#;)UnSFfGtU&Z_qAQvA+U;z?26~)8~bk-M#NdTWa z0Cz?1R7I?NnY^%5?VWg5NvXLfNTXO}{|fs^+&gw6qAIwHLw7$o^)qRO!I|mer_VBJ zmxFk8wGM)GQR}(rJzjdiGEsU^$M>e5Po%b)3xaCS_vUkoL&aPfOXA63%2UlJ5(n+Y zGhf$FZyVSP5omK*<&)PV_h;(#$QGCGIm{K% zsama?RySNM_0%)Y1KIhGn9+EEjz8N}*v^yN%RFrfe|wEKQpiSj5~i7p`i*r%0iCOT zI`-?!O(kRSxU28;ha5E=qeJQRgm}$khA6=2I#OJx;y?fn=lwE{c_&~puF+salQLU2 zZE`3Uw3*=L8!QDyyiU@K$C=jLnX$kNj4Dd<{1xfjd5noB`kWLj_d~0}x}9>@zoEgF zAp11X2l%mvFY5oEtj0$uOp!~PT5Z1}lrc|}0CFXhL($AeMZY-dgk1@l zrluAwSQ}(>7hQVWwp{y6nPN2BWPDXc%+6B8-Rl#4PRQpjDXcDYDw&(+`q|XF|7R4B z`6msRG-6&7*6EQMXf!pWe_LjujbS^o=&=3Tf`j0a_)Uj7Kk6Iab0d%v;cg6tjFyto zp+-)`DlCe55RtF_c-c0HezWW3AmPMGSiL@?ZHB&{LM~1p-VewQ!z^dj zPCa^dRUmiQ%T#Wkb5#?Qo6XsY9J|SQS{vUZ=WGWGejgblS}AgkaSe(;hmW zI;$KPa|lC?QO?J|ZwLVW9GQB+0YL_PX+1Im`@qu5PFNxk*BO2Clw0*RR=&(i74}$- z>h9{~$#8w`DCD!tZWGbZHO{t1<?5d5=_xo#IFzEo>|T3C52ElJx8y#w7U2KC35W1GD$k4AT;f2)x| z+bd69DIO0`i~1}gYx9-hFSDqPW*n(U`iH0c0aCaYL$Cxk6JsiC5;`H`?jTt&*~78F zaH9~GrdVI)hp>a;k|78lHRq6?5{klX4ZsNW^LfvpU`}d8DD$%%X7}?skNI55^^jpsg|~XH#4Z zo-@f|N$_08Dc(3EAp}d7~*a%$hynUS~HC& zVy2;{_SFdHDDi+wM)Y$OB|7x@tB5E&o@0elc^@TB)}&roP+QxF5(N`<{Sex3<*33k z8$+ z+8g))6J$owKkV^G#&??yt&&BPp8r|}Ap$J+c6RcY)!b7%h_C3D3CbG?kbkez!zL;? zc`wsGjv4_h4dQYyBj84ctHq#q_{LEzm)p#5-u!! z?#5a4+9k|_0o7%vs$wG@pg1^n$*yit*J3a*zr}c44#MTOAw#ylG1r- zAPIgh1LTXa7ItBTVBT>KJ(|#W|5sNv<<2-Ra`t-tb}MkEd}OpB4c$<>oY9q8J4ucQ zY6u<)43_=j*A18kjpCGNKSwFqXDB#BOF_E;#rt!qt&XW;lBN_5z7j7GZh4)6hHP+G zPprgl&xWfb0US@O2-7FSdNoMwN0kJj5D=r4J(8)opDAy7`MA`&x|{bY&dO1|#G*S* zKGiKH+|Hcc%tA8O?)_3&y2@Ebim|;H_StoNwY76wsE5DSPMRR84rO9|`F*YhH^|y> za%`l^B(ex4z_1{AJAi}O!|K5QjJFt0C}`=#k(1czx5YP;2k|WdQgqZQs_Yn3nad1Y=64`%x!H0@)&eNsLLj&U2j7$)ihR z5uQ2{d<>7e?%f5=zh#eNXIu%*aEB&dp{!PwCvNVPTsz`P9iX8F8i zaO207Y4q=B$|iU#aVq8GYtBendlvINV;@OD3bzjno4dyu1xckr)cE%q7xSZ<1Uq!u z*iZ1@qvqa@(htz#HL^y5K9bM8650`1QU&AhA^ALb<5elL+8ihM$)-e&3>J;_`>3$I z>&DmLipw0GDpk8y20vfvEA7HsQ%3X0wHPd}I)EB9d|>R?)^j*=NkwCaPYw8!NdTQu zyXLlXe~3T?D=Pf3{>y{vd4AIM={?re5^D>GgNBHASSQW{IKN25`WGHJiZNBuT9;^Oelo*vo+< zbNE?e#Gz8TmK0volMWN90vC=ddNPEww(I3tO`O$|q8v>X0KKFo@_k70XQ;cjSPFk6 zL;jG?eCfQd6By`=?7ZeioTSW9E4x6Gx?K`T_9Ia|livQ0ddEV;$0+Ze102!^4ZEiU zhH&Ai&~E<2f(U$|i~>FqD6;q5VYw5WExSp*Nx*YZ*C-iV;7uELr0=9SdyiECgqG@E zZCAgv82OHSIcY1U0oawo_|A6>IEkE=QGRUpK+rwyrxsj7q`+$7C{JPBBZ2YzUVG)r zD%Ruto`_pYehN04w!w_d|{+FoJPi?^HA^0CCw_N(8B@QE% zyeK>dq@{l@fSFhCcU+YygZ7r9rPms{O7iDi%-HHX^%TiW(HBcg)oL_9@z*K<+sQKp zHuCMDukegs+0IDhmICR!a0zm1oN-4X1`?cPsc*NiwwK@p%^)zmImb+BlGr!bsL659 zN`?M^xcnXZ$joQo3rp+bP{kC<^@G6D%i}1lmRo*Qz4jdR%NNSgSc-LX0~6)f;t#F) z3+*m8+IjrvziU|I+Pjk+MYMaa2H}c>qJWh7UWkvE#@znY%mwSc@&UZoPV;F7~FsKRg*7_61T3;IQ$pXmErF*!R&B1QIW|F8v&{UNDY+A*Io zXqm1RexzU}l-jH!?175!dH&m{`~V@QD+1-Cif0(RLgPO1@}9c~c0iUI==aS#2@NVe z^GizI;D*kBXw(l78BPZ_*~InQ!Qeu|WLY8Tk7($9NQDGL1Ex{CcPrf~-@jkuLNpKUX_riSKik$@6TY5dMQ`uz1I!7#M-wy;W6e zboH^Z_r_Bz^#m-9jr{gm$d}YQ6Ri%M&)x+80jmhLcW>6gCt zzNqx;4Qtzk5#O>5Kni zwHf19`>MB`go>k*SsFypv{pv>h4xlm1gaytUFH$z8;!xWjn-dCe$(H4|DjL6R&Vf#B%ntaLkXU??zd$%*;(?Oe6n$uD%wUgh;?#nSU+_Qzdv4t zk9%KRa_GNHKb|!9D}}WZ{S_9yd;IrpB&^C^YAO3$_K#(bho>JSqK1e%MJ(#Jz(^;b z+|Ij8pfAUt6E(>%41U&E?d$6v-`F>gkVUKo{e%5+fEvcr5f z4@c^7Y&#yJP?;#nXw0R~k?dc6%oxN+k2rEg^YYf-pUxS0{&btsrES2pKK%z6h#Wue zcz6DC^4McC6AF7?8}2N1ar^NC`Lee}^j}dGlg=`1rLbe14M6+TUKGO8*zr+)Ds`Cz z?NqOHBo7yPpXhq_9h}e3YGtOI756cxva{76`uGD_$pQp5+FqD*81n#`4amK+wrHDO ztV)FB@0}grF8|Pv5OPR-)P10(jtL1 zNjqzfXE3cy!Kk~<93_nVYA<^DGI=S(=P0p-=_a$M)nvhm>P-G1yD)IjH+fdexvo}o z$L>KK;2v94+2QNlZc|&s$pr&a>OC+?lMIXqcMfIbI8Rf7t?9ri(WHq~fv9QikH^Zc z1bwsSmD60 zhduFG_iU@SO9ME=ZD;t~EPi4nK2k0N05JaTz5}lQ3E6rxY%(Mb_?A@S_3ru~VE^TV z1J%d*QT}QfJ}I6~iBdY3-rN|vDH`B~?dgwnsPD!P>rN(de=EBG51`05Vo#Q`K;bM< zeiZ#-j;M{T6y)68Ol*L@l7vLBGc_VbZ+4fd3ItuNQ&86@6>&?-sei)47Z;|NR{cSO zF5LSR0}6>b(n4*oR~D#rBt=#t4LQNkiV{aPIMapkfpac-Sl54Yo)NB1z3FeywV$`E zF8fr$r%s~lbi&)|{%qpQO}`&{q%;ImoTx`2Wr`6>nM-5g%r1N8a_&Kt!OtKbzjCPu zuO(i^tc0eP@*Dq7_dkG~rme`*h2xW>sb;Mu{l`~*YA-I=2v&M=hN@*@WPwb2KgLjh z+r67^5+${6JlmLZ%4~|I*hXg}S~jIAkT(IA{HU;f^VP=y%N#vrrL>gmmvmuODcsK- zoQ06=yuUYc7jzvT?bAv^Wf_PUdsL|)leq)Pe#>GhV5V(EJ~l(%pL{%kM1_=oNB1Ri zJ?w2SXnmh<-PA=Lm2;P%xIn@&dpWyn6RK>^W*cuNy@RaIEiHv5%Vg&lX+WH zt%+h+kaw;(I!-ihZ6O>?YKD!iZp-WKi!(C)+H-As(F?T`g>GN0Ckfv3hZQsR1gCEz z4-_377=1<5&NR%+BB?jU$hXG~fY5SgB){1w9j2;~0cV62DG||1e+|tw(x~4Ne!MaI zt6ATlED}Er+z~yzH@=T@$lMsNY(X^;&pI|=4G{N_tR9jbIt;vqa?0g1WgkzDzw40( zYRg_ME$6!gxCEVkjfoSltCaPr`%^+lV8xO;uZK|NQv2oH$1i;EypJpE(}vZC;;f4k zX0W(?mj9dbT4kRxkgw3e^Hu^M8tVr<6%m)Xk ze`M+xbnaqeG>1-7{K5+{H&hqV_sH^K7aCELp7f(0`0)*M26Q9HWJ#b;rOD5P+nXbh ztg7)&clu|t0y8b90yTINNzFe^@ErLpbaS!F^=XFj7J^>C%U@J$hZ0flpH#yj(K2;3 zq1`|};aNN1EVO@T)=Ve3yHbfG-_QW;4jnFHG~+C*!S?f7j&UuPbwlmo$aW=Kl0=?%0pvx0Pok&Lq5Mf~xpmxg^HtOn8UkC0g&nSiNkYWT(i% z-{r&!nG1X0I7TCm=r`#VNdvh2l9zMGCaAom!&Y`@XEUm4} zcO;*9AD{SH-d=DvA8{ORC8WWMWhttuF@654*s)9Hk8|(%1VPhq(td5_pXdw(xjj@= zRo`eG*n(j+xSD@y+!n8{na$=obZDOV_##b^x{@6L-#Emc>Jm@0HZ2QEEZU4?Wd`q_ zP4H85q{5Ha(Xmwz1;1okz=SgQ%KHDr_AyzzM+Nt>mZt#fNzYdqEK=MgQm5|KC11h{ z_4q(tf#ivPkMA@-E|W=kDU(KWJsighENafz~5I1B+vteQv$q^$4#9U_4DA1$oe&b@8oP zLfz@&z7BZ#O}6(AUXXzd|GV4_LYL{hUB>C~=6i#iL&ZthlqqyxDe`U0sMBbt%H4{VEO->zQO+$C9flOs1h#830nAz*1Y=S%AA*8Aim@xDcReyJl?fvyb z*oBepmdRou7o6;iqrfs*_B}0)j$^q09*6nVW*2dveMF9-ZT;?8me9!m&x}@&;*$n zS2ZVaO?H*Txa4)pqp(FHh`UQErYhDZ;ltYA7FrizM@nyKn1Jz?n~o^L)0h|NDMH(M zlp)~*b{_Xl74b^*@h4m+pjU$cUn#X@mkKrQGUn#s&R;Sp>dNZE3%yo^C;nTVJ5jdt zQ!u*B&EDl$Nlo99d;A~!sOan4hLbP@)*{3&t*+qpSN96|{sSP=xJb;q`aFq;xsGes znw_PjiUR|Md*;ZxMR%LplZdgOP_(Ch7;lZW{Z;=t-mxrK61a!}*=`wGAZU2nok|8a zqU?lxvz0Ym3g+z4*JUX;A5x`Yl{pwL0qgc!)W#UvQ7Ltw^OMe9OHOH+w+lF-S^x5p z7m1VkG86nyV=Qdh!7l}e_Z;N+YAS9$+Pi!~QoGu%c?cdWm|IAwLP~4CECcSo}Lgb~*UkyV%t6GsdgIx}(T9A!J2MfgeDR zD*xmHni}F5^8InG`3M4nUX8SWf|U>sXG@F9jIFYBe-d+sj0JckUD4f~I+jfHIW&t~4m~xohm!!a z_1FA7%96=L`I%-gTTqqk{@v|SsyIQudW2?6jpIebEW7uGnVSQNYPd~VIhgXcn>2!| z`c2=$JG$ITJ4h|~l&>jto#a7S>0u3SzC7J%=B{)Rc{!h@W@4q_1ur3tN}gz+;9>cT z@$hmy<912N5IqaO(^oK`k~Wfjf>eZZ*;d)phnFy$8R*gqclepwYoWP?McLqaDXcg) z+n|!z>ENz!0M;q^T1(;)?xTi4%CmEEpx)b0?oP`u?@oZSRP9 zd|Rb<-;{=(oTk>86^jX`m^su+<_P)3KXxQct?G^{W|0PhOt*(PxyM4^T+lrZbWcoC z0_6w@9#RS2Bn}UC<$u|R@A*PPyh9S|u`AL7u3nzr zg(p^RGYzcnZBF)NLp{>eY|E9lIIOAbYANitX&Q@B*oQDJfqoWpjXGqVoO!!XWd*Mt znX7y4s&@})oXqIGDZPh@Hhg455Z05=n!5T)R7{@48l*=D4luJcP0@oNXSj+|T0@hA zSU=T@HP=Xr(7z66j88xR12iPVm^sCjZU!W}-gk%pv;P2mKfA(j-Hif z^pI(dk3MQxZ@xFbNmZtz!SMuOHU#?nf+`u8l%iR#~B5cvy8} z3{}|}>C|hu|Ad4mGLa1%#clWJy{}IAy57eIxth*Lo9<>u0@Uc=fp9lHYmXOE15J;m zn=Lf7xPI{lZ!-4pZ7y6@K@(PD401r_ht;E=m9vdc-r53tnd+FQ%aWuGF9%i9K+?Uvs*^xSP*l&{*VF^`1IOk}j!$Vi#)+{BY> z(2Ay6#JkNySv!gwk!0cKkw?hHuiQo{v0>#~OQYCF7gt5uTkZ}!K7ae_MwpbQ-cKyB zBW9C>pk8p!vo4Jg9COk&G`zj5UlX3|h8zNoLdKFCpOuh`s81UwzcE(J{GGJ8csNGA zpf+{I#vQ9mbWmYlD317rZl6F^OA*wy42wlIzdRzjZsLY}Typk#Q7F(2h$=TD?npD4 z=fs&kxtQl*1xcOxm=euYBjy@1WT#Fym7l5cCaJ$H!6R5E!$=07Tr?V0r zqoD*!;8vSENKH+#CRq16+0IChPw|Q%m+g`>V-L3nxzN%6%r8E;t;WldMv2aJvI2LP zYLV2vfAJN_ln|vi^29bpkqT|=4Fn*pJCz4-%k(}^Bx{Hy^52+1Hj7qyPYIE=#Nw6I%4t0tXI)Q ztzh;D-N2+5Fk!BGfG?A6)N?`UPoT=8NE!i|^cGiyDDQTYq6}*GxH<1?3qPnq{=rI z*D=P@+6dn7JRE9lhO&E7x$`n};P2SWa4VP=zFHQa{P?h|O`SWM`?{H7C=UglQFDi% zU$hm7w{-Pwiq#hZ9J1$709lR9K)J(r-7!SZ>X&JH#6-p-Pxr#Cn6TSMCU-a5TbKg- zMlbXlkh9E@<5~>J!KPvXOi6;n`DQu2{*xzgaxcKMA1RNeN?qCfkX)yVuTrCYp@KJ* zHqI0*7~?BC|df0Ynyfnv;gmrk0x2{3ddCH;m;gkb(-nJ`5m-9(L%Y++VQZn}6gv=P%|C9?Wj8jWQR!`)FtkvCp6n z&u`x#Z5pVMuHct?_H&`xNln{(9QmL>sF#3qUV!;m)(-0lV< zcJ*KFvRO_Lw@tQrDeCJD-P>KQ&-8C`58Bu4Unx=>MpaDUanj)P27SP=AM08U(i%oq zkX}lp2EHe!rNaPY`p{mTrs)H^d+#V>F~WHu_<`W+!K}07NPNLYNuh2V^j%~mApdw^ zz)UPn{yHEFM~BoLfqt-%g$@~kagqvmD6PwIX$&qj@#4aN z>B%&Udm`dTd2rN1)&z-jbMk&!^9bGby%b5f^<-9V=wB=z$;*I@z-M`db1=U!Q3bEM z5+YxTi7MD#^f7P}{dq%g=lZjc)+efA*96dE!C}CVmhbQ+g5ox=bEEjTY&E|GOsD)U z2g2Zf6iW2(#`mlDF9RS3E4Fr}@hWnXk~f#kAR40F-PjjNI?*{Af~LSpKjtMm9jkTY z0g5;xM9~nn-T|d;iY_hnl9$v^*eL)vv8@ryslN)D3vZkC+$o$&s!ejI2|v>_&Y`Cu zBG_$EYegV0o-P`;hwV!q$4FMIpMMQ@Wp-kv@}XM4mk0fA9M1$^sw+@Ipbr2a!7zxx8yQ#LdEG7so4d(3bG>q#on^wE_(mE)mjh7@zCpgFwv`iaZz&WR0 zDwKh6VYn!X?fY59kIH$Qv{}#6rSr@LBXKR3W75`1&OZ^rIgp&Um>RBmZQ$57XWtq% zA19^@4oYpbi&|1!t!2F7m^+$T8o-EdnpXn6 z)Rqk!xBPy0)pjo@w(0j6>_++N!4wJ9)hUc*N;^@j(`y}SPMzQ|OA%RH14Ri%l5~U2 zOnojQ(CBQjt~kqFFi8i+^R7Kvr%K7q#$A`KtTu0DDu1*@*Hyd^r8|3|@$yq;$Pegv z7x`s#e^z7=5YW&WW400)mKMqt!)bz#mUVD+Pe;;kXLvbYM@5r<6V?V=k1c#1*d%8b zA^(1T9Axokbc&*8FrnhJ)!1V$Cz2fl=ZoRI5Yu($d>Cvy?$jMEb6cO%^miO$w;oR= zQ(O+@kvA8gDprfeS>)vCse$$;bhI`wWylxEfuE=u)t3F` zF>@c=bG`%RvR8!kWkMPm+q+T=(cnIEjp)^`s_eB>)ZCPWy#ILt>IFo ztCa>jR@fXq_p-34k5evl&eHZ-^k(UHm^dQ4HZG^+=ZQ!CK`{I zqV8;Kq!s(%jcV{Zx-k*V4{1oh#>t z&5z~;iA#*)@~$8NDom@92)l`W}CGgRRxzwdIh)Y6-{=SIW32Z)jQFYWE2t4=~S07LLQm>)Zqn<7WsHR{lrjH8P&%JGYVOO8(JM}%a09h z1A1XKJU`YobL|0?=-TIo$2(6b^}EHCl=gqsSz4?1UtseBVdn42FsL6;Mo#+n1Mtz2 zqJPj2UK>2~VO=kAR}r#tPe|V;RP1uca~%lcH`QT>-5RC6$qUz8 ziAy(ORHh#i!f3jV_Z-jtQ>QtNR?y|#-15A7jEfwaf+OWn+V&s`(~B%z=!^56OB}=S z)w#_pkWR(NRvi~jHBYDnB)hV$1!#4fhD4ZOAM|GZ;5aPrUqq+jjakbOBGeebDaShC z>{S0%CPwS(uSV+qYtUK2_R4RZyd3{Y$P#O0%B*|zTmj~SdtBvk{bd(}x+aFBev+Bx zdl;IHbwV5VmV4ux+?APsM8#04FN=L7BV3ew)QX((=OZU<9V@9P6VA$_Bre)iEF|^V za|ImV-afsKYPJv@u`Rrdiu2DA@bHB)iXb zzn!(fiV7JSWCKk?3vpU%SB=lnHT+#vjaxenZW zM}QcQh=z%V41(%gjq|uBM@yYwT>Ys5Pi;4LM`mh_yVCkSWy^dv@8RLT)B59M+ZhBt z=cL2A`nfaT+RJ=D*;-2_jXjsUmW3!hcV72W^t9TKrr`uKSmJ^aiCmel+R~AG@t2|# zS$vP>H^2u2+S;(3*MoZnDO3C+U=GM7XcKDnlDF`&@>wSyPrffUysve;t37xaHd;Tr)Vp|HDuP4)YSw{YinLOTe1 zQL+un$XKeUmG@B%{JI*V&0ioIMAZ9Ke3YPo6$mOr>R(hQ`__~9Hp#MNR8V{t@_I~6 z+>7tm`D>jnQq}P!+1tn!JUnq^P7X?2f@N$!N$4WMS!k(^vFx5TJgU>f-3UD)VWbO< z!IR(zo>{b-K&j?b>oAt?6+(ORVSm}r=7+=FoL_*8Q{+CKl6Dzlb7<5At`@QO?pn_+i zvz8QdoY3BH*$!S+;_Wm5fVbsC3fQ-`MRXb$f;yX_`FQO%Jf&aE;L44zLH813g}4RF z_kgDuuiL3YNSoh^c@>RdhuGe#<#kU+QhtahT`3N1J~;?H zy=$s$C+Oae0nc@hSoqpxP_hY=j~ZQ)Aqtqm{fhcGYL1dF);94=BX^Q+?gKM(r?C1x z#o}Ca;B=bJH?Uncf_lTBPlFHHDHfe+BFTiKRI3h9iav*FCxK}g3U}xeDTa;Dk;tWO znbnj(?Dcj4P_9+Bx|o5ky79(66RkMfjdt1nF-ybqd1AHZY*sd>sRLms3A#oMLLN-D zHQBz_IDplUSoY4#S{aQg<>l?X8R8CKBs8iKv_SB=%tYQ{9Y&odb;xH5&C7}VC8q;m z6r{gBL-^Y6^%ChLXm}0~Vy(!Jg{q2Et&bGB!6m3AXI4|WDG=Oj-ZZ+JX=o1`yN5gX zzWOWdK{!x~aai)3RYarsbp8h$s7{V>?Xs(5m-vyKN^$@v;g@Ld!Z?!BcLF%agBald zj3Ac?`4gDL&#!OQvoeOv5;W}I>Ql{k0vfxQPL|MJrTLVh@$#rE&gE z9*3aG2on|ol1#R6vj>G`$_|ekelU`8EbRdr3R+_7H)CLn(&$URpKw2Yom?VMH75Uf zXsEjrNfoD%ZdM+~o{$N6y20QjDHM)|*7%qEaN)GJ5li(6qPh>dPvn`aeU@-SUfTPH zm9mb&Wu-;T%;iOcPWrWw1iIv5F4byK^%Ae(gR^VvGfpsM0!F&@cLDobWO$`EHP2F- zSp$R91gnA?$ZA-5NAxWz&jz>^AM9Z@W$>l=Z4ToGh$5*^MeiBUYr8j}#BRd|HSkGj zqHp&+74K%+w2P`_slE#+3V47k|1@)ti;ifOV)PN1Hs%VBhZu@DzmpW?!%IL1g%#cC%r{H;BMC%E1kV#A2g zL1_2kr%RC4MLH5lhhivteTm6D11u|8Li06YVfwUmO8a|imK^O5)9KSr@OtjmxiUS1 zj!^AImb_gYxo%~MLcPKm`N+QV$VKl$uBivYj~3Q*p?)ha-7xODkOGSrLDLmo?Z_u~ zn6BEjtHIkS)Y9}NKbT8=li2}@r#>X4E>lWOGY9X$_AGS|&4%aJr$!UQ z6}do%o<8p*XP}&ta$b32gexEKv$;Nio27grFGrcUBA*H3T9{^OM5l8ar{vOgIVnfR zcgjJvKr{o38&f`yjIpDjwIYRlc);kPvO;s?rr ze?kY*`eDP`jrG_Sw2QP};!0EAl)|@$m(Ny%%4C^Fcb_CvB7d9DbOlk&&=kHC^e(%l z2>e?3roh*{`Q#@vYsip$2bdGfC^&w;e4>a}3!^M3*?Q zJ@H+e;23s&Yjb2LM$IR6`E=UbyRxmwa5J1IjDQ!pOzU*K`>+=^U;7;t;LB6jMB4%s z>N*tGf&b-VHOsBOHfiO&DcQ^S*Re3HkL0R*jTfQj_d+*mirf=TeC3DDW?@l6(f0d< zVt^u(wL+VP=Sr(3jGU>5QOC|5vAu#v3=?x_^#zET&#in2ouecVgTiz;Pb<2v5>&2% zDL<&=);>GQ4Jm+?x*@XGNQo&iqLz*{y)m{>8}f=-n%cCidklyq0YJ$DTf4%^+47+f3@curGg!SF??z--;^l_b%S|TyV_Uv-I+?K&E#zl#2PRbpG}-*LZlWsQxcMH z%aYp$W(sPnWCt2r6XH0a?|ros{1@nq;AX`RlbOFx$i?lZkTt|* zXGwp6FyeB(`IHnHNYKg}Rw*IBC6m^*IYB2zkEMUhoY=?NFrk%D6OLXHEc~KNNAVrjsgV}dqU#iL z6k8T41fPIipbrknvIN;S^@eovdCoU({?w(v?U{@8nIqFg=Wmie8*bp=;|TYMEOek= zh3G0|Gk!;_59uJtd6-7`_7`Wg-TM$L2R)0&Y^t+ynVeuG3sP*VN z&zsyumb!Xm2TUv*79^H@fMs@cF?yZ0Cfd7?6y$q_7Z*W{x~Vd=&2{b1V2fGBr20i^ zG?D2%*06<7=kvj&T=NN&HfvwxJyq*V9q_QEF3Qf4 zB-+iEw}HatV=J~yKUpNCcf3Um=e69u?2PcgFK`dIQ1uk`FToD<7(5JxxukX-zkJ>0 zhdE7ov&!bR)lTUOTJ&gK+iL0j$OSxE>beZ2dU**{T=(243B&jT_GCfp)1;Lgme9{^gNx><*3s)==urOy1 zx0ROZZ&>Y=+UaJQbee+6WG6KBSR!xR0RY8vr!-M^BvfFVIJIR8Qyj(lI$j!~qz&zT zSo5p(mCy|FN5Iq$a+3vOWvuV!h_NQ#|0FReZ|jrXFh7aBem@wDyUR7+a9Ng`WXmZ1 zE7JIEQNz_B-3yYgqR>f^LzwNKVHqdzyQA0{0@HQrz&8VD`T) zUqYz{{JFtQxts|4v|!oqR%yHy&5=+_e(Ckff059C?+A^nAz$;D=2ucS1W7f9w0IT} zOZ8_rzz7|eJvz>gA7SvT@SYY&*{py@EE#;BcI@5F@(4VQ@3X|K>R%2!8}nch_ZFI? z&e=ab4!P;;gZ!mT0?91u@uZ%rV=MSYf`O+kR^gJ;vJ3e8;6yOfr?PiG+Vif9kT}jS zt@tol=F~SunB5G|BN=~ryM3X0ig<~k*uA<5(R}v}D>2yw-TmW83hB+OtIRL0OM1*S z&QKL$!!)Tzb?}QEFH-G$>qX>Lg)?gx|2zI(?ACl}rLfc1J>)&6ANj}K}HR6hwj^(3h>Y1!6$M}GwuHXt1*5{ zy(ypBlbhxQ+L=a(6d$Z9_`c3bn9?XMZ&f=gbzWR_voQ<7pr+_y9CO1-_iV1GHxJB?B405uc#KSQDibMZG z3=8j3ZV}p+lve&#fZLUO!5NND62gtIeOHYol^M0-?jX{t+z8H;B~^mX;CQjy77iZrt59KqJB3 z-QC@t;Efa9oyOgQyA#}4G855fRUkpMDfUJYLWFz)gxlcGD1RH>ZGHuFVlE98t)i{XO8G*9Xe=QAZSYKm`cPE#eoSU61rY0*r6V zR<*G4*0T^@0nqrFy6Q1 z*Re~35n@2J+c)woMOor}u@tlpOVGPPInjC5hpvkVl2{~N#rV^uUEd#ENhgTQ?8^Dc zwJ?Zt%TI&OhF@meR$&N@cvBpRXr|T6aRQQFUa;0<5~_=UKOE*bLsW-8v2~n1KMqjS zoP{mmeM^xWl#XBL&#aFKcn+l^^A_#+ouu31T*sE^PE+N(RS%rF88^7oR;nY9j^?6G zNg>eVYrll?;cs8TpmhY=jPVwqIB;NG)g;sbZckL~7lW|iBc~l5x_X#2@iiw_hWFN~ z)H!%G>n`Nr!v{>;(_k1pkUW}`r|8DaT&5DLYa(=uzm?E}V;8a0Pg)O8kE0vYISEPvQaG6_yi>*YHey{P-BTTVkyfqx^Dj63Y3 zcA0EIbawvzxT>`H33pi3j*VozL-I#Z&6>#?debT2ztgHWOKlxfbj zF-*xio+qoVKZJT%)6xj}mJZhfCkZW_gWy)Yoyk!fVT0C`yL)Y?y|_5KQfZGJj(Z#g zLSlB4{-VY|#`fWd5m>jEMJdOY>Iq}O*{+PCZ62k^Xa$-)W=wy8za(!+AFCeY&*^@C zoAT1D#zyqCnBa>(mvL52^wrv2>8PZ=_Mcj0;F&Krsdxdv8E!>J{BT;TFtqioP$K-y zYql-yeBl;guGvk&A#3zzE$tPat!EtM4End>)oN1VXbm2d+z~Xi3B^t04Yjc8Ni9#W zP&;^p$Lu;W`*Bc00H=t!CppMFe_)cvIE*(=oqF$gx=8cS1JQOVk_-V=w-Gk~-8BUh z&KhjyP9#S<o)#I5=gqjFG|(~W37dT)7* zvn(3KOaoS0`3V-{#&O3nRv2dgqV=!>3I|*LAyp0Q`=d)Cud6K_oI>?Do}9K){j;>l z&kpQh3nrH**9DY#cYKr7+t!Tkm#Inju{^g0<`BZ7pYtRkYEmeAS{?kDJ3bB+9P{A% z=SC1Oug=qd*|AoXNtYOLt7N_un&3d2%1EDY+uO58{P(Dg*sKGtY2E=vb=0psw{2?0 zEte(#4U71j)r_EeOZwWwwhPROBcoV~Hngcnr*CTc`m6iCKgY$3IR)RpLL(7vp>&DK|)<@ z37xzFpo(!};0q01R)1d>SLz%NZ6{B@!Jp57rxE#z6G~?i$Yv$?x`jyjauw7G&4-Y< zl-pl&lyaNxv#?Mu;Rc+qKz^jUFfvVn#v1zkWq76riAu6gmN%@5S(5EQ(-4L}eNpS+hf=JH^bj4Z*j_-|o(L;;VEI*@72n8RhfswU#~lsK zRTnb-%Pr19!ygKY)uNtyML-xmLYMn*4Wd}PSwFD;i9+JBMoy`$xTd;B=}}QdZ1)9} z(7*)fHrn@`(UMcr7%uU8tBn@^kAR4cQJnafePye+m?@sUn2Fu_9PfL1SjMwjh3>tp zH^Mg(upPNAQs;Jw#6Be_tjaK=;O_n-J#$XrSmVjD{q$`MuYB(7d9mH!T-ogm%+${s z5@)spLug2$L*m#6j}LKag2-}tW$4H`>1QL*DrjWsj2}$)it1hLPlrkcT5lZ|okAgp zyv>E?{+<0?_SSGY__ILeI>P;&IoDsaab(5{_a?(UNzksTb?FIT6-s6KoUd_nk~K9+ zS;QJ#-}7Fp%Ora_Wu34QW()W=@)RW*=*`l_f$Nr;ca*r1VLHOL=KG-xf*lS3`_> zG*(4yqcOb8zoX(h#Wriu2l91Q+l@k0QsSPTsu%Yf*}AjMB-m}RKNe!upV=Zo0_)Sf zh@+LxiizXbx&{|csp*>v1UBUZ4Z^I35D|hk}dHiZ_;z2z?f)J?MRYr0kUa)+t z%@W_oqkN*{r=P`_;#v{fnj){>200idF}ypZ%FP62jr}p}V;D<)irG7-tHPL7SW3)HaSifcNJi z>3PyOn%GjV)6gdFnl6$~R;t~8m9GHwqHAOsi(kAeI zgs;l-8oK%0xNk9&E}`!$}-+JOeBIo9 zO9aYhYY7u|*FJmW)cWhS>j)j*KfBmm%`u79Ns3KDC1o5^_8}di}>@0 z5(W(6=$u{~_zzHqK(1W2EoPr}0Aq#|jH^Zn2A`d4D!aZXU&X?HK!4?N_wRzFE^Yv5 zrjB;Ik;&2J(F$eZKEB`~EURoL*&L(ekaC1;Hcq@7EUMAKX}2*Rl-+Q`O!fRroJVm;#HElAlpxXD$H}iqBbugALXktA?zgt$P+diP3*w0kqQu3r?dB|dr{?SiQM%ECEFs@-$v=D|}~SM8lSujuaF zGE}M1(0=7q$Fgb0(ZeXFs#Y%gt6>BX4SNXkUf}4UL92{iGS$mYPrm& zT##b)A0RcS7k2KAW@6t4cF5%mq4uE3H8cFBFxbdH=MLIU&UAkSSM$BnJo$+!7-p8P zJoEK5HC|pQoKOPE!WXGU`-2_m5H=AYEg35u$?Gr3(}f~G<7z!Oa?N;5qr~53YaPO_ zH=Y5qb~^u5z&Y!R*NDp^yIgGr)TulfWX6lu#EGlecDLrYI_)BetIQi@Q% zFsLD*7{maYp)kvK{E<(-(juA2O*%Nh{pEi5vSW90I}S$4(z6!xkt{j11IS$A z>|ok-3)MyU`vcwJq0PO#WwIQ`SR2Gc#{jwEw5jTKNTg7*U#PPY^^S zZ<%?O26LcUzM1kDbUzZ-&pfg7607rk64@l`tVE(U-P5Icb@h<0yt_RNg0=qgCp|2) zwbcO2HRrm9eX^CJ1L_F^IrFfwc6FM{pqZEiZwV^k?q=cpnVNaZQ+fmGjaG5uYw#|R z6EJLiKjuq`?sJZ%iZb|Z12U$gU6#JsO;qyKeI``JMg|sP3YDYwcX3xtr%eIoz7ntW zbn@xNjpjUZY$d4^r+aEFWf=7>-6S7wO znLqy4ZK;ym@MX+&ne(JFyXoz^6F(T_;l-O!AHx3Mi976At37Jb`~K|mR{js3gpAPR zb+Y-Ho~O3YFm~X{oY2cDmm0Cf=D|RWn1;)Fr-{kO)j?W&F7$_QaW$6p74EcnrG3uA z;o=6T^49Ljrd1j`Fr!j*sQT8pjsLH6bbw;a>KD3a>v>uzC5vDwbGR*jJu0(fPEtkG z_y7Pd#6x|Q5_B6;-gCIhFX`b|>d*mS4b;|POzG693UTt8@WfE(1UTvt$M+j6cfiO{ zvi}q(TUBJeEE<(B)n6=Q;p2k_y?^Z-<>r)Hs>-R% z5zP4gI{~7fisGKqnaM)?kSe}`j{2vr>^t7v7|%D=f{%u|210Mq2j*nY0-elGo%d8; z?var$O%>5PLwl(g`53B9mI0toz;OdGtW3*ODpH!3F#TTIur~JW$|k=VVuv_`G)|#*{^f&Vf`0P_ zVKn{Z-|}&Lt}Wg$EuPGp;oK&xD#q(Lk|vtRq-Ga1zzBp+!h@?Q{_TexsZ7_(dK=ED zH=HN>PCnO+jou2=zCj6XL*|gv_xKJ3-p|J2yau3d0rF6Ci>dyFRbz`mr<$zXlr7_F zJ-+^^7qYucERfTFn=M&BO4GsVGHW4D5R8r&?4$Vj4Tf?gU(8S?h%@c4pm3TxG=VUm z#c6!r&t#9qWf!k;dZWse1+e>gz*Xj#Qgm%vZ9qS0DiNHhfAAf3vNYK~=}57QAuEhh z;l!$ejxR@UPhs3>9~oFI)OiMSMq5Wg^LiDenk&IdvbEJz*W~3X5wF<|V(`#8+ugcE zIdcnYh#OA<YTL7wAP55ss~*1Bo{t>LRT`iktKxvl9o#zbx>cH?RP}d=;&Cm zOjj0Nh_iZZ$E0Vf(t~aAK^3~_II>VlQYigNDf(me24=#9cYG`Gt{}%K`d%k%rgT4$d4 zoVaX1I?_xf^j3H=^abpo$SPby?6Q*|=7n#~50A{vKWtCp1uV?*G~pjfdJ< zH%sV#%#yM&bW8uyI>f3K71i0TAw)XjB>QQX$(*0^d9~JLLa%)*O>N|4=%bSaiaSGy zsb*96|5KgetY0Ys4mL(%K(?>of1Xi6@uC|hu> z`P0ag`mdghjO1zr;_eYL;)|8fX_GX&$a=u%Du2{Gjgx5#Q%EM0j`weD0>z@+GWz+k~^WUTgy;xl-5Q_UwlO zF-!gaPSr{L)jpskE$;f;ZdoOqzuI=M1w|{o~;gzunYT^t41vJHCfb5ys%ov1IU^>Pp7zQ$MhlJhpT^ zkgB%3Vhr&?ks_>!OdwQEwUkt7XyQ5WU}i>mgEPV_C*$3UdXBJ+C*|?lq^jwpLrvo? zTu^W*7?p)^jN|~&-h!j91Kc!N*{p$4I?8WQe zxo#yr$1S`Zzn8-WHziO|X|+|TX$@V0!O8mt1jR}B)|;3zd0p&FIG3nZ+}VD-040Mu zajbYWIGa@}gL|ZT=0id@!Wr#b)p1UOnKKzXcf+;w2=6&}LD8hgaLYet{wXGT6Ll~7 z5IqvZb|sVvaqs?7Hv2T4X5*(Nb=ktXl^-o`z}nvs;$(TZ)1F*~_COA0ndT~wnb9jW z%~3F3Vso*oJk;$?@ESETWe5*wJ%aPvS+{Fm=g@7o?GHVbeFXkm$HnL1($1AHT(}|d zu+^F)Aon0sq}Hxv)ss-rFk2G>he2FC+=)qa57`*Psk(o0eN`_o;Sg}GHR^GMRF_s% zWk|oNHK{%8xg8JNxClwO+v*`n0P))JRxuk!jOY)ZfB#T26Nat2&ZWqF=~rv62g31ks{#>ifz4A~v4ndAjg5|5)}5Uz;LzrK*_TCFpMRULX$AD22H0LTmItWFfl?NG@sgPY zMEz|40nMM;q34Z?Xl!ELkT1^yq`~Zr6+Mu-Bwz;-NJG!d-UD!EYz)n0Z)x)Qyy3HK ztjj-WSdhnmR;XnhpSQ4Vo@#2QFzswjI_v9aQ`D@gCDmGG_EE>i2-ykq`^Q7?>7yS9 z=1VWjg(g4q?Svp$LFg%{l+^yh)-gLTIo4N}%9qs|Oyn+F=khqw@ACo^HoS3(!}&Hx zbK?6QFk^+QH`J+UY#i}q(^vD}@VDDL){v_25(51{ft;ldGf~&F?X$_Ji|t!Gz=!sc zO7)HFXy@Ykn=fR(0uk18;@DIu8Ia(eAoLlgj>D6r8_2zR5UpGqp@Z30kQh9D)mDrg`T2 z$#^Zo{#rScSgUDRp=)vFnhg{Cu=%))TIdqL+>7P*wH$;?wC`6^S?zW!Sz~<*$^QT} z<_YE~%V9%Y2Y(<9DJ!S9R~CiLMm5 zF#0hlLNbLs%7z%%7;J?;X;tZ?TB*=tb>Sm%JobkBgDZKPix z*4bRqaVdwf$IqZy$DvFWA~%y1tl7pwH7F-vk)r^7>d0`7l5yGa+6S18b7VJ{BIYO}BzT~w~?=?0I;BCeqW zI)fpp6WjYTi#O^%rUk=_nI)*C6*ihM5cKO!b=GQ37)+ezz`recZ8~(SP zs)_AlPgI}8dJoBY&Jd1Wr=l*J%&optwCQU%z39w1_-4v{91wWC$tk$Nm+ZRqVmnG~ zgBeBIk^W#)g6va3(Z@2EO>Mgt?^OJgnwDolS$pn(0ElAzCHr5G?EgRW?IHWu^zR@s zXR^6W|Nln5i~lR~rJI+>Sr}62uNs{Cr)5n1I};vN^;@GD0*>^KbVz&^vuFmy*nJ&z z=bifrwPr|OEZWt*VO*7wZOZ)!z_~qC&M?h^PWA1{t=}As4!PcoFcv@ z@pwf#wtb(iUd^d0Q0*bKUK+!>dEG?xiMKB~Si#1c;CSpsAm376jhg-)lc7}@24b(q$<^JG|&=at?k6p}?mcwS_mNpw) zPlj zyDm+EVwN)Sk%QCcE?Hp5%G8Bbd1)+4+G}v>o;^W&N?Q(Qbe8;Aw~TCGQ%v(go8S(f5sI$ ze3GOvf`R(bizEdD)Cy-`!F6FN=Q-{sBpsqT@w<%V+13%7rFU2AH#GD(;#X zU1B2VmBso-0>Hnt1!GfQ@U2-@lD0uaeN*L*E>W?>4%Va)LfReOm0Z|^MHe1LypcH( zZXE}1O)chst@UwewJQuqsv}b@h9C>pYJ!!D;i3JFsJS1Z!s(9t0W#{VoDH2SUwGFRdBB?Lii+fHwXhRCk{KqrL+4^ge2-T#;VPG&W?QfYOX$;l-8V|EYB>&ERhfyI zp18_dLRic{tlKWQkk)G-z?yN!Y0f?(+IEUzR3zzyLh|?&{OKCWpG|X5nV8%AQv2$w zX9?m~43)r4#o;_PfSUe(Ju(+KS9(@d_e~+FMdz)N0C#xodb|!e&nk_0l>Xaa!DgTZ zAFxS|=3v$n@WE|GX)#Uw##~;+6RlJicY;EnX?=W#=7*YzIB6lJ(D>{;EE^XP#xQb@ zw~<3}bWPadkU6Zvq|(IF)^xPr3}`dj>{r-}x(kIf^(I(RM8XlAK-9$ZWwW729NjDP z6~2udtJBrtnd7Q!pIY%oOQ?RX+%@#C*&N0A8lLFH6-$JjK}pD6IrH^HSpPY%)G;nE zLxv(lNCzkbDvxk8;J0=68`%A2Y3DdH}>8Ye~8973sZ8f&3g8c2!bKK~k{qdSyqYi_@XBENUqEVl?_ zjKAEUx=YH-7T0q7(J<`$3M6w**;i#58jMirTFWY%aY;gqjzS6YFUX876JAx7GD2y? z(Igh;E|J0vwROKA;Vxp{2*cCAI_Q!-y>P2i39F--eaHc4Yf~i1@LbGB?+)4kTMcge zng>4o8-~^@_g}z#ulTYDt+caraOdZQeC^{gH_z_=qx=R;2ju#EPQoT{Rh_3$+>-V0 zEVNdv)nUuKkNda$CaVD~rP%>knr}hxvpg+I=4h)dS*-Vp;&>fUaLC0K=kpdFDJX{UaF`Xg7(lS~g4C zXlPe$wEE$Jf5cvW9?joBT_f-ZO8>hLLzg(YFVmHL4EtC8Gd{``ghx?@r}yC)DR5Ih z+c8icmN%)++0~V8;<1J33DkVCay8pl`_*+zQ{>Ov9s}D6o1}7qo%i4J%*D2HjdEKp zK3dj|QQRZ6Er;a*&^n%2tTU#>zq)!>v1Y}S0x{NCl3dT_mT6C>sM>SF3Y;=0FH^E;^EnE- z-luu=sNc*;0?{-)zr-BObNf%nzGuuIjlveAkvnT}b4PbuVGf~To;-=P0?1`*{@nQw zGgwLa2u3`K+?tV1GhNuXp{DY(;Qka#N$qn6N-1$_L`eb?B3k%&VOL?oHRp!g$B~q> zlBAGZ&$iBJlk<{8Vw4ZK33Zz65j^Y)YcVnql)q_0FGZ787=$^cQc2md-#YEuhdY&h zJ3|gTC~$W2X&dV~`|2mv((w5Xj_x|IXdrIzjWIC{c-eh0d7h+YH$KMj?c4)ZO!)~I zGaRQS>TA}`T~B6q{DKfqA*0i*=9dyx2>tXwxU3akp0cwM-oK6;_k6t=It#KT)~wZM zb_o4UvnWz78I#tvNcTD_Eo&UqTgO8X4}Gs@oh`Usz9o$Bd&Rmwe#W!$r}uRV#hF!= zQcA_HQz++ZzqT%g(Ty#dRY$i>a?-nXpAyyFr|_k|@~3?|4yZ6$k98Q^C~s+rPCZ)s zo~=cwID!|V8Vg^U-AuKMbZ|2_+8izC3xEM8^^fZ(Qi1|^_V|2+Q%XUceo;A|MLp=9 zY=7zge!%&74R|WRciCjECTYAr-Q#>nWmMTcM>~&CbwZgWG4}S09dBdzw&a4DPa!j9 zHCfV>_V5ROi-+q0*i>MaakZfsbmj9F7zz5oFUq2+N|7c$v9DjL&McvNze!C%6t63e z`>zR{za|`KrN-4!v+V+q52gHj$xQOEgXEjx(X0Z+ur?g>k_t+X9xrhyLQHNH9KB!^ z)YuMZd(-`_j{Tf{s#7z+pJNDPW9HHY_-kwtM7eaGOO69C2FuN@WH~#w-(FWTJ}2^7 z{XuJ_y&%VDoW1z+)6PFGj&1CmVUK@cSHyg=n3%N`vp;YLEm`z)Dlf)Vv#_&pNCch~ zalhARk8OTc`XNZ>eW1^&_z=pdNBLxm>vvM#FEpDzUHuR5LWjjergLFE30xq{9If=uXQus?NqgT?hfLdGfvHwA z+DfCq2PVTBGaD2N4OK)vK8cbCHjpixX8_t^WSmh;sm-g-Uy)m~NZWk23N4#fL!v>{a#C2~3 zb!kUvU&CLi{(4l=R!x1GKCDyXwT;)*u(FCuK(PJ1m!e2SxCwRfoYZ0Zg%O8AWP0uR zjvEM#x8F?uT$;?njlr(@E4LC=?tqJcfUKjJ8*@$gfM}+n$^!@ueUu_0(1k5Y5bWk3 zc)S=4`aSSCIFHLCq08%N$E9=iXY?@aA3{clGQCOr0!fiQMD?hLJCsDdWE7*358kVr zf|i{V1}}OH)xY9DfZ#`s(W_u?s?I~nxxzs#mn2%TyqepH?|D;4E|l|>INh~FAZ)K2 z-+eBD+M0ve2M!NVU2tX!A4M(Y%6=y7Dr6F|5YBgsJQzqrM0|fkj`&4aU7NGL<6IpS z=w;};W14pv?`Y1ZaQTA6%usBl~ zVCnVabKu9&Y0w3>Diorejyl!B{O*5txf!~zj-QKgi>uISp3-9Gn&Em6(u$8YV^Ue7 zw$s`*F_b&nJgW=xWwmleG5u?~$^nm@b=p)TM=$vur4_t!qWqN9eg>2F2g29Bq@3Jf z`9}7py#)dj5;mDFe4UFyP>;2!3}C$57vn8IK@gng9=ZZXD)~tx+_RTc3{zT=p+OD0 zF4n#gNv>e}`y5nMXb89Zs_YWEd8-3-dAPS~tZ354n8S9Cj1GGq1Ug2oqAVXjGcU3( z7{_0GeDq(TQAY+0=6rEmesSB9KurywEoXTt_JsoxJ)(MCD1P%(Hs7RI%L#}v3ykTM z{!J)c`G-ySLI-@a_@b6q^Xmwfv+mx_BYI#sZxPc-1>1K8qR5p$zj1Wj=48C_=j@Cf ziJH9!&PNBIf^IzZBAhzVeT(f^c`y%?rsZ!Q*$p1aCI|+?KX=C0bNi1qCHhLt2SoW|*Fy9)Y zkjk5{Cq>3l2lYlZ6Fz>x)r87CEBtcd>xq9`4v?V~Pv1BI?vlzLJ}!vGi&4?Mj=FPj zuHB>LCgC-*2+m6@vxBdH#!8&7xu3?z_$QsIM#R18Dz)oH;d0i=AK+y0Gxf&@LCQ@0ac>BOe@ zNDP;u%h-gnb$%!szLcxV_y~d~}RosmaHRe?Da;vsa-0U68n0Rj3N`;IPDbs%VtAdKeQZ%aLjv zAFVG#Li*$SWnw&=rJ|@I+Xs7MzG~nBKIj`}&d+;HAru|9(e7sqytv_ZPq(|1=oU(% z)q7g4#HKe~Vp#UykUex=^towoIg2`S=0+Cn+4OT$;nWbt7k}dcE^mjlPG_&(_}l?v z!K>Fa?;mY&Z?Zr<^!#91$aU2VB5hg-&+mnNdm&(jX#RsxOdFpF7Ut2fPTmoErv33r z6@MzOXmf+}|Fe%e`#(6X0n;&y7AgRn;+eBsc_}+j_pw^M9wG*^SSUuG6&q&BiVW7& z59sm$rzz{wL1xs?(E>(?<)41ki$y2Nc`GyAsXjtU@4ub|hMKd<&V!kJB~%zVz9#nB zWl@$Fs_v@KZ$YmIctt-&pGRBQd}m=$KA1Bb#e9r}+HTd&Kb7Xzo_ajjoGqAE#1nY~ z0O%F-x;d26(eyfRObgu++Tz1O)P7UQC6x64XG&!BbN4} zf4f^JVB^S0=^PR8f)gdimciS1S_}TpjIAgGEu#m55bY&gX?r#yq)}(9g+EPx*+q+*BSk_6P z?kp!H3!VAbL8R^Eib%Q0oMfeNyu~l816E6K%alPKFrZ3fb5(9C=WW;mKX&X3NXjia zBa{@lQ97uMNc)v%5u_k{b-^?uR-gts`X_9eSUC?>_H~gdNm9Z!RrA7@nscdATKcg? zpR!w5qqP7+eRua4+fV?%63RokJV9eH8XPMRUM+Y3EaiS3_@hAsuHs0%5$XQn0r?#N zHFI-pNACi#K!G0Bp<$M@fkcSCx3^Q9F_r7xTX$pu-!>wM#n3ozJa>Ybi)I_eMEe`! z(j|;_9{cCjLy`of;?5S1??V<(zQ%2=y>E+&1LI$nZD`zhJw!ZZ_{08<=87VHqp7bU zMV{u$N^HJM$OMG@K(Kw=AIC#9C}Hl+LboKz&T}z=$FCw|Uz(<6I&3{Pz|}bwvLH?c zpoY{?B%84nOMsO-?XW7XY&zb^;!Lfe!!TPtx??$eje?NT8#@S;MK_%{iXw|C`%Tus zF--jU3?XP|`?TwvJ2V8tF2yRDj2k&Sh`&J(v-yJ1-xlsHuaDT<0z8Y?Q~BYUwz z>T;>21J5;N&2CZCQTo8&yWH`DEB>P9+_yG1^YE2)uF<@NIVCD!S`Y+8>*K1;M9l=# zWXG3O*Vo^TlQky-005J%m%<<7c(E7A9KBK1CL1C;HV2o#Zu*UXY;5OXt;SV%dZ$hf z3MbPRVU!WV=#13^b(0|eMy%(GEbC)zsHou941AK2^`)MCf|@x0q$EDb1J&*&6eh+d zgywq=|4F4FZ4BX;cELgso&8l*{=eHK0TeR+^{fklLj!0$x9x^2ett^v!6;d+SxVEJ z75*Pu4%upKq*Zx3(1isIQJaB5QVa%+7njzz&@Qv09znfUnA9vz925$m{OL0Oc??1-#x+$IIX+{fFwso}kZ`RmtxQ1h96UyZPAu9(ik}nhA5~kP z<3@Qc#S3Qw6_(yH)@7fEVOh!2=eS;DoNo7F!2%egk8wAyGbehTV%`0jJ&c)8DjoT? z3B{%G1Vd${0-xjSUGu;ucu4GE&ic{%dy)lV?k~p7<^A|g2byQOsC5+s#hO@Sfvrpn zNkCdpfZ6+6APrnZ_|5#S|0b_Fos5_3jpC^t)l8Kenn4LngA>B$MW83oJn!0)rvl^X zhj5wgFQxrS6S;1J#Nvb(kqg_%7y)>EhVwnNqalpS0(I3x;&5Pk7eirs6px7kf}QmW*5o zPr+2ck+0tzfdS-JOaqJ1N(Dy?d?vPeY(Xo)k{HVD@GO;Su2!KS(|H&6?kq8s#CY7Xeo?UB7z-DQPkD(=$g{>cC(iAg0X zPO%Yq1pV_jWsaFR;zP-viO|&5bqA(_UaJSv*m?6vY6?(j*<5%DWZ|b`uW1iVeh})EUet^+i>S!wkVFv?Xhqhx|c9s4ESjQUY z9!TYMe>X*td-u9wKs)imduJ9J3u0w#x|)0x4v@u5yn~Wg_ap&)*Xht=a!a)livw5CrFK zB`OiBlL|>)K8}xBLRdHYnLL)~0#Db(Auv%UP>F7mN;{%zlUf13CIP>(db&gQ9u{E_ z!#jdZo^y@2J}G%#=5F_OXUTDjFxDjMGblR(*m~b&U5uiK!a1kar` z=C3Ie+L~mzkGJQM*kslR(14j2(7Gvt#&4!0!3Y`O1E_Y+)o|RVFg$ zNe@Ab#Vf+-SA{Ay)-&3l{O)n$3@_0VI>-%UNn;G=43Nw5 zK%OJhH`XHi?b1ZW`Ux5fIMyM4;Y_kLHuoV(U||aJqpic%ST(o0h7IjY{$7V;OD1WC z^r=ys| z-QVqhSnZ}{Eecc5Ze~2SMS;su0~;rP%>W48C1}~@ar85*w{bgA z=Qg?y_%w{Gn{&R=a~|!#k}+TS)$I4qa!XN9^hjZ7w)wVRT^{#>J2ZK66j6?fkC{hB zJZEyWG4vN|)4CHcQAoAFz)o7JZM&`Y3AjaBYDT-9_U8TuX#Ux^cWQH>rj|a-LRo=Z zd$7+1Kk&noE3Mh#wUYc~;pE6IvY5%T#a~>N&7liiQZmfcQkbbmy!Hl2YVr5Sp$k2D zl5mxIJ2$7iGEb-)q^SU;I$N)0^POCM;C6#B* zRJ7t0T6pSY6U78}xXu0d7>}YI?aA|q{4$!H2;zMVGiU$6nY0I*S~rnFcD8u6g487n zWUFk^rfO3XvMzcpeBqgr*RPsv#mdTIOIs&&zE8@T947?4ob#pxbnq(WsBy45LY%F! z3$PV2a!g)HrH43r?l~X~wb%kOL_@#6Q{J~@I{SZ&X_H*zk{>P$s>saRJyaCf=k{tB zWAl$a;w>n_VLcITAi>c2Tx58GNK87fpQtJA#W;zFs+vs3el2zhX zlochiCPkZ>%Ewzn77EffNO#?VRE?pyfs)f=@UI(l$+cZ*v$RC#nr&gc6KsVm^pWT) zX^m|sIS0;lCvB>B8D4WKlDK#?1(1`zYFcfqX^FRaeppunwf7Pa9elqXne;oA%2-bN z?%*U7KST-rA7svdOaCjul>W(NaDGN*NTK2PrurY?=gtGgC7a8i#|iUe3~Id`_(^A{ zEuEFZjFQ4}jht;PE%ty{tig-PjDsU#Wr-enuFTQ1wpT4iYiR_4-?Sl#bGO!89v zUAv^t_nj<1T-AzU`okXI#lAu` z7Ffwl(eh~}(@n3sh(5XlA)y~SmIyps#*-5t^%&MOu^8AXvF??L2Y%tufGf-Pj_!+4 zPOJ@cFIkS;UzB?VjuzY~n9pI|EzK>6kAv#F&N?Si%``l++#|lxwWN6pU(>l`b(Cqo zl@2rQ@M@mn_Oy?3wk#np5v^J|%Ya95w-(41`1oNTggh2uv`XkPW5a8BrmL%~aUAfH*y}RkU(|4VVgUgUszic*pRzr(*|YMhnN$#~nFu6O zX#r<+11%J}id4zqW?pARE613y6kIrIkX3-*&QzZmLoF2!FpOb24_QQaR96H=U(8sq zSY7FvwuLTe6xPL?W@0<&5sFs&tl0G|Rc50SjuA^WjOZ7hP(53fRn`sUjt{9Cu_jy^ z&dshyh>C6Lei*;!cB^ye8QqkM?#|>a&n$KKQA8HL>X-xtwRnv5JrsxQwTrS<(LPIl z3TY307n@bvr_uOblPcil{<(aqIO?8^@_(wYZ2&7?H0edLi~j(^z{zpmHru;CA?r4& z3@~cw1ESJvo`iTmO5q$ z{>y_RLQ^viYzrtxcSyK=YRhNvxT<3j()==&vjUu~=Dm}IuhpQ2iVp?_l7SNBJQ)E7 zsy_yrBR>)I>QmSewEuO8H;VBqk48YPC5U6fQC(qzR0FsR^-oc}IbksyxM^LrKg4bV zSb#iA;rbG#zM)kKBZq1SRc54vTwlw{6lq2O*T^sG< z^ip19$QBZ2r_kJgfR?TOdH8&X))F-_l6+nWoG-0i9a`@NDQYv-z!OF)_= zghi1+j=2!iA3b6bUhUsI9*_cguh`%t0D*$^-G7l{V9vJ6ngo8K z8cZ@~kzl0Us{-B2TWi{5&*9)!KwYX{@8_Kf#-VEe0m3dT^V$-s5mZ!ZmZkOePw7Zh zI20B;iX};nmHmC6D074owq}3Y6I!k-Z|EE*B8IB&(4#xhv2y#@)WK>-Ed_bN?ee$or*j zjd1*muA5K1{a5wIBsKET?|&lhl@xk$;IgzjPN$XAJy3cg&WKlpO3EktIwb646W6`S zSd0%U^m#g#VEEQP7;#rN{DH1m>^ZsdOlPZi`XQGEBb}g7DsVK^e1PdsDK|#MHpNnX zT__yz{C7hGB`U|40$EMTyZY|`58OZ_zY6^xd1H~mjZ12b9VJ|X>DO?i2K?Y{i#9f3 z@GBXJbU5=#RRJtmpI1?T(QkYb2q-dQ>t#l=2Il=Qu^+2^JP9j=#n#-^?I%NUy}KWe z&k{rgoNlycs91&XxY!F`{{XOlj1EkxV1?qf1)EV`r(xV}x82td7D`s+vnXH(LU;LX z*L(i}j@WZoNdb7xAdrm)z@7FZ?e_lw4jBppGZ|g^C4r!g5;pom%Kl`9!49qUR3ILb zH@Uv|A1rjK=d&Bi~AX43+WZgwd;Iex2@4#2(}I{g~#e zHujgCXddIIumGOE-Ot;HG%l8jy7ihpD`r+A;A-eDI_>Z9`QwZ^ycF3)*?oN-l|u^- zrAg{Q*!*qnj*U~BPkswxjGCq13@xo`tkf}&mL9i7k{JDd7JOA%OCr$pS)temZyeq zxpN-b%l3ZsTRbdK{SSdMNYm4Y1o@y z#1eYgcE59pg~G~J1+IgrUzF5iaLgNU0`K*Y!qy}kU+3+F)`bJjjn~C=apm&qLTzpC zEJJr2cm18P{J^dNU>#SDJzCW`4p@%X-*7g)`+lz2LCN3=Q@6q=F{?NNJj>`OMD25+i#xzs4J&MqdX;ymi1 zB`j4W3m7Fy3t{!N0Gf^N!~wqDum?oY0f5?0q<-onq-vbdEEl&c^R~(JdO1Xhp(REp z!rp*-SYJ`O3VMdI#;XiNTnzc$X7MgLObpi+(p04JpU0J1<#3JOR27o5%#7RqOehiD zsA8Q62Eyl6h3;{k8#SsB<2g>gC28>)T+XmZYBauqIu*Pxk$8CFs5M$#(W9rStgG_U zMMsnq6*M}*WOV9C7IS?-04->og~;G=?Zl~ex_zlf-N7N)IQ5H>dz3F zrQ~I$r9gCEOGg+3Q(IP|T-dPy5--z8*o+Pdv;@9L)zPdbSF4)Mw*wZQaGw5S@upG9 z6#5oR3r8_3O32GNbD=`W#N0=68NVmc*j%W^ZXxdJ5?T-JVb%gibo1hj!_?|KMW8qU zByM*ic2JKou6)+2N{X2a%PSTyVnM%4UvNJ_w@h5nqS|c%qeWL%qn>4GPdb!0Vp+QT zgY)n5{kWet(1v(h@Kh}ndLPn+jYq$z8}0gyt?|NmvWfQZTJzV2Ko9^n2HO%(Q}26w zZHMTZ*R2mVTofAv`NsGDH^)uXnU~s3AXK@wlefbCPTu&4q~j15KVP23#g6!NrfO5^ z;r6UU#aThUuU|+Bzr4%$Vp@8lsSBec_(O9!GM*Tvk`~b_W^lR?cMTf5sUIW1dXk8WMf+)$2>BdKU9u;|M}?>=4*3OFCsWB* zVvTL8O9dwOH?S8!6V&a7(>dUAS=x-sNZ_n*;X9JGT!Y9z7uQW3)iYEzOrB675V9iq zV4d39cWVGi+imbkY+JovVVRIm8=&LkPmtAoq|X|zc@k=T(prj$9WDs`rlvZ8GX{j;6vCP*l8}RF7Ab$XGE#N!+hd>e$<%@4h%HUJB#zc92p6IoJ`f-q4gO;I zh`faHfd_T*oMm(=Pa{GZUN9QWZ+nm}Vn0XQgvz9tDm+FEQf$no#zykjuKxhH_F%bG zL9)Lx)q2U}jR7vs6>L8^>@gWCdTqLsTsg~Vs^D>3QJ^pyV?<+p0{V#{Y5J{eb=-6~ zg`jS=Bpsu@cdEE@h5*8Xr^;IeSXp1zPuBAZxD0z68+1}I$(_8er0Ss~U5;A})b0vR z5S1NG?`;D~zN6m#hSuwSqG%2_;+t8gt1_edu=>{cU*l{Z7B(S$ISfU|Zr?4i?5mCi zeLZ0$5~AkD-9@e6dt;zN=ZfrPncYVZ%^gJ>`BJ9f?PG2IK<#7Dj)&)n-$+ytcT+O4 zW{OE>nMD^nvhSu-OC3IM0{Y=|q_`)08xX*g==!8|u>%=%Sm0k7NohKZtKdc?4F!}| z&8cR{=Xz?SXs3wTl=TFAey~STxH!?%sw#=qRaB;Fo2eno?lewiLO6FcPc}&fsiZd6 zTXF`bK9?Phz;1`OC^6W7W&N3lC~eNVDd^SDVs%V!9q@#_2VC?L$d1WtmAM2Soj~~; zU!lde2Np3+k)l|-B9XF^9vo_FGg?~QyLl-KM8R96Zoz>d?_k5I=xw;U7^>--O<)yA zybO3LuupS{0N?jiW6WxrvbK38VW`UbiQfLAFSgygTjh(qC;_9nL5y*8%<)pXrz3~? z3~F4JlgMU^R+6Saq$-dsE)B@j@;bZYJJK~=F*Mt*+Ua6K)nHlGVcn-_A1#zdj!!tA zmmNOLX~30N_3&SZ=G0cs5>sT#QQ)PkVcxhjaTd@Y!9@E8+bF z-pA+C-^Wh)Sz$OjSK?Ha7ac!O-QVrU7YUX4Tu*ju1^E02?L7y+9T2%TTHax~2epOI z@o&2gBigiCinScr8ZW=zSi33xV|G(=3j=XR0RoUxw~HX_S?69`~8^V zT`pdL3yu1GFo2cGUd-dQfje7$h7=}(zfk#VI&XdOf|^z=XQ|tJeZQ~#IO6L2j#X<~ z;QL?U)Ep>WmC{M}4Y?rN{=?ypDFcF&d^O_FjJQ`OT#AP=XsFdn$7s;a8ZkFSn1bpq zcCotNz=3RZ5-hA)T#%Ud%B$;K$k5LtGZM_v$i<1=l5KKNQcmRIxk`xBaW_Wm@OWgB zWn3>&B+#@{OJ4S8LafTM#uw`VNCdDQuY65Gxn)>TW>Mm%CmM3oDdrRg9MX;|gePVz zXH(Z{7S5->#9~LZKE*xs!}U>Lh`b`l8FQ6ZGK2LZp5zaQm_1#35()P>&*OR#weHge zONKpAgQ8>CV@~Fa33N&LRi-_`Pxb$z8|#;JC$N`YNp%kt3DW%O0dh1$d)wAEtpH z%#ovE8wWarMRB_BG_dtr{{R8gq?lftsfUKRNcav(#+WK#@OM4(ZYxboQlPVtFB_l$ zlcX^>8i2N=eK+a0HZ*!}g;N>8Cu3y?Qte?pG_{e}D3T^uL(!DnAGBiat`4}ra)^jq zSbF08CfMRh7sMRF%eZianq@MZ+BG4YZ++~)>xjU0@ruGVBgNE^$Y(1V-Wn9_*^j__}fvzwVcltT}ukqZ6}FRS}4Uh zP=G3rs;jUcAT}60BS2NH@fpB1d2bbG5y3|?#4D-_5fkasT(Hw+({1)6Z)^Ya8Nc1v6%Qmw$+}*f=tIM1V(29GYegsDbJwN}cswZghZmwX88222|m(a=83B z&!02YWzj^YK+{3_NThU&px3L>zTgk7W4hlAaN?zFt!3HExR*NMljchkDkvjU6c#4n z0dcW60{;M{b;K`VIw>1f!sRD;u8NkUFPfpA*y{cx$S%w!DW%?4q^Vz3i8>r?r(!lHz>r0(4amh= z2AiX0u)$Upn|)IM0O^(7303rrz9(r&l)O%^NfIbvR>Y&&3j@}~o8o6fhl;TvwIniL zUcttZOFdMkpD-@of;^k*y_Q(X3^b9niVBcM>~1jjo85JckhKSg?jXq`sEpRf62j_8 zX$gR-TkG=%$`4BrJKXfUlGRhQIJAS)>$0h0KBkR! z9eUtMy{{;bbasqFU@OSxRPRHTQ_TcllqRcIwjrzsn0M2ASjGus`Y`9at5weZkitoG zHCvaIF=cS0A~Kd|v9SQzm^FpX?5*3j_rz10{8uq9>RAJ26n>HPi8UUbuzMQ|B>RQa z3U6|E_~5uf%KFugu0q>^>}`$^qHs>12xmc5Q=hGS$KP|+ejelP9q<)ubAAYn4SuQ6 zbSYr@bJWSMlr6n3$!q$MgLBaDZS?gWan@9{EnO&E8J88*L*~mIZAQ;Nq)6<@O4zt< zWt!HpKyCpiez+u%f6bpyHhHW=-`< z5HzS8C_&Izi~YU#zB(HwRZc3Va{(tZ^(0*T;JRT{)m@0<61uB4kWZ-E_W0O!`3z4o zhXrDC392&Ef$_5z2Qb^B9hY;d@;6*x&~K z#BZY1VQPxajn@~3s|O5K{T92-jwWVM<4LyrHJh)o2VytDHF$(w2W2^2h?gDk*?Kxd zNmorAYY;0<0tq7s!&_>RStUse0c&lz*jNk;OoGs@suDS-SAzK9a29JFTE-lq1S0I$ z2Xa_~E#I*xVt2%K>FB1zSIAWD;?EK=@zp#tD!njeK42cZg}A?alhaB8*2G(UF`>s_ zL}RLh+=ZV$GqYPPf|VUZFpb*bOSQI)BJ=>=m~{r%!R;JYe-h)i>N{!vOhn;`H4=lX zzK^%xx%lE5hcSSLbHx@J)TY_x^W+}a#H|XH=t}OXu)$#hZoCond~o4p;w5b?*S)aP zB}Q78+#{SAiKi!Gbte5AZ`bl zg(44vdm0HS8RdjB6&rm=LAkhba!IiQ{{UOD8($8~HYkAT(LS83@hJR0(ow@k^9f*) zZ5xQoNN;spq=whN{{UNkt+j-8JcJoX)2o;f8(Bn7X>&%Wh}v3ux^;TSTRdv*<)Vx4 zDIsqCHrQKhT$~ivZmO%)+xL?ZlF>g9&OGg9VE`_~^xM)eU^<0SxgD|BkSr7w#$%YK zvyC{5@x-j)6$AxExi(SL5eDw5v3Q=f&@>4Tm&j80!BoPpswH)2c)~85>fc?!0{yLT z(|k*+fCciB-{EX=Ftv24YnqwW(AkuN53v|yxG0NL!5(1sYaK)gt`5$v)8e=6LEIkr z120xqn*&@`8BL%rW9JW>&WTwVU_eA;WhY44OLh2Pex30voUdC+ukT%|DXHpNppi_B zK?QCWOWXmajg_ozVX-6c!ZjguVfQIVN5%gD$*{EafuLk%^W2~2*5GVx&(h_4p(Hrkc5VB@Y*KHn)r8BC9f~s-%YL1Z-qz!u%D83$VSy>^9q`CZ|p;6Drova#Igm zPMO7mvlo!q{ZiH&0sSC9MkF>sk{_bb;wG~F8Yb3a1j^>;QBlwzWdI8eu?0@mf|>ON zCY~vzsF2Lp9kI|MP|V!!lo1_=z77FbyH)BRPkbp%h3b-h_-P5Hsm9>^;=}09-R~dI zxchs2es~JCIk-jPF&$BZp;F6%xF&uxr}C;*qK(y=K~h2dHkE&6fSKP|T4y1|2mym2HfAMyuMyDIjms*7)y^ zHVSbNo317LCk(?yB09$G-ejPL^E|h>VyZ=nAZ*M)_P!Ye&wB1)(j$V^Ijk(-jjI<( zNc4a~59EftL$=^-J6zoEamO|UDFr~@(fpMW`2PTmYB-{@N~R~(vU{oypU2M}Yj^Tl zTupwk#>ovtOEF{L1hD~8b)pL0P9{)7{bt_F*q@FPZdI{N@(GZ{36oIL2U2ffFvk!D z)0)$=nN&QqtI6`Vt7cIwY#rh^Iz`8%9XpZVdv@!9X*s50YAC-uM=erm^4Mx7XQx%y zHMNf5{{Twec0EQM{{Yz$0+b~-Rm>V56qeIQ@`M9;+eDWgK`aWDZlBnT5J3YSbr{h~ z+QGW`CBX4DmgaIOt;+&K3}!fzEu|%o^N!%Dx{Z}ph5OqP8bwUpQ%%mg2u!~+dAC>0 z84QyyrHuq(mL-G~00q<*{;hz$*q)`ZO)x`4MuJckpPj|rbxnmg3O#)|dcA6qt<(;U zb8&61ekXsk5(_B9b6o6_+5Tf5QFx*nOA8xZfCsn0oQPBPO^=`RTSM0F(TdR zT^r}bJ`1PJAb0Cy|~t8_h11?tGS+lsR_+F&}}$~1F#n2D9u8md}( z5o&?*CV2rZ4ajHEtbI&xq?526@i1;-Kbcjv>zZdM{Ed@gEq~(_Ms|NJD(Ppwt9-Yx zA9*{RME7M1KxXAO*|uS*`D#fQz5D&RuFHAjqRr!RJ!yfUNp-37q$&==!xnTI0#JdF z68D5x$dHrmfib!vaYM47Jg!TTZ_|vGTdH6Y6!4cOyzeOX*C*&nFF+--Aje0i2Cq&vVOV%{Z2PHK-brXX$) zm(y!|><8=bi~JzW(ZPAwPPS@zCQmnQK=w8y5&VA^#*%;=to0#v9jd8}%mvE=NL3$O z?&v<;Yw3s*uUJCk)j|mjE&&!dC#e0M@gXXAa#ACLNb(ZfS|t~?`VZQFj<~DE4SW`( zpyMg~ML3yN2xMu?N2M&KbpYj>`>LLxesS&76)%w4T4Ag!4f3v4n<2{#WN0*0_OP(9 zjYm(i*dqexxs4>=Oqh!cOdjZ+6*&=PwnbX4T9lFT9ezXG4`W%5%v{3&z|15V+yl1* zy6Ki_=)CGIeyJdVYYPvzd_i6s;909c1(FypL7CzPoL6?b41O}};XGG2lwxAA$8d}4 z3y;f@QgtrGcI-!g zkMX_?lo@_)b}7Wmn9V{Ct(Q<1`QH6HgY)^~vk-0;pQ6uYqq4P8$rxYrv1t+Xb)f$l($J2)4@i5Vsk4n z;R*9)r*;)`)W_t`%*5zbU&zKvkh^_NT7ep@3mi&IngAxj=5HkT@e$;khRQomHY|wJ z^T29XK9|K#Uz${{9%n*77tW$8so9G{9IC8>Wgph=T#;k21Osc~{Z3$AeqR(#D%^Pb zA^!lz)b#mHRKlK8#io@Zsfma$9B#HcH8AxkHf27x0BSl;77>o9WZy%79nkoSxg2ue zOKGp?!6UQGxhm^vC#RB~CRh41B$^|QA$ZC2qQ18eA>VKs!v*KcXJR|l;OA!u zBEd#_EYLrZ5=PJ{{Wsq z>29|>j>8f*pd<|j>H^X(PKn{pDt>_sazJ6085TxINaJY>CbS||I*O`aNLRQb%r1IQ zQlnf*0KgjVXPO?H3yCwhF|y>gRHz|kdmu70-ZohRqOHuf^p>^sf=M7)?TA{!1-M^_ z?xVA25Nu!zE`4_x*7;Kh@>-rq{-Z{s$_|xarEbMW!HBrI-qsk3o5KVd(GDoy86XXL zsG-D+II5rp47BhINZocF&8#)Bu>Z z64Q%CBB+ncM{(vNGrGlPI)EONAZrn3K8z|(%^<)x@*kfB%1-K=E-(v-?Ofd1Ixyt( zaYS^Ll&YRZB`cD?UY15I$)TjuJo#?77Ay=wMx_csgQOhR$!wW{e(%lKFtps)@a;_U zmHEvIchI}4ut-}@k_in8mS6{@0CwxW>~WfD6Y1n^wkDTnoxb%qyeh;vhlVL~;TE`3 zrP-v@83e3`L8LyBq#G8#rXuQWEODlw;YtP*L&ISn12)q&B&w?Dj zH&j0`>scFGu%y#v>O6%|Hrm^5ZN0Hig?GRF=?0gkNKQtD5B6uubBA-^`-V4+L z_V4%nKKwgWF)Jg~EC{`?xbN~k@z_bKOL8ZX(s6{+W*LW>23G`cBTNzBTUhyMG~4Si zE2`uzn2w!K>nZ>=4!Q8rDfq^pf^vCei$sd|8daQ@ROx04 zZW`Al5Ib9~@t32BuJJay(TY^rnE3({JLw!4g$ARfTTu-$B;TtJgb&RE#BJ9TwbjF> zb~cH+2(gi9p6OkmH-H4i)67%=5~Zf~bR|`-btk3BxcbA}5YelNXzg5{@5Lw5rVD2L zV#_Ks4g;2**-}9-n5H<4^Rg(t?)Ok!k+34fAA94ohy)%+Y&;W~DR#X!{q_4Le=nFj z6r4y%(lyJb*W4B!q6ohB1QXvKWARPbDYuH?Z8u2L`oyhd%s3{_fV*80QNgJJeyMAz z`om%XJ8#;;@6CvG9V1?2f@2uQOq|>{j%eX{d(Elxz6Zqmotb-cmE3hrNcw zt~C#+TF_mnXrCoM3Z8KTiTI}zf%%gkHZnBMvQk}Uj$b55wqYb?mqV@1j-j}|_XnZF zDwB|rsceKzA(+JOLI!+upYY!mW>poL!?WfROie*{oRYw4WFP~eh86>0s=7uo!T5&z zqDKpAn}}{xatXG)6sF4jPUak?$tkj_l3FTZ8A>_lrJYHLeNhugzO5s5W2vlEkN~y~ zuU``p7q#s$rfoK`NYn39$HGT9s`)JY_*IHjmqgt7~}20+?btThpSy=*`?#)Au) z^yT`Q_#v3?)wa49T*9Q_njR&uqpmVUk=D$Q-!tuWMtuc2MeLRV(~AxN7QJxH+J?sq*~*!|e6=xoA^b3ie0G#AkyjdZwoEnXAz8nra(4RCJP7PjQsYwIXa3(o~w9T6gVDL3M_X`Z%(xz!9)qi+UFU5i)iayMWmS= zIKX9Bd09r5l0hzuNJW^1R{>h&X}b3yNz!$nQmbkEt!My7rf(%I z1A_~s=u88Bw&0+aWtdN!&S#oemPh)`#If6;+?|I(@Wr(%LYROMHEQN7p$$BfD%#9% zq;3gYj-7jZbQqbcHo8{zT=7xHh@$caB$HxowU)zej{3Tr+pxo&-PZ}qXI@K-S23>j z9sW1{g#Mn`=n$eVmA-8-3A-^+LAU^HJ{@oI9k7kcce!%3=8fU>kad%|+wJN5G2GCT z1n8H;lo{Pz0;U>CS_cToT5OK6yJ6W)ubM>+9@xl_qbA9PK$)*AH0ni2SkgmzDdd6BBH5RhLmbaBC~n%s8(w- zep6Tr*5$4=5xt1VYIL180B2nd)B=HNy|hkuGPr|}`Ld8xXRSR*r5}+;F|O<_tcA9> zZko->78mtz+E}Jk?M&HN)y)}7+u+_P=07iTx$>N@N#UWXRxcVd9Xf@Xk%i1!x)OzT zACCCrx<-{w&TSMlb5oK$Qx{Ll?DUg*M%FsrkQ<+yT>NcvExoZb@s#PopCton7s*oh zAn_;7ZW@9rK|ZyOe6(qjnpd)I>XCshw!pEu+jEGU(hR9^+m z5w6T;Si=y^x3K_*zf)^%hdIMhy0yWA_J9+I$zE91^Yb@@CZ(q`#HA#wl>SOM;5IB> z)M_N()4sMokzsNN8Js6>D#46}KC?f;)54W9zMA^SF*lUuWr?(s2vFcDVAdU5b8X2x z;Cfh&vT~41Oc>ar-A(|Jsz}s+4DK3s1{}(^G1w6sf=Add^1(sw2uI;q?UKib{{RQJ zSCC32r>Zs5h4W&hsu84evRqu1)WB}ar%4FR7=vtaxPl#&Wz7==-Z-Ye4hWI4gfMuz z3a%5*YH1>-pDURh!jzLpClCa-lva^_HtVe|t5wFh)l|SlL%&oz=8XlDzZp3G!rN8M8`xQOF9>rmMEv zfV7+Gy^ZX8Tm!ZRZ%YRa41uI7=3(;~ACSIid^dd(2CV#J4Wk;CCPFo{20*~-BS;_} zN1!*h*q7V%5i{tRY2>Mr@x&?n%D)lZ9Y<3f97k6J#g;^=61^yIGIfls+DKneEK0`i zNFV|Z;{;+V9t4QkPw#iFRu+i^DIi3ppB#9a<-}Ul%NW5zPxOzZ zZZ0k@+X|C1IPjVM^d>#o=}z$qEClqAkH+T~tzLeTweroqLmQ z$l5_K5@q9YX>|c&Ng+;^7Tgv-kVisqV{B-#OwCEqC$eWxy-dGL&LnOb(d zijblWWzLYdW+vSUzTK~GgB2YT%&fN)s<5nc2~G!wW>@-ZxzwtN?H@qETn+ag-G@tG z+D@5kjUYyZiBn)2Gep9Q^-zbYl}X#pd)t4``R|Jg9_a203g@AeXnaTzwE~eU6BjMYG$S?K@1PJ_9Di|;xP9G$y}Pr49%kZ@e^#CO8J?uYiyCcqTRobd_0>jy2WZM>G}L{-4tbf=`{_dN2vD4K$Pa$dQ=vQ z!0uOXhW`K@H!5T=B#Tmu{n$|oMd>7LqWf6wYuxSd$4E_I3dJp?^xRtwgr$6aYm0-u zu%SLy^ZG1q1^e~*cKuy(#o1o%O=SQOZ_xaG{{X?)907I07Qfa}bG`Q4`2E=J)1`h$ z!~zDOLHE7CMi~pTzLm)rC)N8g(30AT_qDel94K81VE8`bULN6ID5b38GJ*xvvH7X0 zIHhGiT+e%rDBE;8O9%Yiai$8k!ngx;c;M$x3rGQ6=PV%fwPYXP;I6PMOv8vve4B{q z_?jV6Ls1n}I*9XOkz`wrqf!zsJB#g(f(q(@{OaLuJkI#uNjPDuVWt$+x0uLcrML~K zHlk6;{NNRv;fnlCCq2^C9Y()5Wlf$4qRptNB9A+s3X0G@azPo554OacpL1mg`ZzX_ zEf+FT3%8o_T{j3*y!njLMMweG(y&$2ZNSym{{Yb!C)*8q4H9A(MQ*QBgvS%-`A&ab zG`T{Qr7}5==HRI$sSEj_j>qcb%DPI2Y>+HZf-?(@ZBb)>8?LTF#4}{AsTf;rK#h;X zZvOx*WpNl*zq~8zHAqg44>zl**&dchfjkqq0oQ8t(BhokIYO@z{29zHCDhps zV#$}={K-t;Mx5=qXDxYt$4m6l-vQU8=X<8nq86xov^Gz#huo&KAmKc&moZ0*t~dyq zIWMgk$mdI6d)SOihiPkI>nPCJ0e5q5ba3wt%=Fcn#RL;XbjcKzQL7>LWi4=i2YgOl z8m?vvBKW)K-vsA;BVR<-)LDgG@#&t}Z=0-wo>m7ZCB3=z!K) z>Z*h({Po;?@G)6pE~l3&`Ey&u)KyihPSrVL)yEB9gQ8HnZ*-Ez)+KdpNWV)Q14;UU zs@u|R#=huFI()uf2U@CAG_;TjbioXY1d^k$05qd!Ko;WnUtlk}CE^8kBmlPBTj^X&8}37N`xO+ zDo1f;9f=xAy}I8K&AyGLSrxjisCtHC*0l@;19B$fv5Qf!cJ_BZo%Rk%qY^ zZ%)@XB!C6F++iBBa;LhNW_LrAh!_gF2(a~Z9RTf%Hk$>5sxEuiGU~usdWG(N{kFE& z{W$C>m&%_|KHsMuTw8I-wrhe~-kt4ZaofJv9lh|AAt9V#Xsve`;;yypDZHQ_! zGVMC1k&vaQ2Xm^To|Y$jmK*4`xNK``_o;cE z(QwxrP$O3@JylB`aa4+rCSOnh0E};7SEo&_alQy^frvKdtbl8K(-?VmoMaHYQ07e> z4#-}btqT@qJ6I_yKo$UWBih3VfLB`b%@Pxe(z}RVKOg3EJV|h{qKKkH>;?8vJ%#&U z37|Jb*E_8;;*+`OG~mhM6nQZ6snXw;u|+5Ijk>cNbh$q>hbUx$`%)dugm$9 zL(f`CD60vAx*A2Mh{kk@QuZt(B>wcsBShmAgZD!_buc+LmXaTkjLa&R9cJ|oc<%T-;P?o0~F5;0;Lu&G+JAw^?`5vJ5Ad`Fy`f;MO!eb3H z4ojG)gD|qnfH@wsaJRT18{5)-`yYlGPNZtDgT-AJk>T2`sepz>5Q`9`srfKpQnBo8 zZ}7ocgMw*cV%s!T*@`Jtr#9_izmm*gN8hA3p6w?H#kx45L zR2JwQ^=g$9mipQm=^5rSG2KBtz%-kRC&&Iz_584ePX;a3##nJFK|>7x-qr=J*~bZ z1+Kb0mofk{w`ucA?BW`_$^QV&5Qy}c*FbxaEN^3V_}m`Dz67jJW`U*Al>O3oUOA;z zPG*Vpf?(3B3p9mqVQc9E=j6Tn;IKnN9qx1WTo~P2~ z(Fs=++FF?@v(9VdswOz@SG%zFG50>h;r5&s+F_wkRIKWzPk>2-8iRiQG1y8<*xkkb zu=q{Ud>>vM7ee)kt+j>_362T(QcOH?sAd<=JDbsHJt(FtQc`#yG8F6m9A^7ap4oOG7zlY9zv) zxZzCY+lozO{9Pp!rf6oGmUj#qNZ6@jMx9IrgY0fEf433}glessP&`tn*DRbmpPin1WAJiHfOUT=bCBol&s_cOJ)M`tap2E93x9+xz2* zFQ9Gkz7pgwXxt6((wIR?44XTXCt_0NTV`9>AMlRH4Rd92t}HSvyBU^Fyhue%GPrdj z=i6dzSKs5fI2sFWveRJk;EU?I(F%;hDB*I1f^|rAgMP=iPo5JSIxBZ#1A;fGSSY2%9~ zk=Y818~KfU5PFb1kbY;_VYOOZZK4{bcG45S1d+@$rIOLXbc=B3yOVv-u-{?!^~1ve zR8FernLYs{jB611;SirBuSILv-q><%FQ7`WU~ECSJ;kua*<0yQ(S}`{SsISS^}kcN z_}i~ThXR`fr*oFPyL|rDl?uyD7=-QSI`tZf0aSNmu<447W-L?e3zDpGxG?Lw(RCy^ z^ThLU6j5dLjH@j>DkWnU^F&cc<%kvjpoghmrsJpw3XLZQ-uwb7og^D$#NT+dc_#NQ zT_X68)em`e?bP@`_rHXA)s7@Y7%n7ns)Y44EJDqytvJ=?pYu|2% zuR<`Kb83x%EEBriT~Wkz(KN40nl&Ps97EK4hO%x)eXr8^nw%)Ab4;dfHb;^NdWz4b z)R`_4F(i@QdJ@i8P`=u1qTS8$D1L1feOI=Y>4f7BU`?3@Sr~`pwtvfmP!nJSunTEHyC633{wVeByVS}e7-nD!koKK)EFRI^rT@RW@D?zvY6~= zU19EnctkbrrvfH^DpKT<#h8g8k%*1RMVClBusaqc1{+wY7b3@?-nx}@L$oQmxiDVO^X+%@QZR%beNe zHxi;N#S`fvfC>?b>FOfn{E5R`V>d-UTmTUXIZ?yQQ6W@}A{N;$1->^uK7BA*s1z-v zLiEh|c_?90&bDpJnFgh|y}kPnY&SZPv;>r>%zUV{mjW~!J8fa?qq+DC@9l)vmz7zF z#x|JZb_t@-GclMQ5w4iV{{Y!Dd$;UfgYUs%plGQLQuCPW_aytEwfo_)xC;6Y=&nY@lkO~-sA zNtMvl*DR|YIx4AmI&?Vz5Ucs-=STys?|Xx5JQgReu?AI}u_BBIOcP@Y61GVdLz5fc z`-Pcwb@n$sei*vV8mWK}xH7yOg<+HHzmmZHLFxznb(G&6bwx8M%JiFsgmHy30-BGh zLI&-7?|z*+i{HKR9(~l^Olnq-;wYk$;aS;xzT^S$=s#v6FPj9%7E&@#n*Gi*#85y! zY?igjx~Tm`+izjTVcgEwKFU|2IsyXl662*1eL2CU>l503c^zN zgly7u-p3Vq(PTa#%Tw8vu-J?IagwSPD^V2ihbV08De8Svf3TAk^aSH5o@e=Sb=L^*9YOZZn)4=iCMLe{$r;J-o^(g9h=mqVtx7&S(z9%6*nNEiR<{{x!J2YjK*b#8vrTBHd z{y=+R9920IuX-A%RN}+E$KIU^XSJ-x_a7nF{k8`bWvhD9B2hW;dE$DCj)^#TI-kjS z8NP`;t!Ui|C&^|zAya(>kfd2}ak$45)Q-_PT1ksR5gAR1iMWWqwpF$1WimG?kWgj| zSr}l!!*ns7V0Ze_q5CQCy2T>&H5Hz~8!Qr~XCe4E+>D+;L z81bD~A6Hc7S%sQvi8Yco(!ZL5-!Wa9%S<+N8<#lhT;nA8lyz#k&8z@` zIRu8y1~AM>rV-lXr_`yc;pa} z0n(*YQmUJsEppaFWw2{@z|`qHfLo>J3Tv?ewE!_0Y)-f2yyZifBST$JAwvv}94>sY z8%mRFsOqVxuUP_uq-;yNxsjlW3ULJH8>SbF@;P#dprffv(6MKys1b#ea6LNRRFbv; zh9x?XZ+tzqvjEo!f%!fujHE#?8|;xBJ)2c!lB7aq345j9!@a<OcjjwDD5iT~; zQ{|KK5T$i>SQJ>ds-X>pgszf28~WP!I}3|rj25x7aftwqa+@wU;;MY&bn^^x)6C5#nnn!Kf`6JU=HxAf zwO`)n5t|<6Jjk`BI({Fy1zXSh`01jJsJMEK%+-~yplOS3SCYU>DFV@^`bpRYzAfls zgIU(p_e6jwO^ z=9w+1>I4Fy+^(&OCe^N{O-{OIKpGseuAhGkF0={^6j@+3=Y4)YGNUCPOBEZ_wMw`% ztfukZhzLr8EE%j$+5shd+W3BA>keML5qW{R)8bOsg)_?T8moe+JgM|_h(<2ORjg13 zRsli)LcZhcZf$kLs?+O7JNoK^dl^x%>%*oG5p?zNCU-tX6Fl!5!wiO+ty*;p2&jK8 zUY;OsQZ`*o$Qb}~K^DMuk7$A{TLY01e?&_rrQ}F(HsQfY>W)U!b3-zEdQ9Ukl1fTZ z=0uaTn8K>5b7cT1w)_0?KqcHZ|rcNZ7^ zpFCW(VDScAV~JX8P|>Ie1;1Ul-xA#hM6QiHG%Kt!>KoYF!1Wz|R@-gy*4tIw;3X~eKCnfQ?t~U2s)8(kqE26>sR~tE@_R4|8}QLWI}(ETryPnEY;TZH=`C!$9N~FBCI4_pOmRNWfqMn}A6p za(a)IyM4Hro1qxUlf^oGjX8a15arP3aQz~cs^MAV-pvtV<^yJ5&AGTEZ){A)+?{|Y z$Swj|eq&uCZlu46{%lk5Ub+mDGf7D{sNkp9v{54wsmh1Z^P<6j&2-Ha*D1nkcnO zERTdMv-D{xpm{=#^rIcOx!7CaH|y_&_T&=l4tzMGsj$03Eo0ukE3yjQmR3rNNuqTi zvKZM&zfPm&_u@9xYlMO!OzK7?BlXA*H=u)*(M=@Cf}1U=idA9*tbjVeHLb!dfg(PtpO&Lv0x0Kvh{_^6F{3)V`JJFu#wS0zia0cMSLSQY>y*lvAR z?}yW<9ML-{X_$FC5`5G}@qtg5aAz>8>N2*9R9T>@kyM63P;DfrZE^L7s9(}pj@TCt z?50M>%e^H8gSi4xfZ?t#;U5{90t%IirZ&>^QOPEm>8AsGScew53nKbid!B&0tp@%| zY&~G%9IhAF7;(P|_&(w0j+jAB9AVm;i5pzA&fD$@E^a{ABkUAf`vHh6*Vt-N6xh~W zzyj*H{AaW#ELVN`E5RIfCIYzs)m&4;zl*ySc=5y5A%mCZ*NaZaBoII4N-KNC+H zRMS;1m01ZPG~Kq`dQO`FZ)4D4999HW?F$xzrm9Lf=P*GwDYfQD2`30sfup^d-?u@}IdD$LXgm;y6!@#KxcV+{(Rjxli}_9>_4z>Yp4 zukzKEM5JkPX1Gy(UgVwbHaEX~1<_!dP0bU_O;v|Ukm`iDMaALDub|Y$EO#ufr9tj* zeJ7^Jqi@q1YD~;wx|KlZWx2E|Z{q?%QB##k9)*+3Wh{50f_g{+ zS(607AcLl%Rp6Q)=WA`aTU8IiF|R=gnuXnw->6Lr>>Yt7$O`fg%NI0cF&xrI;@FD|4{JYgTO< zPb6L~DXn2H7lFs~3z^Oyb7pc^y$no=9UHnEsAbi2aJq)bFJQkjees#XV1-uZe3Ief zuU(1B5v*RxvY_VI5lMLxwT_J^PoQ5-^pLg}(h2*{3Hhpz)$!eRy(IRT2SFYMbI&-l z_EQ+GeFWUClmc#A{q|8~{CC8I0LJJO z4k^Wbr#17{ai+D_-2&Ke@37qAj_CSswosq#&H^Vzta+!O(`q8Efgl5APzd%Q3m=)o zy@HvvXoNM&@td0Y^oj#kKEMstdkZh-_8mR3)?gUR0TP|`xDA#x;g_nj+MJPxGM8a8H>fPETQMm<)Hn0Pw{y0OhHGrY$ z>_e-U&d-3QB_%u8RMbJ{M%zat1q?M4e^Xg~R<*C!wks$JsPQ_MoevJ>pokK?PmrTL z;5>kofmAijV8^*Cp?w7OB<^~Ry3EG6tb2h!L>V@);TBvjaR3u-f<})vlQe`wEFt`f z1YsvHgSa2$A$y6SzKeQ&uBzZ`i?6nW-dnr1ZdO;bxw zWN^R~nlx=nk^!g8U6>JYdW(Q?Z909YPM`~~Kk43=se`XZn`13U5PcAl;yxlgMkc69 zHuC)`9noXE?0|d)fZXl1&IqI>XtD0>$%!ecMtRPiZ*Oax{kR}g0Z<2?DnxL{gswN^ zytOl2zbu+cNjHt%(je=7nM1mZJ}bMyb<=S5RZT5)9$%FV zh-u;xGirzc(Uy_55#}bdZA7)gf(ns6H=1%qxXzo|SiSjS&fy=rk$Ek2966fhd4)PtyiBx zmq}3sqCkO4M;a-)DyqdTrvCsUcNgn{-%JPiDQYp*835U58F)nFPF~Q-nAc@9B`hNH zNE$cL4%&*NvjrX6)*bf3_f;)S1GZ7VN^1Z~0DEF!RdEo%Nmj5Y(gxP};md~WUQXm2 zbi-VuO3Dn;p@2fFzHE}Cw4_+3i+~o}Sc7&f4anQQ_yxr1rgLO>sSDyJyw&9yWMGz5 zBE`wpN0guqz!n3k0d7xEZ2ldM72I1OY!7^+86un*vD7A;lC;u(KFh|PL+J=fHr!s@ z-){R~zAZ71nob-+2zCfOxQ$Y`#6m+?#BxWhLL-R+jom)2nRQq-?mCc1#}$1J!rD=- z(GSYe0zkgHr;Ech5mHjlixeMAZ!OYOaON;uQS&~cs5Te6vYT8LCe7)C*n_0(q{6V5 z*y;${Jya#k51Uszf(_<#_qpHO7dTgY z3s{iHeKzO~u}_R_*KELm2pn}1tuQ!)Qk#XwUm~G)wioQC;QsI0F?P_YURS!3SQfcm zf$jEVuoQgP-m8?LUjIgFre>{W>bcI~~tZr8_JA#gz})oK!?1k^&F zqjCWT_us$0y5d6wsNNbby(FlLsT6FD>bV#76KzBImi-{4j;rC2r-y31(EOy2X1l4xoFFmtF9PTx!vDMkE7& zWAE|brV$AhFVz&7d+>-b^D$waMq^)Bnz;fAgi z`eZ6^?~a6*tc{>sexCSCfqBIx@4bNB4#)WGjwehnqbN4puKjv0$W)CvTA*jvfI|E?-oW6m?*sx9CO3$c!+FPh+8WDC>TT8`7rgRYPy2o{R`1 zaoC%Wfx^n9ZAe=NsL5s<@4c|tQsKZ_oQY@OxKa5uKHpy6_y)aKY^p0`W8L#mkHDgX#Af<;;z!8Fj!A4H%v^?VC$auRy%H(0XK(Q1rLu-kCQHuR?j8>v6-8|GzS5-odtu!`DmQeS z`7I;I!QEf-<(Hvp1^4T|oI9uqhoow9U$Jq}jQv?n$UC|dtFKa?_+3Xd&zv90XY%cL zYfc#TA=F>zWy0zsbiQzZC90fb2p}rd0z0gr8{hpdJJf`|z5JJdE#?p6_7XyBqtb3I zFdzG-8tgbarSq%UZ3;>7Cyjj1C4x-1H1o4636Ucrra>b@qhM5p48V6rBv@@@)Fi5XQP~g>ZEH+jhrV?hTS@$AxOk{Y-o_j zd&o-Err>@GG1~i#U4>d~zJd@j)X86D>G|Q1xV974FW=h^g&DHxQO_+Mnl>tW?T$IB zB0^I|#ge)z%BtaZ9gh8eA8^D5h$yyW9?cJ$Rt`N8Lu54|uhmgEq34Thetj zNeQ^sg1GWg+E34x@-X>s1^y%)NGO4I!-}Z=PnD8BuDWW|c^I*_*X{BhzRW!j3*Rgc zOnm6vEU^&agJ!rto9;((?eaL|njRqTq+v57WiEIZH_AELMA>Fl|sc$)xR~Dvk#xQQPAS@g<(`k z@l|yx!c5#b+V~_W^jiyLBj2Vw37V`jfw1e2C6#Sa#AP8sELea5+}!j4VJ0|Ei9-f= zK2qLGgXkm}+EO#Vrip*vZR~E5XT^)+{b9;gk zyO=xyMZ|<=xj`*X;JoV?yI*q5sBP0?>Mh-cw*tEbi8Q+v3_-H6#ZVO`z>Ad{(Zlq{ zjzL+%A$@~#Z);&L74Gqfwz%!nagLChzS=@Xt}VT>#N4pGV46xY9Z3fJ5N(DLE0!fF zVB*In(ty|MM$P&*`^Fk+f~RF?vqGi60p*nZMZ&dNkXa*YZ=?wc^#{>Ec}G6SxW`{{Sg%cJkHS@2)~z{g)tqj9Q8fRZhh{+Lg%6b6N94 zs%9*EU!WfW&<~a*AqoZ7fL&W*z)DiJoZi?_qzmTe_QHkL`c1oa>5hbbc1LJ7d~p!4Ta5ey8P1S$jqS`Hdqxh_9m9fsCj(UUhtJEX+r!gKn;O9fsD&I)D_19Z|zo z#;oEQXBt;Z3vZ`u{K>gLekBY62Isn_rc!;JvERNNxB}C5xjlgCj;X+f;8fngVJ9!Z z+A+yp)qP7UGK*hU`n|pux9P)D0emCt`3xk8h0=+~d~_k)7hQ8v3M5Nq()!B_hbO0A zz;?I3A7#dZx^KkN(lJROzLrG$g(I$C4gCH=aw?TTD(JF2h} zPYso>9*hFxwu`^V+}=5xel5$wr3wWO0)Vc_Z?OK2?bquObAj-f9G$0K#&5`}`uP{x zF*3_%C*1pEKmyLxIg0Dj=d#d^0NoGfk#YBS`HNu@I;dMM(8BD;#sv-Z+qeqESpByc zkEv-!vl&slt?I5gYM=v$ac++xBlH1|wulKo8&TWBOx5SI&P{+p?4sYNuj$00p}HYn zO-s1SJH`g_G$uD1Md(plp99(1qxISFpJEw)>1{F}RMl%{E3c&1i|#DG5sqQaKd_ z>3zbe({|Js&^x(Ndy#uzV{07y+(#%w;hN`&7M&zYY&p5kMBvztS(ja_bpz*I4d$tiaO$%OD%iA)OCNvLiBQdAQA;8>dr6W| zxi{)PTMT7tzpXM%cdGMKJjTH7WU8pm>3CY6Ov0~7hMXOC&60bU*yn5Qelj%?b{){ZV5XN7rC%ti| zVxSNRQ{4Xkm`!Y=8%v7Pe^|AzY&{s(uaB>{dyF)xK(bu$oj>Ki&*SHcjCZ<+#E!~+ zGV!OjGSyW&As!IijQ1%P+VWWc0IZW0*jN7mGU*Oy`4=xu=!RvWd%p0EFvqT&DUZT|pI*^LGlveoCk zIA);kOs#atRf`RXBKoWW>5JNTg+kjVcE2E^&m zrO#WB)zj_4%xw9gT~stCtC<{Q45*Z}vX^#S&ueJ_8>C?VaT~L>^pZdq(|lP`rMVs4 z)>j>0tv%WV&%IiZUu}Q_{m<{V_UX62ELuPckg`hiim!W;zzte{-lOls%mmR*Iov0n zM@k}+NY+$I!IBc;LyLo?l0gB0BT(tSAgbLQFABp2U9@uoVnTfQpTvg{)=g}xzP^hu zKm-(xn6rpu14vL+m(slyTHsi3*8#T*Tyr{ST~Fe!nT~4&G>&%t#GlQ1%f#GI14UJt z)k?N1N7CE|)OHL6fFHDU+ol?Dxk*2H{8v74n+FN*kGV^}1mjBBd3OV@mPqp|rH}_M zz`8`BwxeP|d#MGjdll1iq+@9sc_uQwnqOSrnkevj9NLAav>qMdm7`Au6_ zIMY*Hk_E^*Y&2Ng(m2}rS_Zo8tSHhA8Aj(-rIBxhtW_3t}ut zH`r`;w4gM^19+L?!9rf);8Q|*S3qh=g~c=*`+CeHJEyswZecE{3n);R<6G#ZWxt=(d-FvV(`}C0{X6eoSm`Z3&DWD#5I$Q5Im~ zwOA2zi0T+BBG!;ZYp6W!DZ$AoV#{KX60IbZ?di}QoP%c%)?PfP_hU;Q5{6$d~ zGqfdzrs>imM|eB>CR;7>X_{m+&S69eD3CIRQGI9B3HrrbsX|D<%M~JsDA(d(>!N%3 zC&$)(Mg$EC%oRv1@`CyW_VXK4*k7iiF(9H`3IX`0(lSW$l!natt(IrmeS9@EGRorZ7?4X_6DxZt_-r9Y%ewveKS6DgXmMph5% zxM8?99dFYX%(z)9uFPd~S+pB>0_66;@wO%iR}I%sDul`i2VgD%?%l1_o&J9#hqaQO zsv^9e%3Jv`T1`RZthx}(r0Wss5(3(RlSpN@;YI9F+ZnEws@jzrz(62gbv?-JJwZXP zJD7<3*MB(7=Y=FF@fA0a>SipAs!2o!?k{^?g^kVjIH7$8K$*6@43ye9*UoF|AZfZ8 zlJKp41u|C4O+0k7ljTg&vc}|gMVt4obmi;(8T~rQkRgA?Afao{tY&%66Y$ubG+`g&iMSN5g#3Q>6 zQaB|eOA^l3>;NR&)NN%9NEkYX6x9wk0isDKWmSu;D3Lmw1t{}R3)ERek?GE2nS8Bo zs}_P7Ey%Mz>UwJQum|ejP#D^sH<9I`vjzbdo?;cA5}T`lTp~<({&!EW8uK=iIw+%N zN*F04SYV12Ka_OV&>NbH#e2ml~)6iY?RV4Vkg-<9O5 znVwH6gsS>ILN$y>((Ip|V|~fohVOhx+MQ4h9zRImkySMhSG~0FUwOX?M_P?fm^4Nw zP+H|gnt;?wu>gh_Brvx9I^n_ZdCc8s^Wu{_Oww#MrVaFzK6w8Cm$keZQV~h0f>0hQ zQs-v9gI?BgW2><@BIA5(Xw;E$1SwoP!J7B+0Be}J z(&p`4`s6ob)rln9-y7feD>9K3v*nOR`)2fI`Dcj`DDpF^Z zsagsmOuE4;jBRtdJ6KzBs0)xf^dg&vS5MV^CjtgGgz0Xo32x@PKVWy?OgvTD7x3j^@{?aDwL(6Fc!%I96tESBMg#Z;2iN%=mYNY1Xoq zSmdXyRFIgQizr|uXVt8oO^xq>1t)t>atH(y%1+3J&=l#tw zq06bK8lhR$+Gj#Ge=R@(TdI~Ik-e>rIAI=2YIsoluIC?k44;2q+V`|Y?pU~ElyQ4J)y;vs`6}2(c!&Mu+6U2pX>0nin&Bcjc zke zPDvfE!~x#q96vGGE}R(RQtu_msPjmRNdZxCHHSMJ6WxyePfKlvb%ZCo0NkX5piK1M zlEFs8{{VN}f);$)Y`_Z-S3ou%{{Y~^Nqb9~O#*2QdRo^#5BRYgu8O1CJ<7s<5T%w* z2BVd%6{?w5*Xa~NLBGV@{5p2T6+njg_bmrU&=gwuzGqL3lh4D|E%?E!X=c8KnOjL> zb!h}E3+b}kVQc!Ye|qXzLr*>+I$GhB4g?GCuUlI%Z8F#(%<@qql>G3_c(aUXY7b+ zWi`w^gx4W-K+%FbBQ^ED`cyHow%4YqX@>5`>)uuuraB$C*HfrWbxxE8a@wg`NY+Rs zTwLipdt%m}hJX^(CZxkBL!Z;&>D%#a39b711PngX! zD+lVPNYtM!v9PgLE@N|oK{5$9;jn~wB$1h?AZWi6_`*Q(M+wko+5TZ29bA7yY{g=y zEWTV!8byrQ%jQ@{7+AcA(95|uvct2jX?u(d9{b)Db?fzHWEp|tr)MoZM)1Ffy6Lio z`h9d%GESa)h*-#Ufhh#ER{}u#NE@IVw_E{Ew7N#<=Nzo<2s3^OUCbZCOOWO{U0fMW z1!R@8MDZumRwadXsZ!0RM=fAU3I^w5K*0`vVF(XwYc7JEtOI&)*W01Q%x=pp_huxi zp_!3~Qfa$KlqZ%(Z?doHfJO^7%HABy&SG=gs^npBH{onR3h z?kB-B{KMo!@YE7lWzN&mq1-*S=8|Yph&|G$e2!Xqyb*h=&kK z8#HMf1qw(8`*j2kqu86Zw#JT~$l!&jb6H4F5Rs&L7EWSyDiri_uI!3fGW8qW1JHmw z?~L;wIGTWh4+Er3O6@F8cE;n837X`+TSK2wHmeaZ?R)HfAX{>J0CvU2PjvSvTH^m`ur{~eFhN@(Rt6-HRCP;w(Z%|IU zU+V&`eKsTE)Ei)Kn5aVrSl3kCJ4tk%2C5;{QnXXcu>eTprN21eZ`q5SKWU@6LtJ)` zdY%wu&~c9itu!)5C5)74;&y2bj;=Ja7g4Zl4YT<3)z%J)8Abe6=SSisIgXPe z#9LCJM2YlwXysiFgoZ{IDn`T+?~B@Q%mEiv)oJA<6|XA!WB}Lp_+n5eR0{n7#8tX& zar^MHuI5`KOx^ZA*pxO@QyQs#$}bF+JW-Uz#C5Vm7FhKkMnGQjyo+XQMlF9$^zJv@ z?bHljBv2Qd?jc(<3!Q<-j7KG-#Qq*=8USc2tm`?vj=S%BShswZXp9$zfLjXRBiJx|NF5p|_Ms5}?lO%&9ChhDzh^!ENQj>s#H zqFP~VBrOe%iR=6ikG>jS0#2k($H{i-W?3UQjQgKUb@si%{U6|WSqd(w@LU?HB#c<8 z1N456(TIzJk+g}r_=*RZiz)5;eZOWV4jfl{vTX@PMM~8Nc)$U-I!(a#KM$R;#}T*O zo$SsVEr%|$XjUXJzMoZtTk6~nqo=U%gwv=d7b;OQCtl^ql7yhUfp9Nj-1g`{UL$+J zP83MgE@Y8h}2` zI3^Xf9A#Gf8~Yvh_x?A>QY-OPOxs_3b?b(a39IR%GHq*oF!@ShdaP{`)CKxq-}HO? z;jt=4$V8FWE`UE3<^$vO zS$7}W;rU7>xMwcSHzW9{A4XG{f(L48f&B}&#|?sbq74VPMfCiX;$J0gl;(bJrV=Tn zlSYwk8cnWAI<;I2ZDMY4vYrVVFC#^&IVHt1mLG~U5A46GW!u``oGgce7~x&PbtpM2 z#nh*4pE1%u=PaZT_}mRs51B&z33ka{Ny>gDjY~_E<_ZUMOD(=V7ZtT|jv53Tx;fz3 zE?q6vtlJQ5ZVztO>OW>FD>Su4$|a`iQsnjVWcgfER5*?p;SVD*uwBT%?gM;LbcG{T z%?;qT{R`P)oih~COX7nnf^7nxqDX=I;G&( z3G(?huB$pQw1`h;8;c&q5(xXSBXF;x@EkV?TwAj-(ZHztn$vkwJ^L~5@y3melDyUJ zg{hP9MsLQd{{V`ps%t`Q<``YVpJKpi2jP4CF>OZ-=j@!^3#ti)uUp7IHDU_nRr_ya zPUhp|x8I9ectR00`Z>VNE(>^b<0^+~4oO}M5^S{20J;8+wjRKA!GVPo+!=1m@w_(i zq8t7s%uW0K8UFxw92ir*ncNqs@ZrYEb^J$|oBsgLci-o=kK256CnY(e_04|{d|xwM z+$|J$23h{Y?CK^{&?x4)8%!H%X%#g9?Fth?BdcRt@dadm!@tMkL5H&y!65;XJ}X-G9MsQG=E zW|?bOL!qLN)??HIl_Vi$1bVj?3JGnA3t({=GLLNn0{!4_Va>x9rjQq;kln`TPxec{ z-Z~zn5(WXp;RE|XUy8BA;*YrFWTfVQF5rS8n`Il)NoHul4Pnz-?oElk)Yz|E0Cooj ziy-8Fyj0k%BS0BU*)aZalE7_^04j{O79;3vbS99tLtDMR7;<3?Z7M>kxx)!imR)R$ z(7*=zqV^uw!b~hH%A!!j?}S9BF{@GZ$KLnsKW;jv0Iwz?x?zi;NEB2po3M;?S`D{xJLH`w*;dj0b^R;m41)`C$I6g9J(x=Zd-E}qnFhhf{7`l0cD7eqhc9PRbXwnwxQ`J z#}#A-MSCKrX4sh3gq(argX&9Jp2ov9>t4M6IthsI~B=L|VMHYxcen z61$?A9I}NZ;LOd-kjS+jkWcy5fgo*Tu+(qRjkY+&^o>VT#IiIn7M_DYV3A{ecm354 zY1>E`*|la~B(9!g64JN}D$F#g)S-YE7c1%j`g$8}euRv7RMOa9R5UW@Ql&jQG?K`{ zQ50^>!~h4xlWZ)|p2im#3(B^ZT3MP2R?ZxtSXXCqf#273FUfcCLsj=9wWH#N&kjirB&F6}qEk0zfv8JX9 zx}4Zuj=*23I}W(NamuI}Ow=$pUitP*KMmDQMO2|GAd#bx1`Go!v9l>4kBB4@j+DnU zDUJ)84vnrp%y1~kTY&k4NE_P{&6HV6*KoQ;j`)rf#I1H=9j}E6;7Zq#^>;mX!&HDm z@OIEXIO=#`L7LlM-uUjR2Fmzon%eAi-q-lyu$}_(xNCdkkh!A$M#2dduoaI@@S!n# zMy!^;*n3k->qSK-YHp=jtN>ELG3+#h)cgkc>z_KO2FOyMmpMzP2WCsIALWV&g2jSBikY#7wAg+{7vVCFd8-wgS zkBG$KJV6jwq?2kFQ+r_&qYcWq#5BZ}1-%AOxjNYxB%F6+pqX>ZIul7O4nxq+Ydn&ughHZ z-yGF7d-_N3!_#1|-5Qq(bOo@7ZO6+I%6Me20|B}F@TGJxuPxNt*Y1Aob#q+uaQiZE~?13CqffqcDcKDBW3Sv?V9NfVGhZl8r>4=hY!N~l_9d~ z2BKv$YIj29#8$u&&=5N51QL4R>t7TvtDJUiJB1w<_4hFx(>=}ZYRAIisHhkH*b(cK zR-q0506~!w#Q{W1*{hT09$3X_TLIru$+!w@C4kzm9*U{p;FRIYyDAu1LA)` z?~3ehEMdnoVMtxoi9P{MJhLZrqG$`X+J+;V1$CgIS>^`a+3*2ll6V7)EZPb~tm>dz|nH4J}){Y2)SRqkmQQV%lVs|8yZi2u8jcyAB+%sTnGEV9`)q2kE2^USJ zMYq&?V#Nr(RC&h6%lv)#Qlku&hw^@qe`n~zl+#Imub}nqjwC5c{gsLhx5HG;rWe7g zXI%_iMak21Yn`qKOZLCEI4q}V*?1z@?fP-WAPaC+7CQ~czqT4zLR#>96E(W+_hO?P z?xC?B>U-!=cg9|-scInp5!4L#Dc<|Z{=!a}0}8+~bdNLqi*=a{C$yaJtrvZMb1AJ3|FwaHlb-*1J!Ta)`SZG;3fRfg%2 z+a1ZTo?SO}Z&=-KE^az}z5AVqz44);A{849YNNhuSklh&O(Q$IYGz_fGhX*60oSl?1RsIvwGp}qeAH{2}(ie;8TWFFHSdc*kgYDCC zz3z4crH&Z@8HFiync%!~{Kj0p^ede`bP@V~9@hu##~jT4$?SeZm?e!55v9V(^_bWz z8+GaGwYvNVZSWK5UIMjeNCGdmrFW*x-jU35-DHf|sUQ{8)E?s3+Wj{e9AV3}2*%?Y zV*0Hbo*;?@5<96@-%{P|cl3}2fj<*_Z_^L3*0jAxT>6=N409eYWj;e-^^0dxa5$qWS>X ze?Xsw@g#9v3)!5dx^HfqTfQR~vUXWp(JXScfuqT=EAB9m$e45-E(?U07-LYSg+)+Q$P{ zb6b?VV07lR9UlR%0CtH6d?5NRu5roQ`YMIXso;vXrn*MZS{bDBk#D(<7b+|W*y{m7 z^#gmv%Iu9IH`Mo|8$rMU7vhxpM}__=%qjkq`CU9w4I$!^GyK|Lcp?%ri?bWuPQ>?kp^HTUFi^xWbgGoVK3M<;g1(kPzIdFLgz=it4*KCw90P;jG;!X(H*S zk#oBzmmQ?45=pdSYyl%lxzVoMsN9=%mBAJ#UDF*z_rRYc z5R_Sehdw&VU1ExoG+_%A3l%ab)zrDty2v9=i3P^B+z_~p<*%^TK{rFctYkP56Tm~|K%tuA!9f;Ixg2}Dz&X>EuzrIM~c z4E%kO#~0Dwl$LdtSe0T8u(qcBiM58HLYv(C^^lgGK_qE%iWJMQGd7aGsXQ|Ad~;LC zGdftLznCRQj_UU}Wg$^@=_D|Ft?Vsp;l{3Ely4jUcTMW@Hbw6d3!|NQUE;jS)~M!) zDm;PpHDYemSBbEh=wRptCW z9#dUML6HIU`)-H>!B{aWJ|dq4ah#$wY~z|Y;fd> zlxw^(_&(syGvSQCHL4QU)l^5WX;z`pW0L0a1VRFs_6Jv}pI1ULHC7E3-UWfoldaUC zr|`|f{3lA(6wy2s@=4~fGo3}i05+z%7O>cD`Y~Hu3r?X9aJUc*?1(fPCz|F`cz5A= z4y0)Vo361{cH9*Hjm4Z z6-s+pZSuspw`h0F6|EpLxNVTC^JTS?x@*1shL6h~G}}@Ad!Kwc;zG{hF8KXZ@m(s6 ziLg{CDeX*kZGHl>1+w8;dN&K?@L*UWyF3dmVO1F;+Y@Efph zrB|(|!ZNFTJ~57_Y19@wjZ})rdF{iT0hU2amQmGI%jOyDD<<;ec`93~q(HJPT0ja| z6S)Ll*b^Di?ymg9vuGoa;U20d*QQzknHHbb;I?u<6I6yjpg}`AG^C^@Wg6pm)}es_ z7TL|$V8osG!)f$ugR>GQ+ieQ764v5GUr}yTy4A^egy+*snblQEDo*-{oyxG2PLpBk zQWnEw@LS(}TvKSIBZ|4C$$=VKCOC?c9Ktq=60aPDk}uqSQ{09hAS`tB!`$UNsll>N zc~H>Nv?xN#7EvhkHIg)~thV&7l8xe#rM!_BwuC;L72K_vZE#9}Ltfa4@6KRts*#P#BI|0X%16D~O96J+ z^Bw#N$A(4YY5?P+`cIM`(iv(I1@=dGS@px%VtMZLv|HwI_bIZZ6$s+yJAAe>wBk>23! zNbhZqABCyb35euXS4q&y>u?FS^4bPRBoJ)d5w*>%eUHDk58Y?n)IGzZ;93~#7O^S> zZml4QX$z*rvDlXY>NZiZ>x3$rXHf?JAr>hUHkBQ@`{8c8mGqRk)K#$8ikUT3m=egk zuO;j)=^!x-0*ityEr9A`MrboSuKPL4ZFsfiMmoO?x%^ILVF-=XNR8$lC0$H|OKGuf zAe|$8baa(702wB2+_L8jCI!qbrSRRH#zL7XnV(1+W){0BU`f-k7Xi9hkT1RXBnQv}u-IAreBs-AOIAt=krYu3#Op z0PxjL+$>v(Mnl2=QZpVAr;Q`NiFGu0(l?1z*@z=h*o*bH{`h&M9weSBUW9qd@OG$m zQUiu~UYmvLWQRe}M!41HjGs`_;N0JBtT*euv0a8}q74!5$#A~z)oC4gao5MeA$W6< z`8Nnv$2A->p)T|Je@KjhCGD!`a;H(c^zYLEGotCXsBkk4(s`q6W8YP|U8S$wmfSPL zkz{{KWsMakmAyKUH*JX~=Sja!K<#5}ECvOXi;HXvj`Mj?;%jD$jRGEjgb68gJi-wO z6BshdZt6kU7cF8w1Jp0x*6J~8%0w z$t64p@+P?1Nb?W}Dno#MEpUA`m-2_q>rL>U7@x z7Z?Lyrkki?#M~KE!8In1pcI}pl(L(tTP?=S0RqRS+i%d|W|(|%O~(Lflf^TyH<)$+ z6a0T499age3iVdp5xut{e&5^h!`NYQO-VWRHdmBW=HMk=OGz9OJwh6T3PyxnqY#WO z^%Q`;4fZ(5Vkno_5@272*2e*5Q@i)f(UPUvJ^V9DxIRPCzUmAKqoZG3Io zBTFo^%&BYQE-;xUP-9TAvmFR6bybWMy8tyEFKhb%d*Y6nF2ufM*}bE`<`lg{8YQ!R zW`6X}@>Gel{JjtdEmDHaHX*icU)b9H_Un9H)wMSt)hf00O@79J{nuOGA)y&x5lI|u z#K#<9->6^>_wW9i!{v(3jwH5u_bVEMAOR}5HO|?1Dywwq;M_8G6^Ce$kxhCC04ZGb*0XpTU0F1n^0+}ppjNWV-^ttI($`ZeRl0~Y;S;i zI~o`|w@5oq=(_V*&hVP95#yS^44GwuMq6pR-(~>b#C5mo?Tgy9n_OimDpgH0bo}vG z2vhTaFMRhcMOwI+ny9s0waSH12Ia;6wUt?R*se2_>c0h0Q+3*G(ynTT*8(g~$|y3B zbTZk6#g5kY2ixK?scXZP6-s#u_<20B@!J0Y$LPliL&ev$a}*Z_-y!#7p>stoIdjeG znQ}RDm6eI5R-T?401I2x2G%FN$@ppB_{;Rg32=DRL*lia7nZq)T401djdhhR60GxYYRJ4s~X^9!)|M*mUG@Z zc8$J)96tGw7s#zFEYo3=jC*K`C80+@6?@g&)l`jiVFz59Ra@lA#ND75w>Oz6h zL1ZSwwXN4srV*J+HVVo$$VEc#hfU&2^Zz10;BCxwXC{7Y}8?lZH zP5ehIO1daOz1B;xWo0A~0Mli)j^g*#@EGUK?ugB3+R1|u%ZRbrZ^_~oIi1SUC=5Yc zur?#0-Fg9Vw;qso-+VLX*ITqv6tRtF+WL1bh&)B56Y5;QO0m7vs5=dTvhFXw`&c?pBKI*DOn@`qtC}s%hWf^bWX?bZfNCR}MLb23A{jp2+3yD&3hKG*cJl&Y!9YI0Nzdpf zk)P-bV`3Lx+JFIxy843-p#Ho$ogDUv4)I1ir5bc<#_<$dC5%xaRc0!ONl|fY+^(WU z&8~L6@H4syI{A#9coVY|UkkI%wYDMukf} zr>c-_W3d3-f)2!Dx1_7}G^TFp2aw{fx;?Vv14zF2)lQ!cnT}nZaa2^%$sCmsMKp#j zdbBiR%5;KO>PaB%MaO(*dK!zmz)i#$D*gC(Hu4~IQ@5GC{K&a46+7qkFHS0%)*UfP zDPn*Y`hbybL#?{wcIV|#W&>2sj~^VrV{gVYeYABS-r_#Ab4=^nH(zh#$AA!D@tl@7 zxAiSYZoiq1nxQVayjPg<sL0J}MV;!2P2G1F8g_08hF;ql|k@Gf&XKjKP{?=Zty zb50eT#dTN5r!S)ghG!<-ZAnmm??xNej%odSM(9ZMrJofv%Pe&&p4z;A$TB-=-^Zw8MZqf)gI-Y3?c8<`p5z=a*82 z8khnJ(!_mhd-dFnuZ~k}7%~Xd9YVysgQA_@8GLH+j{xx1E=`-Xv{f{^)Rcjxj%`lO z=3X&y``LpF>UPXy^X-9P2{oT;3}`%jwJIHvD5ZfA@}k zzvFPa(7D&Qirvfnaqt#rB10Z!A$c89L8P1wOl&vN@9)zcHG+|~8f*$*c%`LUt_aVP zRSXt_W&n$T$TcbXf&mA%CI&}>WpUXcs>9V5V||Cj596)|0c9#1twHD)!<0)I_p#pC zOOU-UV{vPIaG`N+$0TJK6+U4gs|K>D9YI@M-?6y2vBF$23vks%99lG;f6~9d!=WDC z58Z^30ENeC2tO}}Od?VNdzp18*bP1HxxZuY@WXUc5jIM^stn4g#9&#R)16W1Mq?S( zs`~@qef~IM)39zU&a6J{rv^xy?%1eqhTTVgk`U>~&b(kXqaR za*{gSd?+5nf-=z{qRWG?!(J*l@dpl6@V!B&s$C?FNZ*?3dNQ8i;^Kv2R7gYAZdJ=u zsNj@23(=Q;o8yHr71E`klDAiujQSL_X$0v})tbQA4&>}H(2!|5FMt}>wZ~i{qal4q z5q^Vjd?2|C8ja8fAlq;c%c%V$2~1c<-iu={rAmoa9lWr22HpNQBHurJFxhdUR{btf zK50;4iCTG{O)3`b?APq8a>So&;W)yUog+}d6Lm6pE5H{V@r(kXP%SDj1br@LfrvlY z8@T&I;Q~syXGWO4lf}b62l)Gy(uvZZ3c5hbXM&!tGb=CWd21qoTn?W7#sS9QI`)`} zi+8IGJrhWa{pvDv%aGIX{F2tw(@9Lq$IgM{WF&PmDm9oN>5FOAy6v_raJZLHBArc8 zSj;wKm_msRcQ@FBjTFkEErt3X_S(Z7O@;a&-wARTqb944hY502%jLdW)f@|$${7Fx zqs-T}z*zKX^qa8U5D!DWy5k?xl>o$3;s}o(JeJD@1E?7~0~S!y2{eps8KTG4Y!&P! zBwMG#*y*~9D)*;%)HJaK5o;SBy+#=1_G@HQ`4RX#zsWZtrp~LgMx`~V(j-X$kci13 zGrKzan%b-ez}S*;6(^dP77|C1g{fyowV+O^df1%pc{6V4tzsLJM>B&CGXMFrrUEJGaz#yb!Q0E?5=iN8aN zidZY>sdp%9;!6fmrk&|~;djD(-XtcKW2h9A)Y^WLGe*yCK$HkJQp`d0mIM!0;|AwB zvW543SU| zDoEkngfAFoQF47Clc(5i&|v&sJdqZ#l}-S8BylP^!>VEcjkoP%`>+XIErq;ts)(0c zMBy#eskh&3H88E*t1~%chOlcL!Rh`iJ5>~JzH=PG^C?tW@ScppBq(5upe^KyC9q zaDiO}xpujG!DET$f26*IjY9+={!`U$7uv(S9qhZ}3ePMM2DU_zz;~|y05LhORhq~6 zvW*2j6hlLV8#!N1m8=h!_v-3Nz5=6z>WL)D;)}z*?gug6!;&Zd7WqZPdA|ly%UzXI zRnJpMs5N0KKOQy|I!_hxrN-#esywzi@u z!xWBb5q2kKVh89L<{`OUK-iU8Ob0Yi1=q{6TFl19VUdIE2vcIh*BGyLT&iDZ%q1zS zcrK?bEA)_I5+P+IUf^GUP5O~xe!nax)Rh%XCPN&wTm+(NwgY~n_K)ylT*zk8B|3be z)l4FV`90T|`>-e9BPJK~Y zDQjebswwE659TN8=<>qw_$ultvhy}uoC=)8 z0__yg`Eg3!NLd53DLspVFV@)FQKmFDK8Vat5Ja^KtlJ@|;VRi^>)>dor*@8L9_s4L z)laeSeeMV&V}((f3@RWJc6YbGO@nr=LwB(o8*gki;E;;LxHN)9 z!O=Py7X}Vw=2Fd1P4qmxIM%7D)eWzu3wn+0PWvc6*1&b~B7oN5CscDmB-l-qw&A>v zI3X0Ysih*a+g6K%)pY>JQ*Cm4TN!%wCg$^WDQllQk2p$<`!I`yshYO4Oi)veD!>+y zIOwDw&LHd?(%o;hunh(p&JAw&ciGVsKo;EG9zIe(1V8zO%&N{|)U=bc3UEn^8~Rjq zy@uDf-Oz1~wjT=GBE!dZ+K&Yy1=MsHF+@zSNSfzww;W7`v|CGUwa()WPXT>RZHc}9 zUv@Z3KrfFlHr+AA@E4k>ht=PFB<1)f5}W;8a3^zLXyfxiJN4Sz;iVw3g1d|{buV~0 z>5|_JRpY(Y4S#h$8FLN2v6ZT-S|Jdx2x=cZ%C`LJ4gOKMz!+E-Q5=;NrKbT1@!bxTlOE8jIgp$l8lw0v&3Cbz0*Xe3L_@%~gr<LdI8+u^!#ysf&(B657R;% z4sc1<=5fYmVP5ebyM% z(r#wYC42#Cf%&rKppk(L0A0sX^WT2hk*&&OSyQ}2Y5;ol0{{SAQ z`&)cCb57J+>FTKP^PU(*TTxUPr2){G$SkL!Vn_?Q7A;`n`fsG!)j*=qNdqx~p}z>! z6%ed5WdPbXIv)heXV6ygTX}3Gh#T%e0G|3-gL`f^J+{DYstF+L!fuJ`&%6>MDO#-k zZCAP9sXrU_`QlI|K;_)bWq9I9Re=||PVI^1C5%Jpp0O9*OV~RHkHbqy!S1Yot>o2Iy>gl1XsTBqYgvumX z;|LjuM!M>4RwVj>78bd^ojPM6CwW6O%j19wY3F_(GoBfpq~M4$=xA!cD?Bw1BIuax ze5GB25CPNqrr>S1GxhML#x~h(DLiG7snsz#rvvjwlQN1!4IM1Bra&qwi@Hj#0+nSd z%vFW%IvtI!FMtLYQG3{<^B5N#Qo6T4<{dQgyp<^gk*lb%VRUnH0=AoffONz|3zKu^ zjq9^)JeShf@rH9CHL|4f2^HEEEebM%NkGv$vW6|F*!^G+%{LAk&NPyq>V)ZOh2@gv zoL`W<#u~!WN*D+wk)(}v+UH4esDJ!s&t3zJF1d|D>+8opmN3k@Mc zDiStT5`YM{#0#(17H#o1QMB1YCL@YM=bXOdO1b5gYLoJWFtqZc5&#~pGFV>Pn%lE( zZ;0}ZcTx~Yi!LzWjySGDS>tD7!K7)NIRt~#Sha{DSRGnP9V{?~Hl{Z)5WJt3Jabb& zoh*^LAcg{|*5cM1h6irn9nH<}C};`UpAo@*zbg2^me+O;6g8^?-$~e=C@x32x%R?q zLv&1dbG0jvU&{U~ibar8#i?5BYTFB5`gG~jq;Fwvq~7<#4K|eg&(IJfg5cM3`-|#d zP^AQdNFR(XVtUvr0oZ+@9=LF0Q(j*EN=s(^m*d_nk}ALibxCA`XROr70lu4Px%+^8P6TSVm%WFBD066>h5<2#Xb9G%Y~T)Zl+T`JD; z#x$b2c2?34Kx_}r`vHzq?6|jGFW#!UP=_=)3*ALXYRv}0tjDQ4jnt2iKy7jDwhbcd zDAQ?Q5)&vIf%?9k?ejQj`_r5xEk>Bg;BFUJ@wO!@H6pns;u|~UT}hiLsI*OEEj+8L z89?i)E-cpQ%0mvu+heV93dV`ZWGVfVbK0+-@U?DfMvE$$5;TmuLJ?39ZpU^SnTafJ zKo%PVmFcQZY&be5E!=o+r>~4A#sfq^8j^kq4^vdvl%#7Ea#AyZy_3{iW4-ztT)x8I zoKx18wn-)qx?jOo=NW*hBew$b50Z_1!11TVoXp{*;hN!2ApsUzghmt2u>C zE95a$NMh9)3Wht_g;gY3f$C2C*!*$B2HPiPNlquIdYDI-B1W3RX(LtHLs^A|fj^zl z4Z7fWwhW=_2@sGOYTY*;tDXJvJ2Y8bOK!VJM!|;T->xJGIbNv3HXwoXwf6m(bf#{c zepqqT+yj|MR}?VGc2IoC!!QS~hU$!c$4nkVh3fJU{chmr_$lm+^HCBw`aI zd8q`gJcXFB^By~f15*QQ5-qT{;}Ms%FKC6bq)C9Jj}rKpj%01wx`A%EViuvRwUQN85%h&~nOR#NmiyxC*7V837TWm#Aa zI%Kd{d-c<7M(jpXNhUxAwzAREZgG%}L6hFPJjvkR1*3=gLy}a{<^q$|TTS$pv%>0b z+n=nyn~)B}ajOtB9BDijjdiykM`T#&{Ok?5%W=*SY3KJ8W&BB>O;?m6$4x3mT^Dr{ zXaY+fht+eaTK?E}7`VB`#$=MJx>$z*cZ>I>uZ5*{&ydD}o5`3evuRS=2@KcRg%|Xj z6Sk5X#}CJ!*k5?lyxnE#Va;a-#I)Sp3k#;#nA1yBp4Uq33wBi)pc%f9&--#ULO&YU6TXhrz{{i?Lm84w=`pdhixL9qZ>!s`1+3ntk$9ZVh(f;;HGl>)NscOQaA)Bv%Hnw` zGwLbhmKhp9K9g(+fiife z6!c3dGD@KuN06yDTav1wZMnM=b_1^`GpCdxv30}R8aT>``5d>72+e^N0{;Ngvs-dH zn|2>ONLeH(uy4yFj)}pc&vQNn4GvYD)>G0{PRr<>&_9=JNXU#$uVL`%d`Z;@`{Kmv zPvEbeRIrfUfS&d9oAGvc#R(Kywr^i83rZ7P$jqTso3@Qtxay$w+yk~5?18TAjXhK+ z80R(#?Qs3o9dkH}Rp}(TT|k@a-u+4I`*9O&O0LBm;#ShBQZMyMz3tQZ?S}((PDH68 z$&O-Y{I<+KpOVx?6o|}GWwAysHy*D*ECB8cTEgdSF~Eb~by_SxZJ-O09*R-r{y901 zn3hpnMI%4t+A{=Nci5G=09$i$ao4sS&W3`sK%hW z47C&+hKogvB8C5 z)DI<|WG$=thER2DY%d98i67vJUgVZ#c+XdXy+VqDt-p89TQXEapuQyR(S zrKybtR3+JxR9iC!4X7K7+}h&g^*6)l)D)fp63{`8LuGwMqN^J^-1wq%;X}riBze_l zSDHr^CS93TwM7)`WJq3H0TiU01RxD9`K@q$Bxy~k2|gxI1W0J2dmsRH@8XDUUPhJD zEQ-mgTmr?c0yXszMeopCwkc~-bIV-Fv#%%U?yRZR7YA`=+FXK`YR4u(Da1f4dETP; zzU!vlHn=z_GxkDEWq}vG?w%Y2K$9|Oxk8^9kk7*W9`$tYwAD?RAQ7ax{{SS~h40j{ z*q#0DZkP^@H#7olOPpXC5M>RF!&AgGvBZ%A48in4mM%Tav&m)pOtQRj#>(m(D-^LM zF2LI7t%2L7{cS~A5LRZNLD9pwJOW72mlsExN^uJnrIiEU6Ne{3As)^(YZpw$#=HkfUYE#Y6D<4DZ2u1{)nMfe;KOc4gw0Oum#n zS)(psv9hC$Y=r=@{FB?%J2CYVcev>jSV5W57VJPH#!%$uo^P3Pc4+yYWjjwKuo337 z0$)lH03&U-TlTfCZGvfxrB`FBm(waVkQeI{@9**$wgq91tM)Y3FM9#|aF-L`;pi+` z$_YZe60C_NEH$j2frorZBOIgH-c zjkqKqhX;<2h_d-4lge>WhgrE+LJiYO25nAkp^u?M62ijb=B3!~IZOgzPc(ywaJ)?2 zd-#=wAmVAl*Z~|$-vSqZj@=18NI0SBQe93@6R&zMy8~hj$dtqGR^s-!w_U#P(TxUF z6v0owDfx=LJnKhUXQFb!ivZdI1Qr3e?tMK7y_Z%hakwE=OMT^Kg|7nqg8k-~EYpTq z*X8p4B8Fjh&_snUw+G+L>GgmrZnoP3;BkbUju1ky#o@2n+$N`kc*>`Psy>lQ{Zy=p zbEVb3vLAu{V-}U^3Zf5s27~&eDnju`22pc{g|mF9Xsd-lsEwF9TJt3W=f?wxREQ==kttNmq z?g0VsVgcCV3V2pB;43PTynX%fLANTMbez+Ipf!=W+A7{g_FlLivrm_w8&(3VQV?w{k6OABe+Yb*_ct&8@E8dwrO2 zvf(S*DJ*WxPS{$mW+i&bDRKuv@3%wO9EBiS;gxs4zt0G!g9Uv;*5Bv1@qBU;E?BE# zHn~5?@NoE1%3APe8`SVkPoGTQhp>?w>bmy^+k@;yi1+J&aacCj5OoH{9YYlAUE759 zad!h{+}WXQ<0p`%WKpS}0sz+6AcAf!C4jZA9>tB0C>f17M~ZJ2CIMF!X^cl{njeaa zsTl;JxOKh5YxZ2W|fVj@XhEYwi^D0Ry)9L@pHJ^2d+gfNH$m zA)=D%-s^Bcb@pHhweC9YijI)Mds8yZLHv&8ZPED8Wqxf$Xo%n7?)n_uOf6R&?;Ry? zlMsp0V6tguF8V?cJ+8*&+QV!~hjW_X(%1ue3qab8s2t|Fl75Upgywz{+?ua9rpe7b zde#{#yvubO#9$kHY;JpZ#f^6X9qPWY%pnNxQGeIc*kB=1zKggO;Wr9q zB#>fj4bJP|?Z*iPH>q>*N+6G8P_7?`sW0EL6+ADP(W!Qc?4stx zHGuE2Jusvc(@o9P6r6^nxv^^+8a7gn87Dn)X`fnw#;M=Y!*o!xb~xK%*H;Z3j5 z_4|Ps0;VgjaNr0-R}j)U6rSei5=WZmRkA=piK=HY1v-;PvtkJfZPM3OJKWo#&^jtQ zV{&DA$$nED6kDFC8|&_;NVpa~H}7t^u?$4D@)xx(OI@3wmN?zk*45bQ+iu%*$7BW8 zhdY}4JS~`}-b;Pr{{XKKvUsNM3+;GUF_e$UwySIbQ-6YYzB9iN3TktO+~i3?k#P$IB9Si zqA6kBSKV8*ydNfIAdUenjqI@!diS$!hKhiqoq>28d8O3{C2OkWNMsUImt{cnAXvd` zTT^Se_qDJ3vC{CE%nO{o_>;rFdyu(Uk~!AHQ!I!q-oO^Q79fVO1Q0>Q55%>G3LVtL zOdUcK>VJbG=$svuc-`lJ<%Zl}Q9E1YFi8utzL@pj9Sel6E@75ORyI|?#0+&XjL9LI(6&`i zB$4kJ4yWbux3(=zEbs*1ii~_`;ZmE3>uM?@ zG?2$qROtFJB_C~0tUsh~Na=Ia6j;8Gb!TR2j^a^t>ISwA(r$kSXEM_5Js5OSx|Wu} zkS+CA!>!KXo%g+kg{RSOXVSMjqD657m%)A0_$d($4K*Vu3IR04l0m)6`$zz9Yh0UR zD!mf-nGcKTq&1pGms?YKE(IDEmXMJ<81FdG2C^aO4?uUsu3dA}(s^Pa0U#qW3|x=S=)2n8@nJ#Yu*?dbW%J4=`Yo5r?3)(Nip~8s3y>@8A`-0F9)fN$_BXr?~U^5ye z*Nq+n$*8$?R}>U<@QMYd6s2QTF&{M}Brq4YN&6bjj@6*F9#CyDm{q?OiDzfiJk4f6E-@FS{_oktU% z(5JUL_+EpVoG~VAEKMA+(LG!ZDrlB4Bl8M4JuU{8Q*nL8j`(}1j8kBZMYw{AtkBDy z1=W3dlgmhR`hUkc7!t*Li$Duz%}iC!0T_{gp%}WENnaDr8EUL`Aaya;9Sw$%oqAXg^#0y7}cyE z%dxudH@?`z^qoA|rg(T2sKJuTQbnMJ4ESV0Jw!=V+G)!VF}K-!oq;&ohd{E5w2PJ92p1v3xdz7j-+tiYK8^Q( zj(74)b&Ix|_}!$M7}X4O$eL{-Vhm%_0rZVV#DQ_p?SQbasA@V) zx9kORCa61tie`b#8`kdrkmYu(|lG?IUGUMn|Cce8iK7cmtZHpR7CtJ zZ=;E*81(#Hzdz@s#l1t>Z;!=g@&5VlA9{m)lggJn;vBY|Z|2t1q4wTY9r0I-cVMad zDVeIbyemTUCnd}{iiT=@uP|(`shAY2NRm>38)sq4urYbn|go%k%qFf zYZC`WX@O`Yzy?BG^3THkS26Q%#go+t8bK*WWGsCMTIbzK7Pz<@;u`fbM>7ikl^|^b zQd^IFJJj%G6U=2UVrytv$m&4duE9XM`kKpg*7g`Oi0cduR8^?O@`$va_3`1e#Qhf} zDXQpXqL!#t)GW%&A|{^#OQsURg_#<+SeA(am2mb)K0l-b(4Zu^?_+a6sL5 z1YuKY*Pe)6UQ)sYX~9E>r;sQlF;RPUumtw`+Xdzlve^twFRB!3lc5o$e`N%le1*7gvK#vqB#lEux7D-*dVb779rbD3uGpEWIfD;x&+Ri1$bqUn4j12$_B4L+!Y|AOQdK}Vrm+2J{#$qcWP$X~|Fbvll z+*pfzI%2w;!UMM0A&~saQGELXP80 zDX|Bsz}S+;u;+0b$oL@HQQ4)91n5BM z!5iMz1Pk=v9(|2D9^!tpweKr32UtLeu)l&NJ`bg)nu@DU#NtE_3H;4Ik_E3~LtOVD zV$-2p4t($gcNMI|w=FOxZ-2NaUzW9;UsIiDDWAmxWhpBDDtPqkbnks6wXI^>z#*@1 zPBm2|KHlzMRH=KNuX=qDO#CABwAB24R@CHqk4;L`sNeF12>T9@m%%ug%HA>QCKgJm z{gLsc`oN!60}@f!a(i$3@Ct@jOFGTzTa)^*p*6-=i_hx>*?U^uK6l4-P1LPAk5B}g z5_bLgLg~ap@gQ}$HvKs2L9m=2T=M!}J*i==!%rBy$QJE>%A>hH{QAF!Yj%q;A z!I_if;h7E8X+AB0>BfwYgoRbKqMWF`db;Am2=4#Gf0rYq#{9+?;E0m?q(e6UTKE-d(TYn}FInoLHev*QqMN6+dUg2|gFP5C9{n z_Qwi%Y_C|HNG@;k{r=yt4N?Is+^~yaE`vm5TAE9jpbxN6w$emKh1RT79- zgd#?Fl@E54{e+mS!v6p_DSe~-gO{fCQT}82ec>6wk6}+=xJfL+Nq_&)KbT1@!bxTl zOE8jIgp$l8lv?p*bma8L&Crj9ABKGv1gX@c422+?oCyejSOv+)PG`oN%HL`;AT`lj> ze;>fc-KNT=zyh{Zm`%py4~49MZaCD!>0hEvY;FhLg>swkEJwZdU$4D|{uok}uU3ta z4YoHR`~AJ};#U9#Y?u@(wZLw+-urevvA`zjgfA2w#@m5=bpAW-gdC*}UW^hW(@`D9 z!)$QbbhN3(;a|lxJeh)eEW!z-rj|i6JUUCPnnExt#X$rCYa5GnBcYV*0N6ZcP|c=V z=?80~Pr*NgcZDI3%atp`b{|JpzuF|g^{NGJLcCa|@%$ou64CjM_`yK1{{S-qf0yMj zKUoORX=+Pno;vssiX&*}6~^5U(Li8NLZ@HeKKwD{I9)lIu+yq)Bk^6qQ5HW)udx3B zoL~Ld0}5cH#yP8U9~K-E?!l^S)B$^%FaGF$7*5!f26#Dm-Dg6HHl-2Izj=95Pi0mrU{UMe^j$!d*xn?|gw zs8b-jH$iVR*dDeUVH!;wQaI?~ynoAcz$0ckps}$gokzF!eK*HNHgjD0!v6rcx$}6p z<>T0&#)kK|o!n$-vFCi;nPv#^OarhPQ8ujd|CRJH}v$#)32i z7xO(qeNC#s08Zg-bd9cUf}$>}9Pr>R5V@6e>2NLz+Q9VNuqO_g=s8=Ww5sQ z>GA$I#DuQuh)0Bf26A)Fe;ej<8eE+pLWCcdosged_!;<0SeDu#@Z_RvSyVK{fMj=sH#|)E7|FRe z!*88XSI*PJA|oY6jWYSIqy*9dRvm100s-lX-g>N{Za_po2-<|qFkqzD;_2(rL}_^t zfJg&Vfxh=^Hp_bpkz&VdVayqc2hlOl4Ku}f<}kyW&lrJZW91(-xE8P~b>90Px4w`z z-sIvpJ5rupy>cv`E+&#Zwpxgyjl``TOs+&ppf$CqRw3J92>4$2*jmRR%y=Xqo9}Nl z&p}BxT70>ckdjCwSP^}Qzh9X6;EE3q7Fm34JdRxyPcw64e{X+}b9@(|RWLMOtw`YM z18x4yGRX$ciR0kDMe$DC6(oTrlICA^0((t`! z3`X?XhFtX1QZA{3M4oV2AMz$cCsk)Wvi0A4uEO-~qXhWw9pa>dw*xi5aHWjtYAR36VPwift$0 z?8l0#spHD2Caj#L=lU|U`rXJ1$*0m+Wj5HKYht#z4|ZWUxsksmBk4XICPBFFgsIH@ zGI54mAD=!+UZ@Bk=6A3p*bRmH+ok>|4Rx%j-GX+>-r!#eS)DFlS6iN~PEaBNp%X)G zWG%rYk!37G?Q?&YIa9FN;EKXfA=lkC(eT_f(#Z*?f#x#B0CLIv=^A7TM$8!8Y8Fs; zzeWyog;;Y%h_beABTdFmmr0D{ zHA0Zwm*u`^%Z%;mv&t!{WR{WLW1bx@P!L^^F!cZd)nm|GeuNH_BdTy3ks8LfRPLl` zL5bJRF8L|pYJU_wL7LY6AW~&C^+hC=R5P<3(m0c4*+;34$}O=b*ps6ub#$92K^`dQ zQ7x_`Pvulww%cO!=WCa1~b=(8C_^{B=q9Ea#L?&aK{t9#6 zZ)Y)zMvjhxot!MyQZ%d(>Cma9mX$yjP()#DK_>X7==>|_xVge4*i5AQLgBUq%r4i6 ze+qej4DcNP087l|YK*QSBr`}A5<;hF6)sPxnD3Y%~7k4i@1C^rqbO3 zyz@|pF3RPt<*P`ZUV$Sk*>yXIF1aMW9a+e$20;QiL zzXqi}WgHc}Q&Td}GAoKIYcG}L5s2zQdmK$djz)mvCN^Ak9OhGjCxJc=%lOWlEH!gAG?Wt6 z8U~y4?D4uFK4O4EFk-}5G16>pavs15BUJa{fQ039E5UaK@J3TpUqhG3l`%&nM(sSV z11gpqF(B>*`<|GaDD+%-4TGi7Wqh76WR_*}%m}~E6;^h&tO4Dcv&lXr&Au0Qd$LbNIey4f(BA zHkI48m><6Qm^2}}vB3-P5uB{cc}bf~7F$g$RLMacfqcef^O{EiiEG??01MLQ_ZGyD zXmEgT2>sOq3z`8RSk03IUzQ@Q@;IhuH2##+&ks9PUu3 zH3)!r^iRKs{#fOl*UV+0%;}564LV7sOCy$%feh@YdnvF0kT2Hf1J@QDXX2%x;Z#nb z&HIs5%zOjz4Qx*s%cO%+k>;&Lw@tpcsfz8_ac_Ke&4m!A*J>4d_{$}aBg|@PDdZEy z4D!VsqSkdsDy%*P0Djy@N!i4#t}&sHAZVTL1kLEEYNDSqrjo9aV_+;|TKF(NRT4jTqDfRTS~co~wcRGIW9g^!pQh4U~mw zag^pnC$Bj1dBl{kLYaMB(Fj9%izHC3z_x{qpt#!LgL@q$UlBHebFZe#8kqJ)qI=Uz zSL2I~DrHZj<}$*U0hNSO+}!VT5}SPPem${2vvWiHc}qOkoLp(mm4+($B+N3^l*ue< z3@8ajBrU?5o1abnJA2|6(+s&jsM=V{Urd3?BDfcaB!aNdn$uLYM(iSv6x7YJQ0z_3 zn%4kagVk{+U#Beh*I$nHMyp%riXhoK`I?kwXnT+(mg1yE}kJqBaT%u-w?2Sm4k??g4??rRO*N zFmV3>EI5jKsw&UL^esrz7+IS_YY(k84NOtA-D4o?zMVh|;-43a_Gk@hc_dgJr&R29 zAtD5322?QT--*iJY}BM@%aWR&HHJ5M)66J@>0L+^gctgtfZte)n__x&%g%RdcHn`2 zIqew%kUO?f;PEpp&yx+!x6)0I--7Z4KeoS${Ev$#i&BJ$2Ub?JVC--2dlA@;#yDvL zld(^Dxk*`*P9=y#=9Q{rVr_eS3?iNieYpVN?)r@tf&%S!+?6^W#BJB0_+WfXM^@?j zsO%&1xImLON&bBS9}!fJtEM0<%);JU?Q_1}4g22!bXl5V6OVa&rXeUs+c{{SBYi;=^QimVA!2gM~&8D>*Xt#nIS2(uyblxZpeRkrt0u{S-(@<8E!Yl7f5t}Ttk{jb5DY`p^Yutc3V8<`~&Fxdxm zcQR=60i@LN$R>ANn3N_6mWcBe*M@!ARQJH1J)!KB=86Xls{O@)@n%e?8oAjim zP~i?&d%G24_KZlex{S|E)K1AFl#xxH7;dZ$h&a+Q!NNk%Rbgp@ytE#_Y53vFHM1%7 z+kTt;`{4}}0{n&m0Ji7j@ZSFb;KviQE%=(lu(seG$K8hn*@f#R6jOW;xZGhxu9pS- zK3Hk&E)D*v2I_IbAx2!jBg>tM8h7~O9N;FpsBWvZm1S|XtMrh@8~VZ!uWx}j1Lk(N zBXvtCg>b(svIp~D=*b~Z&Aqh|@wVS@e!Vb-L`=?$k5QI2CeO1T-ox~7f&zG7KSv6t z_P<*WzaQ}7%d)lEe7*Z$YySWpaTqsUEnkuid#K!Z?PKuAcTE9#D{o`g$L;TquEa0K zT?cXccEViDzO=Nq0|_7{e5BVPes=da*xwvTh3d_2y>E_^lrKe1tak6dI1Q8CWTfF9 zE~?;~l&PmWl$$(6-5p0z!>2-QdV_&6I9AsXb=adY*n>p!I)wG{hXPV_LW}X^+^EV zN$cDW-La=@=S4#5c{N{=F^|2jEw^#|u_}NsWQ@zVOME|W8kwRaUxQN1#)JJqRCnL9 zVkzwfeSjYXLHt^C7Mqn>&kxtu)BP%yjcUyZAY3ZPr*a6fEv2?Tl5M|M;#)aS1L&0(l7`I%Bc|nr?I>jUlb|K{{~SnP;=2BS-{^Cdt~X z@MXr!p^j5h(HmMtnF?6!TGrM8*pgJ65wRPH9N^Oji5^J?-VebgC-C9K^m4pLk>ZUT zNpn#dQ*Uc}w>>>J>w9C5DniIHBgrQ79tY0y#gTrAQzw+>Ib0}YUaNbdJ08q!?QQLZ z#@$1TcVL5iSg7axkId-oB&4YTn{OKn?QlAMxPP>;4M&>Fwt;?^?n|tfhBGMFNu;RN zdw(?jPsnxcin_I%Q$jq#h4?pvp;FU^GD_%aAq_`V9Uu8Leyywy$G5r0cNd9h zbWYy|uju?M=+ha5Nj|8T_;>L8lgX2`+(Aahx_5Eq&a8$g#>=I4v2ED5UY^*#=*&B6 zGcmlMMP*dwvWDE&<>glhXEl_$eLYmvbdq_I%CJb^ks3|)Y0|+7)2M7n-xRo_+I0&? zfR9pze`rSJ5TOqnW%-6-Sy3xf)asgP9_ZqT1N9FO8ye?L7#D-ku>5;bO6XeH@}_}DOpj3k&*eD zHoJEgJr6;>yO4;nGvusbyG*~i_^#$*;43!D;?!D1Hz7oWP0scjls$pBQ~Gf7*l`1I zB=(6Jjjstg!M+>eYNpGxJlp8Gsxf8Dqf<1d4J4X_CA_7KSlk<(h}nvR1KDsml_NnD z%aV!}j6R)0Luvw~e8^W#&$a&2F(!>6Q`Zw%a{*XW#TdT?XZG)xPhtMI`2Eb_vvgT#N+VemZgnGmZ03D9@bX^ARN8Oe9r{=L(h=a zIr>!Ob5tYE=u}( zQUntu-$yD4)X2&sb!#ysFtzWvHoi1H80QLNdHhvlvD5Dh^7Km&_ao3#Ml*!T+03WN zct&7Pa?oK<~{CCp<#;#Fy}9mq(s?D{CErkH}P7B@TkTA002+?TtDSFBRN8Q zc;LMM0NkoX0sjDW2iyGGI{yH1$1|1Djt69x;=jV39%V}O@#K14z*0?R`|r1sKVBuL zRItelkHrdtG);{+j{3^DB&ke|S}2q>jI||%YFHpIXpWFsl|}7#VQ#oBxTCPTj8X@6 z`%UBht`ub|S-JsG4Y(HvgyGdD^|Pkh8kA&WihtbvelDsfgV>;++)7g$wu4};-!~_KO3~%)6Ul=sHGI2 zOI8pOIg?b%E)!3dwk!i!lhj)R)Wk^^iB>p#Ic)L?>GA!Zjuqi3s?1_dIfXj1ovyBu zskdwUUgG_5+(iz)o15HIYSa|qkvBmvn0x~d8b|osBUEP4Oz}o69D{abOIb(<)}w8; z4!FN`yUCmX3kx0njlsa@3e&QjVmRG%Dfy3^ zM~Bey}*bKABfb`hKs>&a4+!>^5;_mh+PRX5Bs=?&zjmF=hdIHa*C-r~ht z!sEU=+8tT!TarG8KP{bg-sk@Sjx6h$8I*MyB$Zi36j2D)2cH6 zIV!?Rc48xt1M|}Tg8|fUexRI3fyVX19b;(-3|1O0G`g2Q4?JT(hvt(m%u%Yciih(V zBe0eUZDQ%F!GQnGiWRaDHN2&z+I=Kw+f07}GPp}}pe4<%=ruF!?QPnvSalZWXMNs&-L)>lIVOa8R3xjw;!a<27( zoD>T2b>sSri!%C-9N}>!kyL{fO?#jw-R3AzV)X0D6ZBip#Ev!sEVG!NnRgOrAs$Pj-+K zuqD2>mAPAVWCLO@1+iCz#k!wjpo1#M5r!2EgQ|^kQ?)x4ZmLLO@dnt@11miK$%Xa^ z>ul^gP6Bky7L#TWYPlvt~KvGlGcZFy#4z;o6d~dUS72r&T&phUH0M0q!tW3azbi z#t7zysWidvk>slL%?>Zjc>6c2%=1URbwte5b_n3<^6lAB0E#;W!`^Rolc{_0L<8_) z7XDIJ-0X7aB!ADb?~3Y&_Evu#_bnGih^FrLtslaH`A#UJ_+^P}pY&-u3|v$lk>l}H znCsL%!|y}?0Dv=!-W<%SYVzeRRSd}_DyUqU5rZ$Jb=+FRt^%si=D}RRz07zmyj>KS zynk^QA6G||nke$AY(%U%e_nFvh@TR2 zwDUiOHBF>eO9INJh0!GgQnHStxcMAQhOBW^yI)PZ^HXCmBAkuF6ZP3g@_SScs#Q(S z_uPU$t?mIA)H-drxCa?Gw6)gPL$oY=oqWSfgzfTcG+d#B>-?K2MQanR-Hw2#rOlVP zxEtWiBQwe1RkufW3z|esTfsTJ37th##8mRB@?r@+nO6RdKBejaxi=?qeXWa1@?rom zcUn9!Ex6Psd&OrFITy%{& zp*l-AATq_oOl&7q7bz=NnxHX5qUQHDBpr>2zvvtE$7*m0_FP?NcPhIQfY{qk*4S7B zZMxe2{`hrL4xASnIPq2@=!X9Q5oOtCM_Wf#R~TieEGI@Cm>*a>5N&NCP08Q67`1EME;cq< zoLh3tZZ42@>A(oeDK*#usJWPGHa7?BZF>Q3y~ZnxYwyie2UBUWksGhPzlpd@hqXO4 zlA$N+A(R3xJKuf2UfOMl9LzztEoBi-t48pdzG8Dael=kPZ0kuL%B+1-8~tbZ_5j|) z7Pwp=RdaH6K~=;Ta*heEB%s*+n6l9Ys#|bJFYCF3HnHjfouim1<`1@%r95aD))Iy|~GFFb=q zMVKvFra0Qda3E*QzDOsvrN*So~9baG_aVeq6`yE zmarn@OD(KHCf$1Nh~LX$tcV4g>=ROmk4<$E?w1a{V52GF8bSkyV&9Vg(Gg zRlU`VlG?pWM{ssFm|BFe@G%zel~D0htsDS3hdqiHba1+`YmlqoeXsJ|0caMs&4;&I%4v#HSUF4BU#Qq`Z-MWK@t*DP-m(28T+<-OYhGLu zmHgUS%9n7A8J^^;8w2S#+>W;=Ymh;kQ?=sJ=Gx9Ts`r&EYn_OZ>wOR59;s_eF7)cs!P1`9N} zYi}h-k8zOGp`S6L0*Lw~x5m~Ottzk^t0#C-aCv8!{{X1!?tkaWaL$b1`Oy4A*JF=k z`S1SXhL%JP6mZFTatPdyOW-;O=?@wXt>3^z+NNoTJfdDSpLF1NMnpyqNK$k@Lej zmnEWtrU?L%p_Wj(CRqNpf|5{?x`TU;;O&dLmAbd(jZ9B9B?oowE*k(y@k1Bzl=A*A z72=rlC~s`mpUsdHapuBAZEt}Z2_F4A;=;3YHLmXm?pa(&410$dcbXclt5%cxw>Ymt z11rG4mO&ofJ@EZgCKsHOD=EG2_lz{Ii>*-SVtNDD3KPO{_%DDZ<7o?_5z{LG?0TN2 z%Dq_FcD=jcD%g=ry$}H3!A>p_;b?Nf6cIR%BDO)KSP^Tqn_TwZ#GlcO#wxa8Ty+Ry z=NCXuE@z(Q+&4)rT|~Mfheww3t&QU(=}-v;nT3LZt71vE0iws(IT~(7`2}Hzpw_UL zGzm7==zVeL9Mto3G~Bw<84r;L)1UyPuhE*jx0@-4s@<`;g@nOPx1F-iZzJ90e-kkt9BV)2J|sfM^Jsmi2JTE!c>hV zEixVjs?S$aS~$u2?nnpau_oUvLh68OkE4ZkO#*PK`A-Uq%R9E+6+j<(B>s#F>_}Hk z=x3XlUKO0Hj{g9XnUmnk59+b|aGQw-Roa~n@uU=k&p0BlD;l({k@sXI+vX3beY<>c zSRm+CC0sk{d%_YYO}F2!Itqdpqb7hGrq}C*DP>zR4O^SrvBL>nAul*hZ8$xM#a=M> zP_^t6??DiAjHOjfh(Cm6zIT;&v&sI#Oa+H*V(6YE{{SN8=)DZ$>IawN5tkcd*3pai z9Z6;qOaIY7m`NlFTHOTJdHXvTATPy;_2P201$XJ@K09@8E6wW8hQT zh5rB+P32T2wiJA#$4h1kTxPej$J7a~{U2ui$2b?Yqt#Se0{{H|BBAP$}b~3D*eClx( zEJjIK*--`Ww)=0lx!4W*Vn96?LD_l9Ow{F}lhJLQ3z2mvV`E}(_hLNKlbAtTpm|NH zEI>UiVPo+97)*S_6G-LSpo1MHPF;&qL;K2aj;?{C&c@e70|h0%@{ ziMJtz&i?lw#`s#N2WXrQT=K6r=8am=WmMAD%uU-s^q@bTBbzEH{*w{#-yLfX$i}xZ zMe~q9TiJb-2ddZF(QQ^4GRj!NAUup- z*Cmxsz%bi#2Cwv_d*hA(=$zM(+oa_jx)$Mx-rm*#{{S0d5dk)2-FbZ|#=39n^$T?$ zZ^QIspaj`ps_M64&~J0UQS$4KISyAoQY~<9N$GB%Kk#7{BSPrJRmd9yU^W|Hr%!*5 zJC;+#f~=w8KB@}-Ugd))D;o+&j5BT)q-qXWf5SaQdl9w?#fj5^g`?=V2DDGsGv=V? zOTt;tFX-yW6hvCKOu}||m-34$$r7%$a7mF#-8v#L+;41RI$D)Sy`hpjH}gZ{X&mo! zq=MLJ=ia1OCOGdphB-3KzKGPuUEV6GNea5i+EOeC?`0s4mbXg`9a@cGU~YylBFeu3 zUCjZxVoC7vU4Oy{np0(b13FceGRr+O!U47AR@B8uTLlb$0Pl-jPOSr3^jfBNSmJcb zM~bgiCTS%DvqS}tP|67VkAGu=TV;*w3rvOKm}YWR9;z-kvW@7J7qR3T#{}q7%jjU9Gs?4*0*;L1!G0-EE%F;g*>aj z2>$>CK^c;r>!fRoD5VQ=aeEe!4w#tLls8_{x<>Oa$2SOb4=SF6FZyKF6GtK>Z%h?+ zG94w0ITi=n=eQW_oLmc9E_kLI%`wX8PUjT087ztPg3&w>8^qt5%)eJ_>~HG&w!Zc_ zb7~Q&u#h|VR&T7@fJEJWP*X3FNp%Y#NzF=jBqx-&oq@+hK9oV0v{fd7^5NzyUE5 zx;)qMIgogauArgG8q8pZ$( z<-_+B!tikbgM^Qkp=4^@z@4mh`OR%afJqwyPWxb*O(K&(`oEHkp-|K%&g1Bqu1E5l znmjGVQD#}~VV5tJ1%}@ElMd3+2ZB{Yi=-P$l)T`~xYCL! zuAyza|>5FG|O(&Anyeg{{R(yA;jEylt)9u zH6KTsN}y_=&hm+XD{ZT0wxXnkAdowauw7=CL~%MTH1SF?5f_Yb-`ib%@%?L8_EuyH#Npgf^3+6ZcuZJiRGS~Buu|Apbo+r zAgMu6@q_6vB$q10ZbAjI+WqhuYn`v9krGR?dcwJCGDMaj)P^MMZO;%|!o_Qpmps>*L$j(r$*a5Fnk#c=4aCh5$Kdg@hcZZ~Euno0b_?lK$ ziEW{zyGTe4dlJKIZS%N3o7fCX?H7?f$kyRQC2TH#U&F|gQ@oI@j6i7Jk&pC)6l?5l zYnyii74@78r*gRF8cpQ5S)V$1lQ&5zrp+s)h3>5zRZ7aj{Rk{>KZAlYO3A+#;ks_v zXlp6B*pp&AT;Hcon2~}NbMJD$YNKcc-5eM74~iYZ7wRqF2)Z{?fh(USNR3I{AAjSv z5W4O_SB!NYa|P4}{e7^ZcP3k}RC7D|31(u$O})9@dEr?^oTG=pR&Vdudxllf*SpHgbo;}=B`y!U2 zCWfs8>IGy9*9=CMF2I}VBrez9%nv|LC>-{|X_y{2n<>4V2_(Qa4i|*laIfIv;{G+K zOv###k!nenIH#4wp&XV{;Ui$Af(@^6eZc9Bl`3R3Ut#cDI(RJNN#XEBtHL*j{LjO+ z1${1MH9WG`PaKKl7AmTUS8~d`l6wpm(E%4qmsFsi;Wf=_XtSC+Bz9VPs8QuWO`Vci zEpgu4k&4&S4yl;y5TnOCD+e-#{7N472W`!}TfPffceYoF7dm&+clqI}A!YkvsOTL> ze!s`I92Y_2wUCA+8{gj8a&cd*3hmJM_s2r$Pq&BMnoc0k>5?x~E~a2futh@5Nd#;F z>C@sd1}>ndN#y-gWNscQknRK|zdYONyhT*xgK-(SJ8p`0umZ!UO|5KI^hk<84t{cv z#yhNZox*T=Ys+!NZw70eDy%AFm11#bG4&4Gl&A#k3B9*AxW$$;5$x>+glBYsB6f-I zRjs4f10Q%vUUl+^7*fJs{axRn@7(H9 zFLqIsar=_r!^a3!zGDr1Q39}$Cg)9n1Z%kl*CYUYu>#na8(FPmv*<*4o(qg=*u$Wa z!}s_k&xuO7Gwvst(lQlD;!7d0QWoWJwa6!OExy*k9S2S9rP~loj=kyliiN?lWaZyf z%=yY=D%UJGxj(bH#)#Qg_pT$jy@vPS9F%}tC;`=CMY><(j!daq3VL}@%{Sme(9S&S zDZadIcF<1R!t^8y`c2n++Z4F|j~I^AY~kvz@Hpj+Z5DZ z>>wqfE%~K86KdScV6xuse%(KKQ?5!^Dl%1DTY;*={Ul32w)5em@L6 zA;?=4ilu{|fb{R`P9C!n`WZ*KUetBh?sYI!RP z9TNK2HVI<9wxy>QD$I8E6Z(%#SDbv6bl!wuZ#Bfs_%l)R>D;L{15vmqu(9E1n zvB2wO9d3+s8!a-KjQ1#$EtJJjnj~9;tKfbeZTj(O+LEXoT+LDzsJGR9``@{~49%M8 zhPi0nm=H(E{_J!fDeLJ;3)bE5+vS9HU4^Rgcj!M(I#XqQmAJS+zS!ihFSwk>!ruLH z(idhI>%;*k=5Wv#CRe0$=wR#v{0Q6a>DLIS0=(*6uKRC}rJ7gj5Vkk#*9sQ`Tkt;{ zPr$VXt`AcX0Y=_7AK4D}7t?DHP4DhDCk`u!K5D{-Akoo0TvNexoZh8;<0i7zMxf6D z`pnj`76RI?3j?razfv(pPZI1SvbJophRQvYB&8BV%>g$Ry&{QpR@O2quy884b-gsBtS^H0B)M=ukz6k z-;Uxv)nw~Az3L?LSB)E%u}N10#T>4ziqc6L4GywQZym|is?3^{dw@mo4LX@bqu)uc zGVUm;0xkMFp8MhouP+uJWlCHcB1Cr~OU(`=rINf?#;q+w%FdEJo>4K|TxM7z zBoU#NP!_ON7rS24QzV!uEPH`rAZ(iIUlO^+MWYn(KAV*qT%RoWqUvaWpQvyU-SBrKXX)<9d{z!vSjuX`3GZ;JQya~T5mN!={w8vv%a z2>6-IA)|-SqNSLa)lJ@I57%~7dok({W51_-Vln*=I>K7w+4!A!E&l*Vbh)ccW*6{3 z5Q*1^9w7e!Bus%Trd3H=X_e6#m;wm5*DOWFh#L!9!yEp9q-asgGX&i;8CR`zi&%bi zP@|r_>`oKUvkD}kr>j^U;#nSP@A*i_n59-t76jRqKm@2K)Gv&mN%V1kAi1s}6L2O8 zBYxv>q&TLvmlKvqu+}?}+~Q7p=9Lo}!orUmY{(oRNjCiZ?eEv)jStP?_9U!DKAtU+ zlwVZcf0hR`rFPRCUc2hHpbg1xfvsRp!=?Lm#fy3|wz;x(UX|-3vMiMuPZHJf?R^b< zN|e>gRbr^~UMS)^h*oALg4;+Mg@EsIgX5|hc0}}f4`G3;t~=3O;13y)msiX$1d-S= zNm4{`ZlK7+PpnumxHk=`UgHmLA;3Yn?^cv(GMOYDtQ3#Qd|B|f6rU!qLKRacx0MPX zR*|KN4ZmAkro!V5>u;xuoG@$np*P~oi?Uug&M4c0lj!qPRMb60jOiRx`OWJp#jfh@ za^=pKQYxF{k!n zCTf>lGg0KFIp_q$?eMYv*oER%=SH7+DXGd28ysQEIHnQ9M^*I3Qe>z?NYD>Lj)O_Q z`medZ+u^RmmS|uf6@DB9ol?)3--I_i>xUr2@y*J!7*@ouB zVTi>d^Tv58GlDE2#_AVWc#6%d^JdK_NZzf~tfjSCihzK))pFKRa!%xL-x1Wp2iUCk zGzykC(1pKE;xja-WwbDC4eT5O3Aqddi`;B}1mHJxOGqL}kc4I@CE!fzyBw$H?Joz_ z)l^c`!AC37)p<=YlA^?m$z40({QJgapYLh>gfinfNM6Vf+@v@_+9=%|rTs7`C zxac|?5i1;7bYo~XEk1Ti{Ew8?TwRpZ@f>oRYGs(m5~?u_;eaDm!k_^AvAI>Zs`w(E z4zLq33zaJMWv$COj7s4zD<#m)^t4YcL1lC*_pvM$Pu2he0sQvaZ-yK`u>^rSsXF__ zZwuN!RMbr}N9Xz_C&`eYRFOl3*LErb->4_jb|hjF*aYr10Z976x&pXQ%bJ;0)+ts= zW0BOxicP{PXK=u2(tur!?gsX@_-!`k!mp?aRYFQrI0Jst|2)xF*&FcED9zm@5h=DMrV| zw;7Ho<+{qv{PlGN@hcL+*@Ix87q`~wimWCu;oa3#*J3zxMB-?5r!#aS=2TvV^&et3#;t}#su#B^`exPjciRY* zz!#^JweP+=s^(~3(8A69Z-y1vmAy4P9qfJg_~WF)c0yOGQ!%%_zW)H#h8Kk^XcC?7 zDDeHnd>fWSoaT9bO*I78G0iFvJwoZK-lf<1nu3G2`{6X_5;R3W3hGxWQOmw!)8};m z0EsiSNfE|~CyGZ^d>sh+l(APbN;R~T$)sN9YcQ)|p#TU78B zPLcytzVS*3D&FcyBnBP8Huk~z3~}9Sq1;AKtR+|A2 zra{ywj17w(*E@8u#*wOmrmNJjBEzO6P{NozxDidjxq>n|Z!&YJ9U*@twghx-$IM*) znEY#M97AA?up|*aTnf`sbkP8FJ^Yk7jDP|67}m9CToz^1j_|CR$$lfHZdOv(8DkJdl+3cepb;Ha)s>G$H`>R2$G!&W_jYfyo$*^dQOqQ6 z&<8st_k@l(jT&AZl1Tj6;uF&-VX4#|GJra>DbmK*Ax-XkP;^cpW_9f6Z^RX2S(4Gj z3xpuNad5RK2XWqKPejOKcaC;cx8&rR1IUfoFk)Daqfo}WwzJ7$D~NF%(I)&HyqTcN zvTx-?RSi*FRwSo*)cPPraC9)W%!f!~PQgg9-uSJd`y-2w(If%iy3|poDKJOU0G{x? z{{RT@CMa`El<{1XG&R&q6*?mjBbqrRK7cK&%o>XV4T^#Y?k+$N9l=v{g*>A+F;gylWp-;h?_g=nS{=atkx0^;w2njJMibj z9P_QD;u)3Yo-mCaRV3P0bW?N=$*4FT0Ty5h8oi8pZXvL470*^0?AxYq#dnJ;PY+Z> zE6Um`jM;*!(*1bp;$HJ8J8UeGk_&Y{kZ&t!HI0oT!WDiq9hAVio1nRv_=nB2IcAnb zr!Om+3kZUc}pe%MKneeAa5}WjV==14Yt$m*5>=$ z78r7Af-kJDR>sqLnHCXfOy4SeIp^Hhrk=AVfhuE$K^)d_g;=houzeukeZf6C4(qN3 z#BLPK8QL5)DHF$BwX5I?xw8D;Gf!0&i$)nFVRh8EvFLAeW7Dy=By^~{*0jMssLF6> zH~4op<9w&ndTwKjbyakFPLqVp6S9^=#V%&q z3uJFj(#4__61<_9c~%N-a4&DX?|fEL!^gI3CIt&JS zE}0<4oYi$YphdJ&7sCfVtMd*q$`ZZcika#oj#)H>2GDHH%m>!FD%dp6)>RaJrX z&k{s=AuD2CN~i-!znD(nGxMygpM0{a%p{s5r=Ek(X;_Ug)kFgoZT4Xz=>w?@I^1Ku z8&^1ktPp$?`cuS~r*6x1{CfO9n+mvb7WuJW9@WGb@&6C4d6tu_D62bir75 zibCD%MO<~3HW3J2^NWC~IeVKNu7%oY6;u**vql@LNv6YV4b8fZ!M-!yDNYB<`YFu#%2`8M$sGjmOfDP57xHoz3*9DZIsIXObZAeaO z>Olg)>)pWxKOQ{wqvux=#+hr0XmaR`5llX;%+0DtU+iyXQ~AJZ=tc^x@ERC4zlzCM zJ!{Lk06af^K}v#SH{4&P{um5h47{{?roa|s*nX@ym|O!g_(Oa9^xynHz{5C7?) zT-5ROfm8&iKugSaUr4!7d!Ls;Zg3VO6xu!KWKd{m%ybHCSjEd+ zuc&}YW2pUWTzl z+k;>L9{1Z}a4o~!YIQ0sMljaZATp^Vpp_(dDiOgULDWFsW7FZe#fcKD1+`pfm&|i( zSd(FWfG7506&MTRLKmUG=-(Y&U#nqj1M$OC)7!ut5R+-EsY`>rQJ#mdsfTbkuuYGd-w~g@z9D|Fa-hYvD^ge|VMc%+x`n5XIeg2ozP%{JN{;Ql!1!X1rP|)ETw(}4 z=ScNSk`P>S1Tw{Y{a(G!=iKAZ>jGWih|qYI9ysm+nAAk3FuQ`u zbd?^uqem6U%=i?=qGr?~w#}fG-0U?F0Y7dI!(# z@?3Y|3W^XamX>xvb|oIfo7-@<9S?rQb~u)uc`+Oi;|3-HL<@&`HzseC<<%2ZTSY8V z&J1kRD!UKn{{Sd8gi=%zS5XJkweU_O4VlH$c!=i~3bvjEG!cDHx?LnWsmMnBQ&~P< z^%F8eTgrM-8@p;k6lsx({F%A9Ch9D=V~AZ#C@Q|b!7i%lcxp3KRiS*d#rb&nj(kW45b|X@aL*0i=cAh2AWP`>0{K^D2|gME~R9Xi6G2a0pJC&683 z13Ug3dJ^uQ4sHS+_7$(Yz6zAKd7`$si47;vP(_)KdbBIc^fpR{GcU|lH=4( z$p9OF7sDHei*Q7mpqWWpKLk}-gr=MEoiKG`0C=RkFxd3=u-N_Bg_JYsS6<-MrprGE z)qBdL@r^RO8(+(m`}M?w4B@y;%-s{fzk@e2um?A$w%4>Wb++Vh*Vt|LV0SiA!wM45 zg}(-DbknP5S+hJM#p8w@C9F3AnU0^Gi5;+-14{3*1V(rxfik{U(5((t0#egPE^XC~ zw`m;i=ecGl>=^5xI_Qg~MuNv8c?35V(51YeTr8L*o6l z@y>4dy{A#!5qQ^rgjjrfj8b9SGxnYFPU%x|$)QCSeaHkg&i3Dbf%yKc6z1%xfl9s& z;abi)s;A2+5WQtY$rMqk>TT7S?1I3OeSz#SO)7gXFifH+#NUIGe4`;G&uiT(2v%x(aHJsX zrQ~%te{!P0?Q%E6@&?&PFmtL?@#o;?p{bymGCIeKq_}ljl~)p2Z5v9UG-CI$QMori z20nJ#6flf}G@a0f;=Ty1;EMUEvxpuU;9w?=jfe!?`i053`mMM(!ehh^^+}4LXu1kd za}Qo!T{3HF5l+r}H?bG?`k?y{vkd^=c&O^KG}6mcFUl!qRcWS^SvRmxMb6}3Q0db9 zd^W=!Q!SL#u#TuCXu4Fi6g1k`%zHZMH;ryV{{UUK``-=g0aN=6nz!QN3Od^rt8FI0 z-Y_@**#7_*$6WpjG0}vrO!tBlFQjUSr`Mv_8f~#Q>vPio0B$2~OvN&;2+|X(MrGA8 zK{RGnFBoOksz4-Pa2v5A``crJK~>?(R(N*tQ<->vtdLPE&zDp+<)fL-jk-Fx7fAry zP!?|LcC@X1prfhP%IODGSvVWU_X~46GFCc>rjEi$C5dD^jml{)sR7$nm$uvBO4xx& zNzq&~u&Hm}OPuS(PF zApBhMZxM51q-He|38*RJIw|2&Bd1n2W?PH6VhA!ft6(-3$1u3C=XUJl8vGFTF%GWC zZi7)O3L(~P1@^`8RCNR_I!4CZ0(ba-9WbFatK%}y8#S4#Wgy7JYSN)Wu&~%zZ*A-_ zz>6T1wdKDtYIp-Rrp)LYMO7q%NLYZvYNiJ!d^%=)(wY$*k)(6UEJ5E>2*gS~QWUs_E^MW&Sloa` ziGrNC9$T$%TG~(|ZaD6wR9}O~Kc+^hs+yV7Al_mzkm?F-in>DTEo+VJ03FU7S}C?< z6Toh*-pFucZ#SKXiiLdX<)wcHQB%!5G_W(dLah^}y(@ca+=H@t;47qzti5c&n~#nqqkR=eNt=nfkbW6wM9a3ps@Z&Xo%zKh+0AE2N4*+yHc6rS=yf6KHYn$z6gr z1ajF?;Z01&bTR=Ofp}8`mVP|5zBr(sTADf`Eiy-PJk=622D=|o3w<}R+kV(P8;f-e zur(!HGZG4GD1Qn@cmY3(GV-IpnLp~(e|8KGBuYma1$QI-D4DY=gvw}tvehKO1Ac>Z z>9wtW?|+6QxlZ=bQ+>@YG^_C`Q5I{(5Tz{@BrKGa)WogzvX^FgNFw2HbFcty)L;x@ zGz6PGiw)4u#hge1qQKoHcylA*&Kp{4+G?3Zi%?Oc3?*WYfICLSDg;&p2O-a>j>8dC zbHj5w=U^<2!T@APiYmOm;vN#o<7ya~S5SlIiKkelkjWqn%etsU3OavDdmKi};^eg7 zK(ECHIk|V@zIo@sTrtNH!J0u^7FP6;$?1*=!IR9k@&Rk8=t#L2zszT11tMG|&9cHK zo*yuS=OI@+kCr&|T8}A&h-8yXfndw5`he^YTv%a_VLB|2O4-o3{{TjMY1RpSEFD3y z1byI;el|a+1-1FKS?7$pqha8GhPY93_nxol^43gbf~J;bSNxi@NV1}pELz4j)Ckf8 zSx)!{z9Fm;1m5LqdNz}Yl5J=ll+l})JSCq)P!g9Zr&`r$)@1<6c5AY#6#;Ay=Gxb> z9dOq@gmzD%S7E18gpZ7_Sn$Uy9+sbnqq2#^01S*L#63tOGv;4a^-K{#DlopSpJ-78f?7z&4n-g8047_Yo5tb#8LV5)O51W zvG3}YG=vA-T;F}J1@0~}%GjpiT3mH71*H)}lWHE+k-UE5lUdeJN~(=f^hjc_^5l&r zXne_}MPp`)?CMB1TZq-%>0k~pb@5Jfi^-TLNw8K_x;)fxU=YbSnDXSB-0zmTbSdhh zflQ>`)-cO`1eH=YARkc+bE@G<0>)Zcx7E?sfT(I$a~+g|Hs-m~^7AgHjf%rgh%Bt0 zTI>9xleNXj0>rl3TS&c$B2kNRFi9~t;)Q#RhTdeE?q8Wl1W=%9sVR`ihhQTL!AQ7a zu?MeGZ?GeL5s1VR14D?Bs8KenjLzoC)yXKwmSYOqOKY~w%2iIZ^129uwyi?`v2niG z1@ChpO}>{7MMUb*{GeRMQ(z~8WI5x`f8@`blu$^mJ0Olp02hG`^+u-Wef|Y5Kmzu` zT_1+){{Un0?b&J3$WM=^}#I? zWUTf-5-LC%1e)Y8CTHhqSFp@@Q z$EuB7(&Yt50F#d(^AV2E7}caKfZNs3f}+G5*l&VxT_!7%*IB^bR#m{rE*ol@ zg(}}af7$*VXu_$5`azh2ZhQTo3*)H)3FYK8hw=R|3}QeXUQ3asSP^$+v9-;w*Yj*| zfHAkE;S+5U6rKS}UOuUgpN->bgGmy}N(fa2okFMwOqUzl+jmb~vGWn+mEmUP$F(P;g z^?(AxO}?nRdd01|U?8+nDuiWKF;uTr!dY2Vs5jQH;R#vgX;=SJ*C)H2W^NJ1)l%0*!zvgVh$~_cwty&J_S+XaVClLPnQ{R^(Q!>IgU`P9aL#~VI%@u`Xu{+ z4V;^~KIGb#oo8UkYvhTsR#lqXt&y(kHhmT7NsddT%EekX7Scz*d-neT9yE=3aFM#F zsYtNi%Tg)XHs~*VcKdMk4CP<5OlP@0y|B_{M-aC%_*eq=HdAAlSHd-_*#ew|ML03pA7;Swx?5%Bg;avV z>%P~vI!b0(UJ@Iv!R~&4celq4NqH|zlk)p8iG|2pMoX}{+TCrA%Ha#*Uxohwk8E(K z0SkVvWSp}kVllU%5^b>lk-uA?w+>yIT=gewf!`cWTPSpZ0k8n4Kv=&w-}U3AAe1~K z#dGj=Qq;gh07kgUAUgYPZTo}OP6NeZ#dg8-M`5d)N0NQG%Y}0OXwfcflL9M&{JaIO z&Il&f>RCY8t@Q)A2N~=&ci`WWr@OIM^{EQ&%pe68er*~DoXwoI@3W-kh)kAEnwfK=@!Ql z)VM@rNez)=gWGX5sBTd=@2B7G#36%%q&T{tSo$v`#Iloesk#1%{rF*ET=u$$>3kMR z#u5+Aj@Gx;-wUe?gAq{uBj~@l)=*fJ**(QXY|(7b&>OHL?b^c{R%*Q9H)Ph<6HZS4uWMq>w+OHyZqo4;MHFiY zWhkVO3WICzaVbt~%QPf+EvMruhizJ7{^q}{4aztvI`{o!=DZISO@FHy{{UDpvW^Mf z(Ek9eSEO87CpgX_<1|)R}1COmUv3A63GEy`vi@K*KA zgW{_tJ6it$qY*V^+$5<7;itpRSBTu*vgjs`o;YDMR7~KulnfWrqjq9g04@ctNfyIP zt^nN~gu`{y$xPm1{txK+J4X4k=Tc6z(akrKX_Z41Tj{xxt$vrW!IZH1^*SRRO}KIh z)TjY0?MmNAc1CCb(`{SdaH7ByVr+GEH|j6}G3sGn8i0w|t|y-(ZDu0GIUViV!)=dK zxfkthO3909s&KjQ(oqKGci`7N!H?;+8Oemp3{z-YVETN~t4SrtOZD340@J8!6x#SE zfd_RKJWlv(s_@yHMME`8)xlF2oli2ZhA10DE2jEb9kwJ8LBCK8aD|n{VZ|e4$MCj8 zQN&dV6+AM?tm>qyGuu(H2EdD(*n6IsiOvGVpqo!IglfDL_;ahP%%3sN@}`cFVR++O zge#@~q0+6sr5gf8)ZK-Rjw@Y6x!~;6By4SOEmrFc;Z3UsP zvd!G;hy*c`;7#-bN=taV@R<)Q9-lCZ6oyLLsgWXDhn>8bq6KwpP)wJ;rs?VfY!Od0 zTMjdEbvbY`wX{t)0p-wSTqjDxKx(RHsxj)R1u6Z1MAlVB>iAwM=whf>rq*L&6Eg|?rXe&lD!sb8779w6 zHukhGXlV|O2EIs^G!SF+&2^@%n=JY+WltkilLXMfv&IjU+S^Trm|bE$&t z9}+gEOTg^wtdc^BjdO%e_WV227P=3jq8THSC<{sD#uyc29+FqgQVRkL9qysDuVx{w zsK<#GJQPDQv4VF=4lJwsMLWC66PavDjZV9&iw6fv>rr6LqR)0DwmNBsX}UFyh*8Rl zPs^qE++Y`kYf+i;Gcli+bOmj@W%jV!`J>a}f$*v>mmP#G2nqlN8#~3U9|^_dAPHcRQ$&yGRb5`^S5*c z>v4OrA6ho)scokFgNjOBAaI?ElWo-Ik62`B#V2I&*O=2CCZ?P*wxtE`w>xU|?Q##@ zgIm)LaIh(?!WT`Axg z_T3v_37#K0eaAc{Ls+yFxrJGd)v`)U%9GscMqOTjHq&4OEsf6jGJ(yhlPf|gv;mY_ z2b?GSHsnt&_*|;i<=H(kap)7Yah3yXfg_8K*B>krU}~CRa9rAMT5_&8Qyn%yToIk3AY4Wd`)jshg*-gKl32%)#IwDH)FmuweA3;mQ1I_$p{S{oI(y@5X1 z42fBg?4=qH0{j`nJl)~BX|rJtVVOfaYo?u!rGP5=Y^iWaBsQkr#8}(CW*-X1)Fg-} zP77s9xvIgvuBMe=hwfcu5s52uoTix>w1=1^YFl$)&cG9~*l&w+&=&-63rRU;%C#2y zKM(Kzi%Y!zPo%HQslExI1c*`M zeZ$*zAddZTeCx&X4ub|8*j6tl+BFLzv$!OU$Gc|}pH?TX{kO>1B zKAk;TV~GMt8l7Yv0=C0B!Isizos=YfA)Bb&{=a-_+hto&$+Gm&2?Sc+*m8nY)a6|` zsNj6b9o1M0mx?oCsECxg1dE#hECIdyTKLO!jZUu+&d#>Ye}cZlQaxF8#}x^njnP9` z={sKA9`?qhSyMfFBawS!sv;Mzv%k5=3gAlq9nGs|D@XL15|!LD!{#uJ$RgK_9R&Lp z9ea#I=H&#A1zBP0nG>K=Q^c)&`4vW6Jv62nRc2K&u}K$Bk#fjIiwk{I@7o!!h==Cy z*KY-Djl>ZIT0)7HHS<+FPcs&gjo7mi#Gb54+>_jsbB$J2opnsfL&N-inQ+ui9Ting zHl_yf&m?1EW2sbtN!rBp$2zc7qY~zb1$gJ&b(wKO1g@;9sS0kQY2=Ut?gOy^{6-{e za;V=`)ip_|q$)u@h`#o?_QzZ$MA8A2%X4FPlsTFpM25D(7? zsasA~G{L?H3MDLrEJ*#%6eL`Yhv2&7jJ}I2%`&NKVvenHxH_TqfEyDk3;68ftiO%;^D(KQl_rT22#m3|q<4xiuVOYjPp0Ey zLEjd*h%g4hW~w~qaR*Yqc)QC^1gC~Ij{0F@)**>95_;|}YhPeTOXDR$#8x9?D2noQ z(gKD?=b-tFXki4sM4n->9lK!wnnW+1SKGE73#n(o{0&vdbwZw;ZPrI1=|mq{i5-C7 z-vQ#W0}k0f1DY=nhwF~OaW+yfJ>r^9Oj3R>${l5@k#5qJ8kE~|!}?pDA+KOT>uhJK zVcm^zeMtapt<8UDZcz#>W)sU(A(mz?@_Lz$% z^xoJ-HWuV{?Ho-IRno*UI!3F2K=pM4ewzY%^uuFy!+S3UeM9SgpSKEAOJRFLbdh7f z!wrQqELDsA7;`fwP641ZS5w4j5>={MB}8bgBRDJ=gJP!O_xa)+1*NB4$JK3XRRw|D zWsenKjyudF&NE8dxQJ+`s-8qWE{`KHKWI3z#5+65999{PfB{KIVIwgBASY!6kOyIN zzu$q1=?mqeXe_KPa1P_BJrBs?Xt@jI`tNR*#}mL^2x@+c2Isd!*BmXB_B@5rtl|mt zM)N0{NnXGPcPzjTi*_W~4uhsSmI9I0Nx5Gs#1#(_rt_ro3u-ZIV1s5KWes(gb5t_4(tZPOE{@aF#T@#?7>>Yg<>OdO#HtboK*Pt?=oFP*a$IUB(x6$(3l;*vbvGvF{c%qr#Onm;RemO`h=zk1y$<@OKg1KX zc{FSo2_9(QKy6Rcp(CLmSf7ox8*O3Hf?P(xM|!Yp`nG`6-^bNNs@^cnGdP456;%?% zIwX?2Cf$Ow5wUn>LKiLE-bm0SUR-MG4yhHGm$~ZKHV5Pe z*kc+->Uy;UfB-~#t}3xk_UUVV*m)A7YG3d#F6wylqy-u&m8~p?Z;qAgc0GsMabJqX z3L~17LvB>+;qDxZB8ED3MM!K&P{&ENtVtxBk~Uy2PQ`SLWUAt1$&=ust5BE#I{s1j zF0OB#&~Us)TC!q=0|RA2BHZ;;)%OGe+>mxS98-N$Ysv71Z-=A+zJSc{JQNP*Cmu`8 zD%OyCAX8{#xv*6lLl8;z5C!-6UmF}I6i^(>9=&d`*&*$5exu*xgOG)5Nf}|azTek} zD2nlnS%vY*NH3j1jmKN;G1bJT;e3?mRWV1@7WG@MmE8XTgQg&;_(oyq^@I*3OT>X` zR47&eiveze)&O6yALGRpSIJ(XhM*}l0JgHe9IfJ-grdu7 z#xNNF0F?bhoGjd=07(%mK|`Y2@h9rd?0cZ4j}iDurOhG|<14Co z!68QK5A<7c`>}pZ--`2Rv#TUeD5h8^~?U(CI-U)05<6NpX6M<3!%S&AI{+!p^sZf zFWe-SVI;r*(?6I=EW$}gPH6aupyka>bisa+CaX-UNGd&-Vhel;0DIpJ%!hqkx+gD# zIir+u>`N^TRBTvp5n!^Z>1IN159{rR>YUoGJNPF998)a9Ni}@x$VU+5HK}X7aWt`; zT!)X;E%UJ-B5_^P6opD=cE6J7L5q)`-cz?Ox;xVypGcBT5^1}Z z065?5_BQG*W4~UQx1Rm&B$R)+4BSXy!}1vcH$8pUQ4^ z5Dwa`z>+oCTsKx50jILl!NU58-V|dT`6DT@FyYER@7z-cpNA>rhy;o~2o$JPRIv+T zr=c5cHye|_4$K@)xn9Ls$6DDsn}fe`NX{Na5F=Ay(=k-!W$+?S;(!B2vgwITn>P ziIN9q0ai&Eg^=vSNE!ej1J-t8O@qXcHx>uHBe9qlW$d~D)Pgvs^NqM8m^JgIeGXYI z83}m`II}*h`UbJ24U|Q4zyW+qLpE7#MCb^Syuzqs+fTIeTxQNAKrIOoHx%)oDU~JS zJiApC&G|CXppA#tWFKC|jg83`w?bGD=i{m3L{w~$?MGnGj;U3_8j(_q;%0XQ5zEmK zpAMYflQyGMRU(C{f5^!1rGPg?@`C{KM6YPZyD}9ZYZn6{()|GHz*VCZ%osb&ID%k_ zxtsE~%IgaAL9pp?md5t5O`qW|sz~^IE{%&cjb4Qb0FO*>s^_)tqyg9L#zzYGzZp*C zfkvQopQH8zaYw&&$iYRVIS3hBIJqG^( zJtuCYZH}Bw?3>kbTs86Omo&dfIYgpRNpdO{#F1;;M(6JLz8EXPut?J3!t7QiGNqh@VyXfgkai=e z`3vA2K{Q0Vz^g`A5718Bl*Hx7Fk#ZCVe|T@xZfP6@lXc~ zgQ6yz^CeYfG+C@~6soeq!I9B`D!_{sAoU%H#|Y13qL>_}$YpcazyuZg>$p&e(h>DIBvz-(8Rp z6xa}ST!uH;U)tEj*2a-shQvtMikiJS4a_z={^E+&+^5L%AZ2x_pYlNf3_T5`fzTa9 zS$6`*aBRZn&G@%E)(6HGZGq<2wA=U7S(tFfRY3}x9dWjmU&_cB>K|SAzLHIeLD*XR z+XOZ4dAx0+yuuL1T*MOno(QktzEY!C>fD7r&= za@$iIkj1t)U5)m(Aa1G*TSFLN$eq-3xJ~VYg3D^N&1+eDd@%4=LsE+&&nkF+XzFQY zWSTQ|U`QQrZ-_m{A*oDM5OhUSeo~2_Ejgb-&AK_0aa^&F>PZP;jvNyrJ2l3uxztDG z@28*^z~g8>g+}EaozE@`!TC!`H#-0zi|hM~>pBVL$rU@2CIeQf6xjN=a#wNh|C^^i&P1NM_8jQU}z>%&9#-~e*>Qw`D*y^{{I~-nM z@uAl8B}g%id$KytzjEdNAgQONjw4H<%zBu~9f<^9;^O^pbMVEZ-bu32VCXY1YgO2& zG?Ve$Y(iW?zT$j86>Q8qTql8z5>8$RsHe-m zo+5}uw3!jDwYq%Xuc_5m`RCZSC4fVPtyr=OK!~6-unQmWN z)eBQkNU_MP0cF;ul!aozHvPwOi$`J_;R9HXNOs_!!bt+oCdX+*Zz#(q&+~7hM3Jnm zBS-_bg|e}0F&o^Ct=D@34k^Jb(`8`%D{2Y9XlkVY0EoUF&nXUBSD8@dbkJ16s+Kw^ zN-2$x^}@{T%nIFDlEqlu*o*;Aw`{b`>TSm8rs0}thef75MU;Kd)|IFvF~u6pnr`CP zH)|e%SbL6y5rV-osH5NDbW2JATdxqLUqRPYCTzDw4&Ms?lM;ZB3X9A{L85TvRYK=i1 zqqe6;Dgzto*P_Fv`tJ5p0Ku6zx)Qxo=4}FWNH+0*#6sFu0qKoN^%6v2Dw~!h8w(P) zxWBo?HF_bHIF9Qqbv!_%2moJo+~NLzW?W%SQ4I9duObNKic;*7M$vkUqZa|3g&;2F zudpWvQ^O29NE}C^FQZ&K!gnC4Kfv7j&Ly=?MNMazLdWHT@~)A(g1T9iK-?Q!VRMN6 znWX1F@!xf&ZG5ubB`bLU0G-+GG^SL{1w@R#YC$Y$6$Of$M9aF7=|5KCis|(m#Y|<< z5c-0nLtHEnm*Q9|B9Y!(2WecJ3k%%e)3v(v>@j7A5Egr-og^X|;fImAJbXC(N10bD zR>?C(LrSDbq<{mos1=wC5(TZc*W6+*ldKs}D7kne)m7ox9>aKpqKG~scvZ42>Po0? z&{UOVeXbZN41^8CkIn=Ge!JifiKueqI=A4a#nWg3%+pJzpDLKeEPDpN+HJ8m{ysOx zkScexFo})qr5B27wo{dPpIKv(b~^x}MTj;ft~X{DL9s@_2EhV2 z&Z~u$MfAR0%H~yKC7#jlr5#FLk6l`mU=4uyY;h(szFN(0Sdcqf&nxJm!VKlp!pm2~ zx(bY^F^P%L%$MIv-C~u4TS>VB>wUuNy|FJ!o41$ZprF7`X!m*XQ3{QlPNT5-`~LtN z;_133KUFk&KgmVP)(rDK2@EWysc9r7C7$S6C*I1D53Z%IvK7)smc9k)8s`qlX@|(P zO}MFWtZUtSjsZ2?=jQ~RT_K{PlGJDwO8^0os3Us;>A62YkieK+F(<5fB%9pN#Y#uz*+Cgh}KHD9MynRwUbb|(kb|&YgR}nk5X)H6vByvS0YcP)C#lEmx zs00IH)F>UWJMh_pBA-gNhE2x>9k`OF2+<;igMg;w3y}A>)*uiH^#g9!!EB~l6$UR9 zD;Y^3S6E;)fLCqE_@A`zZ;i1y5UWX*BXT}rjD&f#)1*@Xph%KvJ06U-+#ijt*8#qq ztqmM|DYOgdrCM{JHqCMu&6KB+PjbadeQW4ps@GfUy~5a=5^xnj8sO7u(H}#kL>*K~ z&-mgFDiKRlDvp4OH!HJ%;CSJcC1 zBeVhfOXi21d^-%zrlpK6V3J7#InswvBy|Lk6^PuYrrL0-VCxt$F|@)Cwg)%@bTeZK z9nAj#5meLCeHS5VgUF1M$jTIHB>GeHtVWv+fZSN%yfy*uc50CpfvNFUjl)u+1a^qr z@lht0kLm1+ihR>y*HLCCWAN*1Z|{vJSkWoWrbj2ac@F?LHDbGHLh*v!+uGP=C?KKd4n@W8#tm85)qi6F@a;O z-?=Q!ZrZz@@!Tc|Qtca)$5gB002y1SbS9y7vXO7#eym!mXD1hz9DPy1RU&wq)m*Ae zqTZ;9v#HqJ8v|{DAQO9H8o}8J1jz?Pu;dDjCrP@J9CyrI+FG>BGR+2_u~F&ghM6Xk zqIJ5W2h!{>vUy;xuS+uAV;RwSM$~JYP?-+U?7bSjK)^boEjpB#@hO~3mQ&N^HDO}R z{{SZ?bPan~khGk~?1kV_((NaRP0k zmYp87#{B!%-!rM&myC3jDJYVcSSkV6WVzBhDfaFTH>445FC7Q)06b~mtX!0TW@(l+gebQ8@+Nit;fQBg zqSM1j(j+jd#-3TZh&xYX>SEx6SduQ+76nEe_nbw1jxrhvuz_O>l!HTaYGOGkQh+iR zzpDMgzu$}SBSZ_FNxJn|+fDCpd*SLiUrN^+Z}B7L*n8nLBtrLB)7%g`Vb)w;N(7yI z{BWXDC3`~>#!0^Yz5}<%?#CB%E!brc5n@lMd_R8p;(JV8O0H%?DY!0)L~N`~F2#tj z)L7XT!>+};o%gV{@}h&8i6zmYfxJN1@LHNgI)OX>e<4KZ*>)wm8=vCezZ#hfHoM$6 z#dSO>P%5aTDBFiyU0qmMt1opR*n&316{w1$3X+vl!y}4)GtLsH=M50d^3fbsP^zr4 z0!F4F{dPM7ZRjG(K+<>L8Om6PVBA{$4u@!0hL5xfw-p*6E2xS`h{WOI3}js<=myQG zcDK3djWa3-i3*0T6HMJ}d3IgS+hOL&UDtaimPQ{(4n%UYYg?NV4#bXz*EYb9H&D9e zNUlB1;>hV*3TC^=-deZ?K#4_|f!60?zhXuxY6DWFofh{2Ofr}pwNdb&y9FyEzP3B# ziL1elu;7wWaK3DEs)cV>hV-x>9-lj5uWYDlH!y^&H$r^Hg;t^cTYmp*goJZ#&8V01;J7PVz@1LdA7N;z-#0tFFX+M%Y64U3h~FpA-2^ z4&vnA-@wGAE&~Zi!aP?$2Gp%hGj!SGxdGJm2G;wumSa^;KK=H>4 z(DQnbXBj|Mt_IUU0851g*?}k4HnAUEZf#p5C^!0uXNUg@e zHpEcX+#h=`+xPfmR>*M#C52&OAgLqxQ?#WfMX3J(U92M3>!vS!Pg8F6>}R@z3ow&w zSRR+eM@5<|KrvRf*7)I}IEDEo8YDhA7C4k)P1OQMqZAuQ{U#Q%D zn1E2~+&PpkC$z)dn*8#N#PTZ(5Y2YC#Oylt{a-9xixq->I4PH_rXi1eov~=qWgd&2 z=UV$?x~D?(4}FJhb#@o3ogXjLgt%_H?~&9})2&gA`7Uf6Sd04-Iv;_t!$FlJm_^qA z00tj2x&^h#nmc?wV-2aID+%uo@T~XZ29^kTS2UJzrX-|eAYclW8g*Q6aqqF)64V9E zAZwTIT6%&n%~t!HJhz*LWHqqH1sumxg>69r_V-WdoxtgPj8$OqS%e*7Q(|!E-Wo0s zDfz#f@@p|%(Q?Hr+~|A@QBXyxOID zJjzN_Pb5;Tixo0PbPxgD-2BgPZSgy5mI&gk8T`pIcF{-5%4n+5V}>{SU@m2lvXx-W zravt})Cd<0bsb#=fUyy!#F>b+c`JtD{{VS#V9REWSdAnC0~XrNd)saOUBKVo*1je# z4eltuuYL+jWFLeZjW|d0G>t;3p%TqTgn)xc8xh;@z_f&DtMOQ;G{M<6JW<9xL*kb? zTD;C-Jo-GaLTH94!M>DL*+C?e)Rs3VxaKDiC^I{>3YpVbsRLQgJe!b$ETbre=Bk0B zFC#lDD}t>jnxCHGg_ov~F-J*{#gS3Q2MF@Idz{ghL}l7%;H17+_(k)qrlIDNoeeWP z7N;b=nfG5VQl-L^eVXBR0NCR4n~wfIO7VcXy@Rd9$wcRt9O9FjnPfGwBuXTUSt}-` zZS=N{L^u+Xt_YHYHZGyAz2+VfJqEkMT#Br<)xMYj)GSLxq!k^Hxdztv+Xpq>V78K_ zs@%Hjg%uOh)Nqv?ki{$&OD$4KKlQo1*(EnEtQ9J%5q%{|)vMK}=3(Ce_Kx<}3Hy+B zBR1BJ4bong2~w5VPM3EVL%h?0doW+Ds=l3=D|Y~ad_>?(ncI%4aPVRQ;CU~K+B%ry zhNj`7WK|Cvvl#5mi5#VFV<4$zR_ea1S%x0kPA!vrXfAwIh0O%V=l56bC2ekb3&he& zV}wiPEz1^b#w3gLtcnH1fp#i23!S9u;LL5HH$w_xf==nU&N5i#hO5aE#ZMGgQpzL@ zM*gW(D6u!tNWSWXSZc&hBYPtn>Ch&Vmi!|x9A{K{bRc<^5~>ei4^}?_E>Ft<)pk&e zqRi$IgYjvWE>po#Q$z`do~Dj4ZGDwpR{sEX@Z2+X?m~34yB;V`D(kuIFlxa#t#Ng0 zTO2E^qYZr2ck-lU1X$@}FMh_@W0=?K2a=Jsi$nre$j)mbu@guI?e)#SUK#A{;5?Mw zd*f5)pH5rzDAbnAbD4`nQ>HUe{{WOKpb!!D9n*cc1rFfin;nA6Gt(aIm=;H2tLCx& z^s1rF_=Ce_)qov6tlY5iDL5sp?RaI80d6dvDV$cw$rTb%rfP^Dz zIfi&CGt4g+yjje=l0F~Is!`~WAaao^%P^1X38Wuf3j@$xfKAB9X@bE46NtsSu)2!E z&UJJ*!_`?SS;Cxcm~c%}Rpqr4Q&dL5l1SXE1|9A?`w(^@kU3TT+=pF);@aX zz9F)i*NO}?tq9bJv514+IJm*%2ZgWb#u^k0}>TkGNq@7z^55KM@Dq4o?dK|JzVQPf{BC?@30NsHgTdC-G=sWhqfRxy> zm|VJZ4+2*)g;`9sDw20r@{?C_eT~5H*2I7@l*eBZ(Ii-HWqCp8tAPNC?;z}9Z=&ihdl7p9we53kX1W|1c|Tk7Ro_Ulzyj;og%#d4 zao2wPec07P%mPByVk5W)_ruy0pi2DuyZhsWC93;N;X-QlRuP@Lo||KdM6IP8l6^mC ze{4P!WUZX9D*S&_9W~YN#0f`1i3f`q6FgIh;=NMd0E!AB&?(VwN;qda)14y1e37_Xr15q_A=BohL z7-VOS^ocb9sN+b|#T#+}7aJR!93RoRmpJ8}O{anywox)Rw;53mB44l70N&WxEaR-b z5_XQodUU_f4I)#T;?%L>4hyQ|YK5n#QWOv#QZ`*g58JTofpIvd;oF$0@bvn}cc*`x z+0`~hm&K7ndpHHbG8G- z;#-FjPj@tC8xCuj3QF-`7t`{7h~)WQzL4Qej76JuHg~sH^?Fcjqhowf(WY^SJG2JM z(zRwV2DDsnx`~xF4Kr(US=f@qlX7vR4TVVeW?Jd*+YZq{Znfrew;&Vp7sG*4G)nl6 zS6|Fyw?YmSsS5)3r)efXQNBAIaHcw(F6@~+uz_@Odsvks_x9U-Fqm8v$zFpfsc4!- ziOQ+7wT*%6W9)u-j8qyTJ)O}ox{r}oH6vCmPSnc58c@EF#9RaHb~g95@ffbs?7O00 zhI?6_4pL*3q^Vnrp4YhPf&oA{FP3dq!{I^#^m#gLZ}C6JOmbHtdN>Fyq*(RG6W8LQ zVeU5jaNtuwUqI^@_U-NS`QwE=30my`07o{$38kxPT!p^d{;T7L>P9t59#e9a^CnvQ z_*fyglNGIUZDu#^ZNMOgxb2DzZaXh!ye?5=D?vN((M(9A`Xp=?F{;cMF$xZn4Z>Jx z8wD%*=cjCEs)BAwjv)xv_H7`{PNw>(=i+BIrJ&1cDJk@cY9wi7QEf;R^xDJ?$gvyt zCdStt5_7rQz|SL2P>#yB>KgP>ChZ%fP^&4^47<2ZHEgc!ugc6oqCR* z_-S2eOa21jiMZBnH!;(twaP}rf2;8)+o&7%z<7*6rVi(VC9IV^xO*T>vIeJ&DriDb zI$K(;iMhV**;?vN?Q_s$9bRs4ekq2QVgt3%c<#F#MVLdvRB~0;N&>x%oV_KgWO!O?e5)(XE8ZoRu1AiNFEO;}jH!|CSxSJ#RYk}x zxVRX`bmkz_Ctq>|3Ar*)C;$%?XNM#P#K3VT2M7Rq&ytzc(h67$@2Nc!FpMG(Nh-yd zg)8c|t92c1YB99TBbP- zGtP_|Ok`a|wiZ?gqTJYAZ`;!TNH^KqX$MO{k=o2;{{SQ07FQGwU{BJXUmN(S9)+Di zxCh_k{C4-gJwbs0^u}{K8`MVk{L;NYqDSbtIMC9&2YU0Ic*|7tgxN$cZH}Ol^Ml2u zw|g8^f-{V#-hYsC^qz_lL&NU~ z&y0HtA%5W`vk4{t)IXR>FUA)bNhl}5yuO82l@wGKXx%_CkS;+Rl57XZ1J!tQNE?EjDugR| zmoI$rqj(4wO(b8b2IHnOF6h@2DnW=4n*76o>S|<;QUMXLzT^{bqW=I3e;37tJR_RO zDzdwJ$A^uSp5=EkSC*7;Mpk)cjb&B?NRHArqog(WHUOJki;QXT_^hpK9MC`j9wrAB z9abB;rX4n&2ZEH8elBRVqRT;4$z!Q+6_{)Vz_!3|VX-)X)q+S0N>6YdtLr@f00r^P z?-Z0gQ<7ECQd8AMJxnbSU{DndEKTq2wZ1rm65{^LMzJPgSyHS8&5qmMH@v;^M;9*g zxH8&IoPh!bB+dY1*7nhT_v>@NTVSWwFVqWYg``b3fOWi|74w<+zOApD%v`2011SX5 z6ZKm5Hd}Q4_BeAZ5FmjBU2?2c5Oy=ebh z8XDIC*n$X|O>2O3$?lj5&hatKD0%Ud(q*y3JvpYGCi9*}ePvLo1ON#)YlD4& zp}LylteY{-f@JoHB+L#LE7vq3hB@z@B~}}lj36XM z{N_XgH6Ri!0U(31@43ZJFuJ)A4bFhal)I# zd62wtjU&xN=_RdlS4#qH8y0w15G3z-@4O&!)$ebjBi3aSjzRd>$$7LRqs+=>xvN-t zZr_lU*l!evU`_Uo$ZulUIswr`M-@Kznwll8wmG7(1y?`C#$vUcahymjLe!CQ(CAPt ze{o_x!Nq1ASfAEE6fa7daOBqf6kzh#SURn!0tartqxN8+saU;WEzD zdh*H>an|!M+%=!qhe;^L;+HC4A6dU0) zoK)k*LrlTA;kZQ8#fbnwxRQ7#$10)Xo?>SPi9VA&baE7uu?c!qp^eU>yNFgGy)|EU z)LaZzGKD(SL&$;}37vGe;I8UCnueQ5%5(!rPOeNc$Ye28)<&^3+Et6BXd@PXQj>6? z_3x+!8(iR4R5c<5TITBy(lp&nkij4kdB1YgtZ8RfY}FS|d~6w5edF_}~)Gwz<9fo`4RgpvP39t6oUVYz6Ob-M0OIF^&RMdM&J*i0Zg{u`6k0 z^5%`M2<`|VgVx}Uh&RL)DGGuJQnIOPBAmVE4L>)j%(1e?RT6%Br9L|UvkT`mzK6k^2vduKXe;`Hmwvbl(nNN1#>VPeK?nSYt zrfeee2dcj4x~8ies8VY!;F@gXl^LVW0?Hwsswksf_ZH`)-v!OF1YC229~3WRs{W*=+wzI&69=;xiPibn0^5MY;-ntd=7^}va?@LP zs&_l9efB0Txm?_Wnj=(=S0aegGDF_tMpLB_To7(72>PRIkT<|}T3jFx18aAsUaOjW zH!`1J2-yt|Y0T(pUNbcm{&EzZ7DC9;k^!>mxEpE$%0acZ$7sCXK$wHV6Pz5zw_})3 za*`e`_+pzZ;;MX(k~fMvc;Q-TbzVIvjOqbmG>Z}ii8t8XSPE8>=N=+QfYDo;L5u=t zF(nSZIhIOimPWUj>m06*JF^?92kQZA6VUvyWfw}K$FdqzCm0Iay8JL>R!!GlkW1yV zy7f{z{4nTCM6-{LB}QLJA#H230r2Q=Y!B50X~$HEk>s`{3@y+!jH z-H*o-fP*PZm*zi+8c5VD?g8~&ZQL@E_wEilKq41eQPka-CpVY8K-s2qMIBAVQcQ~F zWRp^X(5{kN;B?rI*ooAvc@6YLzZ&-qZo546;s(j{*&%_M;#lJ`v$KXe*H*R!?8f`_ z`CkEGhIZd#LO!M?>;sG@Mz=IMvJFePVs0)@{d(W{zWB6b165UO@2Y!6JY19KFZmy{ zK~k>N(mKe+0M~E`Dj5Ax>PTWY`QopnFs8g*+R?WZWeV9wQxHtuCi%O>kHnQRqQfjv zL>ZUPR##xwvEO|l3n}g~%pM=AGIc~^Nz2II=>e5x@>a_l#-~=G6aY!TUX~{P$6uAP zcG9Z@Ty|22#e8V5m%^pXD4S7XYq2YTNeWbshW%_UjHgD^%Te6U{p#kUaxe~hZo0hB zr;=U^%4m^1$!!aP8pvE+NL(`5f=69K+iox0ri5b4hRysG7&?Zd2pS6k&URefsz;*b z{P8NO*3u-G3RyI`J07chf!^l8TYEDW-m1B}K~w4twXN9q#-)yt zBSlj75a=mL{4rZBKS&Tu@0AF(2j0hSEVfj zRWMZruV4V&lk&oKmqRlx?9Ya4a)3PeWrQ8qS~6UFfWTi2l_RjuXp$_sq8%-Jlk(|& zNTMPZ{#BJpnaZ(1rQ~)B5Dm@t{d<0#adEVZ^i!*t{3$Ogcpve5)oK+>=1EWKQyoJT z)RsH4SPxL#mAFv5oXuLIrXwq7vloqjbzicER!I?*5PVb%r-RQ!wT-_=d})DHg{yvt zhHCS0O)L`16YF_#yV!f3Alq+#nC#h4)R$-$g+^NOHMH1{tMcoPG|Gw8i*;KxG@`I+ z0nDV?ZN2^$>R6I3Z;yLpo2FB4V+me)SDcj9_`0^;f6SAAd5#i}_Gj!9dzoa; zzkqpioEb+Jahu7eF#6+!s4A?#oWT8H0oZhHRvW3kUOK)}k+GQTeF7^E*3{N}qCHbj z%|30<%$kN!Omw8|pbNFTkfBA1yIR{4Ph3HPzzRZ5+__capJlppxlJ@{tO2&)JYB*B zy=)lDHI(jm{XfRoMZiBCl;rgNbW%e9Ic4%EN%_$ zVl9E)L9R0aOx#1+VB;JYDtTI=uEdrn)J@61#P|67;!waW6lKN6P1diL2sisbejN$n zc}U@GM&sDwN^?`!$XLAm_KB2~l*qvwrH+<17VbsG_v>pML5RB@+<7A~%#v>wUH)gf zR&lJ1pvQTkHa%};zU^-J-|hfnqoXMnQOXSJ0niyoVh3mqY?Qt!a|8I^iQ{>UvBcKa zz`E&wPUB!I+;G+CWKo%FjLyOQQQkB#GPgYMEl_HVS*CkMp2ffG7 z5k9L~Vql^L5Z)R1{k<-u=5JG_6H#h1!TW@>(@Zbi?q=LMi zM*Dvs93m8J?j22gbn1T2_+mt@=#U{-KUV($VYhML?$}|{q!tQV^F}t8gQ*;>jLK=( z%qHukhPb)ho7f9jC>m{R9A>K2F2(!6%Vdk@m>v>tGx6IRX4DT7Jr-`Q0>cMo(95Ipm@qdg->cgYRI&uq zlAeBO!mSky$+}AuwxBjQV1Dt_?8QMDTteIQd-GEqlRibUBCspu93{n?aFScOZ3|b- zkuO(ZqvlPqAW_tIK|B-h%zUjNSf1?8(ItntQKtUq>f5(XuWS=Vq=`NY6;g8^5|}!Q zFc^D#cfJh^u(TwDS^d2*;F?14FnNd7YYzU_$5$bJ{Tv3f8;SJ^Z_$Rr zQ%b#O1hqT8L%Odz_lE4erMTOBuDm`ctN;@YkYsueWE_6~%dE;`uT>>}HO zKFkM+!ivH@RfZcDX&yxtKLzPQ#N1U65K^&OB&Vo~p%@F98a?&f^X{dCTFkwDSgh#m z)SuP6U|{&GYER8^`>Vb9R2^EEAm94sXZskjqki4$JZ6Y4&M(ub?}&(5!K&3`a0l=( zlYn2E@81b_76vLVcfP~nj+NE-74Nb8aT}9LbU01}Q|M8s_5%HJM~ik;MFwXu0&}^? zPb~RHQ!xihMGt-(b%>BTsOtNtxh%PzmNr{eG)^qYNpWyUW51}2i+fu3#jByGF1**DJ;nN{ z(^n^)NhiNgo(^bCmCP3CN6#IVBJz?&RT^)r^RI5VJw6t(Js3BbLthTX7AE=L!>3lWJ1rvqFI*EgED1vgU#q8Xwp{1dvq6V6tj%a|^ zT_u)jQeQcQdQQbo+JPageeeq?7L(sPaay{Ixyg()Rj4q-i0I?9L2 z3K7JfVTj5b%rxq5V~=pfn@|GFj<%5`&YN;jR>rp|0m4TTAf(q9_^7JlIO3D&Z1RFt z!HAg7#Ijo8*b*6iuem)0f{_S!VuOsx^9iNT{6J8(= z6-}X_jS*dDcsi%gf#I5~gW*^qc@UbHoXt9D4;+P}Xd^cwFg1CFmd!SjtQZrCC=2DZ z-0+bII?%^v;sCIn(rT(OQJF8PJ zqzfA;{G$GAC}z^BsLG>u78k;J)^(J|RGTKVliX)N0O!=XojSzwQ|f&Zwv}bj!It+M zkVso@O{@vPm1*Y?jHOenGNq?FJYCJsJh5_GdO=4>Ct2nU{$#R9id9CS6a-yNK()y? zCu?GA62M0t)_0`w2BdHbEJqd1#`nalGEA>CC?B&NB`8_uTzl?%;RK<5zohC@{tP&k z)x8N0JA;0f>wGs=D;)^M_(JfpQOsT)T*E${hFw!}OHUZI(ngJ9&g>1NBoP<`Rly*E zY*jY~+O96R8+WaZISc{Ul=N}m;P2q>BBhZs#;MDz6$+$+V=Q|684>PJ#49PbE!e@L z7NsVUqKv$={22L-eMx>G%wU3@9e`R;WtK$;W(>e01J=h;9mX2qcF{)68+A8IKNsE- zcq@}!Br51$N_@&UjbZa=*7bUt&KfgevfZ^tsuxX}V?~5r2dNH?mveu= z5fz^yPrnBq508i!moUs^lAkH2Wk+c3=1nE8V~vO)X%;TT8v-mYb{M?9*+$x*xoB`$ zF&W+95mIjj{2i0gy$uXA)0bOGD$dO-3lIwj)VBBq0Q|8lu+4tDg=Jx&g$DA^!Fiic znL0_D(xzIc(K5!ycVBNSWmW@XOBE+^gz97%8X=p62IW5d%J4V9e6!&y`iiHSDq*LR zNb?Ei(*AO(D2js7kn95J3Rr`&zWADzFb(dRiKN!F`XEC+)q!hoYk}DOFw$0GO79Ou z8Vt#72qi7<6;;V#E!%6`axZK2#%ra3mXcx*sfb#hjC?SFB$4U3@lg6UJN(Ws!p_yz zd#u{FBjbEYs)iv+=~UU7qJ6lxX5&;@OA<9g4N+0 z`vEb1B#GTa2w19v@EF#Gn0Q~NQn>17Rk=?zY8zN%>Gaye)$zUVI_xpk$Xoe8<)%Pv z0}!O2VtyEHN97aVi^BWky-6<>bPYvQMRzQ8I4a4a#02Op0S5O3T=gW}+Zg_Ut=4C; z5ba5ob<$_7)sQEUf8q}<2lbe=p^wJ_ig2f7qd92uKJOl?O|aek3AbiM6i zy{v9U#@EJ~xW-1K&x)#b7`jRM#d=(PemEvnc~`g6SPNX?(wUGH;&RW0X5zZY%W7I^ z-At2dEEm3?VoznbBwpAH6<4WgJZy}^4$^(O)l82)8&XtK&E+kmrIo<4zMCIaz$Jbq zlpfk~oWay{99&v&qzPI|CAC;UA*H8ttfXHY@jV7n!_?VD1zXQiHmNJ4vGQRnBYAMd z+xeDV%j~u`wZ<;6xKTY}5J@(OL3EBKu7-n~C>^C8Uu}o$#lczRuHe2Bs^ba75D!2g z$j73s`k$A#TnCB8Hx4DrW>d^>YpD^&Jab9P`XtJ-unks{9f?;{s9BxtNG);b2cp=K zdmK?xpmA<5ce+e~tZKMYu;B_O1(PzDN(PcgKN47O!veBo3zD$}VvevFvCt zWT}~eaW}G&dCwB%{FciN7G7_d)J74|3+Ofn&30k+y6I84xFFlEWtey4s%CxI({F-391%yuQbu8}c_JWd44NDp z2DZhA!u^ic?qX??D*4T5HVHW=;;9@266?727i*FHcf_IgLiJ))o#8$Q$~fkPEnIRa zgex;7(&```l!he*!Su532;3d66>LM8(gF*lGPfyhj_bmolKed^np&SQC~iWlkUN`_ zMcVxVHt*Ei8S0qYgjly-N{W-*ZLe`kgUBqhqb~}%Opd^Rnxc1RC9YLU^b7#Llj=7+ zTM*L5RjBeBW)B5;@eKg9fKRTh@7O6I+bK)HX(uB9lvfQa4oU23NH&+ zFQSt%kVP7^NW?0ti;_;j06L#*b;NFVTcW8_H4F`QQo@hG?;h5o$TDhxt^u)lRPWO2 z(gHq4#Cu^jU37WCIBJ;=W%83aV zmQw{doTlXI%t~f8KxhSC2wv7@zt@uX41@Xr>TBPiH_u}+;j+QFxlOD(fE)^#*-bjc zvdHI2Z>Zjr>_Jrb{{UpX#fH37W6VxyL%@`BYCdI5*YYA# z0T12ZVnT1af|uw!;QTfa*Kpu9?%;`O6o)bp{>-yUb6H+#-(1dpME;@dJ+ZT=OfWz| zxUN;pZHbDEEyyP=acz(2!%BQ73zuBGpN^-}#K%g6d6H}izviGeyB~gvH#=g#7m5@| zG*voa0^^`luA3>R$|%;JnFKKR25Nbap35fu4;;kq!7<-0Xx+oRQu3^v2 zTF*2umrR>O05=Lf&p=rF{g~F^uua1>0j}jkim%q07910PVz48<#{U4X@5Pb?nOf^% zbr-@-3)O|JcL(F&9F+1Qe97t>uY{Vu>7=#PfI|yh?tWwAjwc1JB9U+{*XO<*nF-D1 zWl@T(#&+`%*n8>qe7Cj(t|TiykExO1sT}c%H5KSrVchiFZ`+C@*j}8&Dns~Z;>rxF zvV69=bu~wqB`w=PGcCuKSc0mqr23AM3fow|_@9)Fd1SP0co&(l(AcZ26++Pf#^!X5 z&wY^xr$u111p1_lxmgGrY(QcN(mo`Mfoyp9N8)NzWu{vol199zSmv(W!8=?HJ3kHO zD`d!Fri{Hrs`4J{NsR#6b_3Q*o$Y&A5=F5q={b^I<`QQ%rHuk3YnVG|P=gTXxCXR= zup%5uneGyy2aPT?q%hIsl+`j#H<&6a6zq!_QX{w|*~?tpVgS2!TyK6!;d4zu=Q*?4 zE^b|fz|3vrRX!!|CI|zJ5Pe{M>I-SoqWghk_V>rChRId$c2ys_g;**o5GeYpN&8Ru zF?&qIKwfE#etNDc4vnaR-@Y7#l)B*HOvIhK?eB`Lak2{%J1Ov@yI2Fc7|T6Sq66U^ zv*)=%v9Wn~`9&rL!ZR)|mok5la`fJbaCHO7ec>7*k5~wW`;Mfu2_^s4KbT1`%p{hz zO%!!v&Y`W+<8ksmu!WOc(Ct$@%)ExCl9i{WLs4gqj7osIg<k2 zk)}xj3l4Z7G656f=b*xLgZfvt*6tQ-M0ofq9_4c4k& zVq^0d5K0#scMWYf-_y|V*AvsGDmnsbszt@e6|}q=QKcF+ETIdz2W{=QL(qDS`d-I- zVrEhg1}5KfkFS&7R_vU;sHUf5D;TE$G6p+3{&OvdsB|C?yA8-41))h<&ZglP1#_sl zTC%z!QB5D2p+RIMjb~Py3yXE?HY2dx2vRK)c&S+Y#EJ1;DlT2-Iij@9PVtSb9^RI= z;fnOr(#NQ=?T6N2X%V`MuZ@>(pOlqTepckgzN4^EZLtS^k8b4Lb;MYQHi-OD0rvG< zIe#bW%Eq#8BqXaZ)&Lt@-pozx9^srJ1g_ibjTJ6@`d@p-qXW`4>3v=MO`lXUcw8He^ z{!r!u82*!IEg@YfQ?(y9pvyYGF#EwI4!iyu5%xz}Xv9Syu!W=>UB)o8qbTUVB$ zn^P*ASPjT3cG|+?+uv!MPqn3@Q8|O!2rKI)<-B!`GU%12RXUSEuw!cpJwr&gZxP3KJz?JWM%a!xeIMwHnqqWw|nEXspbbY zxNK6RUo*5Yh1WS^sNq^EsV0a;Q58x9Vp!`?Mxa~Vdv)vWiuSm)jzwSOkY{k4&H(1N zXrE6jQ1MGVf0kI)QDjw&rI`^z>^=kE>u-Q@lm$Wygx~K_QUZ|5G)PRRh*m%nO^;*S z{C}f-TrjNldSppUnrff{4%>Tt`~9BZFKkLoAc0a#g?wA_rwCFSI$YXird86a;bmk8 zrimn0b=#$wTeYyNIJ(73%uAV~k2%(5Hg@eS%<@S7qU?1REPYH*Q@>Jgxx+(aMHNcL z=1!$^=3qesNF@Gl{{St|PkeSHsKwVqA`QoFaji#xYg2fbTshy8Npl@@(zsD2g z&8Eqq#=KOHi^1<6RxY8FRETTHdEGz&f#GE)YS>T-9 zF$8l>uZnI^PvNgNOrD1@sFH@JoJyBshf9)I)Ehm<0*Z0bW? z(r+poNlB>bUCFoFeX%fT3Y$Loz%ik5J?POAV|+ezG( zP%Z&DbE#+0O~r<&{5^iAEqFSGJ>lm*dr2T;Suc>%n=pt*KkmqJTmP(Ano00L8tz^-NBw({hUPq8hS9Fhk#@81&-*Q30?4i^E z*)|IQ00h8WEw##>{2}5$Bg!}($!|KYSn5a7b%+ufJhG~)P?F3C^G4U-cE3(yo+^x{ zW;yZXt~xvRCl`LO^g_2Zib{HD>12jDWr%81Yd(-VNVhtfi7Xke!=}mr#nUkv1c;d1 z??crE&m@~2wM!lr<%Vg*H1yN0B;H95=vEeVxg?T$3ozIfu-JFs4yyS9#oI(ifik5x z{ShRQdC_z{IdPZy6mhg!wM0>QG~#f+WTj(9Ds=7`y_cnrQ?b4P&j7)MeXI@PKfW+| z*OqfyuPM$cYNJWxiPEphV8tC>f>~~UvRtoCj`zh|T3b+_A-}qd>oZe>7JG+*(KozT z;qI%8cxCvmf~KCLDU5MJPaBzPNkUA5KsJq(4PITzAdQ9&FX})p-0G^aZ4YT6_#yHS zVh+UoErZ%+WE{v|-$>VGAbh~z9Z_T}?GFC{uk6AJWnP5~op$fI{hs(vvQe`4W!x>U z2)FpY&X{6x;JjMVUe_n(j)4iQ(iCRgUv9f!4usV5a*s2ED$la%y+~Gg!L^G5ZVLiz zHz%d~ZQl&7dTyBaPQA)wOb{Th*NSYkQE?N@wrFNWDC(@B+iii^+yKVb8|*ELK8UDX z&epU0io*~y0C*+=WNQsSoGv~irZfb?t}Rdh0ET?79OH~KNM}`&Ca;59FuIYbSP*t1 z<8jdRwkD)6JdeR}Hc0Ou5R5_%%6BGYXpSC8~%OrUIIgm|S_THA?Gyi>hf*dW}ZbI60yl zJXKB>oSER7f5J7F#YM!F<}^j~BM#tgxd0aOa*xG1n}hKq}AqhF2A0f&O1 z%*`st8dWn@tY`vg5_xMimi8dB>avT5V#MNxy>iOokYd-`=)6M#A<+^&bWQ&N;MvUA zE3T14YgEsDTdU|t(2hWM}$f9{yfTf zgC$?1!BHV>!QugYmxO9Ek7k&90Tv=pRlzsJw27wk8W}%`R~;dPZY_WvK@)xkWpDW6 z<7}swIXyOCC{?MHv(rX{OR69s=_)P*F$&!;x$BC&dpg))16e4hG*E2m!4BU8`9}-! zUlPpRVDUHP%#_Ji`eBlwxGmkPc8Zyg&r)4*claZVmh(4< zIHqsJw67IJZ5wHfMS5u!2dPk%^4tO0ZlrW3*maGLrhOH-s1BZpLin!mn+8wBZ6-?b z(o@z(CXNOS1a3=f2u19dQb7FD!FpR1n4ECIyD0>Sn;@~Y1BP!nQ$NBdAhK>uu>5AuLg(H=~>k_GPv_oA2Z^%c*6R!!r9df=)WJ{daq)nJh z6HhHmE&PgmYEZhaRO!1&M&|l@3u5oAfx^hlCLb-=SHyN*!Myt5YP|316z-5j@={id zEXgwLu@KgKxw06TUvNO!906JY%q(_R%>m%F>ADTx8Tm;EIXJG1CaagqrjedWx3e-t zH8gSiJAtHoZhK&wZOpR_rVHa~NB+BvcyEvpLzdITlyO_r1w3C>dTWzT_C49I%x~8a zR>iiF0Pe5Ly0D^;jXq6eJa@wtJWoXoapn|pq{3*FD4r%|3?u@IA3_;0uRi&N%lkX(LuhW2u>LE(668(!%>}NF%s5IGLYvroywdJJG%7 zUp}ZgTU|>*TNPu;RE+5)QEG)iBw9%e0Ijye+k6jFu%-z+U1;8Ni9$ogRX$tKT-oy| zA~e+usSIols=;*|fTF-&;@GzW5Dqz);5hc~1$;*# ziLcPw-9KIytFpgZVJ+6i{V)DJbvG^LeZq{&N{fZvkFY28V~G}}p8#++W6d1O9IGsW zr>vami4<;imhJTFI;tEESt0ZoeuFJ|2A z0O$$!7^Sb$IUHI9fx0o2Z6pzG(*eeuyR6_z!c+k9HOfl6s{yh2f)2zRd@e9W3|nh* zJQH0+#DU(IoP*T4{UBv5QbJWg>Pj#dCtx?e{{Rn$Cd7XOapbG2QgvGdqUO~KWxQzd zqa8ZwtSkZbBG_K!U!c{0?5})RbUjg4l#MSFZ3?@@K!DlMT&=GWkhM-_OkOb>wKbx1 zaNdU?-p5HScHaA2alRYT&IGt_9e*!^PdSCP-@zz7b6vi}C zzPXk*F(C{H(pU{v-onGJ#*(Ha;hJgzCA?KgYg}R49brs*nyL(@j!7r3qoQ|pNNJ3x z%zZ~`5;RLBTWPr{3ANPR9AYX}bz)v*_O3U$)oW;AphyuTvpT4;&(0j9$`L9ejw4Yt zrCvzSq-eOZXtFS1Llz*h+kUsT=&H_nkE6FWS&5`6(}#Q0s*SOw#k=(B`*EkyP(+pV zsks&ala8c9{CLSWUBLaFdSRt-U34j;)Kopnk~TeeJ-xp1heR%j-4qT^a+Q2S&}I1y zlRYgfDo-&MVzEK0=@4uB*xRq6ZpV9A=FS|u6_~(=o*RJ+T}q=}OgCQ}_d=I{?lw3u z01VlUhx#&nzs~Wa4292UX9e<~gKvV^7aKvNW%2&wbAm$~Ntgrw z01r;T-0{-cNKt6ncldwsd+@&t%ql3V^C+Y$%EV6@46H0wfr`a`2j(nrEqo&@jII(C z%xG?wUs?YE;QZlEDXSHAlGZeuY{gzQ5A0h^V&r@EJ@1IH_$J}TR?I0XMO{FZ;TPc8 z;H~t_UY?U<=Pk7XvHoupK9Es24#TKI^Te+$Uz7QR@ac0j5D`&FGXNOJGL2ESwgeG- z4&wg+or+r2^1H!FM0G|6g+$gM;elAMB5rZj#eiWXq+f<^S3fqmj*~4`^30ENv(reVB z$MJDh~$2I=|2Zs$& zWYu*!M72;>Q^O?4W|T=A2-LAg1x0}aW*rT>i{Yl91r$db$>2p%bB8*WJX5P z`ok#qnI#}#k=k0=`j ztiaUkb3FYgeaQim@&_ww#A>N(O)9pjQaHo5fw@pchzF*w*S~Bzn7193yaePXQI};> zxXU2&DLlvO-w<_~-#pOu?9<$sUTWt}nbuT1u3gKy2XTGKw)Qq# zSOPX1V@w=OO7lvuYfZP^M(Vi>cDM1@2eheJ60;}ZDYLq9QY1uHByLGQ*FSb6bwCxZ z92=^M3+A(cMIMtSR6^j`Zht`gao)5YRObTlv}55o>`aJil2~ ze^o`k)&Z}Mb$}##Ipu87aMR>9)SBH~Nh)a~`&pD*aq+*%;jeITkh@BOqVUtLm6E(p zojebp4&RGs{{UhzZ9DlZy8h`^d#P$_}b$AuwxkkWwEuzN0Ky2onk>?1|ASn zy;f}&01JD6gB>ZdzOCjPfB@@`LTM}PRkTf|O~+$$FqaM2r{f6^=O4V|sfD3^isv`8 z9sSthLS|LP&8wWPi<{r3qmH8}GD_r26tw|DE!Eg*us1G8LGSYS$66#6sib=#DRGcy zbJlRKRRu&ZAg8FPN_PUtqGr6YInoZI$Q+epWZ3C8xwM2bb?qO5h@RPLv5Ot}@zH)} zVy88#vq~n8Clv9PV!@IE6+$H4BLrAR)&XoZ^}r9LSPQt6Q))u(hV=ZP(3hN@djX5EWxZuxpUSn<=?w zH}xC(K()@+0>idyS~NPYNMSN{@=a$O=1oxxMqW7=%LR&$QEP2tR1wpAY`_i946jV< zwUVU#!AE4gQUbfM7au!dBuP#`E~zPV*K=6&1_Jt&1AlAY=Mfh{RhWz~S~Z0I$-%i? zYCkPD_9oyBt=rSr-x76_t4Boxysi|S2}@OR4M7Y^x0p8jNZftL4HXwEbX$Oyru#a{ z=Ex=TrCVHVLAtp9lAhq=auq|ET43tAF<+qlI9jGTcCzX8z1Z~l{;Y85yG+4Wisjx@ zK4;77DlEERCZb%KW2ct-bUcudj7r>#F}WjfZbtZK2=+ndek*Z^8Ke#a;G$P2_!~at zC0#WRZBg{MsJw0|w8*pV5oJ*h7 zred1H%`#Lx&j@p6EF@JSOB;GF0V8Y|PMf@yb~=e@&CNj=ScU|gG^!|o4TaU+q+?2fMHeJRQwDD7Lg!HtvF3e`AsZC0V2Ejcp1C#wF zJ9OU~2e_y*lc7Sxp8gK`m7G!3XF1Mi4sK~t<#>fmtl$&W;E7}4sf&6K_5Wi>=uJ#?^(tZWU) zxYeMW8yoL!wzkK9#)qUH3!1~M&w{eU(DnqLSQW#aVwS z=vOYunZB6HrP!$0vhM8H^%4otEG)L`z4DZB&c{DBVc7=A%H#nNaH8cs9J%dIg+6TZ zk#fg!%y%aL02AL~jZ>Z|i8=s? z4))mOaX5!#U6Gn@c`X|-?8AWPNIP>#ukyszMKettDy*P+V&s597A_ zW){Jd=!Abr1F${eCgtb0Vw;O)RN0_W9+P#nwuzX`GbwW^ zUZQp{B!sg&8CPIl?_5k)U5(eBn1q-t`6PK6vA{ZlM5wBqh%e z&E?U^rr`OgZaV!|=zh#WP(rlm@-z4%&EZ$WZcWXO6qhibnW`&VeUruH4Q6C52=KM@2kvRW!;aAU~933FSR+a6US>2WKzq0_GKzT>x%)1Gs}vprCp8} z^+89Sd_j|OKN8nfWDt27WVH;`@oCaD*j?bqAbn~4D0j8Mwj}{V;=WXQ zoqh0%>l&O+2IcI$VKE9!RPpaDfD`_eN5u38pzn(OP9Rbo(X3>iN^$U?2dxB9Q6xH3 z%vjaWtJFvY3tVbF$yk;E!Pi9E&2>k1cH3xOt|iYP$Rm@_nc;>t3^&_K zYC3kl=h6{(9ZmaSIxKZ)kO`h@&Xx?c2M{a@fShs1m0Wi&red`50FcG70aREPw#BW~ z<70-xHh{3d6eVh<*4lJQ2oXZq6RP{4h7Lln%_Z-o;Yw=!TgKaMf4_Vs%@@XxG~Aox zib-CG1Aw|fweCgkejg9B9h87yg_a?wpn8Td7}_)km4elP<{O;En80QS(!_DJwD;qjYvGR&Ox2YpLU2=T~lo ze7D~KJ%QkZy1ZkEDr0i{#id45PZb_>NR?I!sZt~n$Q3~&TxEQ>Z|uD+!re~z#$$R0 zMp{{0I!NSou?1PvuQw#~-}gf6arcV+x~_}K$Y|zjrxJOU6^=h9UvJ6BAEmv+b*mvge;^Hg*Lwk?mrVDn}&cUqCEuc&>vYDsUw!F=Pf>59yDh%86Cp4ua$ z8Ap{w6#Vdl#OK*hVX9H{{G6DN4rdidy#D|q<>-A2`~?1Y2-L1UQCP3sB$i<$zyH=h zm`Nu8(dvT`ZfUQ-J)!@V&DpC)5vX0WjcW%vm+CIT7mhN z`1|jPsscd<44IzfJzNlwTRM6b$(xmQid~>OEPYL)=G{n)+=~-yj<@f9@SO5sn;7y^ z)5EocDY*EqpyjnXJW{8kW4k#70@wP@7}&8J5=a)<;k4^Lo6Ang*i?UZmcW|UiyF=4{Hl;i0L~fx4n+zl7^-XxRMF(Uy*PWbjQyThif3P8W&Kx^ENlQ zC$+Y}d`{<=5&?jurGyP=F1&2Dq2;V&&W&?pJUvYk!8@^~Y*g&;h3VqFT~~Hk^}RNE0UeueIQaYY`%r2&F)}3lI&gZ}m;>Z+qXS*d)9- zm*{rx{8Vnj2DAqF{z}=;ICdB%SsEoOBhpFTFVSwcCjE!7+XD(>rO77qI+Zm{IBR4? zjaNlDd35OX6kYV&VR6(8-{3dc986q)4-J$iks|tQ3xoWeq^UOF@?fp4>Ggu5!GBoz z+~LKbjW5J%fqow}1E7ed^JX zO@wY@NDDH~366xxQiwe1hN(*3m5q&pf_6Key+J=@-Drp!ARC8p?Gq#e-N*p9sB#FX zLyb-Yq15*wk;o##_pq@x8-Pvi(*ioSXU62(*0Ls*BHeT%{EGD)HnYVN%j;Duq>*hQ zHw5lF@4s_xutQ&$zUp^TwT7{$1=ps^>Ev;6j#Wy7v1@O!9Z$!%!w?pdb6j#QxrTT#BKhS}rcWnAwcJT@{KvJh!mN-)1tR1i+~ zJJ?#|pz3)5n6CZ`U{2=RuutW2-)mM*0(@6>VH7r6LpfQF?bV9NV?(2RLgW* zTG!w7eZL${?! zUj-?RbyP!BDn%qD3$SHkH7M-saNW1t9DDMK*=cAzRkn&AXMcpof`Xz*sj_(|i6mu7 zK9s4gLD{tVM@S=ZE={ouYL^Lx9ZW-5;@wk+$-f_&Pc$=V^18}T#bQNblg(6(8E`HT zod^`LzLHIe7Vn9gb123nNE}om{33i~mvL7UQ!NEdH6oUcVwt0LbyqI!r&5v&07dy~0j} zp(+!o<8jIpUGzAqWROJ9Bui_R1sh8MFQkWOECtG3>0684_5i)k1e+5uX4>iaaZ=u? z><9uiwDC!N{WAR9KcHIS38V9lww+ZFpCPtkA+@y)yIpJr_QiYJBpyb`in$WkK!IT} zRL~{J!jVXGPaHg4S(`(m@yP zzw!5d*hKM74X|Gl#?x2;JAaD_Ae0g36?t-j6wVcGWew1tz#rH#!zDSSutMb2W_4MC zywbB=_dEUAiz1V)BZ~Nio@=X;Lv3UGe_lD36s)M_W$y@iWfZ&zkuZuT0*D7~Dr{Al zJmsZ zBBP^ldpHsBvs&B^x|rx<#zHg&YwSappe z3D|7Bsri$_amZP6@KXXxlX;W>_DV4l|*5WQsPKL|=& zRR}tl)ZRs$F$UxvcD2tkl`PpN9wL%gC<-{J|GW!%z~WSj2dy|k^I-?v|KwH=2>GIC?;mnvDt1&Wp0e4>b18* zEP8@w!DGvgN9qTxr(&={?as?_A9`b>nVfH7^B>2)G#aV`*j*T<(nvd8Tl8b9Car`P zLZEt^aJRS1wf4si)5CyM)0Nbcb4w8iw??6)|&dqZqCQQ?F)y+_Z&8N(*0 zhF>s@4N(IVp86ypf%lERClom9U8XyOp%&(6A7=iDY4~2M{82@k)gZjlEG8Bjy)FZg zY@~Do_d5%GMgi$!W}F)bNwTA0c3~1E>JHvULOooY<2iYY!`WtUQ5TanWh_%V9?au= zcdjyPDT9|FyHKNi3guiD~a z1=0?Kmtm*kx?HT}r{eUBHqC-*A!kZSkd&G-#3`7YS~&=~0D|%QgA3!Byi+jaDV)yI zHs-77(Q~^)!5i*T@{cCT(hTm7Po+sL3!-r0qO%UMs`^xJRY2KR>xt>%+Q()Dlf<4X z(uN(Z&_^Mpp^^Ab;>;6>a`_!vIyIxyO(HS%H<(PfwY0D$Kpkyk-vd2?&oU;~Q{yBw zMv|ic03i8$#a#W9`8Sr6P*7C)GR%*1WbkVv8&BV}7b&L$DI z<<~1XW5NbYJyg{NK~QSzs#tlGGJ;hRZ((zPE+n}m+>&l^@~rKzXVt5S-$ zDIpdN3g1aSrC;`DJEd%z7pSdWd}ZcRa*KuP@@3cL*2a+2IR?cQ)Utw3_5-!- z561Yj#MX?oz~249F1&Rz?T8^lkHPiE*eQLer=pb!?9*CPgy>PKvFl-AvCv|J?7Pk^n$U|tH%OT4#MlRec)fF=N0&@YjCG4 zrhN5AVd%FI3z}`*n$9NS48wtDjMc^fU>O}qc-q!B)Gi4Z8kFukj5`mDZGUw5XjD}w z!d7x~jmH7!H2H}pJq*@$Z3T6LrGWt2+O93W!q_IWjzb#3Os4oCa}uRr7xRXngKMXw zriPWJiU_I}vPP3z4Hq%O5S1m%24`#C)1Vg^#9;7;6-<|$q!Vdd2Vv>Z%F+w7d0zf} zQ(@0c&}F$rH8C$7)nzC0Oq---K>_2ng~3}J?m_m!eH}(>Oj)B?FlT}b96EyyJ($x( z-gtk`#$TUPx0JGz=(AY$_P)TMtA4xfjXosBHx4Z!P_`UE(&Fo}%>gAx8#Ixqjm*^U z6$u&%3f3B|ZV49Y+vkd&jHQ;2QLuIV5xAHU8VFqv6ta2Q#4d;<4HH>GE`GV)iMHnZ zfwuVXMKt!gkeyYrr-JMFie>yumNF?3lOBytsfDT3FuvdoTCO%=b~^!R@Xam6JRP}4 zrE%28QsEaPOPeUSljn2fbH!6m{#?+oWO433eZC&o#q-!63r^uy1C*zlembGzO7^dr z%`#6KMN?1ZnMRPh8c5B+XBRAr`bMh~Mk;W)XW?a|v<#UsH?%}8Kep4V#+MQt%Rt<5 zNp59v(($EIM^3DiRLUc#M*786X%6D-1dISI6zK}}Nh{oiI3CosWNvoqto z7P!WA7{m}JU`g6ID{4cj1dpU=Mcaq) zm2tugIiO?lr0e%M#q5>OZ#)*q`;tC3a2x&CceoV2E${35z46H_zT9{(f%r&x*zTh) z76<+1{{ZdIIviJhxUbjzB)o4Xod$$hS-+_^`QKLGX4vjxo$aM~*8UPMH<7NgQ7FIF z6r$U87c5PW-@Y2>6z1bj>FT5L)x}&*mHjIznvJTWQ|Cb?GTb-Jy4&45F$8ua8H)WQ zQwvfmd!Jesii4Y7y`Ou8p~c5Mz|A=JwrZ@}d1tGY$&ksj2LKI{z%76P0001D#?`w* z@-^hCtC!O`U1AQ26;B&XZNB^bhsWWFiy;+D7i+JzrY+M9gjmD{ze$&g8tiuXcmDtf z*@p&`vWBiKH$?a39Accjr;<#zQk7DvjooYql_G%ZqkiCxR}H?$YvS67G`6|kLATsh z1|iwqIiy<2b<2Mk+)>IHT8}T97p8VDu}>J0QJd=(u~N4^MaU<9xRf}hOA*zlIX?*> z8cIO6U$Wc(z&Yatd~y5H(k{{Sq#_kt{{{V!WjV?lr zzu)}J{{Y=E=_)^NEA_t#2O9yd)C{89w9@V`eYbzvj)xV`Z7Y^P2^Sl=xAh17NI$>A zl2d)SgoEZ+j!rV>Ze2E2Sy2o$)dNhwM}cBzPUv3b={>-;`W>*_l*i+X#3*rDICWhL zMU-CceNBDH+iu%-x5p1vWXjgNNHmdujko*p#l^273JB*g)M~U>&L;elR(+U&%br zhx2T_fg+mpkx06_6o=BozWa`Z9{q9J#sacuzpfV%>1 z(!&uvhQcC^7ggCst}Er#95V6J(^sUm6H4Sr<$S5Z*-`+cKwG~jOOG)P#HvNFxHxD# z@IvET)v$|^37eP0u5|>SQ#CHV1?}gKg7@Cm?a=RlPfAl0uCb!c(4*H09-yVK2Y7$Y z^H5YtNqFyYX8@gvy83L^0_MkHN5<8!=_Wjgo0wCci*~0)Ik-E+KM*|~H__USuT88o zRDe#CrNx4^f}4EByW9-UKc$5?9?9&?_YKy^qk225r>)7-4*vjhAzl`EP52Kac&e$C zR>@Hznbd~!001WB1EdYCM_Zow*K`eb;%R4Y(wHMwdmsjnzHyTwG zC?M))u{HzYXH0di>js00F9lfT%F(Glh>P-T!Zj^kQA*xSO(RCKO3te*$i$22f9Q+a z>Hs5s?g)~QlNv~wBSmV#)?8=^7ET`+creQ5`l`Nhj`|9OQ1>0cU>B|LeTc+$Nu|%Z zalyokZ*>wmiOA|+3t1Kl7z}c&FVbX*iAFnG3;H!TsHwN6*CNDUk0Qyqdz%p%f-w$Ypp7mLXeARDoRwwa6{{T)teg6P>*}m;Kv!o}>?nUA>Dz7z4 z3lnY52Zfb#*9fHBrT*{mV~Qoa3l$a@8(i;fDO>_li#5!p%pi%_N#>=a^K~&+RUVLk zvQf8Rt8Im_!0nXoU^^}_^BHbk&)Rz7N{b)|Ma_{l9sB#?^0;iZmOUGd{?3?7vcFk+ z_s16rUy0WVHEl^$CzD>4TPlkvusTJqN4e@xz46&jH(4XBfY?|bzdyV5VJ1rW^A_56 z+om{IR}VANz#I3+N-l)0TB_zn5vi~QFtzLsllL3%afb~&R+5kqIH`xg{7Db;Mv8{A zmBcSB(k%M?rfCqe`Ks0>qXm&0yx)iAq7Bz{SaqXNphx&ZpFglX7*alQ(B!RPQy zreNDn`MOE+vy+*ZGiy{)#AS{Y^JcLitZFWAV8usmRv=#I70!L&YJTNo)tJq&QV%QS zq}e4kOz8eviPDU0!UiV?naO;xa)=rqDmIF7cd1rTtk*{mP?URI%Ku=Rm`pD z%Pnf62akCbVhYac6lyyuw$|^68cTtle&kgqwXu|Ky4dA6Au|qWn(VNJ%WA0ThGk0t zh)d>2KAj|n)8?Zln*hP1W>&GgVrq1|KpSQRrCy{CFjGIrya*fg#v_na zLaXN}Kv?;DjggImDN}+PWAnPF=?p$*mvFf5Q7P9++xWI6Sv9*#`g#rT)AnPsqji+{ z<#*u>;+|IJRQWVeQB^@qx*=)fb0Veg6H-W*RlSzt;B9lZB4cuBuG`XNi-e@hymaUM z#?L5Zo(f8Oh-JJDPYbu@2p|SWke37!qynT~_r!Rz=Qc!I_t9D4F->#f(|y8MbAQJ6 zf5Q2kbIk<|`HM!HT%(wyiA!A-R!>oOH)bZ|NDH;@E(aLwM3Vpu54}T+tlD}^jb$*L z?(x0E-1Evm6jmi2EgLel$xM!|E|YauXLmY50j##U0{7{QaN;~wE+ZCe2vD$+gb;BW z2}~YC_|@V+57aVcvAWjf%O9Osn-vlVV(bP;9rkBd7-Aw-Je{P1*y@nB=rnu?>4OX%;Fx z=bwcqh|NrmMM0Mea(x0smDqesEUGSjt+o$odxhW?T>g*@(&@S82jL&$-Z;xDGS4c8 zl`5lX%*mn72s}3zDp) z0oP&<-@g%5afLk^P(e~h#a|dnozFzl7A~sxECBSdMp72qly9}k)%CmMJJB?{y!_lx z8}Oago*}}sS_jb);-X^aC)@AFnO1cne!gPY47UV#9{%{_pz55;c~{fsvQ!bRiyw); zIM{B01=lupUcXLjfPP;rbf|?jEco|3MBxabXx=MDN>OyMR&w@s?kqxT4}I8>6aZ~i zN`VzKYa>-Vzhv){4|FJ%9%gzCv24>`Z`OKt$j<>QL@ zXrd^FLT=7%peQ8V``8Zd8ZkK4jAJwv1&|6v8^UjT_BYzMy}u zNpW)QK_CJY3kzGVV=&!gvlnJ>)#u>3gsGU9F!n($I_e=s1k7fxmj3`*-MaU=`QqNq z3TL);QtKt9qU3EXm3fR&&sk*9$oC>fT|yNXjaCoYJ zL=t%1DBH$7%&g;zlTlIWTb&VtN}xX1>V40ASz)lkh=4Y^L}IHN#!r$*{x@{`w&~Xf z1Q%C2ud$djFfHxd2|{TAl{DX#jDjv&);`|<0KvmhgfAA1+yE|bVez@gViZAm{Tol# zxIWhV{_J-ubglJGLgL{3`+ptqq7+~yJq==Iw62aoc9B_c(!deF--z7Y_EtDqrs<88 z^YHV=8O}kJ3W_?3X`rZ?+f_V{i+w=|DyK@Q2IAwWw@tB7TG2X7eDBR`>AZjdJ4_yF z_ssdv#ddM{#<6_8+f?qSsj=SIx5KdLaXZ`^(Q|k+E26E?*vgS2YRLoQa zX&k?#5>1KR4f<`qA4UQP64O!AlKhpUT+=$sVyIwaYPxk`PTEY1aDQ$VC$mhE!4HFM z))U9>DCzzZT*hptgA6bo2~%AJQd?o5Ykeo!5{vg+7u0(!CxbxqC_a*xK>cIzRq?Bd z;?sKtZkPd7Icls-uY2^|Ty^+kiGUeKr|@0owH0)E%`}p`#S>FBQI;iCznQ40I|6Jk zYhK&nS|%#M(6FBz!E11*H7o0$JRw0}9nJp$M*}z7{w)Z<%Tol=71?L8*{3mDC4TweDA`1K$*t*i1&w2fljVRe~2ISR&VNQDi^|P436o;}?U%y1Sr@G=rJad^^w;9o3lFj^sS^?B=70=X#o! z*CQLBU^@Zu18i=YOfta*QlWOMikD$+@hqXr*rlX0xCgo44K9G5#^xxka^vu3&BYl_ zCTClktu(b!EWSch`MMFs)EDy<5+z&UYD zs#vO_jSDnNjU0?rZ=`A=i5KbW_Pz06i0J1$=4q3AAuHkc8hjH8nP!yyHBM)#(-cvm zePh;5y<5HSsY$Q~&8P+HO|q3JxViu{F@3MME+|&eHZ$3_i4n?9K<1t^D{3Wz1@i_H0m1UBh=S6LGe53u#|eU8oP3|BM;WMF)-N>6kq3uCaSp+o>b&@QY@_w zmPt@pNgIo!+p3e@P3%Wgi>kD;M=>F1USN^H!frB5f_^2aijtBxmU)?Djx-l$W;-YY zxxmkOXp)^qfvoJI6dnfr7q#{DYc_3}$464)<_O~RjDEqNTB$zaZ)3Ia_At9!1#0XA zS-hV`4ZK2lVa@zLRYw(hNvUTo^m?eTqD?(jf!!Pp%A4)DClfx2XpwNLYSPGHsO6yU zZTqk?iWi!Kr`z`7E;V_yTFv{D*A11@7mJ`f9Ca~!E7*X4kA@QAOCA;C=HctB0?|43 zCHjA4y@B|5#5F00BQV&9P%=D}hRJh^T;4ru+QNSh~z z?fx_J!F2HCs%%$DvYz)$HxhANncHX^M}GbOC-x81d|I)gnk-%;5bQ&x-bor@2Ydej zA1rjC!CQ&x`F+@GM5X5kt)=U0kGuEcuN$Hh5$>n5j>K4QdS4kzfl(>@;R!*{a-aBQ zzwJ_D953^3myhx;UWw4wqJKMtY6d-7STEcpmSH5n|JOg5Ni4!iF+L)BpIgdIlx7)x z5zjMJM;4h0va5zAtT!ZS*muK8QN7th9+f^axUV>2X{qSe=XHf!Zp7==)a~2e*lBzy zUR|=9TqVtFo-Y&-W>o7HMMNdEsnn7#om#-|ro&)vE_U|r70#;XK!DxKt~i-3k$EFa zL~5kOG{ICA8f-0L`!O?&*sDOvxVLiIhCM58ro#8@hH2cS4S>E@nRmL2d;9z`#)wK5 z3T3BIt7TEJ0Aa+EyAZS*CI;t8VtVxk-*vt?dSGqO1?r6Pwb+kuh5hjsY5WsMX60!{ z#Wecvx3L;+zh1)FAo{3FugI;(iKkG;;jewacYH&loVPe$v8InnwZ``CZ+vmwQf!5? zt8LqIaS(3lwBx3T>_alkKRwDDh3Q=5VLr>MTe zZ`1O_^GAYo6^TfY>OD0btN`oKZH^bpMY5AzMOfKNtcxj78`PizTVgD?2FCU#`+RML zA~Xr05pEYj2k@F@Nnb4?K%Ys_#z3P{9#MKdN2cE#Xj`K5VkcF#^n`$mjaJxwy}j`LRBq&#ISx@@#_J6YBn>mE zZ7PY-F}WmxdkukSHUqdAk+ezeL4Yn(vx;B`crOb6UuL;r6H8Ab#l4%$a*P4#s0)04 z(00T|72uhsKl{xnf<+0|~R5HOyGY=w3QLgHGGKSvA@9$za!l>ZL4&4wa zxB~5l>AsgPs*GKcwj=7J5_<1@TK@arw_cs=1ght@boi&6Ch+;iJaLrG#5s0a?U%=> zSxD4$Z2nwq##ab$s=$%Gx*R7ouwO!~C{pW3XJp9FkX19RZv%s2dn*&Z_uFDmOKeWZejq7nBPzlbZGVYOxS7xx$feo z9ez)nM?93#P{|R5l?9Nn^o>DSB7jZz2Yai9(`B{-!&S}NEt$Mrcyd<53wH~akvs_U zQ3u6857*`N5mZZ0EG}meooYfW#=EMoT`j9plhAE)Z-H?Y3*b0J6A{9Gp>k(Hg|S!|&CMxY2Flk99p#2f9?3}&&-3(^D@$W4`9SJHHOXcJ1PHYD7E z^@aBZx{x*N`ge&Z6Mby63@mndTAKM+%7)6@z`<<`>7ZE+GQ zX$TBJ)nT>!_S+B203@3LN;-pc5YRp26Tm4?#{M5TPc5pNlP>e>qKxTD+1pz(m0O6= zY`6U41@E=?10`3bz;&$NCfjpXZ^4=_zi4m+Wf1v~$jNwGsv|_wNlPJ&J=0S{&UD$z z-s^kV^|&fPVS{=z9qlJ8tY+NMby_Z4w#d+M{{VnK0?H{Nj;0CfBvfBAXQ^~9*4)`h zRTnz}`f+~t1#M1~U`l2=@Ax}%YRVaFxL!$Ms)9CkrIGyD=F&A4S7WIh+tYGXowmL3 zGniX(rs?MmPNkv`6dY#ZddMEl1h%o?Lv$T`cDGL5@b0y{|=Oid?E1a496Lv%N`tw7?sq_D${wpLGM0$E};2wCTRF;ud$h$j)> z(pom?M%w|`rR|5Zt761>Ed55BhRdFKKDL!_6tb`+e_K6Cw&$Q1AQEf^_ahOS&EgcE%;LGV{3n=GGZv+q_akH^0yZOH zMTfuJhljLXSy{Di(>qy4X*s(7O37(VLr%m2aBc|uF)+G7LV0;V z#`Re}Oj&c2B_%`oVmH!4v)^H=;42gA8w0UBfr^}d36%kM;A%N5JQgt0cP<9$+v1Kv zWs~{;07iLfr1iWYg=k6DZ5M4U6J`oQW;PpJ6m+^)r83c|(D6nbGiymA3DN`w59y?8 zHzYQf8kcYzb8vd!>^Rsl`GU_@rPY{T&kIW0dTrm>_xNGp!iX@PliSO_I~191R!DCY z^R}u=oqBw^7zHZ(A437uSc7Az3*MKcaIUQ2_UJWl5VV~YSTWG(5;f+X4qaz*^0e1x z+0#W!JaodI8Ys=pWW|Q53XMzY7A)*W`gGjh)wt>d9|4fk2%gROTY|8va)KOlO~I6m z@ZnuHYsWcFOoZ#3GlkgSe^d0vrv*={hVTnNQ0Bie{E${P;$AqX;cUY$qo}g}F_LJb zYuE`RkIYp!?!XW?>HzPFb9SSVD)^kOo?v;cEX$4}In)^>Yz*?jEbP;G~U8ET1g*n!08H4#@J;K;Ep}t z1k7V)tsVaW6*0UG|yf<n6;tum?|28%QI3SK?@#Mo7>)Pln2>qapb;Ao?R`o%0tc;5yu| zBbnA2vl7tJ#GnNN6xmI_sMsQVw!;83zOWn8zyWQJ2Bm`)KV*H}=_$B3z{+$Ra!nV8?+N^8%N{e0<#(sbDBfLl z0#W5u#6eY6h&JW!3A&92=cpL7p=+Nc$50{G;-Bvv`EFUnl(~H!Zswh(nTcgU5T%G` zZI@P(RQ1#^V~UJ+CA5qWdLTzMW82mYybSmNoR11Tx})Wf2}3Sh6S%KKT)^1K+Sc*M zsM}SP0JpFOj>CLgGc#B-UC^PXzzmJlMCWHIIJcekrmr@k%pNo&$bvS}6f14~v=`Lg z?B23{#@L{DUgI$d^_X1%L~bKh3*+H#8sI9lO1UJ0x|Vfm5xmhNJZuP8RzLvS?8|e0 zfbEExiL4#&=tl$vYJFR@k+|?ix1D?(z9BeJMMzpmYDGOV zUd@ZDhp@f(;)p+qOuLCVUWzfo6f)0SI}t4L=|4w_ZLmT`+3#R~Gy;0t7FC{KZMdrg zP6f3>Tf`?0a|*ti8r~_Ut@Tl_yyx9h~YR!ApzfqXu3 zFEQd38BSMKRS@eUM%oEImD@_6b7FDQ309QwrQss@tC#iMA3IUk!7VjXk{TJKWMOXN z<8jy#ako>BG|iJMVNE?# zteU`sHoS#q>=^(a1kc=&Iem8p(z>!M8I{(|T2KK8W;g1isas!eqii{~tJA;SgnL2U zSxJs7<|Hsz#YImIUT>P_5X33qfPlVZbh%_NAW%pFO0~wOdkkl&vaUJKBtx?kJiC^w z3qqKt*S~vZ0up<~R|wVcCP^Y!Qb|KQMGB-d1ZMTMq_G8*oxZZO5TINw_9BKFr8>1QWf#!PgMt32PigPE)FVC_V{W%>vEF z;2>o_Z78yOm|0h0bGr+CK|Sq?u8JhljY$ss(U_O$cmW;@x8jKnK5a`Tl|c06l>-9F zX&FFZH&b8@j`kq+Cmra)V+TT>`r@z-8?MKQx^;&n$-rZ41EkvE`AdJZeUA6v5n&yg zyU%h@b4@&;TxwK9j#O=rUAqq0&;?RgPKJ(5$drX{MTt>v=HEWxe7DCQU@o*1qFPmQ z@}op=`86y)uB(toy{}3h}gdxNXPTYZ5^uih2=yQXrsV#Md*Q;UmB-*}Ht?r!J9$}}L9(~{;F4_rubkU=6yp6J;@8a@H5ko{=LBX3o|O~1l-!5wH%Js$oG zU~olRTF(}qrp=i~I9*^}I4AHcmsi2nwCG$Wwch$jCvS&ew${VNKvYk|KAxdyaOV=#aHT+~ zred-wvkrlWbtAq6#bFzYVPl1geG2A_q->uo^CN?raegq%m@QI;^P_Djb!qyxxz*6x zPWx)H#x<3l(F8H_Sajd>+_rTdI!&P4KAa{K6eem?P>V1&U~UL2*pJpRvtbnktfh7u zMh%MfioJ(@jjw;vhniso>ZNW)nP_4Ur)vT~Z()A;cx))0Q0}$rtBZn3xo_j-e}joY zNIVf`tdFt3PgWy~b@nQXFO!g{+ zW#d)A6>*)6K<)YX;iwk|4x!n7KLOSvT=`^wr~W^KgenEb^nF9J@jMAuhToIlaliO5 zy3n8Vd)L4DAJ#J5v|u0Xzrnr{sscl!YHJJ5K3&yDcQJo&dyl&dtqX?&@v`_%UR5v) zsw1zU)NlH>#|2=Qus?$GpMa|&^sko9f9ZAm_QQ=}yYqcc2`yie)a0y1LNcFzqwgDj zoH!iXAnMXA)I!i#Cwq&0aP-MUckpZJ{#qym+)&k@oq~1y55o)>a92101gUHINv86X zAnog}{{UeY9bZLdQQ1pR0*9|Fxq_Spo73im%2EL`JW;6vK_^vxUY0>+BGzC<`r8$; zAS*7ppv{kpAvrgX>RgG+Q^v-cG*%{PSSUpMy9>3 z(C>b|{rlnG%)oRDGTQELiD{B?res3D(xnW&2zCT~j@J1Nv0GAuSY!YbYIK!CVpQzl zK5+3R4p~i44r3}q5RORHkzhe4lrejA2@mEnZcfB zPm)#0v@a_xT2*wBWemtl+ycs2T%C=^#}qi+J1bYrKCtec5_T>0*g!iUf*Bd#73K`i z8m4jqA#Wocfj;LNMo?_T4<+Xg=_;hoVw=j2GR&pD>gL?u+KsP^7GW4R2&0aBh01p_ zo-3*19KN25F`h~2?;`|~@}fK4S=CzMUcdmuaBO;E z>7tiKt`}O~{rlS6n_qo~IofWVBSp_@Y~SLT&OGr^k#j~rpym{kh+&jV1lE&6$e&QO zQH5h2#-~k0oq*e;I=JG9c0H~zNQBb!tCBfc8FpvF^`DF&DwEVXRgK<8kcmGeG_q+6 zv|4Tw#^jrpRmF->!?@RJR5hwK^+6o8(H9RBZaf#al!N1joI)ud;*k5D%Wq_`b)ov_AB@3PR+!8Nx!sneEt2jD!aooS?^sF^|4 zd1~etYgo3FWn0^8fHoHCiF&Y(>YKqc9K`$@TtA#d&5_mAP|j{qCO&)@_B#mx_=3ma zanVR2--T=TgdO?2$^6@snTzFFybTQK3o8S3kuPO<+oK`2$EfH)J7I;SDjM{9vk9nH z)GT(lOLspUbpo}d7q@?WB;|oDG02t4ULxnGPL{_ZJ$*T_$4Y2lA#c-sa4xQ1WC+{i zj-u$TT8ND58rB-L>b~Dn_P1O7dSXGOEhRHxrPl}Ku~P7?GcyKh6}3Q)>ft=iO76@G z6u#PGyZV7;P&E@Nyt#yI55+@wIEEeXH_&Xj>7AtWYrC{Ax=~NpL;}G976(oCJL<3` zH&PQvRd}7ec%s^K2;gORW+_n&Xz=+;-fIvQl*qPK1M6<6RJWuHn}#O)aMqo>)Xi?Q zegP$>i|8bkFQlrJ^L-`I604vdtFb#B$RKZs>Hf+@*i1^{c0iJx)P-WyA*dBF=!l60 zg^!k?#LPm-`VOVL4J3lfSR0Za?X`s3RKU0q@VcL0gRhtQo@X9mTbEA)Jd{sQG*YIr zVr06V#fPXhhM-N3)&m*mJ2g-@5`7i>S~{~lywf{371Vtz=yeI?&q72I$lz*pkJ5_6 zd$KL`k-e>Z^}#(}TOdg{B`z~Q9bP7mDPU7nAggAQDD?&kbfLIWt8m)3HefW~_ZW@U zGRWm*snZ4y+oJ=K^0J>Q$swZ4pzI~G;`J`&}ElToP*0ENC`t=k%Wy`P$joJSl&ubC&hOf)`m`m z18zt;RoP{Ge@(6T;PvOLsa3b_PU=QEpkU_5p3~amj@xI%Vbt>3P9% zMZ-CzEm)`xt$=|_*-$?17X@JHU}M)m2hsT^QW3(_=|+!B#CA+q>AmQ(l_aUyS5K&vxyrjy7+TO z0o^0U;>V^E87kr7ppm4{I;OSM7fUhuZTI}K!r&1UucEHk%VJ5j>~T|zq1U)hf)$%Erz{wS7O40G>2fqnP!*Jr%E-z`-`nGh2UGzw z*c|JGl+H4FG702~h;0VJfb^SycE7{z#L%hF-ndpQcewcDr9Di446)lU%}v}clvgOg zFbuDxjkom+5q-fp%k-^K*RVjDkveTE^8nXmacoYP(L-e(qCO_r(=}7n)qA`wqyx6s z{y&YeAW+JgoVc25P6w`44$(^T>ngVk<;S(nje#b_ADH(UPLZekJ51itJJ!zt@znF} zdZ=GYnt%*;wlu+HpK_nRLwI@3d_v}LmgS8cVmQpRPc8hoqXY|(E=eSS6bqB>Y%$d? z2IU)t!Wz8S)Anblv=dzbrrBJpkRAccyK`v35#|2HysAbl`oh)t%DhL2>NZ4)w z?P6&tQtF)M#6eQEp`r%`8D()T&&L)^fI?eve+kraOsfSl8D)FvLF@+P{69t_d-AC% z(d#B`0#fluEb6#rRchi9Gq#m**8#g;-Lb&!%8~Sd)5#!mY@$l~kpeB>Rju&{u?i zqJec8YthIM?id2C*s1P6PueYlW-rNBo7 zuH#qMBG$F;$}Z0D#TinA3vaI-d=xp&vNy^EWP$4!Adzvo8{47S?05KXF(}e`!iuQM zW0HQnKXUqBDa;|?OhoB+2E+&S?0(<6_zI;$Y&PGLl8pfCyL~&>pNP(1KL%%$Mo46J z4-xfSK-*8NeSqt8d>fE72;3oqG6S{ln$8c% ze>B+q$6lD9L@sQ?SF|+dsD&CqHWw{n_WOK&vBPD+6qn?WhK$3UzetiPVS=8Sgl#ij z)ucP=O&guJCtwGAoH>jyacI~{Pc*=6ldqbaydU^AYxs&2B4-q70ih9rPbVs^e7+H#d#5NNU(d5YC! z0(`b_K@x!~GL1pvBv_uJ#GQe$J#U6NrG$gBqt9+yu9q+BXz4R5W0@|hC7v@nj;1|B zSRdPnN6nbzq@=A&`w~ZLtgizg_WV zg3BIA{By;CP$M|5qs=O0l8w~UH1g?an0ZA=P{nlI79GZ&#kalA0mtQYjCxrggOI@@ z<8{EzV>M7T8rXyGI`6*sCwq7My5Kg3mYs|UMY2f*rMHJ{`yt6<%+on~802{={J*6% zTPP2xCz-!qx_%eMbue=t8CBCB(A|e=BfM{M#|cT95Inz`Y?6<#g(sLtFM@7HS>&}t5IJRhNsgnL(Ju5nyN$Ao&m6b>I zSJY2aZme?&HZVO@RB+5-mkB&BEvm}$2@6t2u@f16eoU+`@oGDrJ9WDY z={t-Uh-hp^;_8Tu&LC(FkxBd<{F}}Bca>IVS&VBGIigd&DwYM>HP&^oA5~Z|(hldX zhASux@6I%WJc`pYpHojM)@D1xg+GKplG(2eaV1X^OsI0)#z@vF6|bg5i9tyhs*|LU zcLaC1#m#@&0C3m&)k{sOqY|(?v1K6oM&T|C z-ur=yFK8WTXXaIw8iPwr-P}1T;h6Y9s%*|Qrjj+w74EKBYec`)G3niu4IzQE0tvAO z;bM9$$;qR&ou^*)%2;9_E;hG%QTXHLwHGS5atboR>Zg`Jqd|Sj!M?Tw^Fa%9(4S8D zxvPhoC7VERhU!dCAT`5{&!j5)@i!3E@kL~nm2IwRP1xGQ>;qsv0}xT9DKs=_6&*^Y z=1Sq%-r)P2TN9{?*D?8k*xTP7OqgA4vuC+C-wl3>dLHoa3eTC2Z&Sm(3k_u(X`URa zoueQFVj@lLxczu;0Di(diq!O@%S(vlxc(cs%QNFTI*D@$T40QVH)K>dAeLLG0f5-t zfJaPMbY>y-3xl)^l>1DR$IqGw-Y@gtq;Z~WLkj^(O<2KIMS)_fSetdT5(l;}F;qid zPUHjVs43OkU|sP>bHL{tWEuAe($Hk&B=kr=n*q3SGn1-PdNR2eQZHaM?Q3Gc2icaL zWS;RWVNt>07W<$9;|N=R5tR+ca|?m}M@oD3vtr{F*=v5!-m#T0QT^lK6h-Bi9M8)P z)|WY>3e(a|vY>6$k=eckTy4H8E7Q&)6@|ncJF{!DGCaNUXU81xtEH17;n?HK#POwM z?#&{QNY)lc^3^~WK9KA-2NF8Fi($8Nyu-A;z`D#%SK$Ssrm5gMwwq44>M5E6Kqpz0 zErIQIEDysMG_KcA)hEWvpQK=AkE{Uu{S|#GQAL_E*S7ZnSlsmAr^MS7vV?Gj&L9s} z{@fx{7vi>~ZNBf*jxLqt%&pM(!_;uR8sBa>xeLJD5JtltNCnfUuX+~M6S6nBHb0{r zN)o<4KCDFw%&lwnP5#m>aLj{(m6hJj9DLWDymM7Aq!nBD3)Anu5MUcA4khl?pEQ`O zsFI}Wb}W6^+~4+Nu?TQwY8_5M_wSA=A!NFo-2UuvuC;AMMt< zeE!T z2J&0RPZMO>q;Y2zvP(xZS&ZZojoE=CZT3BnLu?&I@ngXQQIT+sZwqndCl$nx6o~4{ zthT`H0Xu(>YhkT+Z#58pV+2`us~poss+{8a!I}_L92YflFB*x)IRFD_dHe_mj zPNhILTl6>YxZB$fu-qQy7II?Cb{xVwoxvdcSdZ8G@QpGQhN#}lN!Y|~YhA7EH~5dg z39TNhtpIDk1^O0AQ$sLahUjkAw*7uTqaA!rl+Ci-@|G}`y_Vwlx7tU1b6rzog4Yu( zHOK^7j>GN0zsnqPpA{m>IT(4~B6uKIWGb~o%tL-D=> zsQGXkP1kETv#b+fP;vYwktIE5U*&=XT0uAJFWSRz(}0_2krr08sr7Wg);B|=m%04! zQ1JqE+h5oRU*mim1cWQBrpGV%PM)WS61nA>sy>+Dpgw^TUeTi5dYy8bFEDw6d)Lg+hizMsHz%+7zfK+(2$V%U9P@&omw9e=6cEGs z#-bR6ZzaJIhG5!u+erG}p{*8c9J>J` z+v=rniaM&NhL)0>&l-cuL_td0J1E%h2-R(m)i&DW6Li)mt1ZlYPr*lv1TZuS%zXlY z`L$}&z_Cj#j&zS$lTX!i=oTB2Mxb{0Ha!7hbGuQlgO?SXIC5Vk#9JzwUKY87S5)tl zRDfdv=BI1^PzE~NSQ}X0;E&EEe6+X>Pg*jaS~c3o;)>|%rkk>HB{rTRxPFs`bD2D} zWiqT%##PuN840?C*=|amHXxA13s@0Hi>hfbv>sQX{v0=E?B2qs}-E6{!QBZn=nx_q$al5(qy^CBvt?b47lEP*nr(J=wyhvo0kV$!U=!9luymZT zWEj;>*EgzjPNpNcA*Y_bMH<&rpGb}*Q@zE5+pn?q`1Hl~Gb<~K5ZLCKQBXBqy|8#$ zX9->0uA+)K=lVyR=J{jj`Yoqkp?@;{wBFX<_QOddMCxE}x_l|c({PPs6|k`kj0phl zR{o*WaKhmGjjfJXXIj$UA{2~#DNDut!p!6g9#v5~<+ZUZ2BQH$tvwb{sLs~ar$E!G zh~Lw`PYHu2Y_>hc$iQWy)c#npfJcb{n*R0g+u!ak% zRQmTUqhN1q?`&UVDVl%|X!d_ZP$tAh+m(pYO&kw{e_>Dl)evESHxVGfQ0E>~HI%OW*f(fEVT z(3b#QklQ=b7L4Rgbl}2u3T1e+S0B>OZmRf*FS)3hI>jE_WGtX5fxBaMDLu)1zB@ zhEZeI_FEIS*Y?2dz_o@_HQLdX4HBP$yfbhY169*zbd=Sis***TGSxCVhfa)C*dMn9 zdpQO|b89q>6W7Ptrb*0k6g6Id_BpUyTHZOwoewg;tz6y`V8N$o8@2~l5F!{<8u ze9=gA6G~|Hl58O@sDQlzzvp$f*qC9WPanA_V_N8)r`0$7%jMq+^Q%G5qpOfc5F(`Q z5}hG}k{4n|qq+Augx~|6nClRk?QPZ)re<9Zq7!stZV55?sHfNwN(@n+>~Rt;1vx z6W@$$otTTSjyd2i73NlJO`Ya2RXu$$h(RQE4;YWiV(L{?fIVB2-@YSm8Vsplj1B-1 zWTNJMW=+i+N6ND}rg~{?TSSI7+u4_Kd)WJeM?-}CA6PHOQwB6Nt~V$68-@82)4oMd zQ4i8WtjhDes>KP?Y{ZR1Y=+kYRs5{x-bb~Y0a z#{9P7ZfeN$=JfTG`Ghl|tCbUBU^FWMq*z#V+V;K!Jsj4%HvCkc+p*xE+@p^uvN$57 zr-70f5h9H*vZ5$e7x)i-@J~`sse={*^{eLXB`XDVWiD)@{Y{A=eSn;FP)<0p!9~tI zOAAPNapU@u?+Jx#aA4h{sSyBZ#16t&erog=*bG8KHLwMjhcS36i<)_SnO0_!JtT~+B1a=dt4X?s zC_yWG9VcQyJ+T|9hbaSyQ4c!gzC+eL#)0 z?||_YO#vWG*+S+B&B1=v`17HTAtKQ$AL#ulZ_pjApC5qRt^^$+`lS!;H`CQMJn`{Y z2Q+wgvnGxxX_m4yR-5S&&NS-}q%WWb*l%)1_5}1AraaYv8>Xo@6=Q8=YN9_Q^U97u z=0`Hivsl^LG)YeSOjEB?jsuUXcSjqkKk0@g7}C*Zpy@O=Oy7ba!^iCrFBj2a5`aRn zs$VYR_be<8fgJ%i+i{M&9On+mM7I<~NY|Pi9NLU?!#S5T%`>!;M^y{yNaIEP$kBDM zL>D*eda=2^!)`I)Np1YlIGTp9cY0cQaqy>>b5l4-rmSk3Do@K*BIyN`8zgsAa3o=3 zdnN6QY&B<8HxMm;^vW1{AP|bTG(1M+oRD~O$wCQZi3-yrENc`d%8E815_V#>jlotr)wZ$tUzt8fbn>e z8#KM`tqLl&vowxYQ9qGXls+Z+)*PmJ88VpOTDc-;xvVi8gaF&?Txtg7pkwln0fi&9 z`?@?(xMD;!!YaNpsiTvZ`OIZkN>j#FEoB-cO*S0`x(jsZF=JF)#a--v$@nVCRYnEx zf8+LIMTvf>zkUqP1*YPuCeNzm5>;hi)bw$muNR)Tc}c$F-h|t0w)lpY8MNG9K9;LX zgv7d|10D2qOaB1Ep8&7RGIw$66Vwg1+d_XA$6nshPsR4Blg$H3qVlbK-u*jT-v0QV zWmoFH;0uB6gi|4I`9592RtKize~#Ub8cIV{Mf?|dO0MQDL|jW!;+rg|m6Zc7r>G!V zl@yx<7qO7v)GPrYk;l^OT0z}i(8EZSMDs5Jd>Oa<|=u>ue-2;7Tw+If1%zKi zsx_4$iQk5azpmiS-iALYG-99+$|Db|ScFtIKUkC2_8re`KxyT=nXYS&u##Cf59fKQ zc!`QJ2~#t@z===iKIDK6l-OJ|0Bw$nWb0tM;swE#&6gt1sARN*N*!(L0evU~HrMI_ z1daNr+?+&VI2N7m7h;zxteTZ4c^GRf#y_Jy!~)CbESJ*2HP=Y71QL4UY@k8s`MT?= z&orvyth+Q!s*Yh%6+}xTG-6F&daQ>@^I|t>;vqGrlFPpKCfIdn5=70L!paIPV3-hR zUTG&&Nml~SAIOMPFf7jO#r3E$qAKd&>vbS)V`4GEM49BBA;1wgPD65^iL+W$Q%#jj zJyEa>170~10=Qt}Mg^NwEwsmQE=X)cmc*MWhz*H4%J1adlg-6-WIj}N)R}v-)YZ|M z9w6!f@^r|m-f3nBdjJCt*Bxa%`Y0;Z42$ZWeiQKD2M|@$$LGgUPv{>xxmdg@=#-#T)(WPXIiLyn%ILj!pk}<6=qXl7=o-d0qW96 zYvBh)il)WkK$is4^W*6wgxc)DEM~K6>5YpFft7u{k2S`#2Kgy}O zu|oNc7*)(8Yq|}Nl6F!$*jy?-$va&8WpM3(N53qR$hkW$r9$44WQYzN?xS?cH7m4I zf{v!lq*#IuHPosKfo(h4*mduJtKSe*e0ZxIEe^Qy8lq#%F9fx`eL)ssTU3wQBYS8iN!I2DHe0ZGJ(Ea^s(OlfL1Zo|*r2tTyRmY%(pUf+ zP1NtPyByFX_t#iS5=P78hrA_!E265aOv)CATAaP9)Vj|cY($FpZAl{$q*&=oYP(zv zFo<>RIIfC=K{B^Ev%%s}(Jg9LeF&Ce|qQbrApn5~1Im|T>w(nvkcr-o~Z*4&b-S1bT-Mu`<) z;MX&usg0qormUp}^(l&2o!A{q8b~!E424)o4v@!BV_{!R4GgAr(PJQ}r^Wm+nQ$g! zEhSwWm66y}Xdu`ugYyrdR@VrOL?D$S^3;WZf^LtCF$%S@>dcCtdAQ<#jd zr$UC*Y{Y_fmeQmWb~u8gT~B0d8qhUKc=(QZCzC17>Dng@%E;q#%4|otYmK+-(3~-* z5O|C;YKTpj669xxr_v6noNA1pZ)4Y?*!}o1ug!IluS2SI6+Zs}284k)*lHHN1y~)o zvY+3F18}S`BULAV3NE*$$h^H|B$b7k6q2J%Li=nt_ahS2A)rqcHZ8e?Aiy)Kl~O$E zM*EFz*YCpvrDoj14cEOV7F1ILuJ0sdg2P)WHUt5v8-a6k?m)vzb4JTav%0A5`Dr%( z%>Mj16&P%-N6l&`1T>C8+Qp9G{kUwW}g}VKn zFa|FSDz})?T3{;WF|j_X!#*QkSvVIuh$&}$vRxnz&D3pdTGNKKa%lJ~io}pYtc6G# zjfwc}h?-VvqhQ^3cwV`3%D5(xbs|d}GM&LyU`YEwBN5eZU{kQq$nbfqP;re#Pd7Mn zS-5L36tdv$&|F&+QX(zEYaS;>6}&X~f8(xQXD^s%`O`~C zLu;fJ64eTZDngbr03*_D!G+lL*c?XI8C<5^x~X%SW|g(s)jd5FunJ0OKK^MV&Tl#x1+_#}bKO8py+il3xY(_>P$3bT31&vHm{aY;iVM>m54- zwmOhpT+U5a0QbTn8$d$mO(P#q<_5oB`at~{crX@`jgjD`o>7`k*$!6|Fan+_8798A zi42VDq*#?uSQSO|z4affY)wwIG>9AASnIm!0j}(vv#;lx+u}(n#T>>qcVMo{ zR9%8d0Aj3I79cNR$}NE$_&*->_OO$ExGs)Gl%{sn)bQ2^k=8=(Go(n23zHakJFJQT zW{{vH>C$#4N>y5H>vPpSmkBYouC%Ii3cS{uS))ZFAX88#GKkJZaim-6LUpc@eL#>% zP4UJ8W!ARA2~vwrSv=D}$LUQ>v!y)F@dmPnPbiWF?#M~Cj=&Rp_UbXx?A*B`aPkKc zDn?R1?iA%p_Z7^T~caJhqq2uaQjB=>ncJ zsK=#^%zz6iK(_$g`t^3c9QJ0>3r@JEAnu7z33!g-&K0B?wtJjFqOkdt^oR?zKp!cJ zAlD%*MyChkq!GRonoFhA7Sia7l>n$1Ga#d;kIJKk_OWY;Dp@Qv zv+NN~*+%BwF=WBG&@69AW4hCox^7VI1xBlm+gocNf7x%-iA~ioUIJ1+SmdV`rI!n; zJX4qG1bsT6S8PV}WM&9{$UIR1U`JgksVo(Zi3E)cR!`_1R`lOs%fGsTz8Oxe*}@c=Aog_Phrcjt zq;-)d0c8^nYPPkkcK~g_du@B*if2_%(n50hrl(Om+TF0_O$qhkLp#*c8fFV2h%L`x zRD<#szpJ&*1gWj|S{xNT^y8YF{2@t}a8_R&Q&q&qW@auH#ScTc2IkvpxHl&Z4$M`X zD29a3@%BXx0(~nib2^dcI<~JcDHkTkW&r%ZUL|nM4;3pZmc@#OoL5oj)yUw24w5a? zbswkS1`P*t#MW+C5IB^Yb8+fBj+jayrl*Bzg$)jAH(Pl^#+|q0Mml!RgR4oyznw+?f zq7DYEn^og2J;(qX=l~FUkPU%bZ*q3FGF>f9HoFew0qgNw9*>@=>@OBjo||AXq-x1O zRA0XZdV`qfJKX&eAG^)(fWZBgzhwME()4%+le@>jr+>s}J7eUG(aO}4Ry9=8AXIRq zX1G znnuCpeR7D@i=sB0sTRJW(@D0#?S8afd#Y4%BYUZ-((3y$br++_Y8zo20)54ehhOmE z@CjWs&jmgF7G$v3X3^2jrU@%83f4$JB?#QL@3y0|t?hC^)G=R*c2WrkP&`8vdcN!FdHcOo$$3L|$s# z2#HZAhh>+Cb3de1#V(YTvGn@3Ha5P*kJp6e$b|(K7MUYeDY>1`3Amr=U*>ho;-avQ z0%mm5x>hzrr%RT((`zcV^);`Fo&#FY-4wLxUD>Wmg~KbTXNb!it$_tx5Jj1;H|b&W z!(JqJC_1toJi;wfaVAH@yb()NNmoZBxhN@1ub&x31o~RUGXu5m2;2==9}duD&Bn>K zGZ)7+EI84B7**9&A{gTH63XLC5<>z)oygmBZMOHpWabIgSVRNQ$`rlurOZkm7fGq4 zi9VGou!?C(jUi^)CDtuqyWZq(%Gap6>6O)Xe6 zOcAv*$LSh_S%0!UE`C@jxSJh~ly9RJ7q_bHczdkNvOLl{oYGGsIP3zIZmf4Cg(koa z?dmt%YuFm#os+QbG^W=&Czr-|6~*TMD5rSpNLbrk4zeLEHK86`GK(n+MelKD0D>_k zIE!9F>n5R-``nZiuF0!4GngMhYZeM^dvv}YOrbiWybe<|N~~jI8u~~E#@%i2@y9?- zG|a-5-W+-RnDRy$;c63EM=Xk6E>Yu@ivq1;HyafrdvzG?CmL>^BI(YcTp*1%Q>&L> zgnFBXrgW;wYJxZeNT@(T)oa<8e&g)J>sOnpaP-S8cPPMQxx#TJ9W``nQ7U1MWmf7I zMGOJ$aeI4VP^s1cc>XBL%*bI?cb@VF;(XlO{qL&(0BvkdiTBFI10gi4C}$7shWqgwq-d-#0$+XzjdE|2+3sh; zfpr!dNZ!{YQVn2pBjy!bDmpe=+#M0B}B^JMbp;WMT0O_(k^#8 zlx>Tg9yYC0lw39eBzzUo#5t|)0143vPG)mUH0JhWCTW~b)743~<4U5Ai5iWO2Ve)p z?s20oCsj)7nXXfNE|Q4KOHJz5rF|f6q=S9Q-r~a68=LIa85|cq%OUn3Jasgcu-}v0 z?KtA%>SffWtl*BnSG~Rczit}ivMPfv4>f8I6V9plbA>Yc%EM}Svg&4#=`FXGqw0>n z*28cXq&Wlkt#3$F6rM@a-vz&)aZ^K)OPS>=vJnB66=fiAW;Z9l_^pTqLsI8eMPa8DQ@;hgzn9L3 z`VBR|w{F-KgZ5wJKJc{|Gy4hqe{oUk@QQ-mcP;JyVx_jn*|B$w9hUu*@mZH$@9zp9 za0e|jer8qu3n!zNvU?UW#(f4bFi}OAhLiK+{xJ{5N>4gOS;2 z;SMLut0^in){|6`pkk=(U5v37RuC2J5Q+yQ@VaWpTFt$XwxPB^;~yj6ESyWbs@)s6(0J8jc^I9)WA<05tk9Zi+y zYDKZUT8C4DK^`hQM1n+wn-`u(^`!Fm+Zcij9j!k!nul&nA-Z-~m6FUbIjy5TMb zu?Vww!&g%8g<~mDRErP@wkt83AhAcXo_wTOi}&x0y;YlWlteru#1lNqf78qU*CqkN zzcwJgY2^|WIB!bxTlOaIY7m`N*D#eQW#ASfB z!&`e1?cZ(oz?iEyNx1mxh@tNU5V+QaMN)kr3vQ%zBwufZ@WAPn6M)$i9}C=jNmIj= zS#*L(>SETQGMz1ECsMVnMUU0$K3KG=`!8#N0PUirqf}FtIDI1rXgBlXrPUJ_KtQR;bX2~_iyh!{tN@R#DN=OQUhWacnDQ!CUg5)%cKbE7xUrIiwn5~~|9 zRgsJB&^3q7-ANdotjs1fD!KT=*tVx+AUs6#KChXXj+u}lr41MzK{vTz2)Ms+N$HBm zI8WtEFH79eT0&_XD;Z7ba5Mg}L{{oC61uK~&yaY!!x}qW!P99}Fi9 z%YXo#e80y3031h6f2QiQ1vguk2YvU%VUTxEWDOGgEAjsT#}dLd%?PouK1dhSwTZvq zi5z*ZIn(=##$Un##-&{rrXg}kA_cg=wj&KAbctUu`0V3FWIs(0(^8m_4ZC#1n@$Yf zM)R0LEnXe@wEX7DW6Z)VQzh0!)LT<^Bo4rx{us9r8{G#;OQqL^BVC@sh03*o7Ts<- z;s_opU<}C9hU?x08xl7g1K!`qY)0o^6o3g@l?Ph@SPfrQNZ;eV`-|Zio@vA{Ng;)Z zVmDAgHYV5WZ{vJC0-4!r^Oj#JJqiO4N~?N%?S=z3D~&mXZnSfTx`7Z3`iA{C`Qln{ z5@$e~=4Rb((@W>GU2SV`eU96Wy}BF%_fDP)Mqj~fMH>b;*cAg!w!hC3hfS~Eh{^@l zg>3+>wxk4tG!l9dzp=zbUzpu7*S5tAAHpfY^*l#5T9CwLns)NlLf9762peu}0lDq) zzz%U02<0lyn}1^+SNg>%+U~WM@F<$W^V{nb4 z$uYJ37N6k(k~nCx(F&|zl$n`q11V%(n#7)+_8oQx;}y79W_8}<76?R zUpX!;M|^65h2=FH1g(phyJ{T``iphLlN+LvejI2Y>D5z3BB>Oz4Fp`s4&_&3paJ#o z)lHNWihh}(E6^?GFMD&cw&?oV9@rBG_EH~|9K8NVX7u&-bwy~h+LoRlB^9bm+tCo0 zNkW95SYi}i&dhKY52U*zHH%vSkEQwMud6YUm>DkAX$Y)vpB`}UJ|g-lmLppjS887{ zEb%cH4<7er_I0|Qt}lz1(x;7QXh`68C}4hzxOhTM=6(?Jn(bDosa9!m*%$HQg&)BH^D6Idr*=WXnlT1&q@%X&-Oq;$Eyx zt`Py>bFkR@c`(h&*}0qC`X|xBhF{E-9J!(65}S@Q$ulEIDS=+lsUYb@{%Eq?0^{p% z>A5!qVurQ>)k$>2iS*#2#nq=%v$R4(Wu7OgGKW`=l7C4yAfmCe767p9N%d`eYzVo( zK5lX0-Lj&uL6rmxCp&?;+eZ1dLsT@B^fj6uRhL6LKjye)8`v9Zwa-)27c|?|w<%Xx zT4AkjU6Zp_%|02Za7^(Ubanxmb%eJ|Th>{D^!i8#YwS)Va1Oe%709w#@I^*L%?!qq zCd%WEu6n0&P^#WZ@|H685;_nFumx;chM+oM4C=F+DVtLIrYoF23^Seq$ulh0PL%bs zL}|wNr)@5e9GiK%No63YYYW?`9c4ns%dL2ha+%T;0g%!N4QU5Wbsh+6MI30yQ9TX5 z2mE-mW0oqTa+|NsXvBsc1;HJ0AVZ!@=zdU@-@n9f-}H4DL_&B-2?qLYG?J3jH1Lwl*97^4t@kJG`QWMz z?GLm*Dmsqrp5m=P%nmj@1(qDc$@BCS)}CrabdohNFS9TkgdV3m4e-~Tr)^HFPS*yo z6Tl`<#Ocg9(}Jsi5Y%~c%@l4J5WZzQ5M)x_R5BZqENlqCSm$JBZG;mX6!aV(4-(TI z=u7x%<(+>3ah^?IT4KeVe8Ba31 zob{Rb-do0h+9c zhDvpJQb8`mNC16cR^x7)TjPKSO0wqWI4V&@IL4gH)&Br1?q^UM)576cX|p&48*ZVH zbiL0``)qLW(yVsMDtKb^0_)~C z!}g(lQ*skGs6h9IDAkB*7_d>;5<;6?`c}gCwe8mt9+alj0!p9RfD(QS&G{FbGzLgk zH_#5H^A}NIY(fsc)(3OHQ*24|lL>_Oba^)fAHfuV3Vs7Jx$>%f>x!01>StA&3Q+A5 z!x`O7x@^Wq`osbPsQ}#H7SE&xy6%X>QIS4MQgI)IE)C-eAgFp@GAQKp0!J}u-rH$o zb~<+T1z~=N5YxjohcHJL&61ua<>XaS@X?1STCz@x6AU_wTEqdS#jW|b)oe&2p@DSi z6#P)&@nPYPhm*PXQJiG(nDW}X*kh6{S4b!G6@sAZ5tI_3lVYS^!~q==Y19Lbhe^N%w4ZH3EBNEqfXeAXuOiuu-Tf}}b_}ek5X{5}_ zcD2BUAP2REjB=G%0I9JDGmP;`+5A{>rC+D6T>TM5?f0n7TAUkr%41G5wW@K1}X)5jH#5F2f0f^n_a!{+1|;rr13?@ z@<^)rokfWk8Y36`bXwoDd|WoOxTm@svUB;r&weZ6DQUisn8<=z#B zTn_lC!Q&lNmgXi2pEU7IWz1U=oo*oJhI5vRKcHt-^*(hKJd?9|N(Dopc~KQm5~AT# zdl7SUYaZgUPNw1kfZc67NJCS1TLL0?JOW^Ot>SBm@=VClQRY+rKqzTQO-xxs5u}0u z7qIV+U~x4nH!(WRks^?2zPG<5ujH3B_}iMbl0b9sq0`gEXP#-Jjg?V*ENdRQ9l9zY z)J@1699p^MtS@t*HYdeEOI&j9LG;yob6>@VZ9zQr)Dz7WNh#&&A(k`))L1&)y9*n1 z7b3%^Dk;{hQNh9en7EC2DQV%rY?6D9{MWZDdC|puTSr?{S(sHwA&4|&1X~aY*oerz z?b^e+0N)bhv6U#aIlN;<0dqmi15J6zvS#UgP4V4S9&%=~vV*1ch)XB~t0+r>zt-q? zxxtOB-#&u@0DI76j7)2LQo7h~P?6zWh?SQdZx|n*6ZqFRl zRN5YG7SvzOGH`gW<7vG=ji%pksUF|)pLQ%6QO9))peJXTy!GO)9?L0eqpzrnX(Srh zh4mJQHLOy@-G#4m4?;0i%xleJ!tJz#RWnWPi0wA>I>}8vFN;n#kAKFJk#6zD{pKx$ z&lL%PojzZ4gP5Gcc||5+D1x0sR8C`vA}KZlQBB#2vM%JDa;;NMrS34%zcQm~4{%`j zjF2~hs_XM7#Rhl6Akf2IB$X(-1dVM>Z@iL5RA?h`s6cC2k=)jdW3eO_OIkM7S(;6y zIj&;e>5!-KPsX(NAB|?W#bf^S7CGI;RzOb{U*o@+F(Qx04`8<52rsa=`QKmLh=?Ui z?M1cDDKoK6N`mADBkTn2bBUEBoL7H_GkNkFgs7yE;+7W;9EinP7<3?l2qWBK^K|-7 zD#xBFYg(t4nT(D}cgx^ndfc&$l263%gg7t0z9y6&-qgMZ`5O zLcGQw*w1Gs;M_ul24kg3lg--<2K#Qvq_)~^P<)%=y@Q^L zBT|Q#1yOm`iKt%J*lpU~PAzH~2plUvWB~C;cfxN4<Fm|-?ltMUHfN~0WuSZEvsUn+zpz2p`S0MEaeM0+t zVthqIQQ4ei@kde($^gsa+r|0jYX}bBNnh zEOItcyAH!`{{R$>;jUKj-vh@j1aU^tN(h+L1eK&NbssZQ?yX>T$=m=4@7m)xz4W#M z$->tbfv+HwdH(<+_!B;wDmu)%85}4uM5tACD;p~5ve+AjzpD1RwXnk53t6^NHm_*K z^WRO!FuC-;9{4_w&84QLjwtFQRF)7zf`;XV_G77&aj3VYPQYWXW^f2G2k)H}^qA(n zy7SeWUMGtB<(G%tj+NrhB&Lz8%tkX&DioEX1cY4z!EB%*^xc9k>j)ZD z%|>N-Gda1+_RIM-!A!jPKyE_6OTQD{|+*l7t9Wfn8hXJ~jGDX0UOeBRLD`;}6 zi5@7^%#@dD2s-^fn3%XX)u&eG_pm1R$0&ZrY$EqF`PD(xI&U#}jxx07<@h32G950& zfeTNPhf4=YkT6q8%R`Rr$DT5IDn@*Yr03 z0Gw`?zTn#Uujqz`uz|5w-7@m$2@!8TX`Dt4zg%e6NR_l)#!lC@{{X@K3^cAnpB@bO zRvs(jY@P|$GZ1q$q0NJNVWb1%O9UE5=G`$9K+S!D^I9w$e`B65zjCoG1`4^@?|zrDzrH2dfu{ZH+M9qRan*cBOHEESZhW_GI&WYN!l@?U4fYoU^SWWR z7#u<22VLPivNREBTp2iOgfpKkL>;fHFjVR*Yl3#Qjf*bC?eNE3&a+#`<`Q8I78|ZU zZ%0X)fiLBOb!(_)ym!(I9j{^3+rGnXu-4E9T4FYlZ`?`r^TN@j;Y_lgaO)A$Q&^0E z6u(m40VM26UiO-c`- zZbgRV?}Ll8far+QIH@yt$PvjTGOkt9K|>T#&hH&OjLA?Razop%tJAiYP({YXgJX_W zeCw*{A_nA5%37}nqz(1h#7*N-wQi8O|gRr6q4={1*mu zJattx$hC<8R(&PaOqyCm0n00(3c5|3dtS${0F#y1jSijKE{rpPwxr+kQ2gefByuR^ zsgj8ro~l~WBoFjjvKXqB3KBE1o8XI#be>))s%>ECm z%H;_rrKm#8ZiX;}Re%7ULBj?Pq=jRnA3`vl|)j& z_fZy=c@j;L;b%5h7CM1KO9O{gs@hGSYdg|3M1oYW@KMToj$ZM6Q#{Ex^*u~vDzFQ5 z?{RDF3AMoKa7D2e8yG4&A`n?U5HXC&N zz{EW-C87q0Wn^%Utr<*wP;>De!})Gb^wUv8<|3vL%g znuc>38)&Pst!o>WJ3yIt+@l$gx5FAmM-Z`3nf7C#N=C~Cm#3KF|t&p;(jsyL1a|(MWv>aG>#D4*quzyN3!fK+>!CcOX!-;18BO}bX#&X z-&Yk7Yq--f%qKMxR7zwf`h$^gkQM-Z1}vL~Xb}qEIZ|(yoX(ev<4QWhWvEV)5H&Eq zz>)Q=J@Hdh2q?TbX(~KH>l#NR@JJpfp;#uA5&%-$j@$3|dw+))%q!1dPBlzzdM2Z9 zZ*SA^{W!L0O**bCLLXz&-Ek=i;mg1sdkk==XuTMjE!2^FZH@)NzY%-msT8TE z@|TP0PI;3uGY}ejbV3z(BWvyE4z8e(MeVrXH-*JIo4U7-qgQtC7AdIG>S`n6lc1YBnW}B&#miB-VdXjHTtltZRM=*dRZ@y8^{!&0j(jO2i%*$$8_s@5Xr;D+tCA!|vrcP{1u z#^CZ<6%|okQOH0c%{vDhx?FlLMZ&PNGJ;0L4eg2BPpgvd8*$*GuJB-S^i1a(>y8Q5 zT?-%x3Xs~i)qOpN#Yt0rirCzdj+ioe1v4#RnM{`RRmKL#QriPRMK~~trcKRk*D=ep z8foh%NFbn{<6S_jNnxc}0k~jKQ?<6S#(I@}wE&GwD(q!Sg_b+0cbD;0%V8W)-0$^z z_ulP|EDj4WYd=iIeo4l4S0*hGk~cA+Q}^zE-njicG zA;R^vX#^ZOB(hV;uSk#Ni0`;6n0*$xClV~$liZu; zG`yfkx%5x980#S*e9Tsz0Juaf-P)LD4X#pk%}dmcGAdZOD?He_pl6mMao2 zwi>|g?TJDH6xr~zNNZ?wa1&B_BIfqBmBqn6o%LH!QV!dT<1N#$+>V$z!1INF(e7*< z+Yc2FWyqzaT|`@Qe@--Pe5z`?t|@Idz9tWa6iS^uvB^et!1aw3i5te1PTG}-(y4yG zR16^D@AGaDzKTbgK1Z*%fod?l5?JXWl!k(qkSud&*HAxT*G;6x-#2q`@*VvmO#|`_+ zN3=LDNTqnpkEQgTMKzJ7fje{{k_hz`C)KeSk;Vv1DPFYBo{<^QZKp}vr7iymdQ5)|;+6%igBGqM6a9rv}5y z)Y_Q1`nIqmTItzsq^JXPiI_naJd(?G;9066StV8er#eeW2rsFWDv`bHH@3#d?~F4h z`kfMZ&2!wnQeVZy7|9`l*QBsxt70_^bpvg*+RAss-~eXxqF@a%J1t2aa@AE?REDwJ zqTCU<-pnj7*|xXUIO~Z3CcCtGaaxu2@>U?TvyCJG&NV0pRjfwcPg{HAt>ROlh3ht3 z=SH*nh^}=JcDWiz18=NbeXb3_xZ4r1nYR_tGOtvK%5)imGyo9Ah|qQ*lfByg2scyL zU~SKL#Hgx1NQI*f3aRywMcBz}f`sj;9+$rNy^iM+0}1W`UKXr%MxH|>dYCP&!&0z2 zUe? zU~Wk9-9}N8Wl@Pl@Cry2UgTLoQdIRFI(F%7RADNX2i)0;%5nU?E>IG474kjuw^dZixql&kRHKqlkFDPfoRj^XYwrJMcN)U+_jsP0~wV+`Px z8jPy9JMYjQy>R+(g9IKkBSceaw04`9hKE!oJW=NG@i!DpQ9u=t#DJo@zLGDgZoZvC zZFL0O-1d9HBKmFIs`^GMy4T`%o=c&_+&3&VF|3-wWdugSwK*y+Wjl*sZHB|W#wc9q z+QU_k#9_?LT76d3nQPJz839{rxkhfp0jIBBy|(L)vZUA`V1pd>EAKGNOG?V>+M^5k zL?9RHb$1(sZI5BL9LJ5wxj(#~#*$}UY`&;6G^_lh5~|F1P{eOwKc#Yax5urv!{1sD zf`+vm!qQ5~vav0SBRf3WS$6HQu(3OAI^O2xZEQx`fa)Ry`Jn?`KrT80lyh*r^3x-N z#iQ6tL#SX|>Z*{SmA|NMeK!XIyB5|>pgZEEWd~AhkT0aB{{W46>WhG&GgW?8snq#V zhG1lCY-HS<4Ylv`BWzn?={mKVAZ|>0CsBKxpmz{)EmsuPJzZ04mF>`Mplkw+$N!axt$9!>gm8#OO&k1)b^mv{o0l`~~i|w~# zZ)|ZQA#|xKeM9H>VXjhAFha7%XP7~l^1=y`mRRJxCHNRfrcwO8n#ZyaS4-bk|ZSkZGZq`w+u6!``kwht$ARg(7|zI zJWMG60QgAxAxHE<#w$BVR;CJBAZuuuE<%|45bJVVate-si{j<&>sn{%i+Gir#k5jz zaQa66N+@^|=dBkgxQ0mcA|j*AA{6pYPo@?O9UZ#*E;P5b@6cj`sL1hCSOj<-O0mFK z1Qr_;e-W}VoWbzd!(80VX)~OA=O=cO+KL)u0aM_-v#XldqZc7;sG@7t5u$ zBd1(DM2hnZZH2+dRAetOtUV_QaE0oCCtyxGkXiI_1@C+I!(}=b+ikHn7-=h^eE>)u zdX4baD%fis&)JSHuT|_1Y;hu%d?KZ%^!2w)RbzeB7A3uu^yS|D@tCM#XA4ma@P#;h z^C}L%Z<^&o4}Rz(udDbtRZdFaOd%m`NYxjY8}3LWexUWixkFw=p5)h105)|prrui@(a-`( z=q_;blSC$~nr;Ke8G@#gY(~WIx6iQcbG{fy)zuG*Y`4WXT$+g~B$|0_0;$|9n_F@Q z);fEExV|PeWrvWzk_M3h^RPhw0GP!qvuepBZA&Eb5JuPa9qf8v6x0MYXe)pZXGGjo z!T|OI+pqEOf*O@QYruNRs`7;K!~(V`-=cvt@bCH@zNxfLMI9J9tZ`!E1>p-Z@G7put0@GUWY!M^iN|R5Hd< zhybC#!(p-b9+uwtikvYF}KTT;jf)C!OZHzxb`9WFm@z^N<{fHdN%0&WK_(E+DLjfnMZ zExEqkaRkb+2W|_>sxpS^0SD*odVFx8Z@NU~TH)56m=YN6VQY{*kH5^~Ot!M){X+DX z@@`a%i(c2aw%*?OiKbI0Fwt?d8CDp}I5v*gJKX$x{l4D}ao`JuB{7_&J%1YI`C195 ztc0tFBIfOM>(i!^MfzeF*8{V;QuSNHRPetYWt>Q=w6!qJsBNLc0>E8>H{RFj)M6ID zihe>8My}oA_)gAi@ z?suFj`fZAW_w4*o*5H~yBCNf!hCvJm%?P~*nfE_0M zl!@Sp!n-5GiHy7b(57`hO!=)`lQXPzqCsi30~ye6bq3NvEIAkyCpjzME8<#~r*f<`6=3iyRqIX3?TDDSXIMgqnpDuy=e4N_0zWv}K(GX; zECB7j?Tr^lythH2o<((6lsbhEvU< zfEO#l&xuTvJK=Yr;%w3gYH0+?B2==VmIo~Q(4VY8B{VML!ozWc>VPTo%MswA!B&?+ z*EEC6R33A_HLA+O~W6s9frihTf2%o$*;xkgDN24^oV&TvBNR zQ}9BXr;RfSzy`8%M!@;4vfp40`d@o{S>u2mGJX=U_b}@~l7}!+nP5rrYDK!#9BPTzzK( zw-bnS1{zINkzYEBd!&4)uHfWuhkdEMkwU5Aq zi0WY2{gjmPg@$!j6Xh2$vab{TAM|vY)6J$7)v?6-CV}+nHn!j!T@LEXZSFB;R-afE z#uE`~3Ekv(Cg`~xlrB?4EYrp2$tjXz3p2g1V4y0C4vGNkPUjsaAaF#qWs}6H` z(^ZBk38wW#8nFyLE|9tn!hxRB=a%U3L6rkXLN+`nyg@l9D0;#Ys=^%sB#`Xl?4z2q$ zOAd!$1o}8e(lR&^=8{>*63?Af%Udu8Nm}ep{{U&Z+imd`Izo^Q8YaGospOqL1m~t} zz;v@x(ump_%Cm-NA5aj#RhOYUw%Cvfzf4PBfUYu=3c39r%+h5y8AlRJkSl3bV<}d+ zW){+KW2Asa_9N`+d(W38k%tZ)ehJaex$9S-WkW1#DrkU-qG=FGsjk}fS)8i3OO;!j zZ*zca;e}TR5_>uTZR(Xe0-V9sG7)A?Ph+S8q-<}$$anTQJRGJ%WAJSwy*a#P&8*Lk zX=9?SWb&bC!UY5nV~@)lUf)y;n_Q9C0*otj8k>~bT^`Cl?&Dunhm;yZ0pzM(x3YVXR<7f& z4w_wGKsry>9#);ofzUrdZb1iqi34$p5kkM#g+bR17MpQ@2N2`vM5+3^P=@GjzO%jT zPhE$6I8v-MK572Y(l6-0X?V+oVsa#*QyUwP$u-vf&*cF&?4a3(#}0ievod_(CK|1H zB4SR#Zb8R97L2}S4$5q&%G9c&`{~vT7TE4=zZ(oh>^)>P2G1;ce;^%z{URnM|GeU)ga$gWh%optvnOW&hG}1@z!rHYeh>* zE7Z7ogsf1BEoLWT85jUa`oP}Zu^oIhOwz++sn2L|s?Y0-=Gv=-YEomz8{7!qVjt#ZU&n+w<;gp1<$5XU)?-C!%4EsGuq4(ECA4RFnW0Pf!wE1kR(p4}>(ktA6 zHznMTg6u;34e)*&4^%i88*ZOTp~JGKw~U@zO~x5q6{d{WL6`ZnO%Ss_i!P=JS#FL;ZB1s4oP@X42Xtf(Z2G_avo zauM!5I=8b1BIee{zO7QmlfZ6+ZYj)cH`P0wU-&`ztA}%wmQzPY&=UoWl*BmJssP47 zIlo(^wmnUcdntz=m|qSs;&wU`h_ur0lg zBcqCaN`jR>eSA?->&zpFLRFOv z?-*j`Vq;LsAJB&LSSbZSEXo*MH`eVK+BMSX$~bc2n}qlQvYXsHSe$nRS+!k6@Y2*z zA@WqRERjY^MuiE~7&*H-?!f^zQ;s_@wA)oINV-EY;1JiN4ptZ+d znB!_S$6KUZk_VQHqdr}daWtwKB6(6xV%F4H4LYtjw^cW`;P)6#b6g;uPZcOHZ<6a& z@wQnQJ{n<8y7h^|wKXwc*zZ85UbjPZ{c??!%xaE=6un8CNl{sDrZD5^b>r><{So z#4ljkO4mh@7V*o)@(ku2rlz7Mk1v55QjkWjjQ+B+JYZ{c`7l&^RrMa^;sH+mz$iLH z5E{;AWAm~UwJh}|pZZKsUgvZCbiv_aNtEPrqOwf8H=>pzJkO*et;O2pje^+T{Vqkn zPCDofGo&AanwvAndRO?CSgH7c#|Fx@@v9SJy6(lakN~m2u-u)opXhNcCyR;v`72(L zs2vf(FtL!t5^eJDjSMOXg|I4}#*6xO6ZYG!`u@x|7jr2I%04TPC6)@8H~Jik$QuBu_9#ShkQz^;`pEaJKbc*4un^)h2HS%5cvW zkY{;wM--JaM5>YNG8sTcVd-EP+Us&f$?7b9SYR?`cP*u8W!!s^r}a9_p`Ua^vp(Pr zBd+GwzLIbAw*#61Dn|!M;<asr#5L|kwy_-! zB^BE1a+JeG!_E_n_}Yb3N@R#GzbG*vddaqcPf||S9dPEov8s%NFt=)Q$fu9X(3Tdk zwSX#ay*gNnk8C)wI>`qRffwNhWW-5IGOs04&DjUwll)aade_ zB)!(|QxEWPd6hhFq2y*?p;a*j$pq<9)8T&jm8=260w)A>Yr#$b0Ky=dRaGuQ7H=%j zz?G9o&jeygiXtIoj4@cl6Q|Vu0NbgKy z*F-V((tw_)yB?(CJT4ev+m&VUn4Z1G(G#+7>F8RoR+6Ja7dnVrf!5dR+w>cp7=dlp zsRBLk_hG`xx~+bu_rnQNF4j!Y`RVk7XmtSQ*Rb~kYmw9r_>Ier*6G#Z+Oe29ju_)q zcWpkOPzR^IhTS>?iacS9sptatWeMu%PY2bNFdheyUA|OHoZmin?J~zc)E~pUH%FXPa zIa6g{k;q3}AdwQb8Nldogi2rwzrxZJR{`h@s5U*d3*su8 z6lN071DdnfiMuBO=bpnWm;HdjRIEG}n!SNlvEaTwpMhp}j0s|wX<}}N*@v-Sp!Yp- zRf@t2;2j9uDk^pIo9La6Ddk#mv{2@mFjjhk1H!fe+}IL;Ud?hfN3j6hD8()x8`Tp{ z`iX*7olHAkL~s}HMz@oG8@N-$PDdS1YP4pp5gM|-tx=U^U@noD1R^UPDWq$Dq>^^j zQ>Pak zmF52cfvyPUJVLU%Kc`6%)M_i8Ru#AU7%LTr_Ii)U4HSYwji;dTL(A}h^B047*0(Q* zhrW+3MLNp0bu_W6#K=JM;|!rgvXIA6*+H;l+Cu;;TxDSEA*KmmVg@5Bk$lFQ$mwI! z_-Rg3HWO)Qjtp zuP8DdB;Qqpev(F_pxoh&tVoEAq>y;+3M$mgc-{<%+m7pIrc@KRkRuSp&WR#`19^tU zlmO##^;L`5wyXOP_q2#`0@_W1-X%w4p zV8knWKq;swRu>Y~c`hz@aNFROM$MZOAf6Gz+?KsU!C6k`NvYwHyy}RO?p;JnWI*Vw z!ba+s3a))pFdYSH%t;e%ChB*xG~uO_C(P{0Y9N*(&m^8=v36i=EJ0S--S1!@P`ZT$ zb^%)9b=oFEXgPVq^YJ!qPf1LS^GhHItJI&|I+NIMfvTG#>9a9}P56_N)f}?Ra|($j zN#dG@XoI4#(;;0)SSP2X*zUI`;BAcasX2~ognQRQ<0y7Wx*c)GLIT&nZ)|Ep#k@gZ zaiy+yy|L99y8H@XW7y+~8!vTBSX%vXlO->?am&iSYUb3rE?lZ=t0h?D)Yec>Qpd0Y z%1Oyy4FV8wy8``CQ2O*%#9IIDMI z+eBuQWIcG!kNG8=R8zAv7-y9(gn@8MhPTJ4vER5hv68z3JHZ|*&kp>}9N{CSls!mU zY|bujEv1;>u>GR=9Jp_yG?I1jQmd0*3NsFSMGa@;2x%jhMokYJyLv^#8yg#gVZTfQ zD&}+!Fq>Bf9^AyK7N3HjGZO$aia#dC@8rv_{=qsC@zg$8Hz8Dq0MofhPHlK2ujE|} zmF=1(V#f#x8bZjxmmL_B?bG9I6v{T47F?v(;ocf1>JLXr9&;lyMkA8U?ixi?v9o{= z*42H0^%H%zClNRje<5AS^;*&7i<*8)2?I3Jq*{U6*8^6P0XFzs+S}q6IDtDys^cjK zkTjG)I4|&DR&gY9%O-USuzfpIxxT>P>kqpF(U;u~ioi4&l?3_A%9`#*=T&(HYev$= zqf@5n@vsjYt;NQnVn)OpgYhx+^2DsYL6DH2-wcY&m1cflTLR!Ut`rg$*0CT4ZOP~? zI@>4H4(+md+IXux5CNpYB}Llo>{Wsq+J)``#q(JTxb})d)tk24U#=$_DDq`fTbH$l zmx1b{BIfl+-s8$!4TnWOqk98;Z;ZY*zs!L;TfSC~fy+ltWkNUzRlWOTRu*aE>B8VH zX=fa-tW3`*t9n|f!3yhZ6+KmzfmT!9SP*f-!0Vlq^sBm&fx6M+u4`vp(9FJ_n^iqU zNFz&|DyH}Js(`D$=SUYgoz5vQ^#pggJ7N-I z=6jX%SOVXH-W363u^I_0>0d!UqxbGbk8f;9*e6|eP0Cs|TJcOg!X3;i#Dbs_Ne1el z5=a(i8=tICQObY|?4)VTvaAS-%_uD(mHfm+8)@7d?7wZz?0O4bUGkj!EQc^i%IPM= z*~6PL7rnhA$^qSb-=Q5ta@sXanV*8)f(5E;#bFqBwZUMf-AEwb_S95dYOwEei2x8q z`_~1p$!g0Drf}phm=9GNByrP0)ONkSjkenN!?Wdx8z#BDIIp3StUi}>bo8(uq$GpV z_HR#6FS!J40LKa76q$1`u||ndvAF0+ePzM*5(xkUq>Y-ulDbF$-*>f{glGbX5bm!{ zO3}?~B&dN9s{tC78cl?n8`R`n`ud-&b!~k%7~`1++QOEYFA`T~Dribvu@ICjvKt5J zK-sP=#DZ4)gQziJFBD8psydC{7Z!}^r)eK}j*%d+*K7JnJCSp@PP=W1BstKU)0BJ` z=3ebGlEqn>bf^FwTZJ2v0I=HP>~GM4aMwTtjRKNGwdB2EbV8^bKtvDat6hSdGm&yq z_8yRSBdJyvEY{;#PcGvpg7os~rD+r?DRLuOY@}*yqq*GQrPPuMy@3+8ZFkIb$< zOX4Sp_&+avqK>*~sN@VZ4J3_SbTI{x8}Foy1=Rp#A*x~=MpV@#j>L5(z50@HUS*ea zB&l=Cj#wsGtC?nzv7M7$JT0|_^oM(nr}Ib1+omWn*uq3Gh}|29q#*rZRK1g!oIk+% zH1g*Kq^gjsWyp**tkIUUHrkRfbce9qU#U1}MO2w-9hd{fO-j3HOQQ4Qp==bUE)21$sIYSPVZ2PYCUVqAZT4`2MJQAA%RfM5Q7Tl-nbzGGCDB6+(=9xgHrc@!AX<}rMG?ul1U0QFwuf8N@05!Uc z7t)5jWf@|0vO=#sxP+A}Sir4_I#%}{=GP~+&r#O{`vo&3jZ_}k;v<1xP0kGZVlP(2 z>L&JHr|iU@#K!0oTUK@p);@7@1vyG;i4$3i5g{HjO&ZBQJYGe#gQD8pJu_3~h+FXU zcQ~hskk!o1b!Ba272k3_$@e`vj5FA2yXb_+JUdY-ysykEID&I6LzyLZ-QB&g_BOzgt55*S#=wgQP5v&+xQsjjIWU~gxM`@JPAzF>R9-4&uVI+tEG8m zcmP5p^IRfsNpQM=9>k6Qc%$hFVF9+^jG}7Rc1fG)B}%M~WnU&J7{+xd5(jwO)NBbQ z>;V_KHdDUBz~X|dgC?Mx4Zi?|!}f01T+HyE2x@V2H8yiw8EslBr3Bm?kjCcX;QQX^ z8z#FmLF7IN>^aT?u&;-{N9FlP0#ic-wJ}YY(Je%?#ZfG7vPim=#u0+1n~$mnt9rFx z4(QRGW_Qvt-^2a!jbS3wBr6jd^+f$&h) zA7!z?+`1`7CB$5$CT*V2oJ!NwNhGqlyF84}?0b^TNk3`BmxeMey$RlCU{kUW)7qed0zg#4jtvr5G+~KgL3(jO9-uC!m z%Y|(!Tx>sshAt(S3B#gp{WtAwRbxHW9wS8d<*^wzQ++?EcgA9dVDHa#9D_-{KK6kh2z`_~ls*TMh5*}Z| zYv8AmMxtS-SlQOX;XPmxf=&98+s;YbH;XJWZyedRmXk!K+sH)NU{6P)Xl= zw^46vVp@)7NE(o2sA#mv8)}2sGjhnXoa(+f9i)U+N)gB)u)X>n`~KWi;YlnKuCZ~R z+7J(zO^oPR-qr%-{IFm_uPC4f!p7e&xA()LBw&0Ya&IcmIC2{Lh#BYekjy6bZe?vTkeg3?%jB-5Jw;$S{qm> zDxpBNg}U0_!qyw&Hn%m;Z z8X$3#<+3J0GB02~Qi852qSWz_PMw!+*mM|aAYS^euo=4b`ePy?b=`;rZ?XPAP8=Ex z!LsPRiu6$(OO{@v(rwgy$A5+pcFHr__%8~I=<@QhxwfwU*Y`cK83oqX>GugO#o4Om zl$KV*Sx=(+w0c);ZuhG4Ztoh_;|TE$xZM{a}duow>%(~w6L`cH>P z6lu6CoT8vp)74$tR`g6ZUH41vz5AQ|a5WmP1|48DDHZ|=7rnxKTD(=p3fjkUeSsI= z$KKoDdyEt+#fnjs_$Y1W~H zXMCG7mZ3tljQ;>tjqI^l8x>t9*16v27bCSu_dB~qPn>79h>7^2yTKu8nm;mG1Tt3! zDx_OfLPNF2%vG#UeU{fF6)&lh8}&r;(iN*I=LP!9qt;VFRi0UNKn%aEDGAl zy>5E@j<@*Y95b^#sqyr~X~;usC`+$P{{S0fOx+imVnmfXd?a{DPZ7qpN}9t-Peu`L ziLKgME>u{MG=QX&*Kh~ECp6Ak=ADJ29_*)KDoW-433J{|=08Y@W6UUuO07Ivgou{7 z{EqAyj@JRy8yk(zD>0JxkVlfRtAWefVbIKKwB@F#pi?CAN@Eg}B1obwR#myvZ5O}Q zTa#hBjAZhOE&4-FJWze6lIEBC+dz5N4f> z_Kw7SQCRtKX*!mPO?>FW_PzQYjqPrqvlpZ)e70K6mo}~U{{R*L0LPBZriQPy)j89) zzyY_q z#T8ha6K1;*1%;R25mb12fq30RR2Bjb_fZ0)DyLBb)4Y-wMKfxTPKizKi@DZ5t&Z2e zI>`s%tLina0FM+zcpoU9Y^N^O`AH2f+LQn+j0!sdNCm~t&3mak*kc>gr>c95T1R~E z3d)@ zxzw9kV*>0&{#3myRLdANCxpzEqv+}merPcxNZ2VG%zju;%jBgKtw>6R{-&srQZXu! z8RK-;`eYi2RBJORE!0~X+Vo$CdCV+%&F9c7hV*%=0b_w5RN5`F8$A0cyi;0&Hi%v@ z(XqKl5vH-dzdH5@rY|}XrL;z4CQbhUjxeY?L7h>`ZypJyS+tU(>Hu!YJZgx|2T?!7XcI=Z9aD`+msGuwE581!R3ou4?FW|ZD!?jMp z5D328?eByfq;)555i{^L%Pglo$ZG2=XrQX7dbfnUu`GpSR9`~s2G=Y}w&!j37`mrO z76Tfp*3==y4WU6!ad0$TGsIbqB@!>u;i-{TE^HR}0Fh&1djWpJ_@m7RIhAhuMb`sR z5+^O;YN(t?rB`0wqqYA4XU`oKGN7M?d(Mf$kCwIUH(1#1(AbT-3yXf-bFT@90N^g0 zz~VVHotP7FFi0|kA}pUST4nrGkcZ+7f||4F^xI8SPaK|5x7Jr>+ii*KruQIU5LOT` zAS*mAHytjXyz`5*UTo4sols_V$2FpMyncM6%dof#bsI>1R%No47ALkd;}ZNmPS?0b zv%0w;RA#ptr$3Z_A^E+|k3S^IXA@0L73Q8uppID9`ao%&Kn_j9?W~Y}iN=HzQM0!s z9XGmi&C_#Dnbh$fVls{`iRtsYIg!ND2J@w4dyC%pHuZm^DA}=2);g6y_VE%tS1V>@ zhhAskj!z%fi84&Uj=w^vBi{Z~;`bGHlztTGs(G7LH9NnST~30egVjpPFjP?s2lbo7rg~SpaXUFQkFF+k5`cjvQhWKsljw zqx9)e-8Z%F17GEB`+H%n02$p&6RQ0ytcE_22XSls06l+h94u|BVHsDuR=<^oY&NGhB;ref#x45!m6ToSP_GVBg}js#Ts4z!jB6oDb-Y zwJ;qIxjP-U#|uc=6bkoM3+h$4)7*SFx&4@&=!a{eY(XJ#%C@%Ux3D(sPfH!G@#%?n(C+>@m!wq~QzU+{VC`ww|^1@cujEM;X7tM#h<5fSI=2dtUnzJ+If}wmR006P>y6 zUlL0&8aJ^vAbxU=-v0m}d@ustG)y->3&y6f$)!lrmo{6UYiv(p@x(^xhO`aVgeqk^ zOAFe-w!?pqYjwv1Q}s$m%2y{=Pc>zcUrwm^MgVR@Y)y|tYY~WQg;$MW5T@^d0)Lm8 zJ*{%{*dO>rxSD|YDr#Q%??yMrRWny`C3G>PNh3>5Wl?f%ASZ720GvaMFabPA-n1p% zmkvc&RVSH;7pJl#R#>Qm-$PnM1Trs6Zf_F!a&X+|g0p3E%N>&i&i?=uoJry!uj6UaY2spIq=9_6 zRGa#W-0N!_YI04s7Q}gG=qyG!y4obSBYDl4au+yE<1F&pRaTASk#$ETZEZ-_AdA=# zMTj6AN(>-rVhuAYdC&M%^VtyjSyckLy@_dv2eH$rU*&OpG?G=H&XKYj+-b$tTz{BU z=9TOos;Xz2W(oi-=H!4n4#0L67-JcfD$3ZSRLYS49Q<2nUK|#po`N_kX=+Nl!#cHt zsIYZpA(Y!KNlmNFF5oC451~G_qyJmWM8msTvt7)n=8VM^YJ;OLexu z*pYkN41Z`sv}Z|XLtHSGuh*^`1T5WCB+nGSpyn-ZUXh6wDxW7ulGDVpv_TtC2wvn1 zjn{BS#9I~q!ocjpT4qcV0q+~DIvp!gn{r4a^@Nql;Kc<95mqQ_8Jkt3>QlP0301Gg=v0yap zR`=T+txUb8t<;5YLkZRic7+gZxA1!9S^jGI&U0N+GrJ=tJOD-zs20^LYG>Sb3w%R^ z!uvY_bS;`#NhT`yXL)58s0V%s8dj3-M#+Oc<73A63-u4}Ffrd_QI)Ed>!n7U~*Y za8k#FykBN~GXv8_^g|od$xpbIG%Smy*<&nXS7EylmtqC_VgrYed4-~<(?NrV@vYLq zJZWZX@x440Yfz{oMlw4ps;-a_A4(IkC2jp4n_-MdFV#qPu+>ke6q6 z{IthWZI}_N+IBvH=?8mXd?AG?aaMR`JmcH#VU^dj0zP|q%bl{*SGp&K)+u2Xv3I~y*d3b)5Rz2JZ)!nO3=pCal+cQBFQi; ztzf{gU`DaC1-HW3aiJm)#YQ?^r;n27(0I73qDZ0oE>|RQ0I;mDGsc4K+aYb*?8900 z3!57ggec_^sz;_lxRLy7SkQRx>q}sm(677HdyaZiE1+U&~*ZTaKe}FpeCZ3PYvZ z&5x4ye;&E)WsN&hLWSAYW1gTDW)^Gj>$$rScDdTt$2yYT{Zr3OG20&{uf?B)ONsM( z14gw_Q(bJ*Q%1&i{{WvD`cK4I?}v3{h@ZtmdQ1%k`JvmzJW%{Z<;gZ?E_EGD%zCr2 z`p5JR!vr;%RW2tGYndq#De2SSVTq7Brwf!d?MI*G^C0}9)WxKGokGLy{T*=~XJuo) z$y3o6OK%Z0-%H{#1TrIekw<1{3V^X|lFUF~iED4YhAKKO(!<`YalNdkl8>%T4#4kX zK_G$+w%GI|*z`Sdb`?9Cz3IO!;0q1Fxi$mZ=i3OTLhI32NtM5tgk65(J+0hhx~546 zMT(I8ujloDFD6=w8B#ZlhcL{7SXld&Zl`l=Z@ure@GI!>SM)kek9cXgPj?Xb+Tso* zm1LTx6w(1yidm6WwOqA<-2CrgO|ipBy4(?CrbBd}CG`)CJnE$cEBL*Q^;wmhuU(kw z->*%{Ck-?TYdTrF_Pm$9M~eLFrP<_$h?h_{*KVYi(i+z!lHT}}sWF#jG3jQLn9S_A zkBfZrHf9v`BsGB7t%{ZImv2wVZEwF33^^ZycsX5AnM%rwZ#BHvlzM}DU0Fy{K(aAlr+e>( z)D-WY8r7jy;?k~)_|0`?ni?{58Y(?j72&FPRh`7Zwe2>7GOOT}7 z)o-o1B!WgEb{jI_=~iD^<`a>Seh^&yhNRXcrI2f99%EEK@Aa+&i;rbD3;DLh%%oYO zY3W9v-cc8t{QIlsJ#5vpHRX~s*Z!`6-MM*;Dzb$_F*(h3hx3nQrqyAQ6^^&K@7Js znX5}!fOd{E)Psp?m=cPv-0&0 zw{((RmWpyja8CB>L*CzVn+!XwP@a!(71dr2q+zM4>XCiOW8T*KxbAYF^Lun&vT#0I zG-RtvtPzO0=)fCX5x;Bnw@fj$B*9Fr(c+s)2Sm#wT!Hrdu{xt6I6OU7Bp@=92s>ME zw-p$c0*7rv*D2Y;Y%@Hn8CpW~=m4u-iCxPvCi;oJtbM!Uml6N~4S-udh;f2V^Ejn9 z1b9?#XtcGo8DE^S5*!Ee;?--|U6eC-Dmq+y;ygcHSPbSY2ZNu;Mc8|#v z?_&TO8A_Wnk!_C0eaP#+1ZztlePsiRGSl2tTjmE6emShEsB51-Ss&Ee$PC1QTFZZ# z0{+9MHY})WYP{LRH^nd&QU@mcUih(Yi>(R9$T%vVYB=gD1b|2xhV}(R5p~;shW`K` zmLPRluvfTj5UM1V_}n)^BU%V5B>6Xgm9LJ9g-`Q%KS_0$ma@yGzZTYMZijvC*Yig~ z_Tkym9U4Be_@m&OI)DhLsuv^!VtRHKQ-6*lHB_gg0o`-za*Ax4u9fMv>1jYZh&CaV z+n~0`4lb6Gh4x`apa9N_$UY`zzX4~RH_GJO=A#Evzn9#tu^JUuYKIGOcDeS%Agrr} z)4R#eJE`FO%AKNr$UO0h5VeKN0jzJc08glPxHzxHVOv;97Z+9-D%o7DXU~32)AQFW z{7G9;Ei7M1j&UR*OL{d}S+*)eeym!+ErGx*#|hPEhp!LxJ{~A)C}?VCsY;o|%<}~V z5V`+lGzF0rB}R))@1&5(bqf(|8-wqT8gM01RZ3U&rX7W;lUjrIx67x4(LcrVQIT&FyUW(@0BEUl;1bXk0}5MT=K zuEoyns>9Ri-Uc@-T>WEh_1S5vw3mQv&;%K@-envkl~QJkWQl+QonK9eVhxx++mJ=C z)NTh;iw?B47FsH{2OolBIfcNPeQZy1;#oZqpj$4v7#oeYQ*Afs!o#hOAuV|@*tOI( zDF^CqMUdL!ene1kg%HnTr>U!`icq4KK)S>-YBCuCCAFflb!Gsng%_~H>M%TZXHD#e zr(d6shXH6nW`~|&Au%Ovhb5tj&zRCvX^m0t zLafbTM*0e!RXoAFegKu&`7v%;CBf15qs3y}q#xd=ld0Vs%6Z zl8UWm;-mN<*AxT|B5r#&W?o4~+2;DS1Yc{HO14pPR zZ6Mg`Pyo5^2T(CHgmdPe#lqyY^)P4jQquxtj%diS)3&e;*lq^)W7gQM#CxR|ht(YZ zZ*goVB+fFbs3DGeIg`wI+gf>YMw%b^P*q)}?uf#JmM;y&6EK^7aad1#G*+$)u z=66_^U1#yLM z0A>{Vu3s;eRZAe288+FJ`yGhvLD-9oM)u|qrK42Rc3_BE{3P64#B_4P^!aMA3F{Ea zc5PqL^K38t3{1+Um*A}ZpyCcrDjac!Xxu?lQ(ElHHALE-6>hgD*YX#&fj0xL!yS9e z-6k$4RdI%<=8UI6PnMw}>*$Qqx~j6cvfP48Z*oE0ecuapwi;csJ|#(hTll}uxeZGV zEd(@js!Gy+S~o3u2K%e7+jJu10fvC-98mS~Wr7pFpM>{|-Nb?%xG3An6z)~74*LAf zK#ObvvA;|fTvZ>pt`9T3W$_0%=vtPtDin?BwUHDq4fP8Pv%c2rU@v=OQCVa=SRGaXlnSCOYHKdbt zVGN5Ew{{wopg&k#6Me#T)OHxjbk!j2uF#19?XtbVv#?0lO;k3Nc@AzYLmj@|v7%>b zR#j=IaP}+R8AS!h`^!}FMbjDvA z!0bRLUR zal$~^M%K#us##^cZlc~&hH}is_qwq{Q6q!XOw#@9LBg z6lu5?MjF+H$r?#6E-mOb=@+rtFo-g>YN0fdy9HfKV9M-RussSJSe3Edu>$=G!y0^) zt`^h_J<9T;2&$1@Uj zNygeP-4!Hs@GP^%tm$B?JuU}klerqS`mDu68yoG1=Zy;E+ITMoCT0M`=0u4mbP^vt(UHv5ea5No&V0xQc*R_TvWj#sQd{iZ}WUW}Ci9EQSnIsl*2AxUP z>{#5}Z%{+(XWP1w2EY#)Qa{0Lhh3wF-eJ`fyArBHH>lZ`>MS?<{Z8G4ZV;bLdapXn zx_Ss(%)OUjz?MRRfYJyyCr|_5euHdC#z^l_Kd|oe>b&aR(fpP5k`0oSRxzfG|Sc5M;_EgZlKM4njP7s`(1 z2-ADm02J=WeTccYs^naWkE)E+zkI@Aq@5}h5J0)uUgUfa&jFhtoY^XG^3Rl%*-bQ6 z)v6?^lwLGsB~fg)C9iE;-p1NM1e2%%MUBRj*>0QO6)^-mvL*_0xbutYxH_F`=Ov7a z%8@wrvyPsUZ=fEe>^36g;;RXVb|9AM0oT0}_kc5-9f0nI1#`^ur-|wwqM?anau(!; zQP^Lw#>$NztUy%NADqhLdAdEx#L}S4E8TB5LN8<1!=^hjn+r{()BwU#0KFnUV*ocN z++h<5?Fi9$E$}Iq=h=K*Ma3|A%RQcsjOtKO9i)^C77h7{(66Z8;9yowV?RbHX35x+ox1# zUMJLOtJ%(uQa3EPj=LqMlj(8NPGb`y^&40)TY%$GRs-|yJ{WEwwuy<_by?bYcT_e9 zSkV?Q0-g{kX>yaFaTOeOFI<39(^MdJSbF)cj4WBt)W6kHf6l;Ia%!C0Xg>4Yw2Z^H zgCpr1oAxN%iAleYa9K>H0bm?|{WY#dF!5H3bvD03H@8`HNL9eVx;+`E4Qc;f6)J zO+B{+cUZv;LD;bX^f(%N*Fe#_{tHEf!ir7K;UxRB@ksvw!WYVnyMcJ31<9!vmO7dx zmPlb;G5LZPO zvHA7IH@36u2?t3Ck7ZgM?%P~!uyc71MU5IpRQ|lAW9Tqp6vlKtOq^2M=ln!St)9&8g&sx5|q&H4x_BPv9JJX(xjH!;BScPv9*2e zg`aUSXHZLlsk+T6n|6?-^!5k_QLMEU7CUH$AU_ zDBzml92Sc z(skXL*R*}e4pVUJ=dB|_6w@7SUqCHlNhbPK+rHk|4-)a5&l04=VM$|qhruZ_-UO10 zREBz5lxYfu*K`8yZS^SIez)7M1#y8Ye_}HPrEX)u6togc6p+*@iHvd+dk0cM8nkKb zcj!k%p{8XW^SQR-P0YE(!Q0+?T*UqFQe-}AC?rZ zfWFAmM}4r=<@BP>eeON5mjGX7#H4^QrAWT#m`H9#wgSLgrW-4ouSmtk#`xmwC5H)1 z`D<^}uY6WxJ=6vuz$xdZZ3ExojKjp0oi2nxJRnPa`zikbhRgPTMni~j!}*m<$N2{@ zO6YsgKb^ugV;-W8U${vu!byMs)IXR>EW$}3`H+F~=LB5bX&Qpu@7(>ncf(%b&`X4X z6cw0X01z%NP(bDy8XUl-t*6p&{UOxcAGYIfhAXMKI7mi0nQbzOA0p)Zagfo}()wx~ zDmok4#7tB;_5cfCe`A7J2r%JebR%l^NE(9*S7dbZ(8p=+(iUTN-E7QA1K0tAgF*Ln zQk&eb&L`nm_?|G1+6vyx06lRV+nG2Uccz}3kdS4SNmWl%B1ogIl{eq@d@&fK169uS zG(2r`?w^8lRI6DYN~+ybO>_$wuvPR}TIZ{8af<3}>WN^}UlLWNXsE#b^zaG*RL&jj zFY*1@ECp6mv%p-F&UpENrHzZ*P+J?@sc-NN{uaSPgNV(tj5r%31L4~s;x1fd2P>+} z$d)%Qgt`HxtVts5Sl;&?du@(UbePy~tIHhR(Yz2n6aDz-FsKC*iqh#*aVStpP%mP( zy7wKeY!R9a9g~>jP{V{DA3p?_&p4-uGWmiWtTj6y=T@mm8|)cE7PBo_@ZeL`LsryJyPz9 z14nfr7XFa#2T$BDf1U>}9m-HL*>ofe(3=y}5t5`OY14v)t-5yy-+q`{3n*D_SFDtA zgQ-QD;M{HZwz>Nc-|@h>nIbk*Q*01K>k@$n2hrD@%Q}xQX94M_Nvte^LleEjYzWi77Xq3ct0OvD7!*9sYkjK=2E0l*57~ zoG)%3komHC>8$xOAt&>$H7Pe6bO2o2;4z=7Nf0dx=92|7cT&%VILu`9(Rs~M=(i;_1O zV}TCsZVbYnnaU3K0y(DV!q+whKM7a7@hdqsBxwfBkuHJ>Baj6vuf_z?gaaAxa7SM&>zg zXPUuPl)1{X>W73^ME1Ew3_(}eozK-fTXBl8NWdE~B1-}W;_LPtOOb)H%WrNf#iubDt~u~S%fi2d%%h#jm}WJ#Q+_X}t9oi> zgy@n@AUBxI!GKb%woos7n}N0rq|#UmwLBG9RM%8+8UyiDA%6#d20~WNUwa?-p?)9e zcyMYfsc!|P{^PTP&sWR`vHC*x{{Wt`(M%>9O*#U0JP zBS<~K*pqu-4kAPaBUsU(O8Gfqmx9a-sblJ3c4K?oo|ivm``-z!$~eyyi$T#c{B7W? zh54siDr${fW;j&{ibPOsNxPDaS&jA}>^AL)1u-P?UC10DjS^e^#^(l;aXw-XU8aw> zOMXia%NwZrhMkj{lz3ms_-YubDe5ZBP!-S`Kz34CDX>sLJ*~Zf++Z9=0l10HxaIkk z1F+5Ke-N8|+wggCpA=QiT~kq*8K+|Hrbv_v*lawbw%y73;%Zn%(P(Q)fyEV(uG?j% z{{VwygIfOpm&|SN=STklD!wM_`K8pig7v5T8=M&n0@7Eo1REL9Y6Ef!5re+q+Q!}R z;Mh&-8?aInG4S`0MV1_@f`Xos5-bc+C~!wcEnFwb$>SNfJSbk-n~j zVE5UD>ghi*-oSrJS zgEXk&uHjUGisRFD8ygXFcN^mBhB?_{1%#lgbaO+bAA4w&-2VWPZD%-mm&|#hf=t@2 zi;W+Wgtt?>hxFK+T-<;K!PrVJ>kawsJkc&DgJvcmeaTtP{{RNLpD5`jt!m_`ik*i= z(jGg3tXYneu{I{+-S8bsg@hBMj1D(eY@=()F+9H&8M1oWXPhKJ*+=IwB)0tlHXwn1 zok8uk3vD%AUm%W2nTjJkvJ?K2lsnr=Rak1cdcOzLfMsX6~3n$m`gL3khF{R3L^x|AwgIMFhABu|)*^ckI zRbP!befV;^dN~|4ksUghadFpt3qkqX2;3mR?f9fMJqCg6*eGTs?xc(Dw@dr>zUJ5@ zI6B-FsU*teMN6*w!ArHl8!nrWZQR@5`(c~?%0LR+o}p-&Yz5Qmk-n=RjqUdT0E@9Z zCi}t`y=7v?{*$WXsJ*{P8)8SB+ilb1FkZA(ZGBc9K^NS8oyT965NS72Igl*3lB~+* z$R&$*>uYcK_4uEj8qnm}0O+=BVM;w(7}%}AKUn<7*?*sGcJPSH>+oKbRG`EOL!p7x zZ`Sww&$qTZ9d2qO#mvg1XOWN%NWd+&+@Faf*x|e-aKN}-n2{-^D-v93A+7;sy}7=+uM9NiStg>Li`CGJYwNpwOpweZoq@^ zz3tx}b7M^xGQplp<>gW7RN0B$x7%)`-*1*WJ0W+T%kGjrVOR|(SQq)))*qv`6v9q0 z4<+q5^Vx>6vXH?05xEDq%ij)jtUK*Dxi3i)T z0AP$i+lRMk^U437##(s~A>OA%pUIxp95!xjA|3z`FO zd-4~$(qZU|(g}$cP$wcIFGHz5kdrS}VixSAJ&^Bs$pdfb?lvPBx6No15NDmV^Hd!n zLZu^>vDrI=AHKIg6Rkn|HBv?(awB3CI@z^ZLJdOgV7{9bAe-Ug&d?HXuLV};7dCx) z1s^;|@;@r&?QAgR9$iXFM-5d%CZ;s4BHW>noh1oAo7unwHv64xb2GAF#)VuQ7^v2{ zwvY*fyePFESw%Rpk3sKXO}@+GQBZE7`MuiRephhZ5l5&hmeRyp{fBTme}LZ)4$a(` zvL0yL_;-qq9tz4#m9(+H&;{dp6fh@N#1akt?lF5KBykC}*yj20L1Jzkg0ik}L1X4Q z*-ePlce(ra`!>ZEc?!Vci|++(fhaw@YKXA>U3G!=aFRg{{zG(xV0!rA}2f1H5-hJhcq|TZRj8 zHom}-a2N~N?b`|wE@IUcEQ|943{YeXp_Wihn#&vzp?PIss0ZgUHUNFQVbGCkExe}j zJ1>TOnmn5}(OXAI8DMB{9Jgbr#-;Xf3pV9OpXn8`#dSWAFEAT7g0&PK)d)Ilrr#<2 zUvP&wq7?M=Nd-fx*H2LsEw;6ciW)P2^w&Pvu&Y(H#Ja*!wDApao*So|iTE0ant2so zOk}ksOhyv$vavz28cyQifwOkl;qGW6HdE7hJkXr+b>TX{ggA98D5Z{~n=G-YJEWdn zgknOZuse_#DcBoV@>76*uozXORQ-FWGC*@A5p)z+404Wt0>`h?I8*@gZ@9` zY$BqCjwYI(bj1P$fvA`Iyzk(`* zNj6KL6Y?6KAl5-tG_hy|0i{837t&9$>vQgLOVG51DsVNECy7t2BJmPV@}uIl#s2_C zG-xutl<3>u*y3iZWiL{}R9%U%9_QdN<;Y$JJ@@_&8oC#-eK#G?e{3jCA%3$ELA|lX z$Xl`Ib07g+*-qP?u<+l55?Y;xF3`%#zhN0{h{Mp?w(% z?taWUId6)eFxyW0bd5V)_9v~+zf3TvgA2pXXwSnAN=or+mt(oNwi^jaU%qP|n}PbU z;JBr~gl%%ME ztAuu&-i78_!1Y|m%yR6$lAzPIOI1g9a#zbuGB5}(E`3(gE?eb%2TCp8tsKxvJOVTP zx9~s0bkybPr>SY3Y~D*YohNV4zN59Zu+%r$6M`zRHrnzARd^j|DB>X@`Iq719#zuI zoKFA7gh&BKmLSK||Dzg=A7+)lG40>uZ)8M@s?;J?t-R zJ~N~-67I;iy8w|K`S&gNNA!I*G)e4{BKMR-c>%-i!Fe#FrF~Heq;Sqr-`8S8G)F-r zrriaH#~y+5a}n3kF8yunr&E|~eStgmJ zc|#ajf?N7P+T(p!w{iyC=>4v%MCF}1EOUA`o1AkP140g|qRhET!)l}^WhT9anW44s z*5dXSxZd55O|We&eNtey$XrGhw8v)g%`NkuTjks#lhS56O;hG+9ZN@6j$_dZ=q(!v zgBO%FrM}t#PzbvWSG=dP9W--0ijcow0sjk(q_zlO76s*CZV!R^OT2 zyqlNRO&;=7J0B)z0a<`O3W9X7+WU}pAlnrNfp#K0nyIn{d0n@d*SZeHZhh)K4*lcE3cCHkN<9=>& zj%Prb#zmgjn20*!N{I-v+U&RJM@C+|Uf%Q=YtU#y3-%Vn%aBzn8k(d_ z>@VNn97BcaNhzjvkQ*|PLHL7waEV=*Qlo^p+48CB>!}Iz(rD$4{Vk^3GU#AKHT0Fa z0_$Vc99NlXJR;reV}`EGO*p=537#8%>N&iG=7hYQWL0cI+%}5#_UTBO_i-6gC;J5 zadR}(%qS`2SH(6#%|xY4vY@?0bh9Mu<;c2Sn&Df_UC7^I(C?`10WE)KIFh7jadeUN zMaLBips8Hgs#M0fVk;n@%O+rtkiV@BqIUhTbES)w%|(9Owv5HIh`MyG>l$G7MIe_ zcPssmOM#BzhJ3{E2riXzV*naSlqDT7Tr4?suUOz}mQ+DwIUu0Che)K})u zSqs!6OGzR;ep9rlWKc(z3usmVxC$8R7Y72dnT?%j;k+%iXE~!Rc9$ENJ_TzyGc9U} zs%mQ|^W%n^o)`d?gGqTA&CQf8>;bSKHluq7bz8{c7rKp=++nfacp+Wl1BF|JGjF1@ zNgb645~hg_?{fF+zeDYb+RU-ssya-W(`3%07Wr+{6H3YurH>~#XZcB(<@91XB>GHB zr6U@htZpnUZ_}r@YzbDNWy;lbYe{9bMN2C1A5ER*lzvrBbsbc!TBT%(WC``HpbL3m z?YTO>!f-VbD_l=6J1eJDa|j+bi+HAAoPG-?;MvSHuvJ#&>rE`O)B_j`Ftvu2xlk{A z8?zEkt%3wFfJ~FA0d}QQL=I&J37|35NT;YaKW5k|wphGdrFsBBxc>kaBvr~?cyFsT zw7H8dIF&>|In`nb3mNb%03d{&XL9tz3 zt?|S9#c0etXqB=Dqz@d57;92)*C4I-3=cvL`V(L`-+9J{H}ghik`D>)U59|jGOaoE z-_WcnUjT+o9O1W5&phWf==6X{`LrLS|U#`Yequ$ppQ zPm<{b-0ZqFwZ@tVsoDk96l{X`W%UM-4Mf{f79mIseOTZ!B&IpCY`rI)C7p^SA~RyJ zsbCPlMG9GkmA5*tVmCd?2R9H`7-vzs)rK*brmft*pg>D#9q()EHUO=Nvt0D_5mLX8c&*lb-Hbea0 zi(E3>Q5LZUh`DQ<*o;a*X@R2aLEDCl%Pyk^L|Ku@kThoLVv-fPI#g~7`jLQL_aNHX zLr3y;^VF_rr=Dx zRyz+ep-{yVix(EYrME>r4@I)|BpgtjE33Expax3IQ>tmW&l7JI0CVmosp5);si|_# zeyF*!j=@J@F{z_SQVUZu=8~u|$R#;H>&JnX0rNKf0`=;+u&N0`RnyR5G<8 zm?a!?Md@gx3PWf;5a?YYzc%;niNn2`mS8T{fG0^-og-M10Qye9cBnT{a^emds1KIc zW)$qehmsl0a%m$`zJR3nxVs&%)Z;1buXLCas%Ycn5v7a?i?7VLmYG~rG_%xZjP6~5 z6#*EME+ukQ-L%<=JF)!hZXv{CYPbS2_=&d!W)B^#%;#=!O^y5iTOu?~62L%QdK} zdX;lDcPT2WDy(sU0|4FDPBD)p45Ic{6r5_f0WrBpbC+3GS;}0xgCV9s6%eFA zpIoeqs#yuP>`$qQ>u^1>q@_ox0!_)kdb)NbJjVfH$RVBM(}f}8jv}R=p{f_lqm5L= z`d8H~gpaCh-y8Jph`LItrq=27rDp5m3u+j(hrvdyvbQkF)#+l*Go+^c$YQOqxY|3C zq--`ev9SaUWUJC?8G~6TQbHk}Jx~tQo%*nJ{mx6DN5gVb$x&HLU6{orp#34l=%t9; zNh+JE>9vP*z8dETWe;n4Sq?gKqfcbGPP<(#s%omLVp!_4T<)`i!i!!EZU-_b4gNib|S%%BC9GLrnv%y-y*~%e|S7$W6&WEH&!I zix?URoevMaK-z|((m^`-Xpyvh-OsZ4g*;i6R8YjaV}!`s0(8&-=sJrF3t^%8AT>GE zTTt9eNzKGLj!5X#H4?!jmsj%M!S!3A1Lu1a_F!7ka&H2Bk#yi=Ov>s6NELq4#Ez}jTBkO7C+%&qLXA3w8zJ_Pc6LAg#`L(z$g-FLqP0W-6`eZ}hE<8%o5089C zoYu;AxLc4MQ^yb*yt^u^o}E`$n6VI~e_~C8pY*rG*bDSTdn<+0X_@5J862$?@w`&5 z%#tZaUz(5z#~cXNKv@fp>=&n8F-Hp`C75*>;_6>;qXw4C{T=(?DB4 zN$fTr_{_Oy9df6?gZ0zI8Bf2NH~UPVqYT3t#Zvw|`3Ema=vKu8`P?I3G3n~Te&Hmu z2_^s4KbT1@!bu=`l?%_8xLrDKJk3J)OB;dg-7I&vwg$uomcynwE<}(muIMK7S`VXU zEfjC6J!eQauqS(ai(h`%zhi+Zq8<$2(Gb4{)C*0+VzOBa$n(n@Ew+tAZU?Y8xxY+X zIOJ&NCyJ?US#zeru7Tt~6*BV5&!;Kq8CjH$yQ#P7#CF*f(sx~8H1#*bl#3V>gu0=Z zi{3!i^OBODOuEfgPR;3NuqwaKGyd;+XU06mgQGs96&jCiS9-7f;nqJz;x>kA}zrr$W&@K!49fjZMY{gm;yXg zga{(zp~MEtb;4>PN?XcnNaV8Xy8wIGTy5~aItk*K=T5rpxN+6ykyePLr(dEtBh5(x zD%Q9qNCXeHy5o%`>Y`wCb&lk-^0u)z+Z-;1GS)0LXbcN!+;<+w5tjgOy6#d0ugwJA zTE~61?d*Sk9!XI_8n3v@jn{G0=10fjj)rceA{WyQ9dCX54&K;t61XHSTWcg*?Q7cq z0B26vMV3*|nr-=-jB@z_-L$fuAQEr3_wDX_i{MI=5y+z{KW9#O4h+*Cw0EHuOpqRhY$31+s$@3qH#S5~0{ zZf|IWWg4>r-RpNRt)$_%cdDqCIBXq!*-@EN<4D^2SX$(CvAI34sdi1mRUUlQO7S^?$DdUE*Qch28djwc7W9@@ zUr+#$MUP?B6KPZAP>H41#zU~EuW-p5eyIe2Rz>2jpPOG$9N03FNs_D-KNdBHC+i_y~{ zhc1dnmPPW|d5<9^yt{*DAd-46+XIZZNn%Z6GL5?+c_!Ax8lZq;=HHJr&2iTMVyhF541QM3US+$44ksVf2{$Ad1CIpG z*ZvVcCE)!2N;;S*>L?+ZRncK7DMALIvIn-HNzzEMw)<~_aP>>XxfU^U&|5bE!52l- zmt4ooIj5IJnq^Z7sihr%IT(Fparv&NVm{GvV}snt?p9Q)ii4i%Y#&eChQggPo+L7S z%ZPYWGN#GaSFe&Z5UeexLPUs2R=U{O9^-5aTo|_yrll93s#i~vIYw=g@a|ntp1G4U zNC2p2ZEdRN!JmCh7Qv5lI-a;WR$0M6*gD4tH1ptByi)kO6Z*kuhw5c$dZS>@{b%TH|ZSxW2 zp+DleI_kEPTcFc(@6Zd8ZN;sLTia)t47rJwbkrH zk}qr68+8W2*zR5#{2Q@bAvU7chHVkW1js9(=~>G=*ekQyCkbOTM4AN#CZ#VA-dDv&j!oz&e(ZNUfFgZ6Yg;#@sZQJ{}rMQ1BFDV{e+L&4{YjHj9zG+Z~I z%Nk};L-c(WBzbkP+e+BCq`sd^qi$_+dz&3nD}D;g1&HeGPMRJ|r^a7{e}y=fW}1$A z%)B`)V~nJci=WI6E(fsHVbi7t>Y-V^jj4F@OYTqjDdCPrPT8oIdb)^|pEjkHA&%SY z^47_9>I;_AcNRP0@YdYzx@%~L=oBvTtIzb~4BscnXj@$bRdG)wz2%rlBNhkx+KZLA zyA!LWi57)nUZjm0Y@@_f4W>ZU*!Uu~%!owUJ!+B^8fk^jzylfF`vKhhVti@rJGlH* zw5$hr+^W0H*pu<@U=51-t+==yFJW*G!sG+r0^qMqcW!`dj&}GattB!>6Z4dlW+O-! z8(X^_uhZp=0Ki8ut^=}|;=UG=SAaIHRt^;ymQ@FHVcUKEfj7iQwROpb>3M z4&I_Sw&$q%ed7~Mh1Zx}DWHwWNY~632KsGnx9QV;y|=`Uh~|?gaJ}hhgUd4ejx{7~l!>0_$?1u+s6^dv><1 z&izNu*kiQHQ;a+=OuFdNx40(2@4fnk_aDCw5Y*T%;s*uSqoy*%0cE%)fGkTc$7US> z`1Upyz8+noYrqT2o;D6jl{%E3_amkLC#Ky#7)@wtx<;sS@s?yrf{=BQxVh*Le%{?Z zu`7oQsN*)f70k?^$xVjDf(gC$z5WNa{#~#!ozV?sXtro%rZk68f25XO1Y1E-vDM#K zOCJ9KJV8)G4-zF4Kf&$5^g}tI%;ax2sic)7j`k28EHyYH>{x0GYk*D7jj;AtV+e23 zbjJl!%11FKz(B4jTExH6)TPOCSik6SP{T~-z**b}L%N^`-u zxC)-GT95{&K%0&!lCR9)q;3i$GenwK(n6Acup`yCd}-S3tsH{0B($KNSVxy610*?vgut#l=?sx0{S+$z6F9@9hXCE>SE)-E1WC3 z(U6;XXrnh8aQr#0c~?-drtRy~8mjC8wv{1Jog|xBl?|kChkzR!YhlJ$F$~LL<-?ld zO~X{?MU5j_E*Jp83DVXZ6V-zPY)Nj5VlZRjpw6?+MN}4m`S98_QcJ?G1k#-9RFPvT zB?~K|0Eaq~;G11sld9`$fnY_*$7xqB1-l+8l_*HjeeMApfbZDdww8lGyo_^8*j#lx9fFNKp3CWT?AA4@256QYGZsYB zZhBeCQb65)t=P5t?)*hlkn9M>(^JM)%6zEC!$<>7h*vwC zF6@0*18hrNrMw1B(|E4fduAwhRgEpp@3-EK}Q zocFrd_oiaq%I=05qafNHpxk$;ch3F>q{w2AFoArxn1$0Fv=-dlj>kx`1F7|Q#)qSE zE_EkH;tYZ8es}0V!#`@I(;PE^gmQs0ds6>8rjijoYnD~ zWlxn5uVdXv+#7pg*&+!x%gp7D2hN68Yx4PaL!lB zx<97YuzKPFONQ%f7|3wupe_+@0cFn)|nB%e-vdbE1j%B+hUR2x8xTvxj|g{tzoOArixKC z<_Xz_LHgQSINHpF`W&^a2|Iy{pWqC(+66ms&ISgF0pe@L&U4I)SIFX?)NI$R2&$r1 z4X8%0zM~SGT}j=5y}-b@XT9^ENwuLth^lD}yQmi^4mTEWbgsr%!!zeb z(5TWrv$o2=J-Yk_&KleWb zu=QI`*WbU({CJ4gl;P1Qau&OAR$ie?PWqdtp?YuA)%+YTwRh&DI7PAt(C3jTe;Z{?=YCKk(a4uaKKh;t(xY)+G{{UsS9o8tmY&=$- zM=znM+6t9s0Gl|{K0u3)ybrS-HGtJM-5B9N4-n)7}Tp$5ijI{yGge$DS}aMe+ci9A=ld=Zy2mikPg zheax)_xpbQF1A-sMII~Bcrz}x^%DFns(yHPTDUqW@eA%g5X-cZY9-aDVW1nIZohf9 z8fvb5)OdyDI39?Lw9?$#$4Iwd&Of`h5UI&ZdMxo@F>rMY*?j2@@6ayC+kX3Db+NK{ z%{(q<8}6&UwaMUbNYk zV_Q{D)HMW0HAIpoqzYAvvk`Ic*YCp`VE3!cB~tm7AwLM6D`o?S;*6+yC9PEtdlqDf z0kAusgP{20TIK>Op~^wvQ2FLxFW}_;L^TxI+)_^zdZ?P6StgQF%Cj-^0(nvWbw>r+ zf&ruk)BvRB)sWI{@kP|ewwRfjIu!MA-;TV~FNPG7GV;ZxrItFCjpcnTtnZ}hB-|ax zQGWPG4oZXPc8y5#UYt+k!oN7uOi{7c8c#Y{nPk#+08|aPu)5fl7RN;g0GfJMn9!)T z&8~3C%{dZj%y5W|g;izL0=D{oHa#}!Z)`b~NGK}ZBFBRU`IWm7!kGhBfi+N==@V~?aFN$SzT3^ zUsVbD$;GFbnZ;&h<@sq-F=*pr2nqmIzhFpETl*{g@mo~3wOrt8M#5B8iET0o*!&a{ z&nZ1}-}+7O@6dl8F{fyPyw3Yinrr8w0Gn;Ud|8NuY&tI~<>lWJQgGYSRLv9>A_)e% zX_%_WH4;^b+$q0RHx})I>d|w>j2^ics>f>vDon63m!fbxb z9Y|&o%kEcI&58p2EyvWH&WvQ-Ks{wqBdInl#Yd!)-k~8j^#f)A0tmP`{7x0vLSIWm zauh7D?E@)+0Y{EL;98CWs%Rw|;w*frJ22`mZjEE=TwUQYLb#pc>Z28fH10G=w0|!5 z-{t&mizQUyDZu6LF=gPIfJUaLET%-h`yQ!#fZw3>II72Bn}})H#;Vr^j5G`#6TQt& zTT$^9Qp^UsxrlaCdM{L<5<`BhMZJX zO+Z|amNmf2&$vaGxI0+whp3mHyB)e?g>`pw%X-j6YP47AwoA%yQL>keAm8N&B<~CR-URxWSd06gmiu9$ z_NG>x7FL{wY%RO%%lJ`F0@fnBWg5N1|oyg^rRMW4XoO4 zpq(s6#9G^ob32ax>G0F2UUD;14I&DUqXNXo=SArw>X6!Y{>TezU>kc3IJ}=IO$}_M z#~O(9%63R;q)Dtdd6a;PqW!MSTT!t#8(X2rbBKh{AvD~jq;}8fmfBC!VH=J9y8HLQ z^{pe~JPjW#oIJwO}ZF{Ar-m!>4aiyq*sdS~4o>UaJ)g#7txQrq;tI z6QN|%{UwEzD6kd;*pMtqxjUYxx3(Lqev9yWM&})b!*zfF4ZaxSNz>(ak1~CKRHA}C z?rc9!1*>&q(eDkZehPf>7l?e@DT0G7i#Dhx2~}2{G4j$Nwg=T>bf2t)Ygmi#fL}+l zbpw*u3`{y?6VB>pao36&d3KZ&X4!>OO7R(436D&XFeW!ZfO&<80HuKf>RCVxV{08$ zT$lwE{7Y%@swTXa@kso^^UU(p2^9yJW12|kZ3|^O1 z5Pjbe6DQ6e7*!&V=y`TwQ&CjNz{wRk!n-@Yusg^I0IJecBFJ6(mWPwK;v(4Kxy^JMbod1I9o=_=Jy zW26>MChF;N)k(dDt~S0ZdPfJ>fZ?kNK8U2$W&x2D#>y&sI8%Y+%Ut6XIa(&40PqnJ9##)VOl3uq7q!v6ShQ70#tJkqM=^o2n4 zDct(f_9EbdQNK3#vA7`nUdI4pu#K;-p%5Q7QnQubB67T@S!9xlLewx4vuTjV6rDP5 zx8DA{jr6Go;EXY#(bn*<@J|kC2W6fo`JIyS5>nM=-$aUbSBhmq>e8zZOERya%972g zlpR~@=mnDuFb)ooPO%2u^-rZthm)9qeu&XVdKwcot+CgqSr!F}1M31Wz0Tw6_cp>V z$!&+4v77g=q=gaPdIZ`bU>S|<*RisjbZdcqx)X;yLxgX-bguSXvAQC$buBKBF2iyS zz+i5zw@^Md?lH>F$|NSVT*_2c@aU|NO(9s-m&(_o;9q;`1YW~yk6+PE_5iidLM}~- zC*_ASo^z^mpe|Ntl(WGb#DQj!5P}#nD&Up2o2WaFO@j`G$E4KBV~9Ex90Q{EeBPb_ zhMi5mvl5?_xi&lQNK>~^Y%PY0ZmDh@5^;?e=50W8nr|}SlvN;leMAFdwy`7*+g#hA z#{UIl zNF?oH)OENcX6k+L`i>;PNuUMTsilfac9sny@r#uJ5*X?R{{Racdae!Ea&Z$Xkb75+ z6J8`F>~u~o87hTubSwa1MaP!l^}36x*foG6`$%ifnx91KY>k(edjr)6#9Uze9jqTC%$O|Dp*kP8#Pu=eSS$`s3PcSPfHEr@Q)31_+7+(9Bq zE0$G2k%HIIi_i~Ydz%Y&IJ0bM79O#@@mxi12KU_I$^y1bO-NS#z$4}D`wln?X}bKB zhHpsQ*na$VG!84w1yE0XC|x&Rh5X_180cJxQdg0j12r{t8Le1#iV0$pHBstftQZ@P z+jigKii~||Y&TVBgm+Zn@74psAD<9>D*Vgg;XMkh?1gHhQ*s>{4fY>c>1%=B*6WK0 z4{4(Va1WBO$5PF4vGha7m)xGr`JXtXp#?&M(#-mnIL6||_h3mHKmhl~R-Gp~`CH@M z6>SU$StM9A7{89J0ORS%QacFJ+QDbyK$18wLP^EH8zN!@mz=CSnNYS2~`o z!0{?tcrs5ie9KEl>JlS#-sPB^u>_0S*B7|HC+LR7LT~Qx-mb8;4K^L+!u|=3@e7hh z{6(5fW=P}=0Y)WBMpd~RdcMuJJ;o;KXCAazkpkn2%hsajIriR1eu>ENcUO>P3sF;7 zR|GZ01VJRIphwW|ZR<8$@6hek;kXygQ=CLbUj53^;D%av)SIcfmdldyO?-89^%T_= zaK`BwilJSVTkF{si20jeMzX5$)WljdA=w=$uTUbI{{YiKKyb$7T$8ZqwfhVeqbS4t0+O(u0KJ z&qYizRK=~egexNKnpl!llGhfo>TYZo-ru8KLxh4hG7*?+ZJ_Zp%GOVpEOVL~{EiAb zEW$rJo&f=#HU*@8Y{(eNVh9#nGTiREEu@=ZLk?Y}p98Yk*BQYez_pi4!zN<1{Arj^ zTG|#ownAzpIwDB!fIqIo;8^7-I`=HlcA+%W`t ze_1^{^~7yy2a=GsG+qr^ym2tG4Rdd*EDmt9h1YPe~z1LN9&6v9*TS3kg^D0Ks?yN@gn#8(g^a95<90c!h;N zXiX}43i2@W!xDjs{K82kO}@>CY)njq7Gs*p2gSL3w3Sk8?&qgw0BTe0M{$BPPom1z zVVUAj#c<(J6p}Xf!&4f)?mtEndiqGi3!d0%P78g3u)}3azL7N8?r_y2m_7(81e|%3 zmH>sB$iKLW$UkNS#9t`=X8c0D=%LytgB|cFeK<~ z!(uRHFe^0A)se|nV}Yj*-!KQ9*#P>Kx3(yhP7BI2YZHzp6u02xqJQ-dy8^^0(rt1 zMxR&bTpw#&0Wb(h;x)GfP&_2?ycstQ!!%x|mPvflTHvsI17WbR7O=luSh$uncPc7X zGfr=;N0dj!Nd69~lBzjods<24&_MjabdS~7d^;d$5N6VQ&C!jkp7F^o8>dV$O1@KJ zgp$jWei%!O@4iP&wsm8FP%@ouv`T=}>@z7jz6)uEdjdvupv|;XV&3rf2Hgy>}k&t?eWq zcxlZJEQ*uyw-G#JQk_m?vmZ+immqZa?TPSog+p~7KB=Z8aZ}5N`PamGMH$N|Dyj4d zQW&PGjqZrO96AOT2Wt>m+*;?Pujt;e*ub4sbTM5~xYPvQ@e=2}MA01UG^t;t1d+u( zHS8olpIb7EGJwl)4anQMf}R9S4&bK5W6Wk3)_fON!cI#!0P|JpDP&5IqYAi$l90;d zPMedp{qDQ>7@CC}xET0uhQw7bYfDeOMA~f}5jN)JQs$7?AcT3JMLL`fDptjT-*bBs zHwSBxq+$$9yn)>a$MsJU5NEvs4r)*|S)8*;Pbr2*VH}_^S1YpxJr0sUYjizripD%? zy1I5BFb+B-T$xgs$rSRg*VSg*n1K%-kGvu-u`n^@eO};4Ba^sHpZka|$P>mK(O&SPY?6J(o z)|RA36qzhSD;tFXiwkW=F)gU#9zh4)8vux-(gU&ufstcl-k$25ua@w&s$|LIW&!lc zRH{hqY|;(Aj^N;yvXLE_BbC*dV;y)4!mon)OT-iTlYStcchWVrOit}!6-CUZ`&bW! zu_ZbzV1|G@k)og+6Bo~~!Sj=6lycW_s+u~~QzFyrF9g5~=}VGhWBH^Kr=_>JWkwcU zc!ImtGPbrm5W4>WgLRpBUcNWklQfl2zw=T3_BgN?V^10Qs_G0Zd%@a13IP5Rl2?p~ z2G^v5_qV%!*a4pLMdL?cD9y-@5u@Wg&OEZUrUGc(Kp^t zk@u?_paG(fTt3Ydhhh1*2Vy}zI`lp8UfwFLp7NTU!Q@RB0r2HEc#~CB{V|kNFcGYA zlyAxjRBLP6;0uBX1nLYe6-Js*0Ny}9zN~3Gu4ZhcTN;+^P(tsVUZ)yT)UMOs7ci%`JBlRSMO29d z5sSQ%I7C72%)|gbB#bneN)##Qr;g4Y<-Ihph zMg*wx;SKRB7gudA(c5GRc#nx2ik@n^!qV2%%w>7YlYK;&1#Q%ma6tfc$7!%O09pJ^ zb5`ecn9SpWWszv)XM|frI)rm^xGD)9ZaVHPY%gIY^+38MN)B9beMcN*vo$t}W~GiJ z9X_HN{{SiZU@X^G3PTNRrMC(}z9X#Q-A&9ww&=&S2Q}8z&%sIFMpR}TiOlA%s>`Zg zns_YsKwdMmT*iK~5C-(t7~lu0hLc5Go5#f_IMa?(l$o?EUoNSTq`G60HnCP3m5LFi?hjB1 zQa0Zmr6hw8M+7c0p{>eI`;?OBH;t|n=7vL8lu#{6tEgK-3a)@!#erg2l^gUICvj`w z991{eGXevF{ofU3g~U^!&2C!|1YIz^qUEg@GHE4N%pswhFLkJMFmF&GfD*um+yx43 zMxuR&4Q)s}X}+ZJRf4k!Epdt6N8c9d968lH1`R7xsgQnh*_Z&sv9{yjMfVsFq3Rds z)&qzH@5UB#pdDBmmXar9dlejMt6CBX*n8to2o_m9*$0TOE#Qd0kC*24@Is>AT2A^v z_ySG7%VW7`R6}u28ZNd|&Aue&wqr|4nr0QXkqJ}sPb1CsP5lvE2XAHS-7k&`M1mS6 zIryg$s$28itUOVbi<70JTNNt63XQHipKEpiVvnKOk;m;-Jzj4}jVwM-(j_9+NaP_y^|=I- zYit0%>(aXihy}6rYjC z(&>wEUPig&vausi)&7VVC+BZ#^y`NX)G4ACtJa2dAp~_c1K(?J*me7H(gNXb>+k7} zNKcmDme_5$2i$(X-SNjH$J*=iie{oys9UJG1e=l5t*!ia!%B#NOoh4S!0C5Vr_>om zhq=D@x9;zR=0ZlH3-sOE@sNW^^nIKPfg;tt zTMN;+YlqWf2mk^>xdz)1Hy6J6o-QG&RjxpgkO8+_k<|YHi(zbVx)BEOysH{$)R$IuW3yjlqhoHy+X24Vj1-NRP9;g9 z8q`Kb^qVmk+Q!@NI)CoCa1**50t)=3l4;S-uk&Ix6cwzVxye4N{$W56FQX0#?invFujSlOZx$9llEex8&J~#Zc=CmRX%(U@r!4C zb&=7+s~izYP{!9DVe(|LKLOZu>V8kb;qu(;3c9sm=Vcm5_#)xsqyC}(W>>DNYg_&t zxFZpu?+DiD?&7OoQr@F``nvmKb&P$AQt)3F)$;2xi!jUFr0};xy0|BGVckf!-?RgW z>QWC7cU)`Y>EzDyXO^<6swE|6Ab+!4Cv#UZhJQCs5RG_qEN%w%=j4ug(}9?sG3N-6NW}!qRD(*4rjGkKjs7(yULZ zT^QU3uyUm7XSofibql_&&4*k+4<&$zknK+e%tbdf_U|Wn@JXs96n6qcsZb<^t)oeD zNDE_op#^)~o}+A7VuM}*CP=uxsAm^c5wpD$@5mbUuFvUWr!MZ1NZYAR2#a8&)C(%y zFb8(__G3#!NpwjTwD>17ld}R1jg8Z5_(Za~B!erGp&B@(n?n{*il?hB_EXob`(DEo zWOjgvkv>|h>aCWT+n*g25#SLI1J^cVnM!FWkUNg4Z&|Img4>Qz1V$W@UT7cQgAgLu-X+p5Z1f3 za5$zLjVmZP_cfBHI`oQ?r^*awM>0ViRtghlX$VoU1xYpsZk8B!KATHi&36PDm85ne zlc2TH0ti}M@8(r68c!WARwVpgOl=d)0?js&ph+MEfOLVi$sNhTm@F4lB>qC7#$vkC zekrlac!o^4i`kSTMgbsMSlrm#t@pXUE|p$mZcDKQHJo!&l+=*C@>#SbYRuX|yE9v$ z09}sCpj_>U-tkOHFgTRVh33j%ix4g9p8>=i}rb9@xo zt=ez`G#`4l!_lyvzdJ6*b>nL;HFLp}QkuG2V8QDiUnVJCNCjXT7`PX{v!zJf0xWRc zMkrG6-~h8gOuvjTlLG0s;NQYGkmlLH&@(D`rGbc5tw-}C40g1LRasCTt~CK-NVfQv zg<|n>6Sk^1Rmfp-JbL(U%Jb}^PA$tIg(~TQdZ8VWDj|CTA=6-FQdNTsYeH{*jvVop z>zImNYk8o3M@DLAScg)2`+R=Pbm+29ZS0A^g^vpIbDna~uAeBTv7SNoq+?^{Avd!S zLo-{Z+Y&4c7k4c_5|M4Z$|mQ+&O^g$&{5FRB`n1Rjph2RqRPOXXHYj_=VHd>^cXp$ zKo)_1WUF8ao9Up^$*0UbBINWhQ6oaqf(4DXh#gicK^u@w#_Q<>*y0w|ylvc~Vc2Q{ zL*{&^hcB(6%LZI!Lb4#Kkf4by9LBNA+si1@9g$Rkgxrs#3hA`N8b||09LnV-l)PS+W&n>VkOVz1a6?_1WDRyG#$}70xTY76c_GF; zwTJ1IB8@4bm&;)g4#ZNXWi=4qAW z^^r?aGMQc&=!Bj{HiIaTO5TDSq-m&@47R>F0pxYlmdbirT-g$1U6UIQUB`Jf9FuEr zqeRe&O47wAmeMI?R=js#s>*gguu}Kw8EG2vMT#w`U~3vm;@0us6y^HPV_Q;{Bt<9D z>mpMm%~~rOalih8qN`ia3NmmKuO^ z66|%1t-bAzqfVIFvxO6)xi8BL$7@E{eOIM!>C)vD}<-&2vPga*daV z6;#Q>u^7Cw=V~KdjpiFI!y^;WjfTNk5$td~LDZ+cwuR?GnMcezCXLhyqBcTLsan?n zlliUh@4r#J>^VxJ$5G4)0^v1UM&qIT_rY?q@T9jQI1xPM&Z|O-XfDwQU;|4T2JA0# zi@6|L{WT5n9yYd7kbBNC+#wlGmQo28K1sIcFB4Mnc5d}lg3)MH)Il2ANxJ}g1Adr13;Ry+H2Q>KG{Rxh$Xo z2Y|Rdd=<}MVa`D1%{mZxf6DS_Z#^T4C3#m+(-WUR@^ESe6?Fq`Dy44CrrPatD%Q-~ z2+Lvkp`B39O4r_7>lnkgi0LQh>nA=zzoUsI5pg4piFT=FI) zN3R5RF4zUu<;iGp=Y-12Y|5&hw0V?}!d)v_mPD3jAx5foRAf==dn>h$fLPktO+2*< zI-#y1t)9`D(!=jgrw#H8FRoaoFwYvu0ZC+UDntpeQx==1t?rVRU{G#uKq-^}Hod2U z7|9tQH@LiwhkWD}{NZ!@c7kMKqD%vt)g|sz}y_tYwwqR}m#cfAKoq{@>n_<7F zHQS)@i=5>7Sz%jH)yqynf;mvY8(*XeCQ=&cLYT#ybEZN_7?PXhN@%Fp9V`lMd3WII zuQP+_Ii%}Lnd%IZ>Xn)Y1i6eL{F?@eme(rrk{E&rC9mw*?k9EERF@35fp9$+#%IVb zBB-FFo;Ieek!575rh-LU=9Oi5(QHXVf;}$41uQpXs20aXGQpc-Czp46dzczYcJbgL zc%Kj1brxkqMZ_78lRU7>u8yvg%}~zE9IjLm3?PdHee9}i1ee2`Ow=~laGX0<9F%*e zPsUj~&`KqQX^u|c(P8zM zZl3n-(*)D0nPYLdex=HD{tf9!<5cPXVXGhUtL=#fp%;MNPcIytEZkF9!+^0!De5Yx znn0z3DkN+#VhOohSa0kPOfwf%tZB_;WpXz{pTz$F56hX<$C%SAG%=x#z+IyX#Ogbf zq>?~xgpRk{6!;pzNYYJ}8%JC@$>n7dqniB)`>}cqpd836;p#N(P0l(>L9)E{>)-WZ zg6q03NE-hD0yntADLTnu8d|@1(jT3ms2@{db)VtJ9zXNWcA8ZHJJA%Km)vGH~$)STI5$aM3u(%ge zFMr_TYE;M~c%U&x8heUgctGR@A3F28iE|;Yf`-z|4aoCZ*o2IcxCDaCMTr8yi|wnW zPdQnf4j2=d`67wLJ{tHFjv|{UIb{ON8VyTMh?Nir7Doj=YkPW2U0T*R#h_>wS7o8X z5RvfV$n2*z=49CxV6j%w&^2HHZ5+m%WG)889RBC4ilcxdTm z8k15GB$`F&);@^T++R}>u)yqYa(OB6v;!L^hhvGm3!9wN%P)87rPl+}k$ZYek{KiZ^KT`x!u<%FXJ<_V#^miF?0-0HZ+-JvtShj` zJ2cz{rNd&zAY^s9?wKw<;5x1WsPbh6p?zLd)*wg}@Ai)4w_b!|-wBKr$34QQ#o>CV z7||h7L|fc#`te~3vTmH7Uvmnhf$A=#npsE_L=CPs+eZJdh{=Y&}e(_fk8l-Hph_J{J`1F$}B5#TkgED|VZ@ zjO!#!q!4x7Z+(E?;EQ$X-`{L%RVir;SwK#>K`mFi0U!k9keDp6sOi2sQdrMxZMRG% zz!Uf3_mOqpAaiueXbQ%3877tfq){mWoPoLO08zOl4Z4Oo(QYWrHW#lqup6Q{KLs-p zW1h#iaY)1e0D9s=(pA9d_6^UH@U8q7Qc$Z)O_{|s?WAdFo;CQj!=3&eFvCo%dTszg z*>LIq00wsuN_74+qlr5M6SvuaFrU@FBgpOwcNXzJORFc~&*BMmft^v=dVWG*m;`G( zb=#)+e^yg-4kyWd(S8j6AfmRM@{iI^mHI1@zh15Ud*i)X#H~3?H#D!T&Kc{RHho)K`Je4;~JU9sEf+CGZz!Fck{{TLie5{O0*KilEIGz{4 zodCAwz3o$s>E34oNzgGY!~hM>!*kORSE-exlwKO1XMj_+;%`2gb7)m{#G;f1A61!kwVkbD zzr$+*fN&Law85LF;;G~?qinVE&H;C3w?auaJ+1M@!6*ROTBc2A=X3G*#A~asOPxzh zg+!7nfv^lf1pGVxj5q}uVw=7bbJu!I#h+A#kfH{=YW$lU4x|t__9FepDDm$h&EQD7 zwWEeL8czW#5k7TM2dB+&H`p=#U$YlL=2gz63z;mYW2uQG_b09%n-ISdLv=lWMmY%* zzUk>Cp5Yamz_HQ^vW~26&<^LI$7MVT(`4#$+nJMaXnJW^%%qoNecC^EUvXk?hhh(7 zifngBity&y!CK*Qft{CJ6}!xSTgL#CGs@j%q$C!NM(7)TKn=8e{{TeXe7S?g8!_Sx zCf1{=362t6TK(~ItMaXgYMpG#ZDMSGIOtr6U3|WQokXoKlNq=U0cIq2RU4mc>;X8A zqku-?s283IKU0>vP5D-0SdhAfk6({ZeY#=Um9;uV6!ytPxj&a2VYM`9%A||1^q*L< zummvxo3OK4M@M84Yom)G%IN^mA!cSI+R8?t`dZ_1H7~0D4(Dr(O-zURCy^m|WwlVp zA~eWG`hy=)^)R@;z>#xecj!8e;d^8eIzpD6(#o?lN=cM?eq*xjq>Wbik_iL3AYZMp zD=CA1Y32EKUJn~k(}5s;O{MG@8|o(3BT3L#VhJ9{d~|`D+!qf8!wS(!=FJOeQMd$Y z3^o@Q00sd4Yw8={VsPNx!pctt+O4I6dU8M@k_w=(y~wd$R|{{`y^l*o+nc66wz5xU zIcaSVPc+I$QlN%FZ*sfoJqOO<_Pz=0viGHw9^|Cr4B=_#6!&B4+?za?0^nb&x$CRd zbGg4v4#sAe9x0@OV7KdQevX1f9=n00sSZH4z*yXi_1eQw_QWh_6(qr0Vphx{*BCZi zhc?}T>ZM5S*33xMFYSrJ$b1w|6Xv()%o>g*W_#ElNUmJ=lL9y78h{H1*CzA_M zNST5OFXhP$Y^ub9Sd}G3uA;<{ZVkoGNjA$OgFdmQ^gw{S@4r zi(dLb>ALT>CJvWJ=2HlptpdpOPPQrzqQ0x@3~r{@761=x-@X&>_0ls1%8DqZ)pE%Q z9=VxW#-s$O(4+IV)9g*j0{9~=2?Aq+i#A(ccILT_SovD%Di73afpu#F71W!H4em%f zx?`f5bbx#p9CL{_otExzPL)YDL&!_226&x~x1wa~FYufgfGJyPz+W!Cx+V~D(MN*FIr%OpNRXQH_xH?WG4huh6L0djVoz=G7 zk_fgIaCcDUN_uj0#9DM;%A(vIUsw%@wYuA<%MNLcRAs3&z@gG^2-xA#3ybv{>@F?_ z@J?Cw%vNz0C(tdkPIc)-$V<^GjI-Qor#4jIZ@+2hm+ZKaB&Bi)Fyu_2Z=+x zdunC1z;L$&s-I28j{0$Df~w{_F0GC_Ek+KhAZFnJ#{~EB58)Z$x;j}KB96B;tn$k& z5Yjwgk={pP=~2|M3~h`0Zw@p2>dK`|qqBd41Ki~2wq?#t?tJ4iZ_7-;1Y3oQHQ6Iy zf{nM_o0Gm3bDdH)T4!0+89xoaJ9&G;Tr-#DwGSp=RZRlNJZnns9z`Ch1d)TQS@n9o zLiX)|u;Z!_b(3{{R}$A;7!WL@*N<-#+$YX#x`vk_4?Scv8D6d6Xi7z-sfZS{KB7Ul z)f#Wt7D3>&gMJG?jjjuZUA02ODz-ynZV%}DFfLGm>k5}X4|(ZB$i63sHO&N%Mq-v3 z3}aKEkXu+?wOI8XNWHhfwOC7ohR5QrusF8U%pJHFUH8Vx$ zEQ(R2ZEWSVT#x}eMfWx)!xmK>9MCv@>ax9D&n_A$S@h@n%I$vkI3Fs2zI7{0>5V?u zHor@dKI|-^Fw`p=x!8fJy{~+8C`+s-bf)2K(I}@rVbY};bsO~~YyG|ZV~%X8DA&kj z-AVpan#|gwO5B=M8H7bcuI?T-79=*7(Bi;vaBp$G1FBlje8Jgi2S~88ZyITIK{+Lq zAx9|ax z@t+P*X0;PkElpJ#O!{ORbvo+U7|n(Hi=Un%!WUu$lc_%#S{!4WMqp^reI*E-*DQ%; zk~1VDLlqvfwhGqh7vc6`s?BprZKFk>XG*XDOw0u-cz2ysah6XX3s3YtCZ-8hjFJs) z5|vvWl}Q^gZJXS5#a~y{Nss{%V5N6Swz&rOQhS1U2O)~K8jRu-B^**K$qUD+UPM#q z+iPChPTGe+NWhJ*!KgFA%vgcCjhyY}YH>WW<`hb+QbBDO7MvGtDnKOp zfOI`?^@n^${*Y^57lLibD(ZAg!F2b91!fHlj*b~wO_^9&kC?^VU7JN(S(SaqxY9Pm zlNQ1PoqC)#NCMgyQFGYgkfaM{7u36`H~IbN2}l&h@IuA-?sovqDdW1Y{+jKDmI}Ku-Tlj z8trhPTdCUB^?H_(xe?&An3sD&0BF-Q#GwBGP};ZdeZO`oS0-1GO|E}~jwC`@aHIZT z;cQl8hh+hYyGnTIeMAm}-x+s^S>hoOuLr)I`zf)wGE9HuGT>|*=NC_rck&Kio6${* zAD$r^mB*_h7w!^EFp^*Y*FTs^EW$}6xbjq|ppr=ns-PzAYhJ_-z3z6l_=dAXnn9g5 zL)M!S7H~H}i=Ge~49;abm9^_;B#=vPY<@Su6ivI8i{4*za#XkQH(Oa*He*jKx6#u} zXbebJYY@r?*Ri`;i(=)BFQ+`=RMw^(`Q>={qD8|^95;j&GMl><`lR$E3!8nPJ7J_n zxF^#hU`gk)SB593BMpR1uc3dl2_=IGCGa0s6eTP0PKC7GTy-AU;!?j}?b969BD^92 zZY|TMIWQMBJ5-1n@xv35+bLPmHAB_Z7fKVMNj6{ z8j@pWXas2Lkvw6^JB>k@0N$O5H<`}LB-%CeQPiRxEC%EV@C)aWbia(@tA(`&jpKCy zTmZzQ7PW{1;0s%Gd*BRBY>{}A=DfG1XkLD`y=6&W6v(rFH=W|)_!h26;nnnV%CSYU zL}^}9yPJ@tU6`v8exerO&L%`eK+VXh@K`>+&ykdZ4W#*K6LFn*66QA))k$An9VE3f zv1ct+7{1#JF2D|e3jvK59}F<<4QPNCrSuEVt6Gcjd2!67ERfM6+-_=6fCtG*KV}OJ zo=Ovi=8A_WxOPts+$jwfZ&~!2DTI>9 zA+%~^I_+_PYXWw;7bC6>Ye6^IAA+cB54&r)Pjrd>bo59Rn`!>und6ue;l34kmk1yaSspW`Aro}lT^{sNers;Lcyw@azjvYV#^cMCF2r>4T-Nwrj{3@2dWlBUY2K?k~3#&4?h5dKmOZ z;U3yo8jxqgN8e;OIQNF;$g2FwCWdrKqdsgcsT%gSl0YWc0lR~7+Yz*7lqGg`fX?v%^1wchc`1}#O2KZ{bQLDxq>EKM}{o#xcwrKwVkA?xp z7z6DDMIHXh_$u60NYw$3ub=6*C1_aJxoIQx0Q|7_wiFIByxIVpT-^TvA8rvVjWSf& z@Od1uOI=Z^vW_kRP zRHG!?=1rord8$)E3c9s0(l-FG+}Il}v5KTcCeDDHlVd(A$=Gbd;J_X*h37Y^5qOI* z4H!Y^mI?;eAX`q|J+>QTWzpw7FnJ$}7QE7kCLl!X2#9zW@m0e7n#k(%ocmNvY7Im( zr8$&3vMRD04NAbS*YuEjj9n5kjv-5f#TJt%RRg?4aiuRcIFm5WY2)&ttDT-CAOaI$ zx3M*=Hxb9>{!nV}hQm$vBWta#VsVahOoAjwJ9tWQ zW1iOs88(hrP*cRMPtr4}q-IwQN`w^wb_Ioq8x~s-PS>^fz42|)9_xV+L%$_F4$Ms4 z?Yd)VBYet5iAJY(RY7s}iLe{{^u~lC@=1ZZ%CYov$iV6iZ*Q{LaBxVlw869~nc$C) zvhH2xwUtxD9J!OynCJ|*(;Km~mG+RYr5m8R>`obqt<}>psY$MQs4{>-4hDAT2}RAS z1k4RBO;KY!6;Onbl{O|(sx7x}x`Q63=YF`&bYrsA5u}T9M`7tTjdw6iU(FrPW02fE znDij!^*`+`jTSRUElv;U_kGH(`EN2M%4upc`Ss5fl-F5IAFf1=T)^$OSyYo22*SA*P#b*nBNLQSxF1sB6*~LPOJ4BY(4A;Tqpce zEtR=X28YuixLbA|2<|~2aOv%i3X+)f1!z`0fmM)|HzQAey?TC(NE?_Z2SV)8RJ~P$ z6aWiZh#MPs-26{{u(TF8Oef1<6|5Y{ECPl$vtPBgw@`jJ`*7CrkKR<&%3RN2S^ z-rul)9}FTggoW2?cN8aCWhB_%_ZRQe_4mVx2Iqi8WrUN>5Y!MrBG0LqU!m=8qQl#* z@f>l})kVA&r(ZOc(j8V+z1Oc{u-^Xw3?U^*J0i=e3@ZYM+?`ejZLBvI9SzRijj;CK z$%Jmaq>@Tl2u9Mf9WDX-*57}I{{Vgis=!Dk3t9HEn%{%b3FF+N!slH70HO&nMHecs zq&v~7@xffwdcN%Wt2{1LAZY;aq(ecPioLRnM8tB=r_)C1O~MFRR=t ziw~CAm@HjcCRYn&J9ff^-9mB)Fhi0|3Rr=z(l`yLxf_lBx?(cGRJ7{8n2FO%C1(kE zl;R#)U-2DGv`Wvdl_a<=Yg~p>En{nR?`wiVMRbJpX2m_m$M8Zqv9lffEZN_cpOjd))ytDd4NwyCB`9&++}owsZ0 zAYDk)?diu~g*x!jUQombkBSW0r#CoDLj4W*1d8 zYZf@d;Bm)2xR01V04xyNL8`X4HT48dyOM069Y<}jL@LQz<{Ma^ho(6R^1b1VJ;65V zYvJjnuWAggT*gl>C1-Q8qN!p}$Xwz^i7=!WQ-_DLOuLa3AB-!kY5@veE+uDVEMi5t zT`a7NA#K-kJ+Yd^V$Ek7Y=;RN>0+dI9xe&E7PLZ-lsPtQ&wRY4^UX-^G2@)GlR0W-Ic!#~m?KLT?5tAyWt6j) zS0~lwrIN$~EH}-+KS)&EQ*6b~rpDSG5iF(yNG3=y2-JjGJRI?L9})8Z04{=AYmDU~5)!qL9D;ES-K-9$oz{1{Kb*z96;AwC` zJ6vCW{V+T5t$ECDQ8|QclhODf<+OkA3ZMKfm;PCax}Xy+z%I9noaZay9stbg1o1?l zLt1KR{J_l23aoLcxn|gWYyl+URMHm$FSrgViV!yd<|#HQM! zA~fA;Ka+L`sUM(Q9CQn=fi_$NT|xA(qupAiG{|BA7eMS$WdPiw^<6^SZPN^44Lttk z!U($E%rdHam1umnWsxKd6xe!=nA{LUZ?ko^#ql6{Y)9lM-AEA-k-3IbmSs6SIdwz^ zrVA`lQ`C_xk`+FcCCSu9ues)_XF>A7n>V@hgF$klb7FF{S zHPjd}^PV!YdQHuhw&eF?}YrAfi{2s;<4EhAA$!HVJf6 zt^{PW4MmGxivh4VF#iApxlUtUQpe3kE=6+sknvSD24hf>&^r;BIe#d{3InQ5WLQY1 z)?M|n(QWZ7Nq(E8_6E%C3Ujkw6XoqSOp+SOik4>x2xQbv!s=GkR9J(sU`XrQx$m!V z0Mx-);g!c&zX?rWGlzjs%20Xnj^_4@Ay%aDP(mV9lcVTcbCJzQ%+o)y7UJ5$( zrU3dNfx`Tg4(epwlZ&W8=3gWQwa?25ac!$=9{&4diHdD_ZK+BO+bozD^e|;H?CQW9 zFtV|@HzWXV0Ub9GFo5wQc`bKEyaoy52gb_InOw2DhgAwd+zx}^;d9>I@h*GE1Y2Ne zwKF=(M7*=89$6lunzy(jz+9Uf9-A8+bKX*k?poy(vqs<%YZeP*X5UC4-*N#W=k^Bz z0GlX?aMtUCQ(p71R8SoOYgvz^6TQ!+j{A$;UiP@zop2<9-z$w|Hu~^gDqN(_K7&tE>1AS4CO4#fT_wTnv*9MP0hKb^c zBIy;FwOti6H9l7;tC37do?^2djpUKR2m+6)eNIh+sj$L*N#`fgcd0bkLn7w#ZkmoJ z<^E}%WwGV*#>RSjw5UlFOj1lE0J8={rCU?Af&OrV&;faBnr@f}EOtjeDYYmUw2mVC z*>kckM%7iqCC@0~%xaQCi0dmEJh65@W3WNH5E(jY+-@&`-%!l6ghl4!2=3iWPYBik zCL_rwIp@h)`Gc1{brVUJeH+bj97Jf98BB&PLG|9qw*196`rQDJbKG^yYmIKKsfM(~ zNI#z>9=X*@cmb*<$fcHQ5NRr+sHvq{e7cC^R1j;F8>mvs+Z2$fve+ASj*A^sW}aJ% zCOeCuQwl7WR@8)r8){^`Tif=2j7d(*Inr=Q2-)~0@ZXbg22q#sBz|m}rDZ90c6%Zu z-`3JGw!jOqxhDMv*fyIcLq)@G5-EDF*tm~0;GP;AZV3`M{s zfG>L+TNK?bP1SO>rY@c-2bp)eHAB}W&gnSXYPjI1`ZRF^tyqb#6PGAh$FpA7asW-P zEpdu`Lmh{3W3ElwBp{zjfM;njH=ZbSXOb;VT+XF*86L$z3PsI`17JP_rZsd#G%8#} z^BLl}`yaCtA@075Y1-K0rhj8UrM zR4fkQC?V{79)sUe;N>0*ep7~~;>^#PZC zx7z!IYzbPNka?)9MpHZ#@$i$+Iu1qWb+Bf7qs!~aPc#i)Q%3An*bU11ijp_p_S*)~ zcUJ4}sIb*TZLvhFiMe&cej%WG`Z}aFO&658mS0j*p#kWwVqjT+(B%XlVbooSa#9 z)7NIJ44I`5lp(!Ajn>X&j2OeHe^%pTexD2w_J>&Pjne8R{u9|-`7xH2KEK9y2ff5H z8;@)~!?LS!rpc8289c$4jCmTHHRg=w0oMAmIHnGspkoyMR}p?ed#7vBOj<#cgR zz`rw0?3(`o9}>6uA|TuOiW_`-;%rWy3ywXp-Vt!|CpVYC`Pm}&%OD&tqmMsTp`=0Yna@&tL5E6ieavp zyR0=Tr$m}b2d_r8iBn@@4Xi=MmJb>+1A&PmZ*&GL57nD!o41om(mEVjOe)~r((8bD z>G&$CT!SSu5M_&E2=^EK;n<5{99|e#5G-~m{55=r`X_6ce7J{;VUIY-m02aYFosal zY5L3-`#tt$HZ9a|imWy$(Iu4$w($^-#kI9ev-94Vci_Cx5~hx$P!}W1VPUslynW*r z0~W__Jr!40MVF*}6`LI@v~%6ARA@hLIP6;n=^8O|wqWJfbv@Z=Y6x3g`DViRBL4t! zx62GT>TNJwFWiu%TqG?s!Wp0~{YyC0^}Ppgo+b8%ox)C_TVgJs-w)iw;$A@K5ocL_ z1d}e7ib$R}(J~MVAz&^J!GRls0kInxorq-n!a_3&9Ypt{H~!?4J8&I%S;8r^eqKYl z>{C1laH&(b74Z*GwMG0$#i5$2w2k#e=0@RVlY z0ytfZY)AD(oesnL*L+FsZCvY#*=!HOMVy@@`6~LHU4N=x$Nok3eD>>x9BT&S%_Cfk zLiRs|Ydtr=3OF_eN1rC+zxAz!x_jZi+{n~RNA{{U1-di4Ia zx5)2@jAM9<^G-O6$KJkQ@RH{sDI6}uHGux8ldo_;CBEluFKuTL=DF=0v|eZMlILK7 z{FPy-ToX|xi1#0owmI#MJC8Jlc~MWq*NJW_@hMABmwqQ|Dj1~mOi^l;q?7`nyO1mt z6J-Dko15)tyB@$IMbLG_t7)K6kZ?9}0lLyor*VBG9meCa>D#^-F-$w49YD8L-QeC- zW*OB`HE=XkiRzW4y4`(;dz)>DYhr^1jFX~sAYWceT-S0JEli;*S1e&n&Qw+c`kB-M zP%$>R1RaHlvD=`aW-g&6*{v}fPnV)7(0LOU;kt+X&fpmNe^8M}KbT})dJ2_fQcZ&% zg@v~(wTL}#27?J%)rG_+R;I>*l*!)OBu-(MoV|o)(eA3(M$|n*_P@C9I~*QDt|AKO z6w`(Tr7U@UP8T<$48Q|4cVKT|Ps8pbuWS#9_Y7+Yygl3{I{qVQPFC<0qR1RVi=%O4v+&9 zKtA@zpX0HI66tQze+8(d4L0UzkUS@nh~6N%Zx;`r#xaMDNm#^3aTy0?7xj5;E>o_O z2qwg0^P=&lsmpFCOl>gnn826v;r$HAlCko}_z^af-ZN53KDr#aL0qK+0~G*_}TH)=lPJKx?ykW>7aL zs#pQHWw*8jZ(IZqxf$3gO~aW_FWq%jN{SSniKr~s=xn2)@7~rMoH5R?r3wBGfYzV8T*DSQ{x&3X!PYv13j|7kmP;!y8W>l#t7K z2ZQ*3A)b$zHNH+~JEmZf)6y)B86kp*>J@!jhR+_gOW2X9gMw%?JB!YQL=zq;@l<64 zf!kC)bG*PwRPut!4Du3W0`27jJxYM-Bo2}4vV*4g7?`&%n`oq*xBd0uEGCL(CA}(c z32i=~tQe39-q!&)1Xvs6ta%y_HNbO;-EGfKKPK_Epw287#eILA2iD%idV;VdUdJ6I zH^eF0<+H^MH6v2Hm6RK|tvtlvSRmTqbs=xDvF(Vdg3*5k8{pWlnDa%UrII+2RP_qL z$Up|*hP}Zi=Y7Y-b?a#y-U+WZ7hOu+;VT(tsnmg%=m^vrKt`+SCu6;}bhg8;AnKgm z6xy$~t`$09rWVvnfOfW9vY$Tz*030n=ECSKMo9ah1Z+^aF%fcD(` z9gX{JaT}OiQ>7=KdKqITFj`<1+cv}tgX-$N@3HUml9?{CHcXJ=VRhP?zBozC=LY_r z1(RkVY(@P*i|t|ud~h_2j^)|ovg22AFp36;eK%mvy0w9Rj2qwjSKNCYu`t6${S-m@ z?6xwz;wOc5kgTAaII`cRx41r^ai;$O9q|jPnX;D4Lom)#iUS--p;;G8+Q1D~HXU2) zkZriz6SPT_cujw5!EEr>WY=nW+jnJMRvVVKn~+6-+UEY7i}l%+TQ>`Wrxm+dTN*rZ z1qD=W=WyU$h4kFs_ZRFz7C7Y=k$q>LiZh7T*7aOgFids90g&oldatKair8vVc!Pf9zE5Fycd->upNwTaw>;(yT?1 zqtNbrz{P{w!0OPpJxY;cO@+UsZ&o2SH!~n917*TFDY3?Ujiunaf6Kg6B$Vn}dmE~i z4p<8ltZtxgIyLQzdQ#yk&lm#%9oJm?Y^6ms79hlsrj`Ly)WJd2pxltB)!5soTvlRg zk!G9s6@ZS}w8^xU&z_!|vNsT@HYWB^#gq^Nf%3ij@3*nQjHvPN+e>(HP*o0Oowz0k zn0&SpYRq!&G1Ww&niK-g2E~@!Y8KsWH4ll!-WwD&cbb)t4i-_$u2?Hannsxk8q{>Z ze!Ve&IfS8}NH)=OqKvie(0k#qn&K13%bs3-HL9SNO1SBkYKXvhxK#Clt(ad(9dBc6 zUfWZ@z?zTkT$ zq(;{=sz*h>i{`{|=fy@|d%PLyQJPiqhNtxTra(=Ax$dW6EP9Q`*eeT$G=U_8CxW`6 zP3<>`?>;Dm{tvDc{{W(5s;Ln?=dXC3?dvNXXjO0h5Ll2s$;FNYcHDSJ!B2{L>e}8i zpg)RU0bIX~b2N)YQJE2ng|H0qtFf>fT#|5iNPrv<5EWhwIpWuXM5Yoh6Ot0FBo-&K zwZ8tCs?0d6BZhijQ*a2bUrj<1M!2bKfz9p&HRkWC1YzgGM%aG5XI~p2!N@@TgQtY?vNFBY;ZnzcrhBHzJh*k{1 zRITo`p9Qr(NnOF~=G4+U$11Xhnif?fYm{Sc`Vdr~ak0l~VjED{g9(+ebtn$gNS-MR zCGH3}zW(25@wN(8(7hcBblV9wSKN`V#2s4#cD0Xjzh9qBB$w*3P(U_Q*M7e|CB-d# zFuagQnL3fD%ZxX!$JAQJz}$gupc{R-&UCqm9F?9PfvVj!Dr0GzGLff1*B)G#vXTn) z1$AFdx@uaMb{b8uEsBC?cRvOBOldLy%M?g$|J5xyqquXJ*8{z+>3N!BM~ z!TgG=&k)R&6;rbA46+gJV#e0^VA}V2?<)ww4IRse9Hj`h#`_;{w*rwmsaSHEQ)iPr z%5F3VF03sjY9^@MT=}Sg`d-8WS7Hs0+w~jdIztR-kVm?0+^#9Z`&)}17f)?coWhyS zJZL>@v;P1%8*fo%7a^@+K_GPb)q*Ly9WffC8al8Wp_8(KyyAjLGufU|q{xxAjjv## zM#HYdso&pw<5$tbDS$47#Ze4B_quLOOhIptON-n1>x(k0v|ng-p1)=|oD8p0=JwbO zadmCPMeW}WDp00Rf)Gy~^T=d7954F=lul@6&%q( zY3jtXw7RY3lx1R}LAwir2G{o(&^(gD(W!*TP!4-sbO8FLHxy?XXN8UoIuGP1Da23% zZBown3N7yLZr;M?_83??B9P=RPc_bv#ah~s8qxXlLi@lE38xxyjG3KKS2U2#QU$I& z=@=@Xvs*CsAYz`e>*@i-mmdrL>TZu`RL3}M14obVB5~qpgSe-U@|DYYVt8qqXCkgy zC1{_?x70>eVl^F@iyb=yzAr)*65yMMU8}KNcDBO z0PHL+@3oH3TF^E(^FXkdInEjj4@ES%R6#AIfg8!tS~oVa4A&|wci(VG=xy5_EG;L( z>FueS(F0!;xg_m`RIA{%xBVFGE-#=hgu0`LH+k7n%DR{-{)&?tqQ)!CBT%VrMcCYf zxYD2-@4ncUlXHS1NW2aTvlU#?_e9!#VKO?jTIKNs~c6fHJR-fXPH(Nd-`0KUvt<&R|}?ZhRHb531G zpxQa1Pe^42&Wjs>z4#l&9%Gwk@X_W}aK}YmF@~CG9i({V01&dN0{6JN7Pam!F({*v zu<|njFgF~N&OAH^uoBQfcM&=f#BpAHN8(>Qib{l`pr`WER*ESkoRZobNo@))FJf$M zw%6YfQX%aGoV;fJ!@UsvNMXF+f^7I_pPX5d);s~Bu8wJ*LFXi?KeH4vbKc4&WPH z1Mh4DS-Bl;3?DUlN#)WGtt5FTXI8zj2~%pk-MoRhzw5#QaG2G8Yt{DcZni%hBW2B2 zq|=%(qDBJd*SGlX_Tn*ZR~-DBsH4X|5x8%S^JmTESt6~NdgMmhP50ZUOK;Z_HN99l zZ7O_Caa;iF#)WufehsorxJ?w2)x0wmXHTRxwI%eEzT{k+_1?$gUtUG#>abyC3Fk4o zS8+Fl{u<&S8EO2v6+(s#*05bWi}kmDxNGqaeaS>JfNysM*FoU#BB`T%s;aVTrFRa| zISRK?YlIv7S+CG{IFhAf07Q=U6ByRG#20WICY~<!W`Zu8szC=rp=Knof-FYqtKhC^=A1QFv%x!c1<;ZfK);&dNF;uq_;JFr zrJMnUPl;$SV?w0oBD`1T+=$Go>nLK1cx&{DV5nwm1SF=J0S*e>fz%PyUwl~69b0mN zX+t)=KQQ46T}Sv(^QLg8DWKAOi6-0ax%uDpW0H;-)Zp-EkIpFO1xTaIvPw#spX#Jf zGqJaDqkDJ0)*W%ylH}ovym@n59RC2~t}x3e8MD}_eweDW#YY9CjwS>&Wb;qDaw_AE_ihRKTr?ksnOg4x&O7!~*Fz*MnVCJ7Dn8TU8A@8DBq0 z#1dp%mjsdF{I*JlhMHp}5y>{zQcI$+YX#JR0HMD*OSOPtZF<^lh;z2Q&H1Tb16)ZK z;yLP*e89`28D&EGswzxF@@oTKE14I<_H751d55r=JysC`TqbLVsNsrbgdmb7ADp^U%B=luLvlid8@R} z4Xh2thjI4d=_z61*P4)I$yJrt1EyGmQyqF&&P$PT^+{o6++2;Zm-$E5zL3fEOpy~~ zW9YO!3>fNwIt1=@@Lk>u=T>*c`Pgc>;EtWrMX5+B!U!QjZBhlW(pWB{x=%2 zplH*FOp;B^>ao2f_LoC+0umMB`YW>P4X5*z+@Xil*A!^E$3YW#u`sMK)Jb5q1|S{CI|dsNE-i3aV#=>c3THxlkglf&1jJ0H zyO}wAQ08*$h{R>Is~zZzK~VVB+8W$5DQ!bt!{1a?s}XIT^)nPja{5_@kV|@0vFX~v=h$v= z#y7Lz6-$4Dc$AL%A)F2Fs=A5xzhChDaXUnXa$V-ODa)#?WVfi;n|^Og070?%jrYf7 z?Xrx3SGvk++}H!VUu!qE_x+e@Zf#dc8n4=TRSB^o_w^60f8CCTlDZuWY29KbTk9kO z7;Hzmw{L~_zWZSo9X1L!yt|0+Uin2s7I~u@$_-2|ZKV2l7uJ zd8946U!XqyH{19doH3&!YZyrQt%^BHs>%-H`|NiXA7#57NGzsnV$0$+a#F`@-shq3 zzWC{ADi=5n779Nx!(1o?0(EpADz+EKw*KD3t`iPp z+_?98uVchD$()hA<9?keCQLIC}P*dURM>(ki2&)RH2rbU7|?PaiaH#LWlEM}Zzv8VDg=Ie z?pEj2G%doGvc8eMST#C9Y$5yE5l4NA%tgnwcn`GLQ+ZlGGwI zBWNf*xIiJ5b!unamKV7MV2j<>5=`wX?u`b9!)fvISOFruH67=YqH+JLWY?(dAUt6*HgBg;}gRU5`Z{79bCNbSRkDKZ=$7 z#qcG>T)W}9ID)o%a@43Es+Ad;(lWtyESA#ExYVoAT!L`pBUE$nlHGI)3(;mxlgcDg zNh}Xxat*=1QQxQEhr0Gz=DfD!WKO&$c#4mQm}J$DY6{tt@`g!~H5wv39i)=2siB=$zs?X`v77!hn_mLD3P$?otAynLwI3CgPCKHGxd=p7o<8Ox>E*YH+6_rvnE4tG* zk*E>_5O($Y4xL`3VQ>i$)T#lnzY?VsOi3a=Q(Z&j`z@fUsr+S^RQbM{DQV(QIsX8g z`r(PX>ITfJzmhtG8=d+p@rBb$BU`TZXOC_iSiY50LS<7Rc>IzjV6gz=MR4+6ZZu64-5!ZInSKx+8LkYF{5v{8XRxe&F28?A^NgJbSV=m5RQ#6uMtkG)7}5@EL-bWUz};@gEXYI9I(ywnE7 zMm5`~vA1#4soSm`F@Wq+7;;ea@n_;AEau%LP~>#xLM0*Q13?-}g)TrPLhq=OKwVpO z$6ZmiZ3<8wNKD&;6}k3Eyaz2wd7-Hyc?Z&=^~W@bEV07pNO;tc?Ak|B@VvCdp5>nD z3`hWgZc_;>d4!wa1j5P%G;DaM3P)2ZxQoS|B2oP& zS_;^o%R%M6G=W;8Lck)h1+?9FVuHbxp0>lATfsZ29CuBIW8*(D$SP!GkyOh!)+mSlE9l; ziwlF(z9Mx(bhiZNQvmjt7cu-L6x1?k8Dxb7ntapdC}0hUP*8gQX5S0#iCbHYCc2gF zqWY!$CK+8zm6Ix|R|3oFW+AW8fR`I@^B7^o5yQ?C#S3bDlkvblIe1@;qB2U-(dpUz z<*?Q4Ium}|1MtIrunk9*)Skw6BgV;J#2zC!Pd$QoBCn06SlF(Y(CS!Q(&1T^t$(Ts z*o$_@eWkDC$5n|+@q;bQU**UTwBz{`iQ?!HXD3Rt%8GLV(M1wo=)2< zEBI+Zycv;;kNJ;-r^^J=HdoBhcPNGUNjRPk9iqxsrj=_TsCt;>iI}FqM0G1D)UH1< zht+POhS&=ohwH$Dl!G9o>itc~k+?!mubp#b`7HScQ2?Z+s+-Q1SARC8fL#J1DGF9F zI;b9_x%R{~u%>f7=5!0d+u*5jn4wU^23GJNieMFVdtms5QcSOlpR=wKOZ57;!bmTu zfISWxN={B@K{Cj(p+#LH#guN>Ii-A7%7H1{KI$v+D@Hcv$@R&SQU zEmdj1kvb#!5tRcJB z0LmkTB9U`{SyIS4kVkw)P|JiNC{_+}?ntj2Rdm^RGqPd~XjN!rowgcV=_KlN*y#Y9 z+tXu(S-bZxRIAzpf_(n~3LRu?!+B~JT~cN&>bDnU5WU%bz$6Rw++dULsBy25lD~sH zlj}i8QN>?KF7+ZxHKtGwfQ*b?+V|8*8dk&8z4zE!ZOmtaBL)LoZS`EA!X3$_%WLSk zwQ6kj6VuVPGYd7)60%0uxfT|2Z%x6z_QaLPW>cy(W(Sy4>%^j!;9T-2X_~95`rwng*6m45&4lVOpLOX zKUA327^rTms>Z|{SmCX9Z56#fj<;y0TbMc8$@x6=DYciklE|eAAZ4TPo`G z3rb~B3xoS{Bp`}faHkyCa8YAQ%29}BjfK#%osGTzSQ5S&wY5Z2u4$CHlf)KnBO_?1 z{F%5K4UYHq4OVY$`(nH1wsAk71=CjK@mW7UvxZe>N^my_e4}f31Ac>`{*SmgaOlR3 z&C}moIJnv+em970=3!@AeTZRb?a<$`xA@KaoI~?PGpv;ARl2soh2$G!+}M=`ocU49_HRk!|Fyz(+F{fP zUo2g(Ya4t$H`>=W`J74Y4P0$#GXWG{74Y9(o$wIirLS1)-i})6pcLT1BS6e!B(}Xw z6#9jLBn>2QhGF_WkMWg#UXU%5!9{NjG})(!P9TdXl31y!$~^MOBGlm{0NDXx3lcyV z*c-Ktw_~tP1Z#UCuxt+|b_>1Y-y+PsJ7;N@K~gH|YAM!ujFAA!H5FxGqyj-(hFf0! z0l_Du+5jpHM`Xh7cv9ux6!?gl-DZl9E2Us2sc4LWpJrH=GT=zou{SzVY)$qU8Tcl= zz#Zt!J|CrrwLf^Bdb`xl;w zW1{BEm9Wj$GzdtqKXZCjXvdRcNi0(26V}G}7w?XI)WdtKch;53lb*S=gQ!G7z3i6i zZ`2WQ)ormL==L{?Yiky*$LIcX+O&v9qA#X9YOt^bUc_6s+lC&EVb)4Qwo#YIb>S~P zGg;c~At3d-AGdv1w||}`7zTk0op}jWz?}G~j=2)OVe(wCX*BP4QUPP?ByVqq$F>8; zbZ{-SqNq8pBQ~6p%Y+*?;%wwYB@_Z=LDghxR1dha zX|1*kC>8ix2~rKc`cKO9jOQpzeKx`Wr$KaIB-3l<5c=+`N_o{5(Z^z8VhtVy%b z5;GVP6J~8qb0b_Hn~PZNH|xFu=%8|3$)CARh;VVX+Nj|hYsJ){h=uwH{{R=hJ1Iiy z@YZ=J3|G_FC)S2VeU9VsVXFLYTY^i2dZHo(lM)hZ#BUeF9X&Qh6-0HD zQ=}%UXqL(6B3YG5-H0r}w?koJiw=y!8hy72k*45n=&Cwavs~gE#^;)gao7R3Z+vJL zRIJr(I#P*VzhAca=(^^Ms}5H!TxHQqTc`ls4|{ujdtfqlJ=#jS>xjnNcb;qI@z+l_NhA!UZL zX{1FYs=JmKwYI-|ZHI^&D_TUzCh5}3tGwh`e=Q_PRn3{3NGbzf{SKvD4{h!M!}CSQ z1$6#)TOL(4z$9?OAWn6ciE6m+9wAG4r`^8{TM6jvz=iTS+xmn;Y*m35gc00o4BYz6uO zXVeO?`oJEC9jf@#WT}7`HollsyGIfqApTKO<$wa}MYgj7PWK0YP&d8^r0W88Q9oD< zt(E0vBMVl6)>KodkzfD-094qr0;6-W*_3p|F6@~fnNcy|m^&{F_be4LL?QEC9kj?6 z?QJ(bI#^tlwTIR{P9H(SPHs2uPprYH3xc)xjaWLdT{iSvdub|2-{f{S0NmIN5a!>M zM}*mNw(s&=zl>@t9yF2d)e)WUH0m}5jmD70y4h?($STis%#@R(&+4QDRE^WSAK!@uF~E5&{YQ{&yZk@IxrRwnwRE#O$sLWsHUJ)k zcNk?_4X7ZER6SufDr)dg9F9AH)yD8eQ61V;APECD<(Ii$tQ+61_{idL{Xv2Wlg)Cd z4DAgKlRwPfR(?H`>2yIOoUs!rYbzVt_ZtS-+yDUr2&9ARVtp!*L@&rQZHsC z*bCxzy{>L^pfMY(>87bc$le4z(c=mnzd1=hja4c0w7SMwLbugxSPOx$ z0^n&I;2d5g03&xad6jL4qm+>Es!xiTJpAy*!+Z}3hAMI-^J8RYl(7m%>-nO_=GXNL z4%?Z_MK?(#gLogElS7G)TB!Gs(pK<2Je2uOO!ToAX&xwQUNkJi!K|jmx_Yi}Yg=qe z=fUh33A!MLx-&F#Nt*5#i;8NVw=slNPk6l99o)NV0YGD_>|67XUx>i9OWbA7HxLn& z@=*{P-DgEJ8wK9=0+HooNH%`ye4nVVoOwpTR9MP93MnBUOt%&)@)$HDHt? zs}}VT`j^!Ekc3U+tW2ewSP%Ue!^dlA+Y)k2rX7Lc%2zrF#AQ{SIQzyRa5AlBt%fa=sQA_oZi#3Q0 zr|Pipzqez4xYyyUip>rmm|1K^Jg$YQnA1}uM6}Ypx{*wb>!2&1pl*F5`bV!@3>Muk zhN=YiS0wsPw!@S#wiO53Iu6)NlBIu!dXFMJ!Ea#VB_{puNF)u&_-?-7<2%x=lGDLo zVF+s{dE%xuJYh5>axAXNWGVqW>~!2})Gc6#>vj3&@)vu;0KL>MsMZN4^M3Rz__c(2 zwP25+oxmrq#~bQHvIii8bGHe7lJnDvczY?ACZo+Nq042yx@C~65Pq;7)Hmk^AUAvB zT5J@|w$hyZOP<`M$W0W$%G(S)lsrn(_cynGr(wP_7o58tQKv04 zCU3b=Tc6UkXAnru>imp1U^cl;?bB=D=hqtUi>KAn1HWGMCNM+oqy*7I7!z}BTPn4* zsBBI+oC4bm<^TZ$4N`{6*oL1@jzZ!q^~^%2s*;Xbi&jRFn8lP2eGOP|ONepm7b zGi<_&G?^ug#XJ%c7DOZ)Y8$Hp0Sqs0mLT9NGZD7Y7}B-+~phqn?y zJkZt8Srec$9AQAY;nYd7AASTXI9@CYfCo%5G=!<)c&#=4h5*HAtMMYq=A%myjMYH1 zbrA>feg6P6`B(fhZ}ypRCJ;_4m+{}oxq44Sn4$UN5vCaR1bY3#NoEpD|It5~Ni4!i zAo-CyQ{~Rc3qGX^0+!tE&~Nu{FyPGcITST_WdQJS)dG%o>TJr+diCmmIPOW>{^J$Q zXl;gy$<;H2OZ+5M#{3%svw5IZ%B%sozN?PD-9{{H8EbjB?<$Uqa=q93dJb(0S#XsE zxotAXAPPY~kZ)i~AYXof+uPd?bA!B*Ob|~A(JK}12^-=#L@(7kyJ130=pY8;33V`> z@8o10;aYbSwJlvM-6c_|73xux+T!*jp$8IBd7|viw1_G?jn3FinebmrnMl%AX0>t` z)#iYat;xRXbdb9eI&MB#bDf|f!%p-up`2e)@Cmo(2Zq(hUre@gn*rv9qdhL!Lae|w%!tJwg6h=22;iY(g=Yj zPWm7pglThffg((cUt~zUF;G=xoG(XDTNIJWADRc4EaodT+b$RvB2_<#tBtjV!9ZBgcwXbX3d=3u2{%RU+17+Ba zO|IQ=#X9k)E>xH5Nw5Oe9fA1!;Sib%<~Cm+oMf=Rk`21zTXTKDh(#+2VtaU*(9?Jo;oFUlAp_9 zHYKh>x50?RgXKc}I08}@lAuK3`(VbHRF#=xm+^*T0b4KwZTH))y4>~l!8$4|r780I zZ#050W^E-#*XVZr9eQ;*c3IJJ^R~#f_;!~r@WGURJItt=bLxb=2_p><)4JSD_Ol^% zHqvhPvuRMm?CBbe!uA?vH|pokIBvd5moiAXfn7%31SxOU zclhH_z$w#c9R4Y|XZ5dfJ4eAmzrs+SM;%1WJwHRGHutkP%N4ZUFFoVnt+6(1e10jI z%;u}3j**}Son+8{kh02EWD44dI+#SoBnt7B)|wW?UweAhizATRims;I`M3NQpsQzT zWQjt^@`9leP_>a`M^}5WMkL(EO^xmVH^3#C$Z#e_@1icFau7h!MCv15IIo{Fn>1P) zSC(|8g=KkWF#w`c7^sYDBSUFKz4lL07Y7BprfWC6cc{9)%z<)m+`A$wiRsd(TA`4- z`F9MmF?~+Ky+A6afaHW?tSqEr5kx*`Sp$aCDoRf*E}M-+c|z8L7p|UA)TPdr(WOf! z%H^b83?%_$I@dRf4*XdZ=a*23sXC)JmhDQimr*KgA3G2A)1T_~9T5{>v)j>IVy>UxdG zmf-wPUW2v<#97VpM7q?S;qgF{O6e7>gQdm)0FU5ecDZFW`P|_+gPcr?K#qQE`QM zrIL`;%&<+T%#B>CxL>LrLG=M(Ksy32?TC#AjRGR3E$32v(o7KBceV)%3zhb!+t?^K zwm4KpeJNXu9nRmk4o_=%r4J49bx#H65atjOB#}O?4RWkH5Y`}@f^I>--GOm9afo4K zv?3AID;h2i8xWt)1xx{pfYrilhv$R{$H9Xhm)n7C-2c1j7O0MRf0BxaJulc)N>`k`6OiP8p zwusW_Ra=V0^`8z236oD)Wl;@7LT(9jAyoh#t?ma~07>WpI55#^*#VtMx}J4qb)^$A zq*}J8j6{#+s8=i2O(ce0XHy$lj>PYLRl5d9xM302z<_VXY0b>e6`C{t>nuYtMG>!Z zEv>Z@KmyhWW4`<0&ZShHc0)H=C%q{6TbaB#^@@>YimxlGpjaci5GiF;3X-n3YD$O^c8PtAO# zgQX5$DP=U~AtE9Jb_H4bk}jmF8;$SnhR~-`qUL5imV8ohstnsT#>zcY%8r2@imG1r z7bgAed;b6y#oJA_SI%Y0)o2;Sr_wapbg@0y^ttSRMmw@Wuzm}rJt~l@E(VZUh`1N; zw{LFu_QDL=PVtah>*ATp#?k>{(A)wy>18_~e*5>rh!bQXZfkcH>qwEy7M4~d4xnrg zZ;9J-M)+u`Vgf9S@?I5lc?=2x>KgzKkz;G`_k;4naW?KyQ-BE8H(RBQPa;bkY|ett zE=n7Qy83_w+RR&5q@MfXfwFZ{=FqOVktQ0JJELq=-09O}vG3UZxZ!MT!ALKn}M=vOWE238~z06ho;s5bXDzQ@@2n?j*FEhc4< zGpQi#H#WWRezw~Y7g-Vp_F9$YRaKPrC*!w3FYoV&Dxs?hQ+M!uupE1n0oTo*=l*z; zr|!g*HSkqcEaBjdXN`=WULoLW>Nsp8kYK&=HL;(9NX|( zY88Q|Au4zmkbGOmR4rSVW%A2N#M)w2RF(R~YPQ1GHro4l80&{t+{Z;RcosYIC+=Dq z$0Kw4Y1)~n*=G^RA6$qNQj(;jTmk_Hxw!_{zRHbJP-blCB}s^-0zL?r@@JS-yaU42 zIaOsXLse2!c@mZK%6W$7%Kl&sJwtJ@zrz;3+QK695u&AL5zThSPN;U}9v!%wi0VXi zw29_T<~&2sj9da(-tDo|y^W5?6s=gjczn(4 zqGy&!V`~j7sJ^TA)PSfxw>^H`Me~z3l{*?8qG>pXhpPBib5B z;u}{&lcM-ifO`3Dlq#1!I3(7wAi#covWhE+eE30r4KM^7;&pd zb&jY@WaX(%o=`^VDk+kZeG>U>M(eG1 z)DGIv{Ej3o4p!OVE_V*__Fv0fy{R%v1d1rtnmSkl$L2k+V(e|Hj@q1^`kP_#lvyx2 z1z#ARB{dC3RdVtQba;9U*|& zfqP%uZTjLfO3KQgNI9i-tNcV~74;uXnzB}^ZQ4^5I@;hbsFmK}0B_g6BI*Jp^qY_G zr1JB_FcKFva^00y-dt8H4xk7tYhQa>#}XQF6Mk@T*(|dE023MRTE1L4on%R1G@*SuM|oR1Gx=Rb)2@6PjIG_5jeC|^<*(t3cywf(T;KrWt?cMUm}tv`sY z-54LnRl2Qh*+{Y2_vlAod@iMM^rMd6tMV@q+07)aG+CWwZosn|li%hB|11 zkm*+qy;l18l+LOdUQEWVnGdDECwqnsxwiX(VsFr4rilE6hedta@rE@1KFmxksoV>-N$muUyJ6Cy%M06g`hLEtDZe>9?drNi z#kUejBh{PcHi+Ia<}Q^txFKz8gSgvczB$x{k4e9Ws^5#o9~Ox<$DL+s?AK;9b#9j< zq1$V8#~n|ab9zMZ^;wyCr_bt9Vw*ItkwMqIpxy7*Nl|JO}hbehO&SPXY`}sN5wNammJNSU09L{^|LW&YySXA zoAnC}6a*?-mEBWPla%wTx2N}g`09b8O0-XgBmV$IRzz?9`{DgqA(|~SbnsB)@RB@E znF`1OUpBOx{{X_{7;R$#G40GiC{X4U$sAuuNTCpGDdskfI;?It9ZjwPy|4pZcU^uS zp22o9?p@}YfNPL!1%EQY8M#sN@aCaLH_>jI7KFrPwqf^V=;~>>E*?ew*AYvjU zdarAcwz&Y3I@lA2XOj}97&gSh@Xq*n<;a4jiYWe&l6h)M5^MnIr&8FPi`e^IVFG1C zh{CfWfpDVxNg9RIsO{>wA6vbx+vmOrD==D|jtk3MIB^mXr*o+Eu=!%M5p7bVOuf)G zQwt)NDB(nwWG;vobJQ+dmjVrt~?QSXV`ZdPZ8p0#6n=qdqqX1cjT7+?Dq79#xt zw_FZD!@ntweA68+7Ed3Ej~cZ+Z;^c;CXJ|)W>l<{$_%@LL~#_c2y~rI)Qc#!&NYq3 zii5M`$z}0%T+3*M#~1UfJL0H?9d2P+JutPQmZC`#!=Wn1$Kh~og4p8oyl`SNR4D-8y?X7AhW;+c; z7Gh69f3puSAy^zcPRV(j@`H(`jvqDYDw(4KIE_qiBx$kI6`JTb9+6--!-*jwhHLK$ zO_K88i}*muX@I2klP8r_u>xaVMNXFhwXLKZl1+f$5to@xZW)7RA2{ERs`$2|ib}ef zsvbAeJjof#`hl?2O}@-bTwL3#>ccHT8d+FJ#GIe>K<8(t`>T`mpuyDaJQ20DD!%?2 zGWuNijB;vf!VD5uz#mWrSceQ0gV~q`KRg2ah~}#>ZHM2QIi524{{Wr%eak5;vWiG% z6VxhAT87tMq3v*0g14+x{-p&fn{k?#WM{@B=bsd9P5|n97P^gm}*F>Xd`Ie+cT_3<-uE znd2(#hyZX2lILu^f5~nf%xWlWq0cGEm7ZFdN>)-uAUfGRwh>DjU(%&@MmsW%ifD`a zAnW#8VOLhMS(uZ(`{Ao7<8`1^ro(V>)TUS9az(mfB@5~{Vr&36BOOW+vG7&kuOZK} zIQWl<;7Z4>1*z$uQpFTX#A|yMEX+Y7=SWV(n}`?>~gVMunOGck&PYG5y_6Ca$;RQ%(-l?>rGoN4y{aA_43W=>JM7ibN6-^dz zL{VNg`b;)`Bx&`F9mT(X;{w+Ju=gjlUQF3Lo+&bs7Izq?W|}XfDOb^BV$5w|pjaE* zZkF8jz}N(dQw5FMECRxE}$D?*Dr=KLL5 zW@MSJZf1?p4DTTtQHjw2_yQ z+QX%X#haiWd8E(t3clC6S{OK>#p5Jw)ynMKa^^;*v1PQ5#xod9AkcEMeCVVLqL>=iKf z$UH)SInCe?Dx{!H*DcJc^3;y&EL9R242}<_6<1RN!0O+0OBd))3!n{S01r4;05+Hz zkG)}S(CZZjIT{HhGAL6XkV@H9U#-fKa&3Ld9WYE2;F{jn(!FJgpYmZ+BB`xaP&TCs zEZXc;2R~Z&_527Jk;j5-TIO8e;I@EggU?+hOPj8hI!%DF0E;e`9<4Sco$d(40Js$) zYoOUpXazm!=erSwNRq0j$K6XJ8mzlwVDcPN3U(-Ak*N zYsoMi^TB!6W-`@GRwiMi)Azufeee1$h#qrWV4asL(a)ze43flxL^`!b!sg63u>nA^?g%2D(LzL|n=uurVXWB>bqVB~pssR<*chyBiJI z*soAV!>|AzxIU|AeTC4ic6~QrlzE#Nl+C0ZL*E5F)PHUQ%(Tz#U~J|)nv%fhKxv6m;ey2 zqD{?+_r+MKO_6ozz~u{@QQ^v1sS>Ix$l6Ch>rbSX++Nzpq+feqroj8*l`+ANh#+w* z8V6Y7&qz$&;t~18~`e(DC=9+0V zw#Eq2bjLS1Zjn`^nq61aS3&ySHZ}s?NC&0J5X3UF1t#_&8$2+|GPrJJt$q+Xl^6$mM%+?!!p3@w z#@Si&$dM#_sQ`lBTaQi1zgsQOUbq7m$6F@3+vOsB0<*#}W+mDdpEOQ29C_a4pv3V}96eX#|q0YSNQ#2jHep6XcDS10wlxC`Gb6px6%9B(oc8{Wcp6W*Fn| z8_bOgsKa39TIdmYO>D79>b`3%ddn4YrpHI;4al(D>i|K&eeu^8jR7&VXsu{qz&xy) z&RO#tIOAN#468A$mO6TQsyzPyrOBp&DePNP-9IK+s;$(sGZAZa!B~ncG(%WRNh2&9 zf_0Fz6oZS&dwVTu+lG*%Upcsnn~AfTB&54T5-dT92T@Dhf-Sf7eghgFjKjKxFxP7& zpA~_}R~20$H9*_G{@Aw)u&lMltR=4 z=6LLir%jp3FhB;#TJb6<%qwod5m6uuzWbY>hugmR^m<mFi4U> zDpSY!Ja`C;8hW$0{M&EcgAE{=)whD_<-P+rD~Bc(RFPIiFa!cBiLg5zijnu>l`zey zApBQyv}iR-q2X_bK>q-GI^O$th7ja_adh);e;;HQ__-6~GGbAU&veI{dKI*+Y^I*~B08x9i-w7vy+ z5B>+peswc{eU5cOJ_iNi{!z{YLx083_SDV&_BqsqpbeLx@IAmbx8l?P02EF4{d{+- z3AW(BM}i&$Q-8&+aku%VYk#xC>O$^NHeadWgMiUM{8@4h?rJ8v_#a;53#n>Ejtgh} zrF;p~@k)Pon4iC1In;!pa8S3#SA;$v<-SaF?2#Ur#Vm2n517&;EQ}N~>bolfMeJ-x zY&_w?X(Ldou}2{s36DfIxN&q&LE6{Ga~JNa%ul+VnkLixF_^4jW}GDuuLo_fKgzlv z%1nRcGGI(0{$Ps+^U;olSm`NmzAI_CxCLTl2q=Vj zKxlIg7V>PN>FY15*4=`TM`CyBi<0SUZ4e{W-BmGzGo*0%DaTB{TG?zZvA0Y@h-%w7 zN$cwzU0)>Fjf#$72&}iT%SCtZiqyi3xyLH%-Pu8&7%9S%kvlE+NB~-)h6=kLX zXpzh*(c#91nobzYj7c7Kl)*oSf3ks~)?DOg}}3Nsa2nqt0b@4f!Mj$`}weHruf4Y#7!Dw4Y9B zH{#t!s~UH_fi}@b?nI?W4{?T91scR*WR@ppBXv|diraADX;3@Z+Q8yUjSd8X0FP0s z6x8ZYLPVOf+2D3XumDLGJ%3IBm{)o)vY~eara7uWLf}^MEIEA9K_F#$n5tb!1di7i z9XHC>0;eSPJ-P&J^Ns)WD-s8qc*jz!F6-lo|cwYk6Cbr-dF|i+ekYT z^V<@TPKmR{ZPAvRHE9K!z>#~{+kTjN5SqQDhfp@}hMT7q!oxFoW%vA^iGx_<{8 zdz#^Wc7+t>vJ-wZsGb<4oSUp}v5|0ha-^NCc57VkwT9TDX@^8yt6y>2SkYobF z_q%WK8)96~;Ia-Ubtw3Eia0dU$MlfGsXziy(vx7eB(Q6OPRh0*DEQ(UopYT#LGzVR z$z%C&grLf}&x5jNl4^21^$a2mCkVpU+zo?}Nx9q8xVgjJ`t1NTfy{C7OEzFZ-%S%! z&K_`7a~fe3a!K?!z))LeaG-3*a=;yzQ6|70x(8t}Ws>Jz#K}6PkW;gMDW54P8cH^o?BL^<8f`_w@ECv@m|zM09l^%NbqxOT-& zFW`>;HC^KmVV}o*LSkw&ikRSnGe;YVPn%BPMbPuxLNs8eop9^hP%3pXAl%;*uw?`T zuVyB7I`^ov*hsmH{mY+0M_)@>Eh@oF=eSt{vIz=nTdKo*fCiud=pB=Dr%*DLV9V+V zjU=I*`PY64SC!R8R^LgQAf&n$Ss&KVEy>U{lx7xob89Zv8|lSqc2~o6+xJm{ups!A z#>y6}E1T6KxG5CD$hf-_Q9>|faLN>r$_0Q@HaMEG8M`yhLKq@8GvpV&Y;kAFswsae zCk#>55HcH?WG=fU+tCs#l_7}y&4r^Qu(oI64eW?ly$Yaqkky^woA)eM>5=${F5B%W2Zu z{VG%)nH5iPcf?hmAb=wG8rg7BgEDup(K)|^lE;nW1YJxGd;b8YOh-di8<8vgCJsjn z(A%lZ2m4dtdj9i#Q8j;oICBU#a@UtRmp3y=vYe_PHmY_u2kIh^tnSA8RYv8H%c%ns zHIt&vwUS{KUIqLZv`|Kmp5{_K+vwInP$%PW>h0 zEWp?sgKpyum0^+>lh(QU8($ohy0D&pO7dGX=WS$^*=dR~3Tu{Gn1n!0lp6*mnEg-z z=t-~`BApWZjh7%y6~N|iFLMr6W^a||DuQGIgCV-QFt}wqYLi z*H2i{#ZG2&<|vlYrTZxD@c8>-t+$$c-=6%Eev>bft=1@%$s;v_s4RX~7v=t&K~40Zp~zv=tzO$Q>ML|4`hX(Dt;P1f1FMN;CuJl`mV-LUjYi2g!Vr^~Q!A~H zoe&`Z0H+tY!Ayq{z>vBIS>-C!d}SKaWI2pz06{@hUp#W|#cDDV8+ws9v-Xl?@No2RG_ur-VJNDmwzIcobx?WcZ&R4ILurboi!&Uu(w@a=2o}X?W z*5>g~yun{|nSfWhBrsFC0F8#gbg=2aZn*12DZ{c_%gPq|QFXa85(xGu*n69I?}qO` z1c>0h=Au@N`K_dZ>9M&#$3gnAy3%Z#8JF;0(YsU&C=KY)TK2L2mfxf2+Sqs(?@K@<%fOADCEyU3caA=J#e*paBA3+WnEVsg^a`>Hx^lPNt}Pb?^b9Zsbt z-TQ1$U$+DMrb?7LY`x^3P{EU-RREUNW#~rt+Sa$Vx{OTcm!3(va|QRr6y9K6OgzRr z{Cfe=dvzFhc8i%zUv3MPMN}ZC)T4E4Mm?@~>@F|w(+n~fSji;*bX?i$8Q8t;tF5|| zx9Av<5u#*4U$v2IMJ>FE)NRwC>9M{0_83iIC&P5?(dNEdjjDE(FlDd+ivSM$gVW#T zh_~)eHeF1Qhem%dmhWVZiDCy^?XmXTzf1v(uasD7oYrPUC%~l!Lruk*K&C8n0X+8n zqiG|`dk_kceL8{l4%=JU=LvNr_oxU@dz_ z2{ymycONW2rK)76BXS3tGL8)*{ zv>UB}y@5M)!1Zc&q{LbUrDaVFkV}kS$}sV9l6vXmp6_dxVoP#so}vw z-X$_-6_pL8ws&Ai7E;a~)F{<$?lh0B`sKrZ#x&GVK1zDEMr&(%8xBk28Ip*}<+_p- zXf^~E>cAUZ4)+G;$G$mOnwJB;3|I+|RP6YFsgjdDq%rA{?IxJoM!%TG&2m(pgxz;L zT-XwDnDXN!7y>lg^QlayQ#6T)AaF^~!T_#7QNIC^h<=9}NpSKih>8VNy0@Sm_qDDG z@4becRpmL!+i$&E)Oj@GbRyq+gWR&=W0lt|uoR71-E@W`U}HsM#1G8@wj%zmuv3hK z+_GIJKVv=#<;-c?mD@`XE;duFi#WO7+V>YMFLPtL2G+)8R3~12-am4r!{N(C^^5l; z{{R#7Y7DBHT&9;UYO371MD>*dO*(1FyO`quskOn9M*>~IceNh zl7t}*K3bz z(mpj;n!!Z$to~3t1<*}{1vjwou|Et`U>P(>8;1cue00-g>M_YBgu{ti!83EsgAxDkjNl~NU3IG z%H~4C#>6St^+5F8V@a3|6R2X^(SJp~#Xd0Q=C(^yn!8IwK?IDiOG?UIs3544Rw~8Z z8>*-g*3xaJf6;$2W?x;7Bym7@DG^LTXtoxP zQlWtc_ps6kK9U9|HR@p?d%&23w-q^HtuAf2rLR2vW$e^s9IU%C^X3)sG(&y zF0z6gor;Yh6MgpUXIiPM%L}0DKP`#!Lyl>_pjmjE(f;Y@3^!tN%M{dksK{7iov7OK#+QLoqbui)oXMXzf2~# z5DuW&@ca`T@Y85L;cB*Z!AoKEM_?_#nJrCgZ>ME8qd&_{Cp97n+_PKsx^Bq=KPAd7mvSGcy{JU6Sv6R3qPN4D8c z_po2Nrx$RRZm_J-yBIZrsit9|kW~OKLIJ(B^}j$y9o5&9+O$|&$H`2>*(947ff{l1 zT&B%9B1H(W6(p_L`K@7nz`5)FmwuKQ=xu%W?!TgzhgLn~uPr>aUg^X<6t+V|Y^ARt zX)gQiwf!UAMb7^KTM(KUi7w3`=pb9sb2|qOcrA+j_kk)$TCh}Ct^rXMYc;D0(U zB{p-xu}NuR8Vl;W#^mX++v};=-{L{*wjTCZ$z$5@QHN6mo;z?|bmA@qGJly!7P#6% zE-!F>SKqa-V`IMfgj2+BN1yH{7c=q}gt^}YBZm4bn{-gGq>EbQYQ6jQAn&m0iA6f` z6YKkluX7^Wu9ERL0)&hTCJc-01z35w)*;KAjrv)zjr~AfjbPomTPA_#Z2q$!c|< zOEQ;5lR>c{Fgk%C_0$09Hr$Lb(yxBhaMSMzji}b@H@9}_J3f8Erba1D#9v@l_TO(- zp>RGRUj3|bGilb`u2n52Lft%7!BSkc6R8Ve0=oj+8=E@YrG>f%?_q};RjqN%%zer? zJZ;HowtK;?`A?#oYyxR{$s*(BzBp-CAPn95ehO#RX*L$!bZT>+2lN=JC1um9 zL1wy=PQvZIg}tx(;%zGTzjUT&0Nd4hjQ;?GqhNl9<#g%WSl-({?brH4q2AcjJJ;uarM{vrNYnz8hD}D)6aBHNQ8bo@pnRthS z99e1Q(kxBmB;0lb%mUl)Z*oA`4Y20ZuX&DF_@f(Lq{#v~E_}RI!N%w4JwX=I{dE!; zjeA@hX&Vb#-SI1FR*22wh0Y|KTz7h-dv)Jj+zQ>N6B$6rMN|HM25A> zk#Ie|fFQcHL*s}RkO1`61TeWx=f5!}G2C~{$~?W&nvXU|DSJloYA<8BiGvHe3-%*P zCgR5cilwfZ9#9p#SlpmqM>Hn6!NV`ZoJSP0RU^{t^PN7wJDl_^&TUiF=%8eB6N z9FybAek|qvbkyVVaE@VimCDK>9c&0!cOV@-0S3SkZCA{6jXY0Q;tk@!T>9J1USO(I zb!Nc;PYEB2CHX{oHyFW7QCB?yM!b-gSXDqRx~H4vFpW!kmq=Ye0=8QmL{@tt#LIzb zp2=`kt1 zx2b%a&e|~eFz)+Xb(O^dGB^D0nhr6|@-v)0B=1VoMIaKy?uh%v>JBCP`!FKg3j=$M zdEZY^sBtfx&~(aA(YQRkFS5PEx*80D9B)6KY6N(gs^DCTSXy+7dZwBFlN`0#c^|~GWzPAzP}|AK}=>QT4^KF0_z9WBNh!A z^$TraHwOD%-XQDhtneYZZ^atF51HQvRjp1}k>%*CLlrc#%M?S)A%QETsSPU)Hfx5| zNF|OY%+`^cSk!z^HEBuDXpM=n<-+PmXFMCiyktv9QlNm3I0wD1ShJw?g9X>HQJ1?TirWB6z)U%>dh?zD~ch*W43*$iWpui0rJ^DE`O* zw8A_5?S&|KKQns4=0KTy8BmLcP^)l7#r`7y0B$TymRnrEaxS2?&?2Jd4-4gz!tqgo zENlpoA=1mF>PJ!-65!s%+S*jyi;QLk3ez*-N`d5R6H*c zjKYrrqUS8Rhc7Iu;Lmt7c^V~(u7SUrs#Uh7 zWw>N}?-@`}a6u#-&KnT=P9mZDMh_`R^8*J zE&x<`n%SKpHT)UH^xVRQvdrNARg?sK03O}`5`aALC18qER%%P4gNNcXwft<`$sW^|h%Nx3kfeHbf^{zDn!y}W=4(n1*!5*S<& zbGSdZ5}bP{RNk&v+&r1j>WK?Y>HwP=5E}u0g|{Sh0_P2XNYifqi=z%^7wP2Ydl1yr z)?278tw;s70^ps`wi9|nnct71Yp6kjvZs?d?IAj;#jZd;V%XT&k-txl9x?1Yl;cB~ z(QMRmS3iIP^x;&tkW7iW_#4>ou(rhQjyI%O>4T!t!dVGEdwitRrgYyfA3j$Y{`3>iy!FFC|fV75s|7pDUBps8(Egj4?=DZ3h4Unc!rsReO1*@*&E&mqJjLGP9yMJ z#dAZRS1o1I`n1kH7(Di;kD`tE)sS4A?ef%vC&T+dw6x>{{Rxv z(`Jh?g0djKRcs(QkpZ}lMYs&0?hV7KxV^T)(kn9Cja0A?Yl2RA?aQX(E(Vs4l|#(c zG=Ks^-%D@TzqTe0>X>Khp>dzGSZ+{M;SN#p4n!(y8kf-=Oz-PbLMHCkQdsF5n_ppy zx^5Vw4b}<2C0jn9Rj2IX2 zT3mtBU@y*i_;jh@Sst}ryrnu;7~1ctjmQOoxHi-d_TRT&ftYYyWN=WEk9@&T<;i_X zBAYhkU2kiRt}kQpz9ym~M{=g=NCmOHrhpw?F)XTG6rbTrDKjcsDnu@+HGwJy#PvJ- zZcoDjJKuyo8GOC0uPaRWd~a(N@q?DLTIhPNlED6e5O4mHCvj?RZ``!KT( z#9|jVOz2ejd{LuqxFe_G>&D*?bHGPQP{S?FTEpn_x8&t-^a&2f;E$#Ida)p@8m$c~ z?W?Dfn0Zc2a;KP?W{^zb^vdPLTU$(b-B9(?NwK}GHpHM_XH-<#SJ%Y9I5@kB_^&$1 zAk1qdqWWC1Eh0!nURoOkDz+Lx>JHsWzB`C`qGv%8r~!3Z14$_f_~MI^6e39VK5tU2 z2s(6yHv|wj1K#7*J+YLk)6y>eK%VDyIzo%t&lzS}?9V+#Or@kGn=w@%=~P$`unb>Q zpwt$dpDZdxRW!~V5vY@>I0oP~&i3BNsJ}zDI_g2zM&a>Bm9||zYxsz* z=M8+7YZ6nt1v1D5-O)A+aH7^01dB5PW+xJW8Y6x@m5_H>XUKQ1E?H6Zh?Y`Hh?jpT z5=#SvAD1x9=_Ofj{v4G zAa`uFb2@lxB18!+MIvIyP;F9%Zi{e2Y3hAU2)}V=`>}me7cs8@yEzO`B&+=Ioaak| z2Teg&S?#z3)By)>_=>1$ZHkaIu*qAsam7(FZ6T!CfVVB_)*3r6r_@H``jjanz8^)T z01kyjUz^?53f-Wb4QvnP2CIb{2#~0{n_9rw`g^;HkCLa4t!Ex!n@0RGOHF| z>>Ek?%5?>B4Z#5P9Wfv=%^}S#D{~6En4YbgD5EyATS}4?TElQ}Vk|c{y{t|cXn;sO z6LU)Nmn4q786}oQRTt4~g#duf?3N^bYYx{PamJ05pl~Zvr#KTdtr#rflsdJ7kQZRO zcPupS-%yU*VlhK$;|d??N*-zCb)+$Nv)&}DE;{YU+;FY|!h5JWD)wB{u(k86{SnNqB(taYx zP5KkL1n-XM?G%wM_%2_O=R~mrvIte5Nz}>dJIJ@$b{bs`h4hOS0~3QjC}*6pD|azv zc))~~4eMPuH`pm8bO8FTaear{+6^M-RU^(|Lg&Fo)#vE&1T`VGZbr7chEzkclVi71 z#E>_^Z*VTP^FZMUT-gSZ3->9A@eJZ9rdYu?EaJ$eY&B`V-E4G=1G!!B(ANudgzy?W zMsAb&#a@u4pbQ40%Wb+FSX-lXJuhL1jWRr-q5;cw*5)TZbABPCFi}bV>w>6pAJ$ue zaV3q`=KJ*Q2;5*cFagf!Y)w}_coffYw-?p$+{sZ3wxQKYC0KU_dyG1*0a>|9&bj8B zj43ald*PMv=Aa^Ccq6EEvlwIp;-=RheiHdOyC@lKnPn@x82r~VOclbL zwSsA24Z2)n3RE7!l#$;TMn9z~yvPT*#3wVt=0e^eGFHuH%hC}~RU|4Rc}S3H3=3(nb#4;-jktrSR zwU5U5GNusHi|Q2-=|;805uu4n9t->-Gh|t8`E=CrB|9rD5W)3g3@xWz>nw#q^$p8k z^RN?Wy^fZUIEa)%>1u8l7Xk&)_+BFw6$Vz8FkW49JZmPsH@Q);7C*tknGWi*raU`h z3@$Eb#Z`4-BWc~Di(itLwt(%Z+TF?Ao~L2k6J#4IV^r%ahxp#0bec`YzOfhmI7Oj% zt#=jeCUa9HdKzaaHqu>)x62WVEf)Y!a&xgyHZC#VS2987ZZ^coVpqcYMmm^ozLeVF zjsAT;!JY!wWjR5pw5Zp1B(hQRdhIt*qy>2z|}P@2{geq0cx;}tEMR{sF1B=$d?dyT&A zdLA6hM{xKcT)Fvs6ks}A6O`6!ti#~dm~a+PtF&_4`>Wy(j}~{@D{EIq{eYn#h<_HG zYr(u>nB=)VR4~#~G+_f%#N-1SF(utto!j>)%4&#EpUP(Bh`8cNl9AX~qE3c&TcNp`?vRa_(ndF*D8? z^@_%ow2~F6V^TE`1^om7I*auuae*?{B!?J{bo>zQb!Y{?Iw-@;&m7!$Syv?;CSt2h z@yB&)NENQjsMz^;w)-CAdkk69dzlh%;EEyD0nO-|Vd9UDm(nu`&fES%1J~Z^g1Yw| z(Bgp`FDj209CJx+Rgvxq1XIFx9dGIFj+{fdrgcGRuZ*5F2H#GPr~KB3`^+=i+*A6X zx^%uR_};V~6|w0Sz3Jia(0xw3VGK*SuJuAwCMnBxTf{bSW!z+<>vz z+TAV*xZkP8Rx1}&U86DY0cbFESrGRT+zC$9{xZ0P$OL>>N#wQ0qmq?zZM{jL_3L$G zVc6gijl@OS-`XD(S)oho9$lOI6qKm(naA<~V$7(`_P^1fA85Q|?&>ja+KxM_N0)35 zlG0BZ{KJeJ<`hhC{{S_h{`6tpW2aQcx#MF!%ZFRz(~t6*j8fH7-_mXLNJz085J2zO z4|Ci%P`46KnlqfA;@SA`hqBz#t4OG-rb#5wEV|9XuLoc0Mh!yBhJHN(JPlcH(^GH%06wlJXprx+Rc<%v{h{}uU67VZ{?GAaC5!h}W-CPL zP~Ep}{vYASUZT#Hq7C5M>%Ps+^U;ooTm`NU=#g4;qzS|z4;g8VwA*<$rl);gGY=SR{ z8VKO5nJhq7AQm;zP(ir8#t^ua+`w5h{O;vMT;a-Ef#mXKM@eCt+JZ>EH81BW7X*BM7-U=> z!SquHz#~}-0dotIoJGn?Hq7QUwNz2C^K|Pi8oiLcoo}R*c2IPS-on?#o3R~Zq97Q* zHD6N$OtiR|a1#K;$2HsVugt2vn>&Lerl@MHuB9bpid){C#sNNC+Qn{2Di1=v#ya*$ zc5!eet_EaiL@N4Ki!wmFoesR}Bp&|&iXxHm-cwOl)B>`c)uzo4n7YCC(|h0H*A>uJ z%c=&LCJ!{q^K-*h7c?t|XB|wmb!Am0bOF*uPphd~+m6H$+hRb(v?_ISBU$rMVksK1 zXH6%DstNNygllDe6V=Los=VQx=%KYrixG zBM3Uc@$Y5fZqMF{M>wbzN!lcl!W<#e6B@XTOtav7u#I1;RI z&n|49e-!PKP;4)O07V6_G6Fq7ZQS<5ckoGhG^(RgdbaJk`2mG1fI{R=Px$^A#CGY1WoyVL>OkTF98xnV&*I4{q>iqj$j((EK^YoUb{lRXS32P=vX2NnYne+NZIac*8G`G0^s3x}sgGbfpN1aMCJK+( z+}o+0&OgD?9|&Zy)o}aN<`wan)~24yM7oEm;R3~UpUow`JKp1VR*-F?v8RM<*?Twy zkD>5amvEjyx!!+MH6>$~5oN54=8&yo#_xS6vD3B3xWrhjK*NAN;$qym6cNKiXF>_r zetM~^;BO_P;JmKBl9aest5;zA6=M(uck62lpL_JSCBjxXig~uoVhq_g3sPKXfcw-8 z{3fht;@B)TD$vtxJ&QT3i6qDb5yI`T0d zQbtQJrFxU4zbSCOfJL@Y)6AHTdlV~bOpXhFuQQg68gk08#<9Mb!JS=RUoliE9R|DG zt1uvueVppSjmk6v_uVv{#zvy+?Kpn7>GO%Bk>o{@lG=otKn0`-3md8u%%f!h-HM?E zH&tsJwAy=a;c9Ex8hnMD!I;=e^tzcW$G`-9c zH`K>1uBBx{>D-7DrT1Eyg?a^=o`Ru5l#Db|p;F+hGY?5Bbg%(XpHU(9E2uh^Os$xa zn)c8lTTGLf>jV!Kt6!GIn<18w(n@&BF^@*CCK(IuG=WuOZF*Hy7AIJ-xUeFmxRAjE zS+pn|;^yUXgQRc!$vwQxA2=Y;@i;x1dD*a|q0X+wDQ{8D$(`nqT&I!#cb3Cln=dr~DNM~ZI zeIl0a<(V!>4q~y8Ucmj>h2H0DhpL%|9d05OU3kJ;6PVPBDA}Mg%&@krdJFzZx)ETu zxbJ?W1L53YcP#$^NHa6caVx3A8Feqw0NqyiC#fTIZT;+>P^PyDOzfEsCZ>v>wnc~qOM;+u zu>kyi@LMb{vo-1EaR4dV;cte|E$EwwrAR6&D&mqVD9AE2ELlg=3jJGvA4oSLfID0q za~X{oRz{8@WDp1*J1B6^$m0EgA`d;%+lzUJlI8Iv4_5Uxt5SUP98O}5+-ywFH)l4u z0EqMwFRK_aN~8Y(WEW|juRZ(9yBHDyaeryTTGArlib8RxC+PE#??>weBoz@R-L@aBLGKPbCF5x*@_~87S6qWlK$wS9fUWCM4TU$c2x` z_S+Zr;mvZt!nBO4wtP|IA2G}fkhBr3azo`X498*!BnG$ylX3t8;1B@c3NNok1hFY(1~YUa5j;f6?0Z)IvNI!YNdm6H0AuX`IC_1J}#q$$e3Ibm{9=jfKDhdh08j5E!z7u zmKFfq-%r)P=cl&cqoyWxv`)(+=|525Sd00W>NeGzB^$21+nV;gn8^)FQ^mPdAH zKBjw;PsE?_pN2RDp7qBGUsL8U9;lUojqI(bxEtE|8mmP2D5@A8>UMq)EY0XR{{StD z7QAvi@tsPfl=7X2P;{H9H&PF4*pPcjU02Cz5RA`_r)iQ``cmZ7bd>T|048=_M$wYtVrMsvN!+R* zSpiMOhAYkkJ94?ik67UkP564SRHJosUs_i<4k5YpKAF3hxh9uWL+= zE)g*BYvLOs;T(!QuQ#a_lfx{mT0q+Q z?r-D`TUR6*jWJf4+9G_&^rIFBP|`)Mzgv*sW-KuHf^x`<8SY)I8rKpCGMsK5jX1K6 zYiW>FQ_7{1aIOOg{WniZxHk0kBFA%qUsEYA+H_B5A3l=@;*#8@=VuP^R(DBJJ$z+S zX*}CTC`N#l z1}C8;5pA^Gf-M@{_T;kI;L_43U6&$X5xL~*R@A_32@nBw2H+8U5%B4-_Q1~Q<{mmm zzN(g+`m-a3_eqM5Xtr-t9c6VLG}SPbD%uK(G_W8}6@YLp(${O)n`5*(J+ve=m^%|? zY&uEVK!Mp13|(<}{{W9Gxs_igVP47R$Vm~#fg?bFHZ&V5j(~d%6GDS%2nY;LG1!AH zQX7&yyQa-%r_GV4jZ!xTH_`*tr>4=!#>EZ6YmG~Cpf_AM9{#O{O~{ZSsK6uw(KmyA zIi}-{E27VM!K9|rRMkSNQLYOqRW>HwNbj}&7$2irTT8XTltottk)#25OFtA8%rlBf zi?bJnjo6JqtgH&RZHORtJ%H(fdL{9jlfEgnF#@2RrMJ+fKZ#7;b4;r#VgPbom@fXK z6kwlC$6yb0ZTbvFf~A(T$k6TLrmIlCkhbbBQr4&*eTh`-vxYqom0rsQ;G;Dv~xpTOldq_NqF~S0kwvZ#G8UJJ#05zFSdturNS}z zeGEz<+^+BiMZ(lm(PkBzqpJufj*c@MdI>rbqE?bcjFWa$3#!9?u?uhk&|XO`CMTM< zIExSf6Rd8V4;P$@<+mufMxq!g>FIM|6X;f%o~lVT3+n~RG3mKtz$n_=+Xg+LXsc?L zhM5BBB+XB4fa}uum1W9PaMuX_G*c|Th=?}aZ}H!t`0wx-W8Vm>7-LfVB?9DhRKAvo zSPrgEuDcz|ixPVbMqEm;r-L2q?9kB>v~YDDWVOCKzkZlzVu*GHplG~h%cyA$o;bEQ z2h7^r^danb`0diy!@(*Mf(3ch<&^AE;irv}_Sx^ZxU+8E2LAv%W2Md^7wjx4K|_>M zW&|X3vAOC3zW$xb{&Q~ooDZ0U{X}R#A63W4Y&Pk>#=&c@kMcchm1as5&}_Pckh;LXU1NK0aMI!w z{=~z@bL;q0mI)f3s-9RSU#+nWzXnIS`0rzEaMU8oI+y@QWcjAsi1=PkAKcnVTk1%? z55W63!1mu0wZN?XYEGtLq_DGZ?TJu}>i%95a`aggTHxV{O8F6hP4MuVO84^Ag|OJ) ze}A(bU6r|)Wv!Y@u|&S3`Ui4P>-HF7Fr2_#Y@WQAm2FsADe0!InHO6uNbD6rxg}5& zr`?GdgFa&cUb6<)p3&7SX}KesR>Ud>Q7_TVW<{4nXfc3JuuEG=1Fji;5h8^np_HDR zmbDa&QRo0`fxpW39=-PY{5oOXr8&VcFS>49&r}(s%kOTa0(AWSdwsa!Bx<7=T4i}n z%lCwkXjCxj30rN{-(O52n4~bYiqif@d9r8}YpePpfjxb-U;G%8E0;zJn?#viGt23A zQ18BY2qast*1fI0$g$~;G&tEK zgr>5709AOE=>n^iy~2jl#MrN|NxiHM?R#F=!ph*f+%p};bd#44UI~jPkN`T3PhthY zE7bP61X%X%g>=(|V|6JrpKx+;)P|m}nkbwN<1V4WHacu1Bpvr1K-`Q*?9J6mFf2Ms zLRRp7K41x?B_#xYNsYrFV8?Ox+nY_S-+Ogq zw&!7BFSW4KKsiP_Rl{#z++UgZh@8)fv0);ENG!*gshLKRNX*F=qJzps-Mf|s=I3*J@6&URicz|W{US?9160#kHP3HsOjSIk zrbn5+TkDC6kO;W9e}M+$6_uMPT9pe;l*-_$Q<>$_O2J8FQd!#Fb|ek2({aB2v6#hD zXmMNai>&9<1eG*758_U%lT=e>?M}5+?B-atNMk7MJwoAI&}ATHJ$^%mRh#)Y)QEG^u;#r2%?+PZBedod?_Z+uxaBU+p;8sjK(n2}gEv?j$= z5o_EX$lPDz4jSrtu8*OObpS*H4>aI#=R0b6VmWE(Yil5eYnfv}fn1f+OM1JFzF6U+ z5APyAb33=xr8RGfoX(^LO6ru27es%Oh`3K#!Yvq)-nySMU@ccs`z<3iiH*Rl(Ns8~3&b7FnpwQJ~}> z;M&UboQ?|qCTgXwssd$(2MDo5z!X-xF=r#x-2&fE_9qFgE;sO63V2quUgb!R9sD1B zDZ$b-l#^yLy9*F!LJzUGm(+GWMj@?&ZE@O0G=_V&Nq!>y9vmm1)U?%4nE8+OnI%Qm zeb401{{RthzY|l#3PJ%xxs7?aLMO%VB(m;7@gwCKZA;WaLK#T}HpF$lA|M+e0fghfIJ4PFEmqF{l39^UdPRlJu6D5b z4e?rfKA#0YT2`xbdpnW!8l$SfeMIOw3tHB~ZUUIlDFtE$mcZvGd=!tRA62e&CH*ID z^xne=^nEk3=U!%IX-CZ5+%YDgi`bhg?pLPPw%xm8Phw{T$JZ|LTk>;zJ%N>lUEg-P zs$6R8au=_^*@k-!GG-ISVgm4fY-f^s&0BN;Y`q5KxA1Y%fu=UqFKHmJXnDtK z4XnLJ!}EVfOmtwW@Lf2U9nod*BjUF#t;^enxR#KNVa-l6Jo*1$ZP)L_qxW7bE8{+;n`f=XdzL% z8dzj%iBE#h6S+4svL)fpAetDn2vMRQdnzSdE=_=DviXBiLYKJ!Fz;s3XrwZ2_bX~R zhSnVSPX|4@(ZfC$_;y-Mx=L|cV|AjUia{fZBnR@c4Mc<&)LFi^z+U(&jW)1a!E+N_ zFh=mJ`#(7I!bnPzH@)<&_uALDPtj~wI|9OHH9S>2v1P&*gdEw<=?N)R%H4>tw$|Fi zZMMUGiJTNhu{K)=oH^^ZzojrF0BndZ0Jip96K#p=d*2J#X~ajVPZ*aC*QC7V&nsV4 z)oj+c=Ah}&eNaD(^}`#{^xL3ab|ubA?icYP#at;;YI5rNVw9)^s7o+Wupq9Ju;_1l zd@(I78z~;hh0%*}5V+h!&(0*`nue>at$Am18J(3i6ZR+;_EE4Mu_a76sAbnt_2qXs zN5tnBa7zQ1=2E~rs)W$py+~B4=r+nR|!e5M9PE|$tlRuJ0p<8)#U(68)x0e=`-#}ZEJxz1abi*A~ zf(Y{DwN&Ycf=<5@rYDGQXz(R2S}W>vIyoUNa*?=_R&5%TDkaDy5wid-x5+y91w}0y zi=YL~nZ;|=N{UfrsV=HTh(`sNVR7|J?b`%XIf*Md(pJh2w@e_o`|$7;K~n3NJVtnA z&{l;E(IFr;^oywg+iTeF1;xk$```zQtyTg$sOGE($WCqLIkrU;&0kKiLmMQT-6C%> zSnFbi&YS&fb_7`1j1bE5AlfZuXYAQTOv)9WGWn&I@ve0A87z_1QB$HjR7S`0uGhMY z3o=Kw*c;uq*kL*YU~Zu-gUWP62aS%Z=|9%|e>7mNhjPW$J*Rh+n2zIhKW-9;^IMel z%}U^l?YOoPy5ntdr^h7xdvUi0#<^k$^GLu63e^T@i?AY9A&QUaxg)i}!z59@%IcOa z&JejgZ^fsVt5aEC={9ee$kh_*uq`7oJBu9=f=!0Z%0;dY)Q_m_bLU}i1;;5Ey%29MWv~s9cKtU^~e^JzWjm3z&0dI_qc|Hq{A(rHn zGEqY$DDjt2iXQ0pENo7__6`MwmdCldClP|@5;f+fAT?^$GETBQj3j0O)t#+owfcHP zT!4LBmQlF5zi1dFZ5K>)w_RDXm5yONx74e4LuRmVP$gIqV|}hV07dpVj69t$B{l$+ zqnk|CRP_=p)@kEcoR(6v6>F(|f7x$q?%RM)CS%Dknpy^niIu`D=QOTMN3@bgEINT> zV5&B`-AEQ7Y;h%LWB~4F{wXv}u10Mi;;M#^)1?ZsYAOf-T|gx3+uHk!cd)~N4-zfK zCIZMy709V`E6*y8WG|Rd^(9FxSFWPR@3z2TV^EWAl%g3t*QG@bJtDgnCPIMOG&8>5 zn*~<)yA8T~jBpodM(Q^9g}9{NUsPz-mY>VBXd*@>9d!|^-l4EI498*x^xtq(o4=QO z<8(X6!E-a^lD2tONG<6nK^ADsYQ2ZnAd_p^t+lqpsfpWPijDwYwDp3H3l@>1^BV36 z3KqbCt?C;08oxLW);mh0@A~O|t+=1Pd^?s1SA_ zjlWM^a}hzc+mx#IRLF1Sq%ROqQgg19<`kMD%n=n@JAhe~ZX2cV+4>7xd{NN;VG`Tr zl6=*RsRy=44<#4tDJ06}cqUekR5mKx5Py!HyW>RH2MG$H&4sG6U-se2q%TH&`iyoH zVSJ?aKK}p%(+y6_wi0b8;eLY&HW3E!kK>d4uC!HIU0oAa(ah#(ytxE&M=qTv<$(oQ z0ddq3aeLbkv4xM#v5D|kbaDAvl#7C3sHx8`ZcWat`ma5xjbeJI1VpCc5mYKI``7_< z+}!Qc2i2+8cnDNvNuDNCPLt~Da5_XU!rSk**lAn~=^UQ^_QFW7po0!gmFR<5Humqg z>%&)9m5CPXha|rc{ZTI7-lMkv0H+>@K+8vP_$pe26C&d4n@j6DZ?QZ4aWj-`)md}F zvK8PgqW8CzCtvt$^!@mQqt9jEXsyN|S-)T*N8(Ap=;G)1BzmI#5k-SKNL{~VEcZtr z*&X(rizkMDSa6bdj}=*r({m_GJ6bdyML0 zPoW!Zw3wI6r1n_h5x1VJf1BKc;rd*w9@<8{X{1j*4?*aTL#&qVQaXd=M3?Wn>-DuB-GCbrx%gqvuIg@% zAVQ2YFwr*hcofO=58Ye`kNf+;n@B;-xa6D9@l{QC*5|pzAVrx9QgE@N5GY!g2c^A2lr*)6Ee+r**|iu z#*cUH4}n0hhZpkX+S`90JY=z&D$G~9oq9DE9>W=mfoI7?2f^6W#2HWedB55v!1!VO z%BB2w@(x~y(Ek9y59e@=aL1>km+lfvFp^*Y)IXR>EW$}4d6cX8s-c;kG$>&4+`5oP z;@k8l{{Rc(T2VLCnu@Ekw3HXTK~l$2S5UVq)^4Kaz-)Kk=dZRZY|=pDQ54A_PX#M{ z9q`%DxI(s$Sxj-YN@!)-m9(o~_9LyjZ`Sy=s?z2*OhlhmSxKX;eWVcHJPIMXbu^Ta z#+xxv0V4bSZhGQ8z}*@flv#FAlhQbUuT^;}1j=BrNm zokR#UH7ms*mkgr+0G+2`>Q0sh;kF?_!zjB^d6C^3_@QwW$n6HpNID+SJ>LZ6<=!Z( za!3-Izblq%)mJUhj>-6J`d z;!;i_%cY}CutiHEnCj%7b!`BVbX7yBzw}Pv9;0JuaX5U<8C-i%L1+fwav_!T&8{{& z5#F2s01YCeH{u#mM+~b^PYkUz?tlQY3kb%Q&|ctrx{#!u+hQL>tIX0#1+mU}pt_g! zjCqWwKnKM)T-4?EZ_51CJwm8?DkYkhv<*oi7jO-MWs>$K4T0ZD+#Cx=uxM*fyxE*%ao?s5ZXc{CCYtjJgA~?* zCz5CQ(>AE*9&BfZnnil5GZZS0uE3_|FIJEhjms111dYfzYblD2+C!#zLVhLTq;|Oh zd6I}1D7a>R3CO5&>Z7V?Aqv*$Hzwa@>`zOJ^uX0)G5D_Gnpn^eKZ~Y0AAL=ZLz=?R}fdC2^bq4WEJaTHob>H*0}rdIU1=&?3mW2 zX>;fb0Afi=9;W=|y}f`2=G}1y8IbGi1x<-?EgWp09xb93l#&~I;~LQS47-94ZkG9C z%PgVTVBba5%cp50LiW_k3Aau0*I3yO$rd~o>!|~7xM{kTC#J0{=nBtrcLLVm-=V|Y z+e+bXdrB6*H=z+o7>3m0%AUo7fHoTqx3^o2XPbAUXtOH`-$H`_05JGAxKuu5DMQf~ zSoMN7e_}OVZ`%ELwhrq+w(1i@IF#Jg(8mH5k`zX_^npI(p*VOXs2@e3B&QG6wH0I% zL=~PnQNUq!C0Gy`cN=f;!6ErBs>@ZLIUC{!EanXz1thaYOJ6h>j+x{G%?Na}L`mFS zY^Klsi5ud2IF{F(y0=i6AD7;@1I0*;?dvl5e9B65RVJkdFEwI1NwHwe2s%dkcD<|( z@iB2mHM^vrH#qVxP~}o)(tz>C=&Y>%SoNC^R+b7$u(=k$TXn=WYju8U?57~@0(hZG z%s&@29OI3%C7}Ri;Ax_oVj4|NeQd}SvI_uJO0d4&ZZh2@A5x=j0oI*h58qN@GE^iu zOi9#wCriQ~G3$7$wyFHsCQ0VqB0{Dz6}+Y{n%*Hp{sPV@IQulEr>GPC0!o&UMI-8wS%?q$MABOJTijd$WdIXD<1SI-R^8PQvg*BqTmlV%z%(nkIJVX#9(${5 z_-4^ysNbdqw&0+54|6v#nyk<0x~h6WAP}b7Ppafv;O~9T;`lwzo2qJH6B;5b#9XKR zOTmB2iCQ?tTh}CD#%{~8hw1^jaKtesRTKkt+W}%RE~k`nw}2}0g%MB_sB9k+FY1P* zoO78?DpD67QcA>HH4W-(o3I+2e#}T600R~ctmuwPpw@zjmxcaU{{WMTu%%xuDcPq1 zbfd`*uT{RUsF83KkjDKm4O)(COlSnF8Wh8x**{BO^%*&n!F5 z0n^kq?0WX;fW{=iI}n>*81mDxDbesdz*Sr`#xPW*Gn9@eE=ugHsdqbj;@1UNIq*PL z*rILXPPMqRDHRE=>1n57FhniX1-rJAh=7xF#9QHjI$)m6XeLUYlV4CAhVndu6P&~~ z0Ixt$zdV=DDKo5=aZ6Qme4d=YX6 zg8Qjs!e4~{063t4CTW&aRZ2gu#P0?6{N=N){q)#;1~dkw=n$0gCAM9A{zAyv*I4T#!&mTM9o^8(yW(wB<_O~Z2{ z(^H3Y8#BccBQ1uD+Q5tM*By4-bBoGWZuT*%cSe%X=E*U|F1!KdR8a9m`alI}oC3^x z>RoMpLwf_Ym|LhFal+oZT10}caL+C`=ASair>pcl9#5x&89GSh3@zJy4Plm;pXUqOF@ zBc3EUVurVer%$6s6{#G%0HuIIv$mUR)!Du5PQc(yX$It(*;(PR!!@r1!2s;8k?Gzl zs$fvVA{|R&RH@m3d#(C{2-4TF1Z|1v)`As9M-opZGbg8xvC^gErjn+01;>*T?*9N; zf~q2bqjdpnE2 z1$%%)t@|^Jr&h!t$wNM)kC-;$;-min5yL^ijU`oOOrnaiKx%UMv765VBNPARo}a6^Mc6w>GX(yQk85YyJqsRSmbA192OH>9n9$p8$!JYQHDkY)MTO3?2rN1QYnv0+-=`Bg zq=De0%ag>dx>=;9NU7Mc1%qk1(luOM4xNSm&bGr|`*P%*L|u5+(912}_5;&<4Y$8T z{tf;ZV0R5y4KM=aQP&!8OoHgv3{Cov@a^x1&TFnV<#&vuDk_HOSW|I*h5a_`_U*nI zcNt2dh4Y-!$yq1>fg6F`b?b5Z{(Z61-AP@{27zzTQi?ip8z0IIN2oo=LOx%eafUYL z$r#-v5<>P0cT=mSk6p+=57&<6AlYF$5jD{k#46Z+df%RmiT( zmXd7+$=Dsb3;gf;FsCl5u5lVJsZ@^SFm+D1>3?tz{{U(3H|vL(g%ey#<>oW3V|joD z9X1x#Yu%5;_v!M$uCir!S`^*<9;Z(p(>$hu~i*)uOKbGt?~Hb(4sK9FxO6z`ek*o04Qb~ zZ@96rw@h+MXcE3=ilU}6S=geVQPg%PrsVJTZ}wrP5$tQWT&K%O8l8zA#Gl{35n>^) zBFORhRp);JWIO>#a~i5xYVznLN{V?LiJ8MWT?kF&)(Fy8;^xNIIPFF@Cz8IxV#pWS z170YqO6s|$is-UR?yGaKW+V^Oh*;PPs}WSW#Er^IvYAiUYySYIJARx?lpsw~S5Gd2 zYNuGEF6`H`f=^BQd;s44F!5y&1?7CqOle?tHa$LgNfr^Z*Hs%6aBYr-0R$)Wlf0pB zKAt)1OGip1Rlc3&AYaQ{kz#rlCt-8Bz?jTaaE&f@v0?Z3DX{b%Rl%TK={hC9I62KM z*U2*9L=caos8g(@NPRIzNBnUF>LabN0~+i}6)G9Epg{6gn5w-`VWJN4u}lvHQW~tv zmLYOlc(wz7tUd>)UWcblS-9nCjs;OQxr}p9kBWIx4DKk^#F3;H1X$c^Ci^PvdW(Qh zQ;M#NYywD$B$a86XJw;?>$T4OfXR?$wUqaGmc^U`KthTIjmRr|fPE(S1Z{@UtlqS= z2)`6du<=ec^h6iHhd#I?hcYNKx{0as?A%EHY?G)@KIhQOJ*{mvT`bB$1lZo^LeL7E z4Tud8QA(q(k2H);O(IJf8%T^D4gHx(2k*n-Sb_>bbC)E{_=kqGDmKBmNYz_@YjTf@I091H?G;ryCf;m-y0P~2Mx}3usNx2mDwh#K z;`)TpWpI5ip(2v@zWeqaeprx|TS}gD;-u#XaBJ~ZOjOXvBeM`A(xsEwme8bu(L)WC zkWX9UJUau)E;j;+-8B4B;HI;PGdh}#y{Z2I7S0(em7!`#skB3`J#eVIm1bQp89JSH zGT4!h)e;GA=R{Gc83$Q08{Ks>j{}lrTqP{^El8X}JnAyXE+LQ03PYpXGA_U~wy+dz z8P|Mqg~R|w&Y?rr52XU}bk^~2hRSMgAfuq7qL)f9(5eyS6!Ohf@%gh%9D=e^z)8~Z zhPub2Q941FstuB60GR@Lee0~N+sm&rVdupsbMFK>j8Rq8<~32rSd1c}q=q(gRU(6> z7ELNi1#DTA4M$b%z?kaOEFrQa^BxGW;S&~0ep+}8{#Vm1mDN=d*G&WuPGLln1t-jD zV{#cxjJg7y1eMpl?X)d!cSPwkx)fsBmPdf!_gA)6_&T#{$lh(Do6OS;ts#fb3#c)Q zAFP*PG;6RbOOmQr1$9NPAlz~=nO77T0gZnB0+w7C;U_Pm%wWxDhRGZa9Eegc%1Fgf z$`w$O7%6fj)IqTqYu-mv43n|b_fX~Tdq8!Lzqp;Ozm!=&4m9w^MI2O>h~{$A5?SwJ zG`6Qw*4%~w0zgn~a0{wrFf<&cNXpI|cc#0yFlWpk!&2(}m;WbTA!cf*fKEq+^8lMgPYSbr%Ni&*N4LVm5twbg#F z+ksl(4U|~ALZf^vqYSI`Vq-l)ApM(Sz${5>_>sz3+waFyKwm2uefI+lT$o>1)pLFD z;J87kP|>`ku9lIU9e01M2xDv6Km!x725G9ZGgICilt=NM zp4M`ABZf@6IOiFaV#M)PMzShKu=-<)A*V{IM7o`7$EY_rl16Ot+SB(}DA361iv-PLm*i1WFC?A#+!>o*M}Uu-CK6-%@OiQbS7#pbtOX?ww)v(gn7eRnEoqQBRVj|=T z``15@yeGw8lSfN5@xsD#up+4sP!JfrxgS@SOX?+0CUT1UZ^UjXcN_HJRlsS!IzzfFg-4BSsxwSrq}WC1c84a&=OiWvC~W(g&^NiVn&^I=uV&yQgFvQUBG?m)#?gj8f5T@{Dk#o z9G1>FehNBFrdoO=f}y;MoW#81tVyfPMp3Is3ZTLp%WXqdzOD#uB4#dI&L_bL@Yg|c z*GLA(nnUn!hE5@_;ws9isc2~OlQ4Q{-qkNGY6AI@%u75Bx>3kB4A)I02E2i}Nf*%D zbvc3F(KgX&bBB@{{{Ro>Vz(=V42@upS?S=KB$h&4EX@j#EagaGSQTa`ZCHv+cvyE| zh5qEIQWe2*)R_a4AKd)qMt#CL+R2(}hAQDB(o{Pu{zMWKzm;&LdUbwv$s`egs{s3* z_A0`WEEXClfH;BpdTnbvJ~9u0Gl69#}HPS2a33-Qb6ueAMk_lq*m_TYHo%kp}8 zWt&0@V)7Rrlp2kN$m&48#`im8Gm8b!fV~T%8@|(dxoGZoZ%`HIi%t`f22^jkuGnxk~TXso`>zR>~PjFvA{cu7ZOHO+@Z#IVKk?w zt@g0E-1}|!Y%!`L3i7gL-YEpgB_!M8ZQ9r|s>oS>!xKu&8e3BkqUOTn*j$~5?8D8I zgL4(RnB`BA&n-1b(KIYk)DYgKHtGokd_lraA{RT%xyN-(h3BM`@WP2sl&Rq}qOzQI z{Iq-j0InNiDuTrog!jjIR)p~!{{Zwv=Y#&)bM^lKh2Xkk-V04!*s8)&P)nLlkW-^e zG>j57L8;x_WePfzb6^Kt3`h$;7+hd#rw&)){{Wlx(V$2(bz3f#`eO?KI$O^c7TVU^ z*khOGt(%8?bv~%gaw8zl@=gn+qRsr#n=LfUCz~gkAaY+t-&0(GR>tPvW+b5?U075Q zBa#o)T!`leNm2BVqGnSg8$4}Us5*ml)?;shAEaPvtTi}|hOWx_Qo!6>32?7iD;|PTBxly9;Mfp8 z!-Tp7h2#fQ_x-p_lDFs}`do<+8jFysw+rfRmgMXO?bmOJ>xD451g~7zFP|uyN_j?^ zB65zm2E-c?ak2S=anh7Umz^Z$oa)&2`|;2dW(}9T)zZj4Oh^N7fcRs9cR4FkRwP}1 z+&M6FUsTLu+!KGEISJXJea%-TK@7&{-}m7{=_Km3W!3IJSVeWPzXWHk#r?3vrDR~< z*4o(WMi<}npJo!^Q}dO7gV&1rk(SeD=_MsB6)Gf!CXs`(6SI)0zy=$w&B(E~BV&v1 z74v#F(oN$;?pFQ`ZXup+QB9VpUD;Y`j;&wmV-^D2TYKMoTLe54qT_lzUQeRXqx=^< zQ1U5y?7Sc%=Uk-P{YT{Y+V%$n!-!KiqnZelZshIwzVKzk9I@cm%<_0;j=GKDN0p^# z^VUWP#nq0GZbL4Bw&`LqJvKv3odl(fq6isA;31d7yaS$PnvdeD_DK|JWu%yny?&^@ z`y1d#0OUOiH7FU2@J`lK7Zl~wtxYyvUj)&rD$O2V;lM@Gq>#7N2F1Ry?oJz$6@^Om zU#onKE`?=J7v_P*R$pIL=11kQpDk%Y09X=TE=qxAH}sB}U0CL$Wm=8VzNxa}o(19_ zB13$pzgtOrtqhT;PJs~ukAMIGF)ILU>V(BpsfdC_!fp>J^Ybu(<+Z+R3#xq@T|^Gx zX}!KZG1BNj3={Y#cO>CnA<5&8HOjLkWI~7fjz$D9AnaGAjw69H_bW_4TSR5cJEitn zTgMqxElmY3Z5#&V#*|Vbn~PlN3QxB89}I9b58hMtwGOTINqWqqiK?!xmRGl=jq>&^ zE?LHJPE1`oMKLi)o;OV#IY{49?`maI3MtT=Nuz(yNQ9gE zo3g0t$Kj6RAJhU)%R5Sms8p7pm^A!~q*@%k7E02=^*9}`>XO73Q*)sSu3ZCa2ml zxly^YwdivfmPiEA$54!_il?e*b!IDKK_y0#Trjaa6Nx4da(qc!ndV|*WTyePQp>mj z`znhq`W?XS>}`fp17FN8Nj=|sN~=}o60p77QlV``Uu$o08MfNiKUVetV249OYX)Sk z;;~QVX@~^4A>az^$fyOJn`yBo)&!77;>P%c4%w9Yhh^o%xpuXdLb~m_=%9-N0+ZAd zZ)*Y69`=s8k^*}gKw4S+eyHa@um`9CZ7jCF-<@DepMP`SN+6MxD5^tGdhOOh6=KH4 zfC-}GV7J>yAb?wS7xx0^Yz)v^VRg*~a~6&&HWI@yPzYC6`mR{mi;jwJcil&8VCGaL z-(b2~F~Mj#lAd-_sT!&E2+Er*Y#Y$ycRP~1`j@G-u(KA3pbzhZr3e@pk?J+WfsHK@SFt)pmB}$D! zmsz*gAc2V3?6L^5QqotORW*CBoW; z=5jrH>tcn$C8TxI#qLSg`<5p6ZR|+-;m&u#F^mm}&_|MW__LgO9|>gZm1RL>mqd4T zcJkG<4Si0g^=ct@7bl=NtfffIyPAGC88&XxlDq@rKEEzeO;hEyF-5!8!r z8v2IU7#|md&)RHgqSJCvu%veYU{(9BKC+ zdqGKBhHL1fPFcm(JTFkQ(;G7EM`j(>y}`xRT4Jz+=9@*Oo+;eSc&97oFiNVi9VEyt zC`T$>YzCcL?_uxq#amkJFv{6<=uX_WK{k_vbw(|xl7dxX0^0mTuI?mG=_}5JuOl^ zV72Abq>Bs<*YB{tC1+gI+HJWZ-o}`Y3K&*Axpam%1zAquix7Q|4{1#5L0Y1< z&iCIPP3nU6r>|mn!(}nlLQ}_De7ykrZ>rYsYhL|v-B1o}S$v;KxHxKR_9$(B*m`RG zz3eTHB);a0$yH)O)wr>_9k(67gNH)wFA=|M{>(LVMfrPl$5IR0MbWYQ{upxVl|C6M z#3s=5xu&xA86cPZ;rzmo|bV035g2p374yM*qs!+%js{Vv9T)NmImW}hN5kM za=m@J-#JolM#Lx4{gI`-1W)dvdB&0#&1+bVH>i^0H?vCK9UeL=0&!df_ zVcPrsm?Ygs-Bp%+7%5%@%5{I8%Wv+lh;RbV`$cYR=-;qD2v_)n)`*#;xTA0R_*dOASg` zl5R_1ZN{5d(wA%wS@kls7>hP60lAYm**zX5c)gP^SWq)Y@jL1k^o8i>VnF=R7y}`= zUr@!n0gS_*oYfQGoPio{K$%J358O!wW>EnS&pALP2U5sAY^rYGS|ARUD|=eoV+D-` zvUt7+aM^C!TJRVwLw#3MJti8tROx2(iCu={Pg{UKR_)il@SOKlf_MS@h-SDl+MX%2 z;(j%YheE4dv$l|`2_&CuU|(Y|l`mhi*x^1oO!1ijWpp-R%2b2$AY#82gEZBS9;k3m z#XUx>RyLcFE_hzGZK+So7Sx56dyJH;;oc>Oho~fXaHy(SNX>Lq3I^@C>TWj&u)?K? zEhi`>X&!nEL{ND%tZcqiv6bpQC6JvM7J)ogdF+O{qf+Y>(s-fb8LJ^#8lp8+a}{koXh4zU){(EQYAUyO zvDByQ0ya3Z$t}L4;DaXds6QPL$X8u0afEI^;5 z)Zba#R<#D(`&f@|n7MJx#?LY8tEoO7>E-JxbI~(P7$)~4<@|okL^V>x>bgn-eYi>M z>e~8ai@L0}jj)nQUNqqtkh2zkxn(>36Q zf27yt>rVuV(8(mN31iuqTI(m(wvuc{#@I2Bc43rQj?^LC%50b<*qA(KVQ=MJh!xX) z7Jeb5kW?bEO$hQB*n{bIYXVyONZ#a~?r_GjjC6ot8HtZYRBD>Bk^yi$VmI4VLoz(3 z9vi2Mjs?>kND&l&MMZ%9=ihuXr9)83)T;_C6o7B;q@OZ5YeUVvwesx1I6}dZ(CLyn z7wWo^+uxUN{e*15xQ_%5>%Aj zEx_nWx9jPS0GlwI?kF6$NZ>9T<>~x*LJw@zrz{9fXtFhE*oBW$Gg)`^` zWk@Q+rkyQv4(G1J(|dd|%3@9Rh02wSfSUy)s}#IW4|Q+ViBpJ>v~r|nh7+@XO>W1p zPvhGi35{JT+eqBwgsB%dFh)(#3!dcI1KR%hXyBrAczK~=o4 zUgvRfwT8ePRk@J)R!t)%_d|ZaFBzJO2QjP_LMM3%EMsN6A4Tt|Ur06~ucqS-%bC-{ zRLwn>UG+}%W_Z6x^L}{ns0rIpbuVIZh1XJr9(S;ibjG71qe4?C-X?^ z2;6nWr7B{W?AY;DHR@(JMB~H%0O1SF_$Ws~#NqQAFaTPCZ>2`Q>`jEgd`NqKb70Ki z>#A)$L8r`cPd|*W6x=Vzyecy6qO?`bSkbqa8j+z?>IPjvXdsJe-H*U;itIqlL~L%a zS%_{zc!-1t=OPAg?NHhz*uBDlP@j z)+ck{+SsuW#t#&38mp?fhiwt$qVM50ryt5%B1P{&oqiHNDM#=}e;e>B$D}`jv-t0b zQE$WN6=ggjnbB3|>hDcYF=-Yzjbyh`VEZ=q?mO??8qPcdp`%wMgSj3_@bQ)67bWop zR~=Sc1JkS&&l^1N8EH+%n<_Kdj-75twXKS_P$A{f??(IryTtU1YEum0Y@l}vG<0tv zeLkfY2EzN_VsT*#j)iQm1fqq^KNnmz##|pC4Z%lI1k|y{w9-8Av|!u`Jj*dVC?F6H ztMmlmJWV52!PBAiT3k0tvXfljtRuw>;W|y2G}m1VHmzNXmfGJA{{So* zStgLmLz3n@u_XfnEDg0CJw1Uc{fpRdhZUhFA?*gwd!>X4}f{R`kd104$iQ*}i_%CN^Ch}~AuZi}Tz|cwWzXZ?z5bT>H z;qD`bmnEl)o{bMB&oQT}G32xo&^tf^B6pQK`O}md&K0ln z&^&2OTm=Mf6cWo~EH~VAAYSI=V?T|m=T2)zoMORg@HF7*5SfJpsJ2vjAjbwS2^dnWR;#I97aHkfK9lTj#w$e?P}2{%5ix3Cr$8;nMJ zF~>t3Q(HxX{5@1l;=U@WOYrRZtaCM?e7o02wzpzo9@;5EPXw5+h-v?C>ov ztj3jN1)J$G*be@+#f2sp8+WLKglern#`&S6%BtN)=}R+P{VZhu%nOYY$9EO4!yO}l zRdwbcI&)4SmPCn+G|d{u!%f|jsU^Dlj^quxb+#*T_!iR_lX1GQFkgNpN7`u$q0_Lx zLG$bObj8yGwJrV9yT6Pg2q9q1wmPlY-);JvVo>WCvf~L|51>O`7yutj3)q5B-~4v% zhzX5T+XlV4qC_Yh z(llH(ZAWoq({7u5J77x63gQBtpM%(c(S4Nv0H>eq{DhcPl~}vNH2ybEt^v&f>1co3 zR}I7q4)Cu*?7Qrrg00z%NX>Euz3=yYaPTEz+*iVZCBq)~A0LJ~E}1ER%l=ze^A|Ox z$|zJlRa}BJxH>>RS&g<;0J9rx0mE8zB52VsbOe9-cj1GAyg%hj_`(WWne?crtgKMs z4)JK^;US#xErrAw<8NS=N24}$CR3ce_(rlN&u;4xPO_Mtu|Mekhf04de6^B6YDqt?s; zHUw-2^-aRpHqEL9wOcSXMbC@;EAY1n@TFX5ETocZT1TC#JeBhrSd&p9y6M`%PQ-N= zIJl`xEk0v)VM)6b6Q|}+3`8UemPQ%bwCaHx%EPBnE-kZl9fm2LgsShPb>sVrwyq(Q z-N-4|;UB~1L(gdC&8Ev%JieAsC<3-=<+h@vgY^^;TGk|xztY8y9}M~Jx$F)p#Gd)wWCEy>a%pS;97x0nbI?Q*Un8!)+2HT`nK+R^w{B*TbZPCN|DUr z-ku*6RC0bYr<|>kq9a%UTlV;Jh{4^^=?v}y`URJBd+mtpRBKvX>qq9xwO;l#oTR9&%PuV7 zo=xVIux7b@RiZa$d&aXnYD2r1Du4rGvE6_mo8qpGS5$UyqITiB6n? zjY+~hS0-nWWl&}Gbu`{t^3IVes|8k;AVMoAXyX79LzW<7$&L~@9aezTF(LuJlBHHr z_)F**6rP2iK*+a^tQ$~cdo{?tfBtKvB9RqJ8`Q)uO2Rh)*cLJ{00J$l*o@a>Mz`Ujlv8ts>~`RhnIAs5tBPwWsOq4q zqI#F8^TMQ3AW+tWORDNsBouicN~qMp`Ynh(qb{OGqT48+gR4|_4xBakE$$u8GfpX{ zq5Nl-=5b3v@xTX{1IEcIV3i9HkP@UR4!T{LHwS_tF0GBhdGIxnvSG!nx) z#+;MuQNlby%qe`l!k(5|2;>t&%nF$_xd9bzYH$sSBudi9tQ`f01*cirNE30a#Q168 zm~dd8)9iH-r>b{ocxJ0Lc-E$lnJFpJ;ifEg&ZFvT1bS6U0dcES5x8F5$k$wpjSi}O znM?z25yM60!o0VZjMB|n4L6@mXAsDMhlL%zARBtM0(`(o8mvG&oKDlr^WcX#RtO_e zq3=qJuY~Gp0S_o(6U$GmO@X*BS({emi);eheX!C?jGZ_FeAC-UEw+WGybmZ~%E1{! z71Q-YF$CNTbt(Z7OVZuUs&aB$$=ML^=eRlsUX( z^5gMU3TA|~bpk;RL{}t9G>!?IaH9KZEV{LA^%Zv>i6p^IuHeR=AA$^-oWfb;abOFv zA0m3<;0Rb3I#(@#Vgc)iD5ZR}X*gm_VwNE@Z(*_P(!gRsB{bP9DDxb*@pIG3ODV3^ zsycxv5vU|xOnPp179OB3Z-|H_$|1EH8xejgR`_hsA;>G}swOBG%bp=4wviN%6pI|1 zh&IytP3(K^z8^v1l`p{RC0t=@0ZQUv=#951d92c9G{ngsW9de$s8%qVcVfO;JX6`& zokgq-hyr-PY%B}dI#XlUzUc4%fvLD_V%+iTod zf0|NoQRqb^}OYP3|_ZBdGQS3`Ezr zHLiDEeG{(@H%?@R{LonYTX21`D5z8h zh$eJgo+02t!~};bVQ7qP0ZR+O#CDnJ2Qi3E~tx_jK>s}qEELep~`3~sHk_^`rGld%#sL3_l1 zhN|uib(UIojb(*oc*91*Idu7srIaBeH3MIuTK2naScbbP$}QrXQQc+CcrFhhI2yZ# z;jDRKXgt|t3Ro3o^pmYk!3$&cm#;yHF-F(&SiYESy@E6Z^13&k9r9$N;o3PwlDUyw z>3JEIN0`>qr|O$^w#4Gw0y475sxqN>D{wj3AT}?(*$59kzrHG9tBG$4v?E4bk{qa@M?J#6U z+~@FB9VeBL2DgHa%#`5a&XB>eZ~R$-7U5eO({V@!r!w1)Ie4V z{N^5gBJlkMIuqr}bwB#S>0g%jw@rpBn9tb>D}B+U$iIp}RInGe#`rz9 zRxDb~0PEKdg!TAH8QKIxTb{TJ1TG|y`o?7z;qn0_{CUs7!B(zhc%8orPWA$6w z;^O0R)NU{lY^oY{y0=J0c9Za?(YOWjDX(q7tWrKUyhHq0m#tQQ)jKVt_*5u{--xES z`mI0ZSnpaFeX2HDC*f71LB2&d!}_s5#o@Pe3hu!HS|Qh&v5;RnEnkDI>;w9Zk&mTQ|zj<-(0ftA8-47Yqfo z%ksE>y?WE(>Y4{3PpH<#jN4M9Lq3pElm-pCAchv&-@ID)#rQduYWVX*N2DmetC!yP zw@+(#>3@zn3ED3(+CUgdu)ayLz7ptIU*WbAP`?A*`**$&U4^wY{&w!yKIf_Z_;FFf z*D`(BYIarVWfrmfG09EJvrx6L@RTp8R5NK~rF)wbZ?JEM71g&{0D%)rqqB`iK_stj zFK{e-?SGyYWTG$0XgDd?V~0ZMy73F_ambYEUgPJErY*x7Mu^J#ov*2EMw=TA&c@?? zx^%(`I3m&E7svfK22oS+_Y{ZvEjozlYfx)3py-n*-IaPPE!jrJNEZ>X8g?2h+8E&L zJA!aI_4r5zOI%~%_NS|*f;CwunkTffI~|Y`heD|8KqbBda*4H+HL#dcmDQBpnF%V){SUZL< zWM%|<04x}bk_I?VW)O9SVsUK_5yeOho5v>t@eor6XCZc7Ml(-1WgppDNZY-M_+K5) z0-M?zcU>m&jluE0lU+AXrkZPghS+{^xz~5BPZ<0h=H|Xpzx>yq``mM^3x=n9)T{BM z!1;IvY}CdHwx)uh3-$j1JHx}2XA&E&l4@TcTmcP2z%xg1%2>>_^Lc82qDfHKJ*=dA zk%pHA!Ha3#DdO>+!Bq$(k1}^w+!d#s+%ai=0-s@d8Vb$BZ@_mD4{D1fvy%s zd$LBLA6~W=Bh#pmF~MJ{?K?nhk9~1bmehnQ_`8UxxhS7S%ZkfiJbKxMn8mNVoxNjw zAO&=gZf}h9OS(Xk=?$BHcq*E8@{WIciB(k+W)d{>F?k%8W;>QX_`hQV1Pek1?=CXC znRgBfq*i@w(w#9y7`wl2{ReJ@liLk*;aFktymraJp4;3#0Ci^2r4Cp-Z43`D?nbP%Ph^7y#XR+VMtf z`6K|HS4TFdIf{i05Rjf_&5hKXkAn^FHW%rJ2Nn+W;4>cu=~l5p7m*d)Q;-tZxC9fm z{Q!=(xfjBBXy!Idn1F7+sFh=8kwN^Pwb0v;Bmsy6Q3?gD2p8*p#vpLpj4o!>t|WP@ z)IXaE3ws+l)v#brkQCdhFG01zxH}n;0UoJI23K>3GV03LdWTs&$gH3hzLht#+?!bY zf!`5UVB3AvwA+*}B~<3Bp~JEwdfBc6GLD39K_rW9eeNxZ0fB9h?|gGz%G|+nig>gF zBq|hK2Dk#?g*!3du^V*0Aq+3M(_~J3tJ+v)t*837c$B@G$4Mi5k_V)Vn|<3@5xWLy9lEe9;N_~SJwc5G}s$kz9*$Fli&v~ zDZNw+Z>mgGRxLH7R3NxfXGYs?k6+E+_rI_kVS`_}b%sQ6UA&@*%PK^yJkceDg})`F zY#E3IfBg;zzll8N5UYP`G9FmBo5hjv&g`WT_mOV*zBi$w2@#=tDHj9 zI_RYxka_49XSB^t50)5SM7zd7X;GA$DZRA%Ngyd9g^O=sIc<0@HKoBaHM}EHmP*jn z)5}cOC49?T-9aGQ*Bg$%3*aL8QM!ef&o0Pmslha7~ zeQh&C0;5JLnUQ>u5S4TUXursUY(_08bcHsIk^yMC6BC4}<1sRp_1t|=RjwL(I{J#B zq^vPhH<;Q$I}$(}5N=4=j1lv_8e=hZ4k#yJY7wFq+`{BGYs?t_mibLZ1#GiQB33{( zUHvG>U~X=p^-EiDMlxrl*y@gROtHpoJ;={xH1f0Q9kd)&Xo)NH`sTK`Ck~0I?I8CqPIb!s=Yu4gn=+%Cnrd(ivC5@c6}l3qdmhAX@xl0d z?XD%JLeAqb?xJ9%wu@We6RPF3l+50txWkf(UY=KSFp|nvww5Puy|LL%h4@s*)LRL3 zwil^~%OE)xBKI5h8x6brj@WQqA{UOLdv?N2Qv<^NV`#2{kDN35sgx|9(4(~}&!-IbM=F!r-_A%k`UaC>(a#WSqiTaP{k6M!_t-&5d* zcN#|HHmz3r;&}&K^kd(>x?dYCKe{`I!2?ydJ}Z+<#aLYL(*FPq5L7J}R$1_9{$~N@ z2KPQ(pY5-RIy~9mY^|+*8}MtoD?Ze+L?U8yE3?22MS3;Ylg7^?~7VBqHL-vFoy{9i>8;u9}zbbQ;A}y znkeFs74u1u>9JEBP5q74+%o&v_8E?osMoU~VJ?tC1^|vcZnSth2A65X<#36zeRzt9 zRMfK&7ga<0_ieO`bnCX~xwzWH6;*1(qlgpUpGklTZN<|Y#QZlg8Y?-B0m32$xvycG<$>|?d9fA3gbJJ`rO?K50x_cVx zLPhx}CYn`>%ErXsX(N-WF<_WoU##xXoF zpHerRB54VY12v9-z%h`EsSTh7-x?bA1V!Xy-K8p)u(16_qHqnC9}v6B}`}?E#%bke9EgvDk6wM2 z6aJ0<)hF@30KvL(l>6QMgO{gtZ}1NR@d(T=Jykh=9LJVV3EUU0%YkG(6#|!Mbx*<#Yz8iMK z0_a|iW&j@edP~WbZ*zO8?b{rbm+L;BpX0*OaNTofF~}`zcl*xxkR}%asz7m7OF>Tl zT%KR2QDb6l?P0$D{{VI&cyO2#0_a@rCTDI68sr?tr=rf+=}r}l$fiO_-%#G$-`Mo` z!*GYJ(|P2TdWFCfvYos^#T4cbDj8AboCQTz1(-I(fG&0$-=+%78tkX*GYK2$l=)3C zp@|{|i2!che%x{H%wL$$QNTU~$M^sVn z%xnkVaVQd^2-oIb3wT$7xpgjOSY2~?DU8ijWjb1a&4fmMB}qCI0j&LN;n=Rg8F_l5 zu=GRZIBhe*39}mmZrys@uea{Rpe);lQi|u`{{Y}V4dxD2UrCwLQ`TnUQe7tjm{S!{ ztq)5LSJunw3`XEv1iFwdw~8|ZTPuw6g%`YE_;-ttx!MX+&zDxImYlJ>APuGCCu@}? zSoJDJ_r+~9l$M>YJCU6sL7)na(AbV>h<*)5RN;#9Kj4%90JO!6Vml3ve-tJK(&u=5 z5`V_`hQ1@`UTsNTlEEC&M@k}nQzT?Kb|YsZ;>2{tUsglO*n#L3#wnt!8YbdY9e)7) zd9|ovz54wsAMd)1OO)_cUdDK>c{~Df+Ym(rTlY0E*nh`kka#W}8I3~J{{Sd29>%~m z1Y{lVDq%MGeQ}Q8f@iQEA%33%JbL3t;^@G=$u$syZ|-&U9c|lxd~^fBBZ4Dvyd>}w z$A~Psa`oyeVX?RR{5}r_!+|_j;`j{X*R}HGzfbc_J-XZ1wi-d;pX?`yUW?!dj~}jI z89j&6Vf{Y5Gy)R#B6m}l!9Ru`BIN#mRhj1$u+qTvjSOm&q=d6N9fFqF1?o>zf+@Iw zM;Js?!j?E55|uxM#k6p(828Kv`%~KhSNEIZtl#_z{vQ<+KLh#tI4a~A&WBd z%rY^u{UI&_7AC`5u?zi>w^A`>N*vs~gZDzs(XElws2Sy(dMt6IR^5I_fR;kt=wBzY|b0J=a$zfjuXO^Dx;gn4)1+T#i8Ld`7% zQ0m@aJg@`bLZ+g9hTl9njVD!H>>wH$MQ@kgE z5;PYib-B63wd%n51Z4^VoVO>rS1Xu&M%-tbR%T40UaEO#WgCI!Ict8KeV4#=spJ4~ z3Y!;Ou6c6R$+(a4G-f|7B=uYtEw$}`sz+N6zZ?+ef)#TsI+^U9R_$jSOEYUo#B2@G zm7F-YvjcnmxPjC|wN?$sR2!~lb;tR~5-N%7DrE_M0qP}tUe~qQ`wpJN5mUmpo!e>E z5PC`P5aVP*{{RP1FO!*7ImhD(yu%E1b9GO79!^MhPE`(ifs4X_JVjfc=!~33^QwMs07U0xf|Xtre(=1OYVMyQLEy7mcIW0 zw+zMmu3DTPtyOfBl-0HLCo_{wbcW#_n(TC_YpN~VPX7Q}+HMFNj6yLCVS}x$-W3~N zo}^95KNQW-!iiv1*roR%k`2wrvu*n^W12!6Hdsd5&)^g<>SEQ4{6-81K|=@i+T15F4hDG+V2fBm_)W z&bBw()Jf~T^>6UMe%O0RkBZ}61$j-IJxpyFCrgkI`cQ5G_ewQG3M$*xDcQjixxz0&Iz_b$8~hH(_H@AYL;#1bc&XF)Jnp=Em0tGOQEzYaJeYMA zR!?jrKjU@ua0X^?*T@6=s{a575n?nQ>f{?cn@X;|EKx-4)1hTH*c~K$kV*Eq?lI6- zI;ex*wW+6C86Mh-y1H1As4H~d*1x$OMfzi=>6FYOgZMPKK1{pWjxv7G!jIuD6eleM=5)CPPZnER^vgxi$!bK=4xi+{q+?#viN~bdOxo~Of2pOkgbtf`1pS8` zX^^qi>UNKMvCo90UJB)X4O~byr4?0Kx92{oUgzgw_hNddD|{BK20I}@{{X?`$90uF zXxX)-x0p1L8SL5K|>?OXN}4@a>~qt zDjLYMS0MZw3utayLDpoXgu_!G4Hd8m9AuS^vM3Z}y ziCEACEaggt#&?wZaMgVVeiAz6F92<1DfPx}Yxdi*1M2`RLBs{QR+k5k6(AizM6ZB2 z2ZHHxSVKKMbxhF-46jg(=*pxR$}@TbgXs*nQaaxaH1mliTru+`dZ`1I^WAIP=nJZj zDrm>i&Qm2PHZy)8O1Oq`Q3|mM9Vu93 zR)IFOwGJ*B)U<<7Td1&LaMu!B2SoPNYh5CI3RGrXRaVr?N0#vf6mUjeSW5~hln+v? znC2xwJ1&5V0O(E|MZ%MEv`y2ikmGcSqUP@(WpSDn&a%@R$PiT|@cG(w+sq-3ts`+_ z1cJ-IL51&WHc_$7WsTQUGUj(MDQxul-dR~DTy7W75j@J?reSx>%4aEFOE8- z7`o^UbdXZ2pTs90X0TJNQcxk5OUOoLN>7wsZmH%dD8pCQZ9pRGy^bSuoDQW*7%e1u zr+K;k#dK(t@=uisQaRAbD`{pf?7FGTk1`ZSTQG8k(A;`K7RN!T-A|;hO9`^?9}+xS zO(=Ju$;<^%1WekQoV)hd=8FxEbc_E0M&vkEaoHzR19jcvj}*Kq!dZE&t;`_PV8R&PWS&p#Rx~7*zT0|F zTiYCS?af478*~T!Son;Wn;E@DR=19sH7x}*STt&E46+WY%m$zdEpxw2I+Ymh9|cQ~ z#|Jkfd{hi~^EW+kTFlD%WF&21K6pt5_VzZ!6&aZug~WAEzq)lrr^087sty;DEVDaiT3HN*oq~CB$m|$7 z1$8kjT$g4#Ls$Y7VXl3k=mODDq64!q*z>X?6<#iQUn{h4Jc{cvgat*FT&W(hBu?>& z>_cj18((XJF#xI^rMwl95wD``r;2VKo#cTk;c3xGI$2sJZh)68nvkA>eMZNnuZOn3 znt5R2li6R0x@^`d&23FB3tni@MO6&WR?FqgrP)F;xgs`KTYw8}aMJnW5+$pXSK?^o zo^3rd(bmTeGI=#JSJMZUTiJw&rADAVXUjTRl|f^2JJuH4maDA|c$os}zsvk)*Vn-e zVhp`%!6H*FWKl-42)^y<a^&4H}dle)F(5o3bfZML}HJQ$ups^T+(rwO)7;&FIc#Dgw zmRgL?szDG$jI(L7r$QA#P&e5}L4qGhJ6o)sO6IGis}}fUFImBPB(t%39*QP*JxMnt z?}BLvXdD)Wt+#+s_wbYP4Q~q5WK-qNQl)BYS~gV;BC@1Vlv0e!Dr6qN-@6mBO@*tT|_9HV382xw*tyve+uRICEDso%BTACcl$)q#x>e zdj9~Ox9-6Js)EBS)-D;Xeg2IxUZ>E);C0^E=sZ(P2-$1Rkk!++p-(7KZUZB99{YXg zrW#6iLb#17a!K0fp!nmGxd}x>11c%FA%Qjq;rMvVA&!z# zQb3`ibJ1Bw#GmMq=_Bp!iW<)}-bh=%jX$wBxiksL{N|!>B_R#$>fo=x&-7w{ZEQ(b z0+A`?;O+uW3#XJw%GEFFZLR?Cr1t4zM{T>{Ucap)gs5>0hMwgYxP%`*UX~I!PMuFo zXkDuE(XWa_$l6K4V5=5|)1$~Lvjs9m3%Yc=sUrUXKYTkflr)_d+whHf1NxS3pXY7+ zvDrv5!F;a@S6@h`n^(4m!j!TTvfBPd<}vQnv-H37ZPV`Qjsm%m@LfEYEt4&%fKMEe z$Yw${4I@qW>%INEb;NHrD6BJK!gY|W$>Kj!@IH5>f4;f@0NU_HyOt9dSGFuWGEiiL$zlz_(&M9-!cA^aWnP4=s3D}*OZ?{uVM!TrEwZOnr6U2y3c;yCp0T-tswjgU; z*z`SZ^1Z)7#fZk!Iu#3C9wc#v;Rh)*sa2#D#>%%hWgC5`w|@BVwy+qK;=ZBf4t+Tm zq;2eXWglCoQ|-UYewaraOm4dYeGXD*l><`KY5_}JIuH~Kl5BSSanp=v9pN^^#cd8u z=N49uAi+=4(L^&Nf~VCf2G)2Eu9l(US%e5WPz z-H*|9f^Bl>2YvTHS8uJ;ru*UE-%pB;K@&T#h9i=i}l;5TVZ>BF&EZj!8z1o%T}9|+0{w9Qx-S1gDJT_ z);s>ehn#IOx@(yhHeD*-OXqa#Rp1AE56U3l@Av(l_(K}UT2~uR@D{T2hdhhxQZ0Pl|Y;NSPL2Ey-N= zAWKt(1F2~cSoN{rsTkqu260SnJjp@9z8yHDjpp)l`Uxg+dxavx-AQZd0{eCAwkK{a zuCTd8w*=>j+lq7em%+~&aAsRkJ$(*^9$bNvM3F!QhSX4<8bP_gwhAxG2_Z)O8<0!vX&cI6q@O7377p|(ya|s~< z0!tNIlOY=tvD;mV3^dr4Aa1~nO8Q_Q1alvmxvi>)+{59#uZHPFl$6uJW>R5!q;_Ts zY(|~5Hl-k|gSGk_ViL&`kafp?iW~9Fj@9z&B%eq75rc+-W9wbVysnQfxMH`9vr1Z8 z8hI*bc~FR>Qp~^*PRqT38=in~h-Qnxi)#qBx5md5 zf{XEwlOO|NjyOnck#V9Ik_OEuFHtR-i7g}QZS8&SbH73Rv3whqQ_a_n6pps?^?87T zq;#N00>*Na|xPr)ZQZATc_Klq&^2G?A#BD;Wfd0XC&61-F|-6gMer zQ!||so|zleK#k=r?5A}X)o-daumBq z0FSG=BoYoB-`Op)giMKDEQYdb6-wx8JcZU&qd%+5YlgAYa2OUAxh?@6LA-THjqIj# zi$rk?Moi9~L6Js>0l^DpTe9u~7QV#nM%LS?!N7=uM}7aKLFG!dwZLX~9Tb8W-bM)n-OW-J^F@QdE^lLJ z{>QNvGWC9{9WS!dmPX7RnBGRGZItTW1$5`-AhdQ_>hn1N%H7nMAH!!M=C6fD;)-_th-ED9${f+h(zzi9LjS43j zQMBL#Bt(@HC#v(wbOPE!+;uhpU+uw7vc%TAk9zi{&1xwkk_3^~DQqM_#4G7HP{11- z9mV<_bXw{x+iZ)6;Pc`Hs-eyDtjb0?OnyyFkOH=O1EQ+xJF2lGUW*p61pDQBPZjLX z7jP8;X@NUTa-GW6U?>{2gZ0Wp57yYvC{mZkCUe7vSn0FL>*bL(Fp8PhDsZj!Sr4dd z9+Jg@EVr?+0~UWZhBP<;WXq0T8%Mzjig~E;Svtkw_rW|+^nzX#tdGi$I{Bm|c^YMn zbOj>efJSEqSPa+>2aTN2y3+9aI@6!)v7yafU~iK$P+1^sgb5R6{zd z?iS#}_w3G8hGcXqs!Db{!dgYN4(!cpWLO_IE=Rrs2bl6_WH`oWs& zW3aV_ff1Fk1S!9KPgelfvmoLKCeDzeW-0Wanso#b5-+;)ugW-oFl4BLg!v4|&6&L* zg;S*&6gHD{V`~QrExpN|_#i=R2Wr-^Blj0uikK!XsM}w`du4yg?AnHCp~{LFouz3b z5&5H015si(MZSQ(q6i%>Mi^;zf3fOd?Q&y50X!2Xqo;@h;BrouLO4G;6qNN@Xi0(? z%~~oVNI^C?(iK}`!G@q;e^6{f+F2M)i(^A~Ut>BcX}Rtsi2%WXei5Q$yiDi$XB=>y zYftC4Zd*X(R9YU}J-E&Mq(l$nPlbcqQfHeWe zFDO#w@`}q^{VZ?3{{ReWvn*@q+zu%7_*?Kr!`TK+25H1J@z>T?LX+s2A1a*~YgM(t zXR*7pY&rlp!*I+sdq+c{p6h!$bkgNr6Lr-Bm^PdKs&*$*kFq~gkc9L^$WWfjrWR4wdArwU4*;DdE;gb+q6 zv2#Qi@IqiH3bg=t8-z-~2nQf|Z-BU7n!hN^;G(F4swg!?wl5p2TtD=U#-PW0-+N-l zogvkpKp!%j(njEmNh8YWD#+RoOJH?Rs`A!lI}OR$0q=xNC$^qr0Zz{j+y_y^X(ZJ# z+2=+4nL0|S^(kX*iP(>`p(7a{k;MwuX}1`aWN8*RF7u+1bin2h9Bc=ebuf2xq~6vH zzyibJajMq1??CKKOy~%*p9v0--~?^Fp@YUM6E&;@PV>Lj*?RW)efZzu?!nxFtTLEf z8c}Be_C4@Q9lEP6_%#Fh1(Xm!tw?|5uZS=jJM9&<#Ax5J5S;Ne!8wDU4|5&;t>s0w z{{Y4DVUE;&k?>jE2k=Au=zake9zgQ&(Qvj|4M>_ega%f58Bh^Zb7s&lpa!}Sby%C7 zt%@8w^ETVKncITZ)G*>*U>lTN5_epD*O+`GT|+KinbjHonJnuNKwY4oCb*5QylZ_v zSU*^9Ko}~Eh>2<}m_mo2cpPHUw>a zQ&XXp?k;nnx!Fex{1t<$<^iqX-s^><#hI#QwXTjqaWfLVzOC(Hy|2>UvCKvuU4BS0 zhzup7&`RM`=3;M22j_~X&_}ZsvhTwxu-e_Q#x!xUsd*)gbiW2nZyRAQ7g<5p{7H3v|*= zl7p6-S?4z)ng z02U&3;(p~EJWp_i25hn{CKjD(o}!`I7+#(vV58360a+LoTV0KY*tMcd;COcp!Uq%s z8cb;u-eL*|{78f8Lf8InZ*lYe7$MpY;<4^Mv-XvZJTRzE3&~CPG-!WIVm^)?j~9Ga zpBL|VH{hS8>x)DRhQ2;do&>BW{{YX@jk^B;Jint8v)y;uDz_eN-?Tmj0?rz-o8xZy z$YTA~U5L0&)dAE!zBtW1Ec1~T@MOAkytMpg{{U)}0^tN=>2uBegO{UpNty@qxJF!K z)50ZwKbT1@!bvq;-Qu};N{$DHHjvL(3kT#h0=_r4hEizk4;5rmf;?XkiQysmOyYJw~JNEhqy_c(DAx@<3mfIY#vQ@_A| z{ButPno??~hv6(lg-bXg6!cMR5I4P#dvxiE4RF|L-(G4FODUa`Yd?qFg1)TQPfR6Z zrCBu)s>iEo2kRZWorg>c=0k*7B}$0~=6k^W68newdzNr}nJKB&8v8I8ARfSyY(I7i zdyAkc#jOzubnGMgqUW%~1=lRT-GCz;h0?gSv4B~{?Qc=F#l8Ukp5F{9n@4e6a0hfT z{AfVbG`DFGs}Mo}Zl`m$-3a$PeYmJT^c7^s%PpiJt;f6>T|BbpX-<++#1S2frll>= z=+&;>1?_9?d_2|-IZmfV188-FHw4ifUvUtR5v2p&shWPRHY8h6Br0TUP{5 zkW|m`XW>JL_(MB~h;xNGd^D)*6*(YFHnl5fQF|c0{bx}i3s_$k6pm0&5TI@t$mCZy z@SAaMJoPzVR*V{=2(m@pU0-`FFMv(&)2*}}X!|H+<0uJEYY*~tcwl@lo4OCNcKUjP$h#L6Y<@|=j zH&TDp^&j%Qa1d3vtQUlyH~0cS_v|m<`ksIJUK&UVb-`o!-QWcO0Di%T!kVCu_Pi{? zbFK^Fd~kC5PxtHvhWDqRfB7F=DG9xMSBUY&zy-97*Z%-L=l=ke}`@Fn!GIz8!d;b8JpO@?Y{fGQdKm4y8 zmCtEv_n*fv0Md{fGa}$0^ECYkxIa^&AFmD06TPLO4}Tn9EAl>b(Nbjj8F^xgV?4DI zA&p7WQB@VaM%N^<0CWHx4EE=6I|Uvi7|_DesUZy}oFUrc#`hlM+o#!yqAsXqOm0?q z=HmWZK$PBmb@Y*d5-PA=fc9BI5?FK>X8SQYsp6()A=LEIKJEBWGpQ7+WqEvWW4lQ^ z0BzR6hkn<$z9PVN3GJpe*tczLS--ODh)ZP3 zWo%KXAxHVDLYrRa_F?2g5tPg?Gh7Oeo&I=`F7<2a>jZ#z_;$k_QWT2XS5Q4Yd*gym ziFImX@`Ho8la*7&oF#K)bGqqNe@#Qg>KR#~bs?c(K@7sg^syLbBEPdXOy58NPQ$dg z%X9*BPZjgWoHI+zdYN-f$38VRk}>mTkZwQ&OCPEcSo#rG=(fFp+Z2q&yco-4dzeR6 z4{(!nuubFgvnGy3)tg5mzhHnk_6#);r2S!H+gjvY*oNZXb!2h8sCu{%MgIUhui5!Y zlrUEOZwHob>QEZr0t+d>!=T@L3*j7LIyCnkQ)ss^Ie`$pBIIscR)u5DqgTC^N~;om zy)qjDb{E>$C*uW9kb6y=DKeNY(8m?jr||PdULuU=!^lWvl0aF29<8o4pa5>b z_OUTl>4Mva6XvA$c_4GYcBw_nZXe6JV0Jy`6nc@jZt@d_Mz(6bRhN zndXeGTQz_KYj-O$aeYwyS5v5JU!uu%Hn9dXaBte(cNjAm?5%MdN#mlmq6q>ukGWSn z#78# zCx||xEx9A5y94pqgWIMw*>h{6um=2>v>B4Zw?!dIxGlLIfggSTe)h*R5JwBCU{7-R zrPEl&{UqFKW&jJ`-2vaRw#4s=T<}e|Og0d=;Lwk7-jc);*WSZ+w_)wQ_xa&8<^`n1 z)M4B3T&K)Pa=Nx=xgVN+hLN}5hB>)=l*P8v{8!~gK_Qfaci*uG_kP{5=`GVlz%u|h zUKG+2K?I=O-1YcebT-0?Ds00=`%zhD3#hnt0FIvD$81C#Qh}=ZTJ~Q{DBGd6zz1s) z`}$$d1*x`ni{6sA=)p^pp z568cLp9|s&u8R#OQ23UYcE2#DpWym^9C?)x3H8tVV3Q5Q>)@%_J3&}8n!K~8=I&26 zO<3<76$LdkcGfg7ZM6b7wYnTWt23+i^WdXKZ}>a7BQT}%V2Y-mYi&@ov$g%oJ<$BD zKFmgF@G2gmhfs!R5_m?Fms~?OU7AWwBuXTXSd^1GFg{ox(YXXk)S*%=ZVm;0AW&&F zHqdcY)%rBG!!6o;)ZXx&&8)IcCpirN!a8;12->H2fv_K6rqGRdx4**;pApnYrywc0%lwl*2x#@kD$8l}@?|+6JPVEaDjxh2fF04b~!!f7h zo?KGUM#@Z3)X~ZTxl+aLtGK$lu=pGl@tM8hY5F#xEF85_bHJw{GoA(F%*wYirjiI~ zVwRa1)Tok47z?2wYh4OhvfO%yb8JUMKwTJPP2}@TU*Rj_IP-okik330X(*zN>_M}$ zG?qTZ1{-1t?H>TDx?-e;w}sSTJVz<8FlW%i;Wn0ot zGht)LWqnTu*EHr3c7+;viy^7usXmWVEW}+%H&$Mk9}kVObBDwV!bgg%#NiGc-*lE{ zDn*G54#af?cfpxuM@*4$r7r>Tr5`e>&QG5ZC-U?`F$;GpJttAI6LeMIOM_;{YZHcc z9OkE_+$*M6%p$~|DZ%B3fle&uJGqHqr=nLXD<_bJlE%cwiK&|1_5dBVZHwGJQ&pkB zB1R5)co{`AkiG=+j!sUM^H){X*Da0Xm8qZy*vA`QLGY1?J=X=a6=2F?s%_QC*>oR~ ziRWcoDh5zn=I%frGEduw%A5dDCh@;xSADiT0;~V!9OkK@CRCiV54RZ~Ac{rWXz|`=3S7 zr_Eu5rky>&W*>OJU(t?=KcdMyceT{|%O8wt;|I#o86wwJ+1ouR%*A{ZwK-!jt-j7+eCJQal%-qLwwj zhaET4d-VJ9!%%Sv!h^Mi=}$#bFeFCg4cpR41EtQ}3+=yrbF2e!k)ZAccPVpf%(;BM zFQgufgpIw8u0G3Qh3z~Pl$!c}?4=DS60u1dNC}f+7z%W5eOiemoxZ3YN#6~IgjZiv zdGcN_pVd?nTAT&A7csuwhv?~rnVdrBTU6RllJ=<2BtW7#K|6-LL;!EKjrZGq?PInS zwC8K3m<1>92cj(RLOW$7QJ+^-kx=FB6~CF8)G}fL=EJ8`~Y0rW%!a zpC$WGp3flwz_&ewZHBqc3P#ka#cfHSPO2>kRq1k#VsRKPQo5~0`&FOJs&5dwZL7;H zJ{@j8U9f|iQ=IyMK_w`;61blu1_@ zlGco^8(lk@XNG+GzCSjuI=W^tG=Ux>O@Xz&CigoY*BjexDs`IkVyXi~W)MQr&N&g8 zaGPq9q5P*^Nv7q2BH%LiC);vOhUC~kF*>J)Zv)9Ab3|;V(vk^Ux~YpS@y8h=GiwF9 zokX6D3aA7CFOIdaWebXQw8+^-*)nRF&5RO;_A#~p09GgK8^kQhVNPc)_)1CjMFuR7q`%OU8p;Ol{Q)>LaN#v}p#Xg`-fQ zhdOuE+OE6l01b}#(sXtmn3m_62TlvM9B9~!sL9N}M&}$inpDzd^mR3Kkji|jfTG13 z#c#N|8nlyZ5)HfKJ&eav!c{CT0JKaSDZP=^L;R;FasRiOyT z*3=NGYn%J+@VLO>opzNYY4H&jNUYP8H9S0YwQP(x3@kTQz4YAm8coL57CrFi48{U$ zL>)a74V=YQm^Cb{j>?mixKc*i8uu3#H@+JofP#RlOuC~;9sX*>ej#cjkAbtDK)C!@ zDAK)6;{aRjwk=p-cp@uaLaaSbQCaoAjXst;A1Um8?Y8)@7=|6ixrLv89n%qh3*cn6 z!o*Y0z3hCa?Y7%}pQ9b?*hjn*h86q@`->^Bh4j1=srE6rBipy=$2#Wm3xf{vu}81) zfAR+~Jm$;-<7u30?Ma$Ct)QKpR^5%&{1bp*gB!T_(Hjl zmh%sc<#7GcVYarrE z&K8ipB#jwiW*tKhh&z3_f~^r#P`W@q341p;vq{rYsnaS2RbMSgZOzT?wTHd#Pizb@ zOdBcW5VVgr^Opb#kXwJ|*X8Yw`x6ezAmA*&8=RTrl1)bD*YqcCf$7%`_7)A4f$?RB zoEgcu^H5&*D;fjp>Mv{C;nLe-dkZ*dkb3=Ia%W7k130{dT$EGN-8S2x+;$f4gm5f& zqeT&DncaOJac5O*q31$Cu)Jt3dvAMn*l&&u1u>vfH02Ni^KNqIjslvSfPEuM?0v@F zem!x)fTj$^*IMkN0{2dF=a5DfY7hoerpTAlb|JR!YyHQrIB*os%c7(R8U^tWI&;+k zdFn!f05PGp`t={DsJY*BgnBxeGYQ>nFPeUDXK^;6Fk|VsD69^Xb-1?nJqgEsft(k5 z;=ZQm9(yZX&H*0LqV2xh0kFBZweaDAX9WY!eOI6PFJ~;?VVOzz#)otB7;(X~f^mJ< zyqxRLCn3#3hEi>&BvZY~=)E`Z`!N{6(;FxCnM4nQ$LD5$Ibv#VUiyxN+@JNor|rj1 z353ip3YkP&CRfcDH?yF8zdUFpY-2(`%ZK%%(C#AP1ue@~lndp>RMIz8;=`WZ}j3t^b88Q?0zjkqx)CnQiPEstPmU>wwaM?Lyuil~J#c}Jg~8=oz!Ra4~vPN8)G?AIOa zq??WXx4@MJ?6lZ1nwN??{Gst9l5w}4L@JVwj*(+klAt6ANEXo_n)hqjnOt=M+S+i| zIL=Z*5v*>ln8B1=OA+dX$BFJeq~;EDRM|vq*;G&YHfViqCgJ7PyDTnBh?tNXgfpHWcL*0)A-XFPZrYf{P}Pcb(5H4s96b= zF@G&ssTvBF>J;5aa(2gRV&ItAuHhx7DmNuS*}ZH=;eoM@ zPu4$XDDil*`DHzAad59NxNt80IHv2GeD18~4EibEqoaYC#GOeUH95BT9l8tjz3^5S z4b@+Bsv50arU9@vQN~K?^C|Bu7AkhW_P6od7G)Vl@<%l!_+eVQD55ecI+`fXv7kiP zX41?p8P)1Hwe{|IwmRZO6X>l)JN!vnsg(#4IThL2Bw|8?<*tQOzhX_z#^8%y*EsDm zUU?@ICM|D*+*&yE2~pZe*c&@2uu>RYBA-CN=IPk4)dY)Sj(Gw=)pI@IjWk{QIUuT( zq(aSLPpFF#*y|^K{rZA1E5UBMBEzh?Q`JG0x~`cPO+XdXe@p6CAnpypJN3EhEqqAE zIA4NRfiB?-n@>iF!5D`O zPy(-SFHZMjFRQUEO^%YS@Q^vP%Wug|)&l&bK@<<>BfLSRBoe9_ODNQrwx$B$f%SWC zF%Du(SvmB}g{-}4ql&(rm}>MXAYDLR1Z;mhsTwt3(S5;CM+L2_e9pTre{@* z6LUK(fR+ZtGTaeuAe#aWg|@yr#*z%c?p$*)UbZXsmD{SG zfp%fPz8czba-E+(%Vs(V+95oSm-Pp`Xj`eURYn?%E~Pg&Ez-?nhL<>-_XN@a5WZ{j zW{Nn22@j=*m{UxCle(*mhfi167Sc!uwjA1#V+n*>0KUA}y%(31AaI548^<9+REJ_h z>R>k-Mx`o1w^r4T4Pc(+r$eIT$q&)m(J}{S20|D=O^Xr+!HxCa=G%*XW7jm3e+4ms zHSb*!P4u`}$l4agms1mRPUwUJ#E=Uvpa2&JQ2D!QDcl8a=z9-Lb zMH9FUQyH6a6;^p6lBQWDa(8E9#Qe!5oCOf7oYmK&Qn8PHx5L7wtM3)D9m%=h2|!UR z@M82WV$It=q^IstBXTYiaQG(>%7 zeg0|E@h6r+m}Z`1)FTy+U17$kk@g``qOc%e(g{JetlMK$aR#dcTOuIyjeONZSm5KB zWgw1TNAB$7P}yY2x5>tXps{d&vB`re+3$_Tsw3l+V`GoeJqwaK2|wvP&E- z971@a5~(00vub}P+WxTy*K3ObVh>maM&`B4KsN?p=u~W`OC;D_cinVzE)JV1Ap_2| z?Ci@jE12YSZ9w!*O4i(cTM`iUGSbBi*^j(+16Wd=2y7p|0^?1rq`*jsz!uEm1l?Z7%}Cf4v%Rir?%(5G^Wwx2k4uA*6@x|Nl5lN~%r zLapx1)+h?Fuouu2mMjDgq~|oWMo|&0o@D^~j?4(xc#-h3;rN5dWTeZun81!`&RqnG zbg2gQG^fdmemdIxEjgG?jx*|bY z`mf4CR)yvEaY5oQf#Z$|%12p3DQ7Vet{DVj99VQ9`hYgNk=Wp@1zey5^hQz@wkd+J zv9qAhJ_vqt8=5nCIpTWG4B|R~`D4helP&bb@&Hm`I;yhRN~59mZ*AeNOyS-fE*u1o zNL)T3I2Ij2G2VzzFnl>=Jlf52-X#uFvXSAII*1CibrHtF)nBM#?CNy@ZE`jQRRa*n z@+g{Z>ff7m#r_hOw*?E^diV{#$2(y5S&r@l)GB_E`afv@03xg8>*pORFLPiAbM1=K zVOEC9m0X?WQ{?g`eP{_YT@n``QC&#Z`jv+F^qb8=Lw z$y?|y=3vs6V7iM7d7Eq(ZAWYMwYr~b2eUh4LlX;yh4Zf@-}9H8Fz{S*QBx80*4Vi9kwxCJa{&n`S#barPjI(YC+PK%b*`Oa1n>yZT%b7bg zwKYwso1GEf$8&2DVeAEf=q-n399IrG$5xATT&cLaFiRxWYDn#2zsJ|U*y(61gBV-% zeMCk&ysrh<40P_^)Vo)nI*s$vAyhX?b_HvkWM&=;<{OH5mwTxER|JPBoJ0tHn+gA z!wnEq#xS!*D0vCT8I^R^aQz}lZivX!63UNzYi0Fo8}!@X71*WcDZ1ac`LYlQRlK{ za%9h6RP+-?HH8zTR724SPl|&K4bFyLcNycG1OaWNP00Kci zp6zag{odH?h>qZcYg|m7%CX;ubkV_?FZzl;zc%*AIzRXyi@qyOiV*Js@K3Ve7Dy!y zJbJJk30v`+ln;mHmwZmob>C#F%yy0YL*P&-;nuw5d<~8=7_AT$?9}e%<6bkbS-05{ z4+ih2Jj)06QxEwJhXUcp^D39|-^e+77eiWs{O%E&81%r8U%2W^Fp^*Y&_9?-EW$}K zJpD;!q|4SBOfK1-FcJ&gTuJSGIjj${(h!)Zv^li7t?8VD2$T~@dR#**5 z0{L!yN+uLCYXjcfn_K1lZ-_Y*OmJNQe3d%4wjKur?HAZK#|r2x;ER)fho(C#hUi&w zLefpdx$Ph|7Luhz#`jPTmTLvvslJ}zY)@-PK#r;$19G{>JIRAOD%$vSPPS_v!(a_= z5=NhnC*{k2Euqb7DX2%~5+!)ah+j}sxdU1;tX$GMpo*^4`cMY|`lA+Jw%m|zH|RRPlUiy4at5X#cqr@Cp36z%4e!Yj z&I9HSSI2P{%j#m8fL4(a?#ZZMbvupjE=N#t6-H(5;;kuE&IZOV66meE?mk$ET})O- zFl&oi+i#AU(|kq_YlQXoNVx*Qo%&(ZbeEf5 zEEIgj`(fbDsRijAdPyUxwZ<9->5!9|wpeQ_;FKR+T8)Xe$8MJ2_G6X?eG{{6lrTiG zTn+c%xw-el<8{CxeO;Zl*nDx@1;{JfB(~)JnBwSOGa$G&xxL5E*28CHise*F(*i|> zkJ@`1`+P9&>!y%So+x1W)U0}l!s-Sye@P%RlB5le;BB$}_^Yc+EBm)aGQ!)Ty$)S1 zMIB<6L9fY-L`;8`c5rQHZAt(H`HH81NwB^LsM&C~`uIXJk?fHvq~?b#a~@u0G_zE~ zu~JpkRDB)I#Il4)?#u})V;Zd+C?2mdNhEAReZx+$g{w*dBI3ivL{BqG!OK2W^*IOHEA6RhO15)N_(hU#W?QsxnUJP1K&MPWU~wECIT%F6!MN$0S9vkHUG9%@ykM zs>q+Xiddvyu(pHuj5LsmZZD3hyXF_+I+D7cNcej#`aGv$Q&j6AOZ6)XjU@YPVh39s zLg{sdlfASzK_v?wD&q>yDyo*Qwpk~soy*BAjj7n4xlkmpu zJt2tSu_NvMcw6>~!p%+SP;>A7W4#oVwv4L|{H{l*M#A3J7sO>I!q2JoW)05P~uUI5MN zvZCTsO*1E&xAg(jV%Q4*f6*R9-AJ7{QDd!Tr$5Por0Au@AmEde!M)CgQ0xIT=6&D zM}LtRS!F*wFB++2ZQFMD!ZuAkrT0qGH>Xvv@6h9uvRO{cauXA)hH|VFl^RQGYmK(H zk<^2~PmU2ut<9?N{%lz8aK!MwC-iO)Zr2!4p4+Z`P_u4E#9R3I;Y^fcTDDs%kc$9G z>MT2Bh0vum%HN>MSaloxe^wNv87gsjaOCDqS5G!^oK6i~EWbd@ir7@hL9vnaun~qU zwY^=&lfN+!b{sYYm%A)*n@+oGlN``aV-dJ8T$wm=shn(g z?}mauAx7YITfal{S5pg-*{{Fx`!Jv&Um*7_NU02`ru_i^I-E!wZ`@IdQscr|xjpIV0Ue+vF1v?XoA62+$F1~F5F@1{dBi0xjM5_VuJuB8^ zRfbV*R1@5LUKY6fI$|p4uzQxH4%u*3Q>q9oEvWZh>__pj$7yIgg3Z@34-a+&>ijoHc(BAF}KGPx}k2`ZmcO{b3~QYJh9HawY>|0CBk1_!CPNW z+Yow=*B!A`dO?%TS%yvJeaXNx zk=d9=jAPYx1e^Zt@nRlGzNkLD4hx}|MKyIqQ6whr%DWB8BwE{8AC4317%2+Vy5Hj5 zhHe?D5HwGysAdibCim_7ur41GYKi8GsNqh)%JboTj-!aGMLNSH0;RN~lHQO_{r&EJ zzF3yNAk%JSJvxA!m7|?d&6L&61H{_ZtV3T=BHyR@?T1mWY7E>{>QxOem3$Myb(~x( z3RBGK8jW6Bk{7wY#@Ds}{0)o6nsLo?opz1FK{uKS3m(Gu8}#Y=FlKV#6ekb?@lF>H z@LaW266O-qODs?;>MFzlKmkcMI|4x?YxLUq5~d*2a2!!At(X&J-8h0g66~j%DAbn9Z&o?iPNXCY>_P9> z207&g?I3Ow+fU1C>dFyamr;EtnmbD^fqj7J#Co)g9ZR>l#X;#2P1#;zO{G~AnX=p2 zGu1TpCeoPf7?3s~dUqgvuZuBIxdZKSsaup1R8sBaM%UiVxBG2;bhzlE_N&aNM=PwK zm<%YThA}jRh=5%nYUmSkbzh)2B%h80shOb?%t&y`QH}5u;QoGAvuD}-Ix`Xoqlu32 zqk^vPy`*8V2kNOiTtU8>$~Xqvyq6Eb5?iF40retnt|HjCPXC(St3)2fp~Ob{HChps@-p zH%ppLEOd~3(L~66aBzKezm0Rcx|wq#0w9i!(m3GS7He3$fnXV}as~J9P0j$OB6*ct(lwh|z_*3_ z(}UqF#e}{b>m^CzdYrPXpq>2cQ#d!t2edQp+jf(V>x#?@SNTRve}=1Q8G zT1jL-oXl=^=m6*kKsLlJ0cNXJHH?(!aubSEEv=G@M+hnvN-=*d7ejp|jesl(0_StL zTnW-Zx|D4*$7n_1OIYbMcoy$Y)=9_N{{R{15an`54E|%wO!U#yq)!}iZYM5)J;1)X zC9yh6n~m{GIz63Ga}1HNI}IkpZU{XO!`S1d3XzV4Q9Lqu z(9Sr1jy#&7V3A@vVVY%NzN?)tB9Nfp)pogS*l&uwP9fM<_C$cM=s4w_REU2XTw4I% ziz!wlfTYyxJ74PF!`SX@PtOpyrGvhX+M;SzB78g6>UhfIDH)Vyxj+DctInTDHt0?5 ze%3wq#I5Nz9gdQmE6?vHd!8O3_{W2XBTuDWRo)eG!m-Qhv-S zUCLMNbZ*2O5D&v0l;FZw>%z|42EWVn;iRtRE6he|?|#1@*N!JdFRa3;tC+hLz56I# z?bgF@pT`{*Tyu%lXtaB3?QeWNF@2*MMZLd`u$ot4NZvy7>YIzq^>rxH8e*PQb_O>$ zCCl88O0QwioA$8_6^!;#n^Uk>I9fT)^xZ3Xqr@y3eD6(7NfhZDua?5e9;6y}MP0{j zR~oIWVsS}_=<@#ABo}Mkd(riAA+DIvMyrnxiW-`A2-IlN*+Ek0eK#PMAe~q5_Iut( z^gCQ;Z!-=K_Q4>iWT=CoDTLP#9_yx-~pK1NxEaP zv#4JQ;#y@;f{Zeon>?ELU;`c0*peduj(pWNE;^*j*$I&#?RBKw`mdYoQK>={5M9sJJ6ijL+WX*_^jLMI zg%he0X5g-boc6C$e7Xc(E?agiHyu4nK>%EWdJ<1uMe{(^l5HNUUbMiQ#_Njw^~@ea zG?aSU)(p(sTGreFdjV@3-@WlL=*Bcau%2le<=#tnqr@d-&aSz7DyrK^XFK0kpw_+b zVlRC?1|#{gqe4fD>93`<*ITcdIsX7zC~#5h91=P^z-O7_e7`XO zlt&F0Dz~3?)k<$hstMKgi5-Q9ro&(@hclx?X5}!}l1bn5Ww@Rtsw0e`poD5*S;4cg z1RGo~-NO;o6R_!qH=^JXn2>m=+gBg}Ob<1x-Xf~24TU6Xg^LAKV7j`2U=6x_?d`TC z`Lqqrib^pH&Q*r5O1~_h`0xWjMIm;+zJ%@En7baW>M#qepoT?s_Kj7lxvd`u+mP~ zRu>_!=^GpiMz2?Ro<`wY<6hiBAogV+USIgA$at?ViK{ahKAK`Oc}PQO_79{LSri)` z?R)QrI;c8=FCj$Sx&jD`qz5>7kA*YbwsnZY?JC)YM8Ph=gL~fVZ%G-(+Wt653GRRaR;cqx&Y?a#QyfGn-=`-aE$^; zN8(;`7|BMBDNu^*DO)C@#8@um-rBm`?7*i20kN@JLsCWjFA3V@zllSU)}>68%Gwe| zjxROT0KkE%f}Kh=5v1?E!5E?GUWygz7B_MY#OSR$9~8_rKwLBg4pX`%{2k(JC6E+MwQ8Suc z-^sXZKdh#%sHlzLaT)Tdm9+~iTg_QDSX}L8Ad3)AF&#hA)hktbY+mgo2SJT)t}wXf z(`b<}IH*tJGsJFPUp+9&Dg4-AOBZEob9-1KDD{OvKC>H=-&9+BE`jKm(QAc6??r%t zJH_pFlj;7JWpL2jkWBBc>LAPFPOd2lYFNUPy6QbXU;-NZmKG$N<6lprTHOirShm!G z7uHtpWyHVa$rK{7AI=d-l;g}Uh|KCpRcn-H)9P?;b|hS4%r_;aXnH;1HbCC$e0U({ z#GI~)@;cE_P4xMRM{*4W9npx6l@nL43e(k*L=Ee3r2*A_1l zwK0+XOsAl0$iy|h!MfO+u@>F8!@gw^1;^l={+AE>AV`Q7U8?^85{{0pl&Joe7B0X9 zCgR6$SG|IPwS~qUdKfrCakm8t=@#f)F}#%S@Rx}y-eu)daO{o6iiiD=ppSVG)cB5xXg?D{A($NU#nz_msSg*pfg9J)%Zh(*B{ z9>;Op7g#)FaV?N%wkBn`D!czg>(L3H136aB@1f}_Z#X3F!CHCpbG&j*x` z{fTvVEAS;q_r%><3R;*ZMWi85o^Z?XO?6gXo72r5ML(Gtj1a7|17KK{H%|5+SXhDq zz8j*a>T;7Q_VCT&FE;TZJgrXYOF>RlO;so)YHo;%H+QhUkU#@+MaAPTlMT8z4}s1l z)*h+;=l=lU;>@{QmP?!EHLIDyOq%K$=LZK zL&z_|-Cxbzfag_pqJgJYG%++<{Lnf)hf>r17*a{wnMKm z%ik-R;&Wz=*&4@dsnUMSj7V&%O)@r4uO@jloOA0mT(2pOUQngpM{P0182ZlcZ(+W} zsWt!;h>cx_r4xq18oJmGN+s|3D6~?3Pd!stZ>niXhW6>GNM7F?d~JyX9IVa(heA-h z=KlaEb8boIa%EY(CMlD2Zn4UTq>(*SIucW>T(=YmU1c z5pjm$`yvk$@mi;18gIlWJ0<7$EI3)(N*v23rFI%wp;U;G>`I^{d=4fI1A>p*+r@n6 zT>IsJ6G$bl%(6u;s)h9}w1%-BHey z9qCifY~%SIm_<(G|El$0ll=ov^>)A1!L&h_4Aagls zC3o{4Ssc5&8{D%U*qh$x77T7w6XK{!_=&Wsyf1R{WUKrC(3K z!1mh=Ed>!v5c)y^5LAHVmRm{8U!^rhq>`0X#7o}fhC2&&7V0m#->xgMReGW$dnt}R z^xHd=7EF9x$(DvWDb&s7%2UiwIf6HqPK&LqLjG;1#izdQ_ z?J|Ogh9_S+AIcy1u_U7soG zV?}f%Xw|4Sl!9&;okd@Bvn7ce5w) zNcAZe?g;F{^S0bm7&B|W>tx=FQd7%GNo6cqUt3t6^pZdp9SaNZzkUwWZyKqI%lIzt zN1V@=d6LSIh6>11p>8!gkbJf(L}UZ44#5c2w;+r)@N6f%Cd7_wmzhfyb6swr62KqI zxlM@-<%Ro|^xeB{(qIqo=$a1a$$UvE%Hm~&J1odi)yOJj)gT2(7Zx1@=pfwgEp~<% zIDrI%vVzVzj>5;iY12}rVU0t1jDdWp_h4>r&Iz)MoAd>V{@V(2YBfcZHy41s9-N_N zf-)smQiA3{0Q7>yCYDjqwaC4?+UJ3=XTs^3q%TOz|3fVMLH(B;yjuFP)QlnYu-!W$v6wbw`+ zk_Cuc;qKVjrfY4MnxwTLwZgLn)pWO{E}chBfi^lx-_&pKj=PTmDXpg#=C-p|8kmcT zHrM7j)Wx)ml{&i%5(y`%u@=X2IGH-YTx;zd30n@Lo(Y8Ps}-a%eo(#3XjW2y+yh`k zoBdI-?AF01PJwaHBTe;M8Ja+pqs@{>o<(9IV5JaPC>P&T5pt){N2J_?Y(q?C*1}WV z*cR1AX`(T?Q?Uet^S^vG)+)8hZ3~5oBVLxd-uFE|&xRZdq^fRKDJgr5c2ML>D}RH} zD{STXf)!$|CQ&MfupwILRGahwfJbA$OlI-#ijA-~e!phj*ITZPZ|oM=GdJK$P;=Uv zn=#I$rl&}q!XN@qEHq(NZbIrRjs=3Wq^{?4*BA7u5Z2GVyhsPm=oi!#D!9ypf81QI zUS<*SwstF|r!@kI#W6CdjigA;gEV^C5x^G!bpUm;oCk};yAU$Yr)~hu4w@AfZx+& zY)Z!UE-)-aX)t*C1S4r1H*s=I>7;q3W=WS+Wmzp<8^Wto1oSmhO3h?RCM2v~Y*Ydi zoru&2RRD*Fc&O)8o0bv>9HcZ4E2|2*Urmi|$5>kKMlax&5Q(d&P9Lb3T?{#SGAcU8 z%PD}=8A4r)xMBsbaUJe#K*f#=DQir2oao9fE^j2{uQ%)e02*-D5`+w68BHXyh9>F_MLMx81(}7q5G)S& zIIqQr3`H>F3<{BMO@(7tm+TIhobCJ2cFSZpHtTV|E!wiUl_Gg-%`PeAm-Ti{Jxv^Q zY+FGvNp6=83~onbxgD{_fJ~1>n(CBFxqbqC_MIE*$lM6(p|zPZifpNcvA z92A+=L~R5NT_T1hi3`|`Oc(1ljh6i`Y&XQ+X$_6HQPgp)K|5%ae4^!KR3uksN~PEX z6hrGq<8^ix-0g85_ZyMZ8Ekemx?0^h@kZnnM-p~YW|9L0Wyh8z1SuNHZ_ewn1ypp} z!`xzysmeJMq9LQQ3|yN5>nXD4e>MjJ#VsW>qgS&HB~%iY3=1*2vGp5{jj`LjxI6)_ z;PW|0swT8j8AhrPymD2uO)D2?H)kZ0Sns{}zBY{kq6W&5%q45MDt6pq>M|j3W%T7$ zCb72|5Bt;}+&N}8zx>y|{jwS|VF2)%#+d@*L>n^X{B8){`H*TSCy zosLCA{h|K*5%pm|z%7b@3tSCLxX7pv&N6@ZY%-l zpt^g4*KZ5_4Euf}py~c~Z`+QFAu`+o)_)5e4D49sR5w4^8-3cXhjk%z0l+WR@Tb6w zzv3z%?Hl{-bE^xW4gq?9l^cMh7C8+V{{ULU^)?q)7hrG;-k-wH2IRWUE>jF9MbiFR zkwYjq0F72Vi{Y-rwfcn{+77{fw}*Zia-`4#RPF1M!~Q!E92GtZjHUsQ7t455;ELyI zrpc*fmC0v!4$RDZl3NoBO=y5UQDAZl*?cYVG$U+^hi~*Ee{LVuh0g`}z8ZKbI%HXY zzVMIQannhuqT6~WYc9)a@>mj?>eg6RGnK2F;_^MyWe<0<*=uhAW^SDN2W73t9 z{l`*Sgp&W!KbT1@!bvfl`Hop>a`6c(AZWAOVhd8&H54IS}S zrRJOXg5SUQQ7EN+Z|k)E8MQ3sCDU%DHab<-y8|3 zO>;0*<(yBQu$4_nsfa?4b$9dQRb4i)^r+aI5(iREuqGP0V>+kF%;%YlSjd&kT}ENu z*5x*1dsCyLG~dBaju`OU!#O=9Nj6(1_ZT~@B$N5Fg|zdu zW-@i2X6YBtZcOCsl1W!fPZc#~D^ceV^K0fnPU6BoY~S%*LZDPKVa?gv|6?8g9IN$k!5^)Sh%>;o>Vfv0eK9=GYYOh)JA@lm;j z7n|)cikA9_Vey4^)J?$Y*%lrdVTXO@NSS>0&IWH+&ub6`t<;Nl!ORN2wL%hKi20YAaYRwUTUk?66h)di=9%PFXZpoMTmpOB zt{v5h&A${sTkAD>2}|!#e4B> zreVf7qI!~aGdm`jD~2jVYE!s7bm|Yb3=hqHiXGI;OhS_KI zW2#js1`EaXQ_I4%>B#~1i~Lvn$*m75q> zJ?<^IF{QpLEpu0t*`FA3HFae@9IHuJJg)<_n3Vu}bOzKJn!?)=s1hxTMpYFi4w8AR zmOZ*ykOYhTbWP_Qa*sdY*xE|k2`SP&K4sB?TY$p*?m!@$UrqP612};(K809&HzB01 zO&<`gPnQKc2i0M>)+CTRUvu!mO%j89!$Aj{Y4ffE&4aNNl>`fmvJf_7wY~%3H|=X; zW>ssqxLI=G?beh$1DnW&#U$oFg~||vU`W(o~0;Qi^sy zn;$ISeb(3aw${fEDUIKcqFLH4AsGC-!S@UChc4olijXF9)FPwlOjt~6Q8her0&Evh zDW=0nvG|wb1dPl!7w-jVumP`V4jRbrDY5V|;Y%swiYlzl?}-@?XMe#(MwB@320TI^z94viE8&hP%;<8B=wParIDJ>JQ(^-ipc|+? zz`&FpBK*`nAi&q#mzteE2K*w>@zp!=7ZFm4=w9*A(@Su&5Pfry2qi%U5MIRUJAg2A zSOC7IEHx=IqW=Jk&Q;~SwBs7QuPjd{kgO6UWa`o8pk@O5=?3;2n{9ko(>Ei5L}RH2 z!+_LGb5k3^?}ALbjq+FG49YgE^MugSQxT;VfGE?**BZ2)<5eQeH@{3)h~nrckC=JhnGChXzGV<5ujXt3!@K)gE}--Ii+jH*Xc6` zAnj&7#l5Y8sy3sn8~{%kX0*si$h-@1%rVIkiCJFOZ6RHBivxQblfJIaea6@sm{&Jf zO^Dxf-j9$4h1ab93i6U>D9LGrchyf$NGJ%{`8EKPxKO)od^)xPwRI-iT`a;uji97I z7Wil!D@bKrn<&juEL=-Z6fy|KgIS{yg5A`xuou1YUsnN?OpzQ3^VM)vEtt@Q!^sbR zYVx0jIYyD!)TpS-vk@!Ohei`qOBvBMJCtCu1Y>nNRNmJlToxBRyQ|G4G!RDOV)Cl7 zbVt6xL5sH0K8b`JQNY!{pDo02dCwBCV79m_s5RUc{NO!6?he@KIFNYQMg3JyY@+ce zZ9f&y&pFE$Yg0*=WeOvu^I$?%N)0AKbx?QiR_)Pj2lR>9Tp6hF>X$M#U2~a89Gi_u zzKX`V)?N6|FP@cKPn%C9N>4yt3W9!D#ocyi1P$d~;h&H+_aKb_0D~Wis#ulGa==GV zT%cRq`Ln;rd`8w5jWh^Km}XRQBk!$OWjI(Vw*K|G?1(4=(1cG4l{8Mk8{tm7@&m?Ii&geiV(;CS-s0Za3 z=sTUyz96TA3>pG=D$voJO_vV4@Lq9dabs7VQA?-l>w1RV^*hJ4`{0(*$)E}Ygc?fJ zU%^wvCg1MYE+1s){{VU7T&_`!3wWO;(8|9C9}#4=P}P1i%b&@S9H8^muu=&Z^8W6a zdfvt+Zf*95`jZxZC#2~ONRy$tbrvPBEkHfYN$ug#? zhhy}&;w-Z>xOASqG>e%{%LD;8nnl2+uLkDg(p&IYL(b_yLj+R-d_F>T>k)+@fHi85S5OHZEIJZ)tgnQ9T7kkW zIIavRZt0by{1jYAFyA%Hldn%W_5T2#@oYna=dv`NeG}!7{txaUpvzk=%xkDBslw=w zPnsJ^H`2tQ*-6xdT&s3Hsxdfcz0%m3ypwF$8LO+lfhqu!;5$+%Ez2#?@Yx@!bIR5JuT=KAZ@+D9dH&S z1=roc5;Rj%!-`rvZV5BSKZ7ST&+3|LO4=G=>`TVpZj0Dmmdb2Nxg((LdtyoyYEuwk z$2AM^t$wEa*>RWfROigQ=Gk~2+GaodrU@-_;J`PJqLjWEd8B1a(Bp(c?4gb03&w7B z$t>xzY_gh_htcDZ&nRb*83FpMs35m%gT4WELg@m?OkHlKIiS(UoWRUEiCAkZpHzgO zeXqIddXu^M;u>`FPZOzGn$^19S2haipr$IS1Wv_S!tTUbw3h2(v9PfBz9$a42!jhj zYIO^L2OZT%mKWpHh1_)MWBPE7mqR6dtl>rN)c*h$$000|zgnq|48V;_Z*Usm5O%~g=(K1d7ws=12_+?B%wIQQ z1k!3U5E0yy<*_}zf#} zQJT!AX>!S(NsZ}b*GlBy)ML38->hu77~w$BD?^p~j}$Mt!Qi8fc~V|wNplJ$-{~}r z&$sqbe>kVy*pH2|8KxVk9!N^KQxelEAALT%Czj%Al0|L(ZE$9) z*EYw!NbV4+q34Au%IbngT&r{;0<#oTXGXXskM+dduonYut6xFX4tD4^Oc3af6x3KC49CXz~>|4TEL&S6yj2H2$8-Ns=Nz`w9cK8eY``ZJM zb}DAl5$?juobnoVR$Rgy@}rb!>$|}Q#!FRm&I$(#E`_3k(fv3#B?$kWDZDRx4nSUI+i^;SQ0Sc z&S|EIA4My_6;xCh)YsFq)Ijq@sE*AdqZJ`~di5y)9XA_`j3-kgbr5TH%eqo#9IDM} zjT-$XAyHijnh10{ys;Hh&ZVrUMeOzqbE+Ur{_1ea^=IIaHCaYy9O+DtEkyG| z^FbO@NM2ZwHQ52NBhuCfb8GY}OEQwB6j`oN0!?PQUSkTw^!f=Tj;BG0c|ft&F1nR< zG8P0`PL?9Z+u^?Gl=FvWL8ghb&v+!jlTSvsSjXj}Vfje6u^@j$5n@j2I_z(Wg+-mk zV6t3jn~CCz4QZ=c8gw#`Nj>kX$EL!=sP@F*s-huji|jjLO5&G1jHE4-W|8Z$)2uXX zbq~n73OjB^h}hrX8NQVe_8wn))bwZ#X`9IKQ5GpS9^a8A*fn&( ztV2z;UBEXxjh4V)u)wZyf_N=GDh;MU)cNQaZ;CuQW;`<-H6p$l7HJhDXwqFWF}}#= z$o9733mc1C{bi#_yaPIkQd6iNV=mkwBKVJ$HQX;;D5`3eWNX^$Y-}%f_1y^_16jIU z3xS9AE>iY*EqX3hRnIDFt$|hU6__@X2H#xWZGEmyuYK^-Nb0!fw@j;FKj3+CR`O=d z=>uuLm1c2az4Zg6dvzZyb=1p1o%UR0J4X1eSh!WzjMq(>(?v`q^0ggz)z}3WVh>_E z_QypX<#fcxTcq_&wA7a~W^~~#q@ONgI&EMD?_;qb40O`wosvF^>u|RWHyO(C<<+rN zx+6GLid}7@#ehTVBm=PT+UFb;qjlK*Rq@1}J5$4P8k107o-!4s5lR4kwIS7GsYwBb z);n%-!$*1hml`J7QdcUi8Kh;to8`v4yE3o{SQ3M+$!i@p1YfBbiaDn_gK)DltP}%U z)(OvXrLG7dTTm>$4y)eQ?|rbtZPbmT9rRZ-HG?k9-gsG&-A$6B8>lDqT&oMTe)|LL zdl>;OKw@xV!oumFo{{ppk19c=sp;5*xMA=j#2gc<&X$%s3bc2H^L`1)_rLW7JN<_f zQ_*RfZQ!9t;X=$#TS1Y?fD$LG7Ci_t$8qoXW3STaOj#gV2T$eWKy(OVZvOyUOI(hY z4lQn&fHAxk9ZX$7b@W~%jz!!0nl10SGGFi4g^+Mj3|&FLqR9C0qTA@`*64LffA&~i zSY0^UoP8Fb^5NnntPxz^+KZcQZteGDomkay;;K5+ZV-^lbXy%Lb9AiB6;yP-0(iQr ztZt`IEeDyGLf3FY3jyud_2V;%XKa<|y%_J@C-28YjYk4^DjLTt zPjcVzMV4^)5b<=>x%>%GXO3i~Nk*tzVs6Unb|j{)7udIJ+Zvi+X1d4pVHuq_Ddq7s z_&aMe8u>FG4TcY*$bp`O$)S=#^@KY1D0U%Pfd=DoY*;ywqPDGr6)r4>pAGQ-Z&#SZ z!_d-et}~bt2U4d+Ddd43QR8) zjU;WR+ScLzvfNyJZZ0tLGK+*&R@A4wr5_w}6O4Ihk-@|{g&ZkED2)1MVOw8#NVC(}(@239BF2rc!7T(+#j0vY^F(FcK`y7(7hpAMDn0FoIiw8~ z?ZS}o;%7u?{7A3;5n1B&{{VHB1MZIpQ`5m0T-d6x)h_36w*!E(RZZ6^l#PwI_+co) zUZ?VQHXSy?T_tG7_$&GP3ML1F;9O2YfZ{4-jq&eLAIvLXufeJt*@ke=9ns zl4r5I#h_|n09IvnBKvx*Ment`V>bSZbu%=~aw_hYJ%o0}_^*0?b>$F-j8H2Lv=bD2 zSl+{>x4rLutUF=nqv{dwdX)<+FdfmI@JpFR#r!WNZ8CXL$y+E9=vA=`7(Z8IzV|mh zv31e3jTV-h-&J%#b3q%XSHlO0dj4VYY}pLbRmuWY7xSH65WwceKpK>E1AA{`HW-ST zs>-);%1N?i5u3?2emb+fyO*3*Pb}2pS*N2(iBN!sM`Q)AE^Y83RSbxlwMg*LV9&Z<5N1_;1W>ZyU_B^6#+KFEZQ z@O#h6JV8>|MN$c#jpMm}AiQ#h7CLq|DnaSi*2i$w9V9!9_$D+53n97B-1jNvUlHax z5746tO)C)LV;X}fku;Ohg0NjA8pX zA&51J7S(V=8xFex?biX+==!hvL)8l0T{amKyyfSvM^HgIdGy}EA|1%E+~3j#jlZNl zu?gtPvuFHQU5#tC@LO?n*C&=l4?}phM9e(6M#=S*4Lus(_X797Q7t} zP2l+tchw#;$|Kqi70ec7Z%WKre|o z*Og^8RZzVNX_9$VI8@RIB?;4O9-H38gV$>u?QV{7aqVhNkd4K)gDQReJb2LI&QqmW zmoKUQsS%LSh_wS6kP*J6!xByZ05-zl;H(7~P;oCaYaQ1{F|h$k9}e8};%u=B`*~ zsdSlAHc(_ShC_5D5TG{Z_S7{2(BQ0o7}sdZVhS1c#T#)KLkb>vU#y@9d=XBsOEwwBc`kR$zTwvBn#@*W(V_uy{~a@xK&H=FiDUi zS16X&1P@(8i@ZUTPs5cjNm2^Q8Mnau5POe~G}J2!?G9`~RJe*gQ;1xotSh>b2>bCh ziW(t#yzMg_TeOC1^+kerDu8aUQ_oU!=?w)vfgEHJ=^0mvcx!10<0osOzS za+ave!dZ;HHn7fbEG-KtLbMBr?vnEYRt zWqH#PMKh4gs4litEV1hB9e`775)Fa9v29tzKpT-g%GaZq*SzN0q>lMkARZU^9Yuyi zm)LE*AfIi?B%ikhwvY<&p5+^SLwIuGZXC+yn?B{CFvyJ1+`$ zbS$c>r_Ho2Z9?RFlUCORf&eDuvshT)3ZsJ$DEJk?GK-xSRZ%WkJdjpMVwM(oytLh7 zmvTTWt5V->k3+FwHf;5g8fv)5hc_@9ua~Q4sRBZQ7Ro%hg2$xY_b7DS?g8A82H0It zZ0iyau8J`}i|-|`)i<3R`3)FS+8LuN!*QeosDW+lN!98b8)3z{z#QzRfZx6shH*Hk zEYM88R+0*Wb%N(vj48VnQV0wHUZCtjExg@4I2ar^UT{v-#T3S40xKJ8yX?$_8|+9r zPWI7#jymx=dCHEZhc&StSpNV+O2~{wBxi}^1pZ8;D+1~-*L7Cj;1EbQzdh61zLDOZ zW%25h`7Kz<7#ZU_Jk3pQho!C+y_EMINC$DYI_?{$In!XfRWoWWQ|YGHD>OkI?3>6H z7u9tiS5@}7QU&f_2ynKe;=4<-B79ekH19KoYs(92DApEW0kZ5aru}+ZtlCYiTU2BL zF{1P7vg*lR9%ZQV-eQ4SQcWVoNU$9}BcL&W3FtA{E|H>Zo1`uZRt(Wzc4)%@Pe*el z?on(>(`)Efx|;wE`j=(AA2jzE7M*ljRGFPj0I4iXLSc|A2h|1anXCF1!t% zHOLaWda(sfQ1hwPQ&3em(5}SZ$6{?jB}p`fTal=O-N=JuIlFXH|0%EY=I8vVn1d zC|%<)jIS;RH#Z8v#vl;U^jaKTAllaND?=;e$TB>(DDv2+rOdw<{T(VLVDUo~GFd#N zO-v+_PL*pZVga}2mQ|+5cBRCz#MpL3lmn`gas!l-0Wl=lfH?$<$TGUhIN}uwsuVQk z-JMlY*q}Du8Sm;_0^l7*bb5hrYjT%C4s;pZ$AT!(bF#J0Y0GZS&YBd^_>rccB&W_G zhU*8=-kL{e)#fxpl2QVJ=^>bqSoAxNgw$1jXVWkDvl-sSjyqs*o@>-jV4PxXy&>~L`b$R}Zg zluWDq7Q9L>W6Uz<-oouN+;${+XLIx05M*#s`v^OvrEi0b$HVh2Zf+@Ryyo(uk}_nD zGi^nPV5}qndI$ts=dLO`MUMaZ9cUUDS%7QbcjkYD8#OdszZF>@W;>V-#V-t7i z(nowP7@#N)CBjE>O>YYR4(y+ac#krxsH~b?k{Qavs^HBmmR6BoTM?lclq?3KMYgdx z(^aJ|hFAbIeh6wbKn2dclb5@Sn9Jbzm(j=cQIb)T1@|sxx4xqK{x|7~g&^PEQn-iO z0vJ9rJQP96`d`Pn13P7bg497HYIqBqD=S*<9moV5vXj(0 zZxJW3z-SEZa$H9{yVMG2n6a&Z_po3Itk*V_JdD+w0U`rQFUOY1kzo!fC*3;b+IIozi#&z%^X!gAQiBzRe(1BxBB#N`tZ|G@l5Lv6xQ<#n_2HOogX@`p0LG#EJ)iW z{{W((1sCo>ziZ(dq=+WTnKK#Gq~8uFl`+4!OjY8?Wd(_B1np2sZino~a-z>Y5q}2X z^E;LI-hJ*r&Sb#YJL2i`&HRg(q4ZNS(6;{oh(>5*(@{(J2_=|GFaOd%m`Nj1)^5iSU)vhR!W43$xNY|#=(q+;g}tc-m%;r@nueqSq~#L?e4;^!qqTAkRsE!=gn|9 zx0%`Z7g9}2He(CvXxJn$0PfnX1AcM~kO^O{YY;ELY5)rXX%cL9O{n*ln`RJX{5;gf z8$l%Peee75 z`9_ua3pYYH->vb}Wy`N}cf)z7uLuAgK)E+KLDHBB-F><`5C$1>({9;zq5D%Z=iw2~6_42Udl%)lUWx0ozP%&1lq!9%L3e1-_*zJ1@eyid!P!godcU{b*iYWM{ zHB(BGq3X!Nme=&FUISuoeJ|T(^66z5sim#k>);s92J3 z%>zC=;OgwQvZ3lJL0E^CB9;J&4TvCsLAP;z#`tq84VMlUZg%_ARb5K)sf)=*EH(%O z9+%p}{{VI-1q)W2K+!j`Wi=A89$Sl>ZVo2S0;z;Vc`cIB<@rodr8GvNujWqC>n_E# zrtC>k>MQ6LxCDcS|xS;xZ&SFEHEFwS~1o2U1)YOb5q^75eZD2;I zs!pqVkU0g0ox2ZSpc|+Z2c#WL#GiKXVge5i_dd@DEN&%zOT^hFEk!jnvra0cKB<;1 zP#FOvsz|O222!9kv@13G;2c4%0l-Tl^JCt&UpHlB2X=_lg88iAx*BPorg|zvYh3+H0c>92stC<{fv+WDgC*2K;Nz~UMEC@aZ8z2s z?`d%kY1kX-tStdVEUzN4iNg@uw^D-EARkSSf2;62*{sAsfv6HK#aNs?J75f3iQ{!Q zJSA~xCa)x(e>I$%m^Iw9D*zl=ixJS<{WjRtbY*_AnMl*~3hND5v_~I`efhP-5b~>s z=V~NK7^#MtQEUW~-tDk9BJ9^a4Zawg7gSMX3r&U9uyCi^Hjj#~Uo$ugvw><=<<%uL z=Uh{eNPF%Gx;Np9sF25%QY%3++%ZEX zf;j2v)w2t2)OW?%c5yr`i^0Ds$>O3Pj|J7tDvNi5kblTWzA9;%bGy&MSagBP8TLoT z7jFaw--I%q7mgs6eq!|!Kb$N<><4d=_c&`_?yv%FlTEcBawiW3yu**dP0*kW9*S5< z7~)F<1JfNze%xUsviHJCW)e#};Uu})yheB>K%t99-~-T-4%mvLLxBfEE|f~IFOKZL z=tZClIMZ7*{#dT1XM&u_x>RlpZXZ$9KQtZAYYxCG5;V#LZ~?_jS&>E~=?n2NhT2D{ z1GhnqJ4(dY5WcBu+b)%4QKis^Q`W?82>0I;HpWnyp&MRM{t(JuVM7L6Sw$pSh=>U# zSro$BY^9Waa8FXUHs}e%ahRT=rl1AUwUx~*Ngy|U0!!w;IO+20qa1M5O9XNRsHl#{ zG-B4W^kSfpF4}IyfwhhUrP3~Y1M)yTP5%G|XHy6o?76QK$v9b`;RMP#X*6`zv{iD+ zI;@(bk}E2ZEC^x>p5z~n6Yd!P4?9Y{{Y>N7G=YW8zprYk37BTTE3est*D7(^BhMcT9s}A*pax}?|>-ugDV`m9tek2Eg*5xB6IJ;XOrbpG!x|6oN}SF zB##oXBd97(!5T3T{DAr~TAd-~Jm9@40e{4gDSZ&mb3=AJVXqC{sOgx@I1C3kl>(K49N!{M7H^{v(5lDPXOo zVI&n1B(b`XL01y5G5>$2Vi4$CcAB~0K{v+cW zwy&)zEGth7q;8;%F{!ZyPT-$b_a4}ws`s_$D3GhM&ISS4D4`DuaD0*3tDjl1B_v*e z+TO4Y&gA`rz9My6yEI2No@v@Vc3-u8AHpEFm0ndi;iu7I$;QcolliUmGGA-WQ5VlWAJ%iX-6RP%8DXm&;7iv)e+7FudJR!mI zmOoA^mm_(F`dnMnxINfj#B{_xb!mw7vP`7XF97$IsY$~;B_ir-vbMLQ&)oI72Ti@U z_Uv&htJND%I7qdHz(9z(pSg8t_)CJfX)E=D02<}oH*=&eI}gP^ zvsp~bFYB_^tKlvSV(yi0K-ou{ps^P^tQ72eSZp@m2v@Fk3Kj|0IPN?b}(@PrL{Zph*xdf2cIt8s^ zw#U`i+CI9WKJScv>Xp=hu>HloF9YyoDx_Ac5+3AA*SI!Yk~FC!^F_e0H{9YT)~$OR z5yR0HPLRH0G`6-{CxkdYBVk%4+WJJS2`1vh(kxeENwS{Tz9;Ldod>}%1`(m3Pm27e z$T&F(StnKstTio3I-9WoqL4e<=Jv4bh@Se>WBn8-GMi$4BllNRBgl9jCs~@I(8jDo z>vm0Qw^Q|ZAlT_Q1nhl2tT(O1H)*)oXq?snb|N(9w4mW`3Umfa!d<{E`D3M6kWH+l zv9{JaKqT+5!@An;Ced@Ua~cRU7V|6j`7glHpg&80H9^!o&qEM;Aq%S3u=NYy;l3gj zu>j~G=^FUTB9LSp(aI<9Uo^Zw!KHsGR@XM%N=fZ~ijR%EoDyqQi;MU!w6=LE3CkW} zKLW`mF9_#zqk>u}M8;T3f^~mW%B2{QVr&7m$RfuOyB}Je+%4R!8W?mp;HAF<{t<)2 z)nAJ^%7@6AYz1u>t|uSohBi8YJ%1)!{Vp!>_@>qqzXa1%)7I%};uP|`oLnM(j zjsATwoFpvEF8Jn(KM?r6esu_Ta53PtQJE-n=?$B-Rh zH`e@?rG?#IVs1HXrr!m44B?8356ZmKM^KS48n#fNA4wwiJ^i`^@7J>_Fl?PbIEf}# z&mDLU%JWtx38wltloQGG52DufC?SK9VnVg9cDNlP$YMS`(Jy&umg=GB8+ZmBIhfPZ zz+wwhtkFv&7G#k_FjB2`(XhL)wxSRr)CgduoaHt+>eO1wWDP_5^I4Y)C;75%9c&^dJwWNo* zUr5n+cwg{vX6rt-G;y^&vRlvA@yfj;kpkYt{dWUM1b_%5(&n~x7L5VAfz_Pn5G>K7 zt?8G;!9}*&0BMr@kWTeV+2hrlP89s!EDXx>tlGb%{zPtI?ocJzyq{iDBy{PMZO+ zc5J3it*)u9WHd~h=n9S*mxN9oNop!4Y{xIEg`k`9tu(EoOR-xBRNNbYNC0Wn0+1Bo z8kQC(j)E0~T`^(sD134E1wp_W&SgVKEYXNrX=jO<@=f9-Gks?tlheLC zNym!jBA9bXA}wVFvpCv{XFyHS$oq*HuwH)V!7E5P0^r=?z$S~8C^;<@bL9DssSIS_ zSPa7IB9MV$u?2t!q1+5m^qb+$x8_2=!By(oV&wc3U!`E9r>hriRTXtBU;tSE02joC z3QC$j8ToDgNZFQIld=M6&qUnG$6=W)27>9=`){2cH zikdQs95H~ZsGtit>2God_ult6A&>3Gq9GP3o5k<7h+gpW>Y8VcN#cpTy)76k{L=zY z^dk~PWJ$7JU<26c#!Fc%r-h15+H@M#l8qq33AA&GYU9N@yi2L zde72i-$C++p;gd;2qZg#I* zC#a~8K&Wb|R!D$4+G7avZiPq>5pkrbHa9DP3mr)20K}2E6$e~v%S^QD0TFLh^;GyP z$g>()>Es`ioJ%{&Nb&|VnH0w&hq+|};?1&~8v<{R5?tcgwzIv|?W$M?{8u#i8OieM zDwyU~R+2ekN`>b}3Q?1!b`baV@uV8xOuB}GXaA_iVYvitQfz^R<1PR}V za)3Nt!L?i;4JB$+!s}ZTy*P$Nc>=3wD>4Iki6Y@--IVIzu}mc=!7vla%S^K^=5-)y zx+adIjHFIunZGCrxpE05PhUtScH2*Q@fFJku(BN>!$r~{=2ijl{{T<;qlI$1Wz-fb zV5%5;tP5KI0A?((j?Tb%s~U9)1Gz^(9ULnM7gJ@+QwW(OH5Rygt1yfLG?BgSx!d&+ zdQPFH1Q@z|<|ZU=g10ugPC0SqOp@x3a&)UJ2U5E@B!CW+Z;1pP3xdY=~?s0Ia$d&o8%s#^%N+Dy%qYfc-75Yct?S>Gzlv#9uC>PrS)K&F_l{L6q zK8u8MRjjH6M6rM^Yr7tbJ}2}Go7)-s%`SW`{{TnYn|qTnS>g)5X>~;m3mWVZfpc&4 z*9QLpEKTe!jn%$%|_m^c}cgnX&VKoOC(76vmP{vj|mUdkH@zo?fXy z=_6X~2Sc{@z6Yw{NRA-^M3+>(tfwcBZcb;s+^5OcB&2$?Pf;M0jo=Q{J`|hhe9YPh?zE z%kEUqRas9iRY_D!3YVwyjODIbmBe>@k_jVVPhId=RtcTg9b5o`cZz;_W&G{pnvBsy zy)wqIQlMo`F-8rU$PITaS&FW}5H(^N=5*L9&bD1Ah(HCK>Szv6mHR4(AE ztWUSX%6{XA;hQavMOb28BUKeY1&twzGs@HHiN1>xlhCj-IUiuR-Z2Fx%lm@u2L`hL z09iqw9r^7&cQ7+{g@dS)B)I9RV2mHO`y3Tngb_RyC3j{rIH+GF;m81hPr2A)1SV2f z)OE`hta^Lniy^MKf z60EgsHr6#+A_uX3!9A2Q>ud<-mk`n8+>XMrt?fHO@kY~}J|(zc!tPNOJv>pFe6lF= z+F+}$4s8)gBWq&BTK@nAU;cA*qc-Q3X(nr%$Qni(V~s_U7{S^! z9YT%H_bf?bZgI!IH*nEsYShhgncY#cwxGqy8f-?8JKS35wXJUWi3|)aV`tfR!bx?q ztj=tnbg89~#WY@|kxhz`-}VvS_+?sRpvrVAT2%`Sg(9hQ8a{MVEHzqVu7WZy7u3Y` zA+K_`{L5K>Sj)MNz&o>cljO9_EymwMEgyP~IsQXY!jrW%O6hU;5PA@CqoGt(5)}<< zC59G+a;Q=?f=IQ^_TQ+te%tN72}49JDU^jb$3l^%O@3T)#5^CvFlJ2(R4psAG$FKr zOIUf@cL9l8*n-P@5sbDqhOJzJMEM@&bwP%#7?9Bk%E`E~_}-$bsz_+*;Rq@Lq|~s- z8||faooe7OLf1CyvBPl`y_wA#<`M^wH0rczx|w@>C9aP72y)d_3FeTCkXYE?sJ`8P z7}PgKO!+rj?mWBOt{j*ymYlaY&S*xBSE!3?UjDJ$eZBha+XG^1b!;ST6pUtL1y2m` zoK*ZLTUCb$=brrQDTPkd|{b)S1VuWk~lYHIptLuM04Z?%R7#CwOh z{1fO7*zZsGipWfPymtpkMjO7XkZedl#f67#SM*ptUHGZ-MWpsbT-kBFG_d@6Z&6l( z!PB|6-Hyb2gKPWZe0Y}ls3{UA1enn^d~pL(XBEhHK74@Nr`EsS?e<{W20-pZl1RFJ zxk?&t9n8`q7DmskoX@~i8T(;%BgqneB~744TulElRw z2xVn9AcJs0>OKdyEDJMPTQ$X|LO-4gyj|6E9*Hu(BDkuI1%kb{N`Y_$auZ?a3yUzg zan{Y$c2*SKl$}$zO{y3Yg$R@}`zlP+5o!H2?R~fe2=AzZR^0*9ZM{WUZME&zKQNUE>=;}c4C(cd@y)*ljw^d~k^qV+pk|*)_fO7YUY^F&=>w z*I@d5q&H%>*zNVUCrG)r!sE34V_V5Za$tHc^#ZOTtUc?VIQPvG=2birP zu=5nMYqz8lEW-GWwLu<=e1u$tn$I(cG{&%#83OE@mG=kh+<-~3x2opeoz!0(1`{0< zWT447cDIhwpwUA0Nlyfo5{T?sA&d=j4uZ-o4%P(w;f{PmX5~uSB!cZCJ#4wTzIg=o z3be85^9_{@zH>&)=>yfVb^sq?dkb~M&Vn!GIG~Jzy4(T7wY*oDeHSdJlCq`CDx@l| z>U0xjwZS$U0c!z%xM`}^#&QP^GL5HA&IGhQUf58Q;*DUS8+!t9vCy~aX_^Rc+1EwDhpXopRQD}2fEwgziHOY*^3<{LUmRK z9I^~>O)ha=Na-6%QYJuEbPKDf(|azf3n@1Ao4Ew^wj^k@`Y5x3pc*FXdgf zvjt@fbAL^M?X}0t-;Z*SH!&-#mle>{y9JFb45C4yq+l6QhydJx-EVaS5O>1?kqSU^ z-E-M4u9A(%C>3Ji{IO8o1tcIJa1?Lx z#XTK7e)V@>?)#8U=H)ZRp5`&2*w#)>zu}FGx`OM>s~ev5*25i*iRf_&P!fsHgYFfR zh^q6d2yV|;mAH;EU}R-@WYR81=SJFh_z{fHNj$W*!)(*WGOhPTQv!^gL1`WNjnO6M z20>Mj#XBtw@*^E^)Pv?MXv%=Ag#?8Rr&^V{TLMW^P177IhLGbKG=K?__^9ybtj(NB zH#4TTj$CCz{v_qx>n%-HL}NtyRH)M0!8+DL8$k#GV73g(%C=iv;!G`38i3}`)A{D3 zsZ0PIS`3>&f)9rzr{T^9lP-)Jc9|ql@QcBp#q-tT*Hug{HPgSC;hGTL8 z)K8xTHYgVijhmPwNO8TAo~wdZOuC(^mCZzo=Io~ENo`EJwOLM^1Q)cA=_PKf*0d7o zEs%TAb#U&Y=EQ(CBT{yod8B4d!L<~D*i$Uc6~J~`BO)eWECRY!9zXz*NK$ogsFPt1 zYHuI|xPnM+_1#^zG^3Nuu&e+@Chp+p{8lSnnkB#RDWl!$?iT~{jo<=q^?6^!dDI>AdIJnmGt4h4B9X4pMM^FruM| zK~9C)V_Vo4V(r%Fb|n3{vH%P5GM?v54gvy*HQo%e>aHkmC;Vxctc^dNNUx`~A2{ ztKVD6@)jrjSWBzOFb2b^CjS5&bug`Wvv;-d;F!N8lEX;v*Y;oKhbYSNN7M56$4WtL z#{*3IQA;;K05?BoI7)jOPK&?%jm!e;`a9U}JAT{YvZpki!AS29{4+jMlT}opRF_I5 zY;?0}B$m>8t-%);yKU0=qUkq*Yw|H<$DEl#F(pdMaU?)%h@mBXu^Coje^@8BosGfY zrH0#QYPbg8{AEpsEvQ`vZU7e^Aq}2kQauiJT8-39Z(u;V0Q5aN<7I_1!Q6|i+^!>n z;#0+PEH^kJR80u5_#p6~Ma6Xg0Lnc20X06Nv{ddcj#T=kaDK9bvZ>gRNxlc9-o3_j zZM)9}rlUkqU?<*p9fBoO@Rtg4qED2XT(+ag8YiYm;VllYby2D^efBr+i(mr|UHB+x ztrMs~^Tl6>=j1kWB7;-qwPB>Bb~}JAt|mRoTv+~ckWTl+IGP{~!%u>$0v(rqkuUJO z;cFz|9F~_f&1qn%%_<{V-dTWji5zN0MSn;kNG#U5J?teZ`d z5(TC(#u^}PsflYyz0IsaKIaxx?$SB^%Bjq6S(;#gLFS3gDP2CBkS;IZZ_|X*PO4jM zx0Gw&4pJ}M+xFouFCC*h4Uh0}(q(aL*3!k9M#(a)k+30^h17%85OyO7v~Clc;w~(h zFY>MMg&ND{<*_H6`iTtwoAn9n^p3y_0qu#J=sn68jK)po+>*YZk$gQtELAX2K@`xB z$+`_TAlx3dEq(PHpN^?s%aj8n!VQu!mY&aZl`zCL1W25A>DGJ1USXY(YH z3+j}~eMrX4brExW5!<*Mj5tt&Tgdzrl`DYIc9HQ$k0|9PS;Ue_B|_?u5CK!^>2cFz zeZCjl6-J&xJig>}E0XAoe(Dh%Zy*^MlqSAUGAEIIz*U_Tta^hmwSi%Kbt7wl z=@RI+p;=ULrW_|iJJY)-gA9fq5ILNo1X4y3T|d37VJ*EJNY4_Syd3amWE>~MSfI-9`+Y9e=p=3+}oA}m5*aKAArBPTUzrJd;+T+s&$04HCR`k7sf zal4RE^$Y=EFv_?mI+s^Au;&0P#`K$NIJ~#D6Ro8nbMA3x`P8j9(wZrE^nB9pG+x47QM#{GT9_ro0U8e1BXym^h)g(`61 zcuc29p8Ve7$ITwMDa>i7hO0#SU2UcAS4lz#OO^n1JJ{;PR9)4yw|TJ^5RSxv;@9wO zK`Vp8yh|q*LM5Pw=$(a|=n5IB->i51#EC?719I z$GLV>R4HDjDtS^>^C4zBkm^BGeqj^Tts(MZfs4rdTSbM*>3>o5f=!0_pv6|sX7eME^5wF>z|#SNr0CsbY7kV( zvzOqhp<0+rIcpSQW3`xA+wa)@_Qj1b50a(CGc=_>XX3)LvZKzDh88Pebx7vrZVLi> zF$UMMwS}xkE8WpkqlmuCIZ%fPI*s{oTz2t6QsO{pVq}z-3T1mE5-wB=4OUxU*xc`i zyP^+f9!64j21gF$!W!eC@LKeKBxj}te;2^Q_a3(dSe6|&+V<&UHXz~e=&aG&A{4H0 zBpwPy_*m!FXBhF8S4SiYhFQXy0}?{2Inp-SY)a`C7CZD7v%ugwr_7rIr!@oJAVDq~ zccLNU_s{dP=$2NFDFmR6MN;fnQ#l846j%#b6MN#nq?`!>!oYp%#~MRe2@`ZbIEREH zuBOpe35KM(bry1}3i_@a>l>+QhTqSG?DLd-=;RWTAghv;|Qj=EA62LG`>8L9$?g;XlXy%mjY7|=MH~zJ02{~ z;qgV&!3_-5{1=uz^&v{5q@95?ZEIe`WAfkM5;fBJnHhEQ7Ddnv$zgoTXd~(u!Ae!j zt#V$)v>G`0{we@@ZzE(NA9HKR82vb z+;1#^1P8H@*=|Q$Ugf*n40E#TX6Z0m+&3$CAevf=NK2nt7A@A`ZGV}z`{>c<#d zX%J!qn;tDfoL$rb++X|{*Rt!+D~qogA=C-%2E(uF#Hb<@&*91j{C^Uo1$kCTfgto` zAdRd?`fK`of-p8Ro_bCPNS`D{3Zj_~I~4apm1TS-npD=ja?cbM6!K39sAYy%52$&F zs=<&D2)VKB4&YE>-0DGsWw#($daHW)!+Q+zV<~{~OU6&dTvJn5A|e-5IwJ}r7Z>ye z+fTKvw@?l&x;`?K#l-oQ1F9OW4mzI%*l>>xW*m1orpl>itC%5YNRY8ry^9-c7UTc-$3r_%Jx+jhA0Qw^hV~zs)voxNt)~gf5Fn z$}KN}den9ajR%WQW%ik**_h4Zk>UEW@$DIE*N)FR~~bCmWA=CN3wY zIo?z?2KCJv1EhirF&89>7RSs_n*atXaJZ*Yc1MUB0(I3{WNX_qa#XPV8(djgSD(#9 z?p_#Zq+|xwm0Az^J+Qwgr2`Y8LKbt2|7-ppB>EiFE=;mGXia1Zv9$MI53t^-C%VKEh7->wFW^ z3=e4?-*R>kW^MNcLk|bMoZ+r;=Z~IG5=fM&th9T1Z!tCw@$G#ZmKM^?$a@=wf~H#_ z?WCcFK=(&0d7>d(;+ur2c!4-e=_Tu()(|6$RXWPwRwE$vhWye1AzRW&J7UG8KFF8V z$W%e`(c%99EXMg zJcCSfGJ|XWV>eOTOW)D$Y*%8iP;<7&bsaO6(h?h({J5y)^qPQnqmb|h z2t9WeHs2FrF+E^|sy7ixY7Ft@xttZpa`1G%gRY)JJlM#+#rm!KHLy-1fT$4dd2cl> z@}4cs8X$OgKC8=VSe6v=%>G`d?x+}OWBJMjhg?=O68ZuiE{)Oj>WA`P@Nw4`Fp*~B z%*G~&=?Z0i_ZHvG7d>nR_7}acX-`PFK>(Rh)Tri>0zsZD;rPRgW|+qOTVAmORLSQ{ zZs6iURU!UX$M63tn z3#+Zc@~vao6RO8;$sIa%#7xJMCn|=cAX?>X%g8t^+G|uDMRYh(C)%2}_?$o6z%WLk z5l;?Iv!vKBsrdy)O00Q^CdXc=f`MbTj8)(cUD#X~7=m9&nmO5{1fNik{rXx7=-C9%y zfNoZ;Pa^4}`nA?7Z)qY`HUxq}4pj6u++Pl6F~s|}*r|Gr2+*zRIRQs1-|>^yLP;!Bt;L zzm}w1^S3N4SAD-bw!~wH9CQOj=FkMdTX}znx;dn?=2{ekrHo_LL9p9cKNHg1b;Lh8 zylB~jeN@7e34(09nTH{&sVL^2hN?Q2Vgo5fRB$h4RwvTTznfuufqUB!4wuZ~m`6Lx zpQ>X;jn2w8)lyQ@msCkSz-?yq=(kXM+~227v8AR%g_U(?T9N z4b<@@=}Sorlg}yB9C4#NGaqG8Nk1$x*HhDBet{5P3j7;Og%* z?6pU+zKgT4cq3m;xpM1$P^se%53Jy7*(j^f#WEXNg^j)K^6YmQwWU;49QO-7h@@#4 z*?91sbQx_@I|Csm*1fkqI(%_C1cVbEmBKiw9g}C(6gq0MxGDugLXpO4p%O2cCe+O{ z=`9+Vo6=U~y6=bsK{9T&;f3vjQAyzs5j;h}bd;2{UaEI^nt3V}f}+R=rC8Y9osPZL z?lu9AEQVM3A*oYyOf=h?eHWTMOP}S9S+0%-I-~NL!iz9b9EL}*R$^^otOp*QR|6Fl ztGTZ1#1k4T8aRHmoX*f`7Dz1SgNe9WNGgVJOZd)I)#Xi6-cUe6XFAww15TL%3v06t z{UU0$-p~c2Nr=Cio2X;R0>@HyPG1gk*EFS}N~#RP8djQdEn1m;+INvfuB@dB66Kyn z2kV6ZSYHlpXSjoF0u)Zd(`kt|jWi`J_*0UzQPxWNg!I)c?<}fW7|s%K7EnoYfL&Cj zl&-6`>@SF%YCZwV0O4a7QaY6|WC=X@rQZYcsrXS!oXV!E3$K$Lg-S;n?5evT&9dLA zwfd8JnV4@r39e&yY;uzcXZ)AZQuDn`#wI9IpHhg>qT1taTiWM)U$!{lNtyU3=H+$l zoHtDnA=L>kU!}cFZ>z7@Cvx4}ez@a=>SZ)Q_e4&sezPQsyKr=}orwI?Z`aeWPPlXv zx@c&b-E$=1x^p3z5+oo3+jbTmy|&+|#730vr4EJ2%y@Ash;2+vY%Bu>0Il?~AcJ)V z*59$VAS~C_O4nXsAd}+`8ho=jl8%T@n&YPuG@-T3bx6)#+!-e*R_yG-R_LKg`mxex zSdBk*Hyv2Dye+Y^6Iq<5vS}q3v$;F`NwzG)^Un3ALhd*F{{WA_2{u%&`L3~ci(GV9;4s~%i2>f5Twck9ZKjvQ5?1) zkigs!d)Te*)4m)V0uvxit``t+lo|XlPgNv@O{H4W6gCF>Qpaoew&Y)YI7ra?DBn%5 zvxLZmmEta5Wm%gVc2w6PNd#=;Ony+Yl_ED?R&6ZSKUfp-K#_26H&WAUX_O$6;F|N9&FE8K> zx!_p$nifV<8bcyVvH^WpCsNpK2_oM3i!pYlRB$F^mx55PO$2s{eJ8Gv#B4U(d)xG3 zg}n9nCp1Ojd_M_NKBJ)OV8c*i7zP$0P4*V{@4h+J2=18iT${`fB+h649rEgcuS2dG z0)#rGc>#~rbWtgFRrM2XHnA1PVxlcw5_12kp5uRM_q@axVW$1+poTB)YevNFjXLc6K} zTq!}j4ehUQVz(HRqOtCA>WfH=fdO-a@uzOqzK2Aw}Z;7_vOp9J&UMNZ?m3EN&V(9JPs3HXvHS zfC2Uxujr}iOdQs^C|mn-6R}1j4>X=M5!wegmg|*y2#T z)C1BicE7#?dIqkk?&F!IsmSO^xs6-b?WmyB{{Xvu@mL@{_bul~38p)f8>a;;BAvcc zBmE{)E*Hxno}KT3>Rtym9Y;e?a(THM%Wfy;CVMRWFZnv^H)+iJ)+C7PAbO3|YWk|X zhTK}$#etG+u{bJDs7VGcix-D3ck#Y`oyo(zTa=jOiYI@kM+-)`LM^O|#KHdnHKQWu zw_F<9q?m=Nq#g8=9nLNV%lJ>6{5@4j6(r1Lih1K(3rZQ#y}!`_1pfeJ3Ga?oY)3Mf z&@=ccZQ^UhtbQC~p_WP(t@><|t(IXHff!Ow==!g#VYg1WD4e2FsQj_bB|L3ZjK`N1 z^MPR^(YP1AgUcIR^xGVn9tpUM1knBuj}KIwGnB=}m9YlQD|MPyYY`1U)efVxxeasm zl>oJ_ZY3sXx!Xl877A^)r8Qn6d}HU_QBZQchLWm>D-#Ijt$1B!okM_hlAuEw+;uGA z?o=CMYL#+`3OuiKg`i3zULvJVyeY4F*zMTzibWy z$ko+z+CMM?4)?a5@ALM@QzjSKaD)!~-w82&OXZ~o zYEn;n(zdv32XX|Qal}83Gb+hqVq%)6R|fkqX&Hg|gL~o6)c2GGq=2e77boFtMpHn9 zWqclU!aiZ-OT+cDt5wm)(0LBQMMWb6V7Ka0`bg_*b~guM9k%-=Rm9yta_4+K;cp|5 z!ls6ZNYV(d%_Ne&hQP6BI{+^t! zv#fk<1gYBQwX+gsGoB~;V?oMpB%3YES&cuIlB%-?G3x~AC#kiz)#~4Wd=rSGDVuL} zFsYwp$Yd08<~2~mD~OUw5gtM|P|N{uux@@>4aNeIjgxg^&RlZVhn9IH_QqfW9uU)UpeAsB6^Bd#r=cjDA(++^^4L8EcX%<7wrEn^Q#PjrZ%N zw;(p-exn0osRmz)<11&k1u{Peyb%5&t@523p{nmH53Z`QfQ5%$Ches3z5C)U6G3q& zg6(HmDG$Y77&vE-Yh}!HXeuSBlSpP-nG|iX0Y$(7du@ZN(CZADU1dl0 z1cS;Xe!OxQ3#DtxAGhCxQ-Mye0rKj5+a(4s+56s9?BT%f9!%QSaraU z0rrVjm|A3Zvj)N6RUyqaFSGx_Y68*j>i-gDLVxG z(=FgM@kKepw3+bd#X}7L0EcRLQlQe+Ax9gF^k8~0Bd9ph(5xzn3Z?9Y#F=m0AJu{s zZ7D&+oK;uCf>ozrVVOe96R2cy>{Q%+qkIWp4BGnYHwfA|r&7@Cs#8hLoWd-+qbZ_T zsHke$UZyBk-f>OPJ27S|wzuD7U{{ z8i6KO)ieg7f~X~!=~W%c=q>JhW42t@%35%52GequkY>4>kyOd55J4esHdWi}oyGbA zad3ATj9qh!IT@tE`_1!J)pE% zF&T#^IH!i_B>HAgSp_1p$t2RzNHl1@uOUmK@9Gx-?S6w7^qwa~N3y-XYGdSi{zJl% zQ8jwbAZXQ6DpXdMS+rkNYQ<8(k*Tk~_TL!?hgN(JH;sqlk8KcpPB9vIA_d{M#H@T} zPYo_&UO8FXI3}0U#n6G}N_GMF0b2GTs6L_zyuxD(9BDsR#7?kLd1L|(s$*wdBL@&6 z6Gm0fS6z?VJN>w~sYp2!O0$>{jQl1XwEj-zSxc$hE&XgCd?80G3FZ(O+(pN7 z3-uWhi0^K{ck%CtvZ}9Y3QYKRq06Z=2xiQIdUOnuA^=}YZ?{jj);+KVdU>s~zUcf| zi5i4Ld};FwDds*;7nfh>O*B-o#Yl`AG30CfyMjqZ0oXHK8yze?pGl#>eyehYCMvLG zo!oPJLK6HR7vie*nI7I;s~};qvYqYrVfEQ%U4q4o&{2HOa~>F*f;_{ZMM{%N01Q!; zsuxSgrA>&E_Is_HZ|H&>hY$;RnJViQip(cS5#A7GY_TG$QE(UYhh@F?`#KyHTV5E2zt+r(zTpkm_QDZV39<*qh?1tcSJ7=#%QTp48Vfb%aCa&0V17fhEu4~4!o8P6=%*3)4EPD1I00&`+P1Hp@`3Eyj zM(HFCEOHGuF-%hBd)nPax?clUpx4-m_$xd&NIr}JY&eOsC0R$|Fv}@uqo&L^;y+3o& zb+XUGfsthNvA~%Wt)N&9P$DP?Z7L%p^mW0Ms8(!;79DoBB;9TX);%z()2i#pGx~!5mR6Nr&rjAritgrI>Ent>UPw4F z5(cd+T>}z8>Z|l^&#=BHb`qoFAZhekbnkE8gEa$W{0Rr*pw?mdR5N^~J_v-LUb6cISD}e(D?NHt_z8SFC@9M~Y6;!3&_UAypBG2YWK5*5cyhrOmM; zsXT%K)N7OixZaXrAjHPmtW-wv72-m#pS7a0YEeuQA1NbQ9nf5o&+54@Z(?uT4#Hu2 zhQT?Gmg@jXfg)%k8mgq#yu2Q8E)nzmfRSDtn+D;u*h+>?ze%4 zgv4`Zj5m0pg&*u?j^pgaonZ-kL!D_+YlySj&L*gOy6NPooz31^T)Vf;i6rBWadxO| zu#=%R8(3fUVTEygFenPK+iU%pK&~$U3Ai`6TVtuPzdC;WB#2)uw-&we)frxL0{e}J zLO+k-W2vQi+yP_PwjQ7>rIqB;X0oJF4NU9@+Ho7-l}g6uQ=^t1AFDIgF;O9oEeBm; ziQmkS3y5RVH<8G)B9U-4F#`4pl}%HXy`l)s1Xvx))lEe>_3%`UUfO}_&D61nIq zCRpkqk>0YxDdT4f(TF3|r0O@q%U( zYsDPvs-e%A)*^^}<}9rTm*vI@(x|FWrA3u*b_;7;0b>6EXO@_QZs4VVM>4YpxqzA8 ze+0PWj$u%6E?$+i^2H>TC7LLekDAKLERLU=i@&dX-qyXbmUaczAD8G0PR12QDuRb$ z!sCB}9(DPI*_6^$PQ|5_i!&bOjsEX%hBWMMK?7wQohz-DLM8QTP{63uz0R(-?YDoP zBYA{X0ho^Ptg!XZ%Kicp(&-XVWZ=iP+L9ZC{kH!A6N5S`kPUB%@wxkz$4pf$%^ZiE z1QmQN^A5j;_|Gn=Nm-t*phZ$ixtV7+eO~t@H@F~Tvl#L_dxJi|y1UV>hh*4-JrOYc zBH21saEx^Z-eom(ET-2!u*g6?uW(Px7vN^5;m98)S&SK6!_1E{KXQURq0V`O;vSKB zN`|@r02V}wCy~`uM-A)|<_qRB_E_(B>GgV1vazSxW~Ep*iNxLKvOe#ME}W$0W>Mjj zB9axJ(52?8sg#8b-~d|JvW={WH|Q=-3Zp}-mlJcTQ(|kjlXwP;1Q~go#f!%o<#uNt zuP&;gsn-o7hLNtROmWp4vNi4!ifB)1!m`NoAMB1Z=w?y?i4(HMHz1~^1_zAtZY<}HYD`~9k1~C;;rT!M>T6g>>Pq#co^a; znmXyIUINI}y1dZ63dl$V{BC;p7U(f+Mqb0ni>hj_$nj~J^hFAdteTiu_wB!YL|QgQ zx3#j}^8#AK>tO(%OfOCCd~hzUYO9nZrNys@3nn}gEVZdB2AwA0fouEQxWd;(*^3=k z=wT>7$6RsYQUhMI?%(X~lg z1I7x4Vi{Nl0^xdC*pb&r+pY!Zw->nazmj)J2&6Lb`_u*Ji_aet7Ddw1J@vf7NyN%RvLc&wAUGr;Z@MbM}Ky6Ob7kT3O(?4%Q3 zplR)2^Qxhu9D7$1H`8B={NavcRB!~=rDj%MgYkt-1QwwfeUBDvfa? zHQ+{r;H9j}j^(5tNf|d5!u-tP%I4J3`PAj@V9drs7@ozi_uBxyxn6S!4h9D(MzBpN z;C}UC{uzNCWRX;8lErOcECCkVd;I=;V>^_zJMZpSqqPKbRdDeO=O+^e{bRny7kS_WWWLQ5M) z_xSEEJAl9w?QZx+;DqKJrdK3Mq3jqptLt>=dhcz&W*9D-Y*%Y7;)<#;BaTudU#cv& z9eNTE#~n9IGn=7_Ua!Wr>vQIkFKxn}zcGdo3Ug|>ZhY4sr_Lm6cGqpdw#VRizTVj2 zrwEf=jmbur@NQ+fCTGJnbn_Hy*lS(oLm^fXvL=_dp>?<*u-Fk}f?q|Yp!chc1|~@p za|!};`br$@n6=+QB5E_3A})ryzL2Wca7nQsfWX`1inbilpOEj}u%u6-j9F?}GJ2XJ|CQLDs8 zLk|Tt574EMw2e|&$UQ?#1s1*g4!aZArLkqvRn1pAMt~~{?Q>akeA^wrbw+6r+oj1j z+qb>(q*P=TVr9k z26t*?jHtAw+2mlQhz39jEOeU($GjMvoNGfcxZVhZ^nN- zcpI8IWjbXN$61?-geyF7x-@X8I+fkkbpmvSUsbJrySA%Df;?2O#I?+Vct@HbUI?p} zP7li>3PCAS#NT2>)+CPCy@2==-vD9iO-!fixboBwHDN-L3?%(%?~yX4@-m%3ZSfZ0 z{8+UjL};I?OJy>_SULowqJ zw{seURTIUhRAdD$dkY_|PS&tnlViV3O6S1mJ{w&|J4?#G0aVdxcSyu+6c5fPPLc<5 z05-qA6E6BKhFXO)O=!uRSwcskY0#mWZF}s*b|&4iA#0r-^Bj{*AI|H!NmjFyBHV-Uw@+_y zdmK#WmYeWhYr}<>{X-Q(M_U^Yjj_iV9tp?={tz)G23JUv5Ym1#%(WGA!}`ksYmlS{ zP(k$raeE76FF=0HU@p%Y&G1FyaRKy?9OB%AP_3qAkv##TzkFCxZcA;lE`|}zY!^?2 zDfjq0xJ}FFf)S~Z(xGBNI!~B%o%&n@Z*lO(gA6k;k;m>;l}1;`>Ys{g{BCfQ9xG_1 zQRY1)4e7R{Z9sI~n+qRs0k+tyuV))8YIKceh&m{IycZVMkm~lj-|pCqLDq6kI1+bD z8h!<$k}XXvio>?Yt*y1X{BX?VBziPPsjcD~0hQFtP8(Q8wyn1x$F>RvRWxNVy1XC9 zRXi0nqh@MnBh8Po7aNae+o-WU4aNqohHX7qTi17 z#w+MO*dF~2;|J>kZV49m#YIYf#oBMWHSR*ws2no8ND@EUdl9xSXw?;32;XD{R^=(|<*zYf%p(~-a&^-X!Z&^FNZDJV>-ApXjg*kR0L5YB zw80J@3O=-fZZ}-)_mDO8bqTqB{u{3BL4ss zya&k$;>#nZq^1pHq{Jq3+fFA}k~mGcW~Bo+V=3X*No z{?@(mPC8PS*YH$t!?+B`PH5Zmmz%s5!#N!l475p0ED$7e#q?1z4ua0!U{YK&0(BE| zZ`@+QqHMPfb#w;X9=%2lXk*)&&_OyQ zY1Yr8uOBmc9aF^_^?aFFf|izAi5{8;nEGR8B|sn*01r_YvV(5hQT*V>34(i-PA@Bo zbm_@9)gB$Qh<~p_R{sE5WMZe;uWp18efwJbVo}meaJWFxXs9F|IUQG>cZa;*j?O26 z;vkVC$l6Hx5DJs*z#o9$4QEMHafl#|p+BiHB<{XyISE}famfVnsL+BzB!mMq5;P$K z+lw~#02990bNWGzv>VRJM2-uPITe{o2x;INPLb$tez9608;ZKXPm z9O6li^`%kCI{1)3oeKsXQOgi44afravESOq5;LS2{fIood=#z2@H$;|>3I*BO3I?9 z0y9GB7J5% z5DucZ2j-;hxwbin=^A*AEjmbr$6=f#4Mw4II3tegxEiQc(!G?QTur*jz0`sZ{>{{2 z%Gh?*5J2RD4}*070O!0ZP0p$_nPqKkz%W;bO^fYiSJPk|f$1Pwx^_0AWpjWzUGD2T zt2eQ=#10#%z?3s(&!Sam-?F$M>_{fWbhtb8zBKK4c#x^hGQy94;PvE|U%@;#9~4Xy zO8miOaVhH!I+D5t`WDj64s>3*1gTiF{!7+cwQnk3vW;}?dwhA;PN9MtpN63q~lF;Up1 zi6>$KP%bZf;tGI*AzjhLa`G#mTjE28>PV7Y!l9M-U}>)E$`~sPsa=B+q#Y-HwQr8Q zwXNY4YH0@CESX*`<9-+7*&1P;)xyM|GfgWOWi78tyKDhwZ9{Mt!9WV2HRpg*8l&?G zO~RbW;O-WoN@#Ox?OH~%(aOVG%4Jz2TiQ7k^C=aL|LE;geAbo0*?Sw?8l zy62VABnZ(*6+99_`8OoKwgs8lfwrQ34eDQP4)zkHWp<6H$#3wVi|ng{VOnZT-nvR< zb!3LBou&$bn3%&UN3bPGZC5&MMxRa?7~#5^_=dZ0g6&D;XD_O%4<>6;^kzqS>13o5 zM=F$6NJZ2#Mhcen-HS21SPSu+wUv{7BBE7v zy6lj~%*@e5y#OVNBzx>`NyL2j+zWCF$LaC}`%IGSrcedX6kfDvzlZLp6>Rz6_l3%6=hwu5LA$+_+|E1eQP+%XZVxGeSU2f zI?-oFhDf9G=FyiI3_}mfF0jOFP(LOJSk3osvCO1?N{g>;#>coXy8i$bMzWFPJV9F( zRNxt>j)z0)3W{84D5Fr;5f`PwV{vo991riO`$G?hFp)e(;sTqDB@*V@=3y}M3=FVQ zc?@CH9Y&!&gMut%0Lbb{EpCm-;&o5qaRJ?bUEkKeUA-aWoCZl zh+SOQ##WSpW8w)5fhV7*ECgA>^4vJmS(4zLAr#mE2?Uto)2bt{q}e}sN$C80R^^Ew znNqfISVj!a0V8HT9|fZiiPnIMRcP^BSDjOZl}-&R$@P zF=jrY9C4B(Q@5$kp}F0T38zj36;qArHpgP;MHw?{-^P-eN~!~}Md$z<0e{onlYCAV zZ#g=t!}vPj5b@nPu^-g6UH#+t{g`uGaIJ8LN$y0i#wK3*q&av1ltVi?76Da6cPv}d z2gH%M_qG7(Cg;i~B%M@P>YZ2-c{(8-&8`_N%h1^-_xkxz+Y{wegm!gVZC5BTz(Do#E_ek*4q-G(vE}$ z1Z{w-YOCtqO+E+7;mFP$fWIzZV?a+dH5O)~QS1{umuR-X;6vuKx%gBm&B?<_# zf}vC`fxXz1u-g+~rlBtLYG@r@XY9)$; z>IwX8e<@*cDaUrt=#8FZ^2&5*A(TGcdKh;|be*b25OoSEEQ6 z?lIKG_&p}}?S#6N+$Y0TJYiQA6(R?oX44wn3%9k+lxzvPAZ&3pHs&epZetS(={_7L z^7U2KtWw#os=C6J>~{v+TH|ZuH&>+wVj3g^6QZ_t7Se8OnAj#uGT;o~B0}i0yix!! z5ON(!BXBy{f(RnO{0Jt_mLg0EN52F^L#=*dQhE*w%;swfS;hO9U;EqrhhP$Roo54HRLs2 z9ej<^OtDVK;bOxbE+>j_R7T5>H!brhkEVvQ*7aVVPQTjp_QPPV^<^kHXCmTm5uIwX z*fR=wlp9GDGb>xTxKVNNHyCi1+%9!xaB!*UIW7LvX=N)^C zjG0^%NYQvl%Piy#e~YUve!oYP^m=f_-l@q$O_lLg2w0LV*-=H6Le#2@djYWfF!1s? zr0OKD4POH1nTzX|j=D)3V$Tf3pPMq@942_AD3$A$aE}mV>{<#+_(`}XIHy8?wF4bt zAg-Duh1e8)RKJ;<4~?pD{;}NCI+MY1<>0*cIBjy$SIXmUO$^R{@}%LV$9YSsDM248 zv)R--<+a2gYFWR$#97o{!t=7^XIvSXaN2`cOHoc9;2EKK@9qY*7u(x>bUIWOFs^2y zBb{`M6VtcP4nmDrUpda?&m;y}c5AIXqG=MQCW286 zy4Oslw1p(~E!cbfF&o+(Al*Klij*+b(DR2bYFdFB<}|ErBDLAZ_hi4;L2HmNvD5*@ z21j7uVc9Cs5a^xwaZ#U%xMFS>sA_rE%(gcM;Dfjz{6;S*))hpAEm{SICj$}F6aIEX z-7)fFlDLxJfzXGn8w%~j_X^3a`w z5Dt~G-a+>OPjQU>YUdJiHbC5~T6lJ~vgF9|pBPo~wLz9*L}8oDh!dr6*2B9V=c(JU z#gz&Tq9A#pDm1%Egp4p4{r4Zh!J!1^7U~y`g6weR6f93&&*;YiTsB><7_qE^{RF9h z?S><(?w;a_q3;D}PFB-LRlw3K#tKbISkfUIHl=g8Jw>f!($*sa^e`E1^^f4S6|GOX zyCBiv3Y}YzDN*fZN!SCu$c)?Jw_E#-u`VFXnh)1ObZ~-C>Zi}}qeii3Sv=~4&3YMw zy}d*%qfO6yT-x`w@n=wWM|nbG?(YDlm*DNmzY_2yQ!43ct14t`0d@A&0oS32MkhG(M!X*oK+H}u}Ad4vBJfHP+Ipaz3jIndtjPG zF!v#`HI7(_lppvBWab;il-0`7#Y$wJ2@rts*j<8_I&5ul4@1+gDDjlKsjABp9mPk5 z#sV_bxaF$b<_9q9@|_tCF(|aMJIzoU^3N=VT(i2^dTauL(#LbPyN0G}O-J3&-Xhw4 z%edTR5Y`B5H2D4KwD>jg#Sa?C7D2@GBvtXKN_xo{DpUcmNm$q{pmbwlAnJ8>IfT-& z(G^1yD+}1958*xI?6)(ED9WkoBburyWu8=xmHfEb0;ysN0I|J+-op09k&K<>Dy(&2 zTmaY<7pr6rTZi6v=v9-kH6Kni_W2O-cjeDAGw<>e_s}Yc!DcslqPt5hV z!>7XxYjWtNeOEtHxin5pi=^1!ueLiWt+JT>bw>>s1;H#alx7h#NX_-hkc*(dL2Fon zU~ktIbg>aow|nWyT2*U^*1!vQsB#fxHv{9>t}OzrbD>PH4)n0p<@N00q@K#Ldh}S? z&teG%-<_m}6q8HI*tR3V~%B0HO^{rQ^bE1$LU<- zFi?8hu5JGS}t2X8Pk-x#)jJ5_lzg(&i>71RE(L@eCxsblc~KCd%~d zz46qEUn-iedJJ|KC3`NOmcAUC6Q{|BSTo8~B9;owNM5JX#9O8-I!4sb=XGO+enL+o zr=>1k49^^z)pY<$GhV{m>It}FLAbT_kZ){bom7zoP3%!*h?&z_8z_6?K306*1~zpx z;3*gE$8Od=E(f^AkD`DKF*@Bh5F$I1FHM(AnwwL`@1$Myf^0p>>^?m{IJqVeEn#+> zDeK99!S|o@XDW+06*RO|^W4I-YiD&EYqA5R6L2nWZF^!WZ#8B%BrCzu`9PDXlu__5gZzw*5)M;lTtOm?y+2`bdVhS^xln;7lNv$Q8*O z6YqdkR4)zhVZHiobA*&i)zxd`simpX<;N&0d7Dp|RMS+`L-@L!)Jl@E4$-J2lvM`y zw*J>1`0Ea52JurGaZJBpnezIE-49GWh(VCNRs6B>!b>9kjNEp^)J2Kfb7Yu?zkXv#A;V><7} zR#b6oh~glPEP;oL4oFpGQ^}dcDT*RZ{Z8x@1W~9+3DPxb8!pUrSOb0CRA#(ECj1SN zH0qyp+5&;xSDLTW|_UsZ-Z{F$5Q4 zig2#K#jz8cD5_^Eo@&XQsH~;ucL38ut>~)D%_O96qCp>)eah(yj&RD|Ic~Ex z=soSsZ}%wTu(T~_*p9!u;Bk$3%5Jj{L@U9uACI!TcQgM0w8@J6AtM)0o^RwFy%(a) z&^{j!jPS>&1v>r5QdxwO|J6U3Ni4!iF}(PZBBY>c5W0-co6-%5`u*`GHx`>Au@RKd z=IDHQq9Qkx6(%x3QdFyT-u+Fs>+r=JNhbVCvTL(8%d6miN{RDQsV<1pmRqH+9Qlev zABBmx#2jAGsrwt7&AtZrRW)h=_KnVOxfLZOk58+9n1P`Z)wf`JW4b5myyGE0cv6tE z`I13Sw{ZSXBe3W5fpg(gsAiUnRHTHJhD6#1?dWFfw&^6XVu zSb*2{bR-fs814h!F~q`WNVKtoXoVH|yG2o$=MW^&g7eCeg+k1Xwz$$sB-mQ}k}YCR z3~?@X^+RS2Wb*vkPwrW8gggzFig19-BArXIvFI&eG=h5WN$b7^s?u*ZQ8Z_~r9N@M zS$`2h6t&GxDil~mR?Yw>;Mf6m>$&KD%vaQVf$kG!D_$gkkXg4O_-8U|T*(K~>*(rY zk~ssPDb_gyGBWRL@1%=Z_BbR}a3GWC!A&BgNFz{UHI!DlX&HtmjhxD-L&U@%sLQuq z#r==Jwiw|c!TgYjWI&Ds;)4ch;o^gunf__?vgpy~5~%#4Ko;zGWe0PxA2M-IMfn&6 z@$^?%ftsNwgKy-RKNEf%XnAKt6=q1aQY$%GwX;2wMZ@j^3Ro~5$iY-A5*WdQx!m~J!HOiFBMZ)gM%KYauL@P-sPzA1_GioNyJt?!a6PukD8xn4T)r4M#tMlDr+@3yks_ z*eWN6iKB`|Xa1^RQs1V-V{OLWM@&NnyGk~;4?9iNtdEjhMHyxKJSrYQOGeSDRb3}i z*}l0eYms0HHYDwlsnInYLx9pqzcpQ30_wyPYzC95;G^FF`GH0ifiU zHL{SQH@Pf!I*oQWrTWp(kNhfLpB=GtCxT$W z^Ir}4Ie6rs=IE{dt5N$g!8{iR1P#~F{2Y964TN+=cD+*n0DF$*B`;wIb?YDC=HrGp zF;QCG1zLXZw%`E`yjSP8^uxv?hKA7{VFcEo0gI*FSdtcjTTtb&djL<)gYKj?wA&K}Akwi<9;``53a zHHicPZ8jTi*Y3oE92X)A`*cV^Ccppyzt3TRpG+_^1Z}<}Df!$cS*FvMn+Lm7D%F4pTgL{i8>u#O>z53zJ?3hD?h4fJUmXR#*wLEyxYN7{{Y7<6!B#A6VjhL8j9S`Na~3p zrB5<7zLUMRD*(rKwxib>d^>@}IFSb+o?|hC3H51~(c{_zVhn~{9w=*4Od285_O-2V z(~Wq%EIoZz!tc1Y+uUvUV}{5r6QPpjO`c`uF#1EWFFFB-0?R|rD@*6X+gU%$r}G|3`3pk8vhHr!V(y2*y^AmQaaT1hFm2(qvmY0ovzDP;hP+Q_LvpIEe342gQem zjPIM7y(VRps?Dk-f>dTy5$P;L-H+9=-q$zmaSd8d&ut2|U=CmhP^QN-Y4ZL}^7PTx zxRRO*I(im8Ji^*zAa(1x_yK!uf%-wk%^+%Q5mXzHTV)!&333LHA>ta`@gK~J2vsQ* z*@`^nwVhq;iUP0#;m@}9{Tp4?7;_7QAG(=Nl)Jb}cf4EV+{5E+${H>lp=mN$QCecN zM4w5KzOZ2I4wUGk)+X)kX{)rp*H#avNU;cO_=fQ7n`APjaLd|?X<*kSKF7=}{NY_B zsc~^|52$Zp-j@$q_;Zo-6Jy@0s$rbqOSPLukf9w0Z&8y@l{D^Snj>&V%nyFQq#R$l zf}n$NRXGF|B=YL&K6qu#4Pi(#N?=+!6;7!(9c^z-#{U4(CjS5&QB`BGZp_=X>`VZp zZCDu%$HT=l@5&7JmPPZZrO;Rs>H~tOdyV(oa5laznT}z(E13kY_G!R;O+_&grD zbdk1iC^eO|Yb02HW%l>)fqkZlI*nB3m=Ff&tN0GcDso(sx~noXny0BTpE%ypMH;f{ z7dE+aPX5Cecs#jtH+f8DAk$l2| zQkC+}n&r3N{{Uh5Vti~g3DQ-g24nIdWlJSL0AM;}>E&jQ(sQER3A7{QQ8u|^> zD4t51reUcbB`LDr65~4A)-&|qQAo-22_3C_G2C1mW1!G{hkZv=QW}jVC%{HAqa?JI`_%O+8fgaLe8{b_U0PefRx1BAqIA zE&x6X2etI9Y`KrcnXZziuA@n~E9N!6Hy7{m#QwpC!whZ}fXoj?GhVof#C6f1^Gw5I z(njcdi{HK`>Kni!Gg)-ki}N$L(q|)k10#3)di386_I90uj8)5_PMK(|lFe-LyoC%1)hly>7Pi89j-*mR-cPSe$fM7QN;O2Ic{jd-pPIt<4mAhZ5HXskS@;*_ zb=qR*Wok#Y83?0zKJgpESsw?{Wci+DO+#BovO_!-P35)x#y0_m;DQ0`V{mb_YlT1v z+$YjKRgB0^#JpL__a|JuHc8z2Dfd6x;i8B|dqDh!ta!hZbmU%M8yEioLxc5b!;LUF zCiUSVJWTkU;I`o0$1lpWT3U*ksl`1lJt?_Xy6Lb{WJLn^*b4wK+QQMrG}aJMipV&c zi<;C;l~;t0t}x9TfUB*xxl^^9ZN~bCVYw7lXu5;5w@uX-S_ZqO?=j?-dqx;V51SBc zd5Y>3?Qw8OVYd5^)rs5EROSh}P#R&*$_@Fi2svrQwBRgoI)XJQ^Am73xb<&>U5#K8 zRBxw}^9!*D2XP0M0H=8g>MjnG?A!P2?QC<~DUC`fQ6yNc)!{B7r3|l3#lZT8MASCD z^y(yw0(Koeu$zviboeNpNXWju`mHHABZ+4Lk`@R0I?-Ck`x4i;+3$w@<4hQzMKQv- zIElCtdzZ}IEyS!eByVxG#Hdc&bq&*NU#0Qac11;}D#C2L6f7r6Of(w+8V!Vi_je{SUubB87iP(kFAt$Hhho`@*)wjO=x5D=J9sLxq zqt)tl=D%U!E+weB3jT%EPoAV{xV4V1n@WH{)&xzEmK;>T(_CeuhPuIhmdnp7^57S=(& z!)801;yexqD9rsz?Rw2%%G>iQJi6iE5jqvI}3Mx9`Ujq_0@Grl~*+G=L7l*l+jYsYzQO%BsdD(!S)}1|zTAwm3?7 zab5ZjCziULQ#9=yftaHbLhe4RFgLM3S8E};=uMiZVa=*dPo37IG*U?@U`S;y+k4-9 zadfsdTnA?94>we-m}1lz`lo%;H3t6;J-A_7HKm|Y^~~Pont{k z3ezn@5_G#5jfA!a6O!#ezqC6Bb;m{J=H0JEm@@!W^{CEKM3j`U^*8XR{^F zmOFK|m+F$+Y43(qaBM~RuC|%h4vHmbbJC_|Nt8uRG!0ViqNM6akPEM_7bQ>zR=8Fz z?r-VC*g(}ojh53qVE3(O@YM||(>`EP!6Ao}doUWb?A>?kxEJ369+BJ$y}k3=uI^!cSx&3J&*8K-l}dj9}J;2P=;bBqkUeGvli%gM?v z5X$ChL^ahi%NrA!? zO6D&ja+q`Z%(V`bK76|Aqm7l~)|Wt>-OQ^N4END_@&5pU%8nd@C8VXHsGe40#?r(>0d*qG=Jxcyzy>$5$16j0-*vzX zP3}EZ5%Cq2RdSY8iLx3xSfzkT4BwWP`<|~Ri?-bgf!_ASO#GB%=tA@4v@r25Ub%*6 zOHV7j?qX3A1wqgP4_(IB=r$yb0gR|(0gmOD$JBEwfWs3SiAMLEbpl=#tBKWJUWrr7 zZ>rZ-8cp`G>2GXaR3)^D8#C@l)OkJjLdv_8s+4iZJryEDG;J(kG$q}7YALH)DI_Q@ zrrTQN;|p6BX+w!O(_bIlQ+pGb>AB)Ig#bvNS(xliOm_JXU4|r)$wVA?pHRi&6{{H|@V2X=Hl4mQwWCm2Jq)k&TQsP$1u5| z%T*dgS1M$c@8zY&`gUe}THtBE_!0jAvb!gVT0V}V0M2`ddNCP?2k=i9*Gt2123s|B z5Uaf>l%8@&Z%YHGRlu>>mQX<=$8&`yv}KDFX@|(2HAAYqmi#xs{K}!>YMN*#ib>)j ze6`VOP_itiuCPGVIyJ$vf<`H8;T=iB=P4lgDDc6#qf#YHu4#C4%Ck-?$euj1m&u)` z`cPVU)-tr}BpTEJH@Q%Ho`&|pxZE&)Shr{kaQuWtHcRB}KXG)qvB*rd^Sp*yOun8Y zJz<8S;e`|OamLbu+EiNM8Fh8s4{S3Vg%v=HLxqO|kfoW|;7X1kgh!V7Wm)0+48E2q za~isrhA$}=H8GtE1L*)*GYcP{4t*xlVIV|UA!|$C8^={D`ElXPgFG{2;(Wq7BQ$8g zOU!E3SQ$DU9$+FhzR0RBekT!8p}D`oA5x=f2p0IH*E~Ec@TZ6jm9q?{I%q2zBRws3 zA}C3$2@4=gEQ;2)_GNAQf(c9k_8w?VLo>Ed3o7U4G`~ZTp&Q(W9}(1Ht{F@$kqe%i z*yDjPuICEKMSVC>FXu;XyXqfyAg%76`u*6(m}S4yB^EhY@gv34z?tvrD7Qd5Vx+k`x8PR4kzUKbe zuIWN)GTcI>p_Ps$){n(7eAM#OKCbfm33fZ5%^%0ZraOb2jh$f+h>X_JA!3-sZcY1d z?Sqh@r7lyH)p1mU3NH&lBDoxRZc*emLQy6&Y*+Oq0U?X^B&&wIDbi?`=Jf1*d}{+0ML_n3_jXvKgx0 zSqet?u)prbmWqrCD4zIx@a3LV@SR3-k*Y&fjU-CXX=F&2Kv`3v2Iom@6Ju?$RZ@$w zWMv-du{Q8pHqaCsCgitHMGV)~4UbcEV{P`uptZMI=cz;Cb1kpW_=cP4H1bqQ7%i3;Ukn^c2U1Yr<62tI8fMD#NTh>yM`ebBW{RIQrKgaq6LyfQs8WD}06iT+ z4SO)gUl1RKshjAX&Wg#ETISj$>J$Lu9viFSnu#dtqhyvgD#FLNx46cYj?54uoA zj+QvE!(sZ?0(BsiJ|eKDU}y|V8!1gA4MAGpBY;SSBZx{`T3Kq>(vDBTN&T2iPByQ?YbxFhx91rw~bZ>MvPq_IY$x7mccx%E@|8ynyDVImmJ%So%4^v6`9kW!z* zF9Xo?FNq_h%~j>3jwvR1m5TYA2Fh4&pppqAdkbxQh@8^*HM&Vw7;x4HINk-j=XC6N z;N^~0z!T9{WRN8sRSN4ZI>^kSkgb^mlG}so0^_0D__nP;F`$i%D%Vx(9eXGca|dI5 zS7?`17gC&=#lSc~p`6HGqU7A1aYmnRLh>@dpIN+lMFS!`t2@Z#ghx0tOn$p zVhXh~z#5YZ(+7g<#3%vl^J(!+ z1XCEU6qQreZf)@S{kXP4=Zz6M@Q34!^0t~hlRihAD@L?c2rnftVr~kLuO0Ubvo7|( zOhCz`ZE$Z2`i?L>L#-MV{{VP^{35jcJy8uW2E9FZq_ssv(8=Y3eySp|jFa7o799o6 z@nC8Uv$~3=D2GFI8>s0I;WFa_)@X9yvYr0`<+vTy4#};>-AI0T_}<~3U~n}}B_ytM zYWj7FsXVtHQ?VXlXCp>|xmyxjVPU=s!&k~B+QA*^xcY*ta5!eLageOJ7SdIPQtrBHVUdxoR8V4qVEf)U4oI*DlQ7dEh;uW`XpaorRCO= zK%^EbRagy=u|4c?S;N@05+Lk&Yh*nnmqOphZ#Vw{mlcZndpL?w=0+~8 zf-)Eqk}a+c&crEDNFWkGvUB?_;}?$jw}z?~faengUw#S%W)%`WJUWetEDzak_^ujw zp|Ll2@xOY|TBc98972Yz$ZOs{_rpk1w#r}lY4L5Davk2A)jnH0YLW}stJ>aVWb7K- zF#O;ExF8&Hor5ENQ9Wslyfj5Sh5rBu#|G8FKA821FzN*I8VY z@dcLi(>kT9%A$3pqlKbbWnC{RW(-b?ohmJ0FLQEs#2BZFj13!4ss)ZBp?`4j2!wEt z;S1pTXdo5lu9m1qt1N3yW<_NdI$SrXZEw?(ir!)*Y0iHoMie! zxUBNwV6cudN#a!4@MZr1Gr3mB>r`*>@+8Gp49+T_EZ@jEdLKlHpnp4rXofv07Qb+k zS%i}R)<2j@EW$}J9wMntZeK+h1&Pe*b_4=PuJ$IteYj(@eH~D^qYNm2_>qt-(zV%@ zn2k;KtT)r{4{vSpU66xwC0Wt|t+n~D4+Q!8WV!UTnqKvA6ha8HvXJ}RvD{zZ=ZlOq z7LauW9|cE?X=~c<_V}11;EQHh>;cuL*F6b8EHXGFshci~uD`Q?w+xjc{4s;@x3&^Q zCNrOWcH9e*R@PFKH4(>U3{J)UI;;pc?x);hs~$_+mMlmG5rlh7wFWN;0as`B6>~jH z%H~qViz=s=i}e^t7BVafW1=L#5(3Mlh0G>~zfJaL2NhgL}1 z_g1mk-qt6-Ojyom6;oB9Q&akur}06CnWUAfJh)a}I&7`Br9w6!9rv-e_w9=M<<53d zq$W|y*2&*Q?b2}j*GjQe*&_@>L|aSyn;kz?{7v^8bip*RWvwB?3Hnxu76^K{xPUF- znl5QTY1%C-8jIg_N6@E&bH#}8{V+W` znm2*6urKSF=f=>6Pb*eP>&%g^uPqPN_HRk|zQluV&GB)VXl*OZv2^nR$b;mic3Ub_ zM(XQe04obS0U$Ozs5dG_@2G*W>5A%=pz*v;%Q=dq=M$DeH_SF&ii-1+Re({X3Usgq z)amHN5`L^2_T z<4{a0+>$0N7vxACiEW9owf_K5#~qZxs<7XHT`_PS83yCds=dAZ(}ODL-?R6XcYyj| zYwioB#~wBKbAhvIGCGLrp0YBEbVj;$J&LF->+UXXYz|&Lk(FxoV&jVAOXD{rrz{OE zUDRqL@>j4Q2R%FdkFmgq9Krj_8nybO0S5d#mmi3K2oDQzPnYzVYY&{dmqH@}ur?=H z-+K|*gNbR^6$I$HM;0G24VMOA!ezl^dSb6P9ekAA{z>|AFnCj%%i#VJ{tZ9Yvk!;l zSFiU>EWtOgTK@pK6W?-B#VL$wp@g0%#?9{GMCk;uU$R>;-v)kF%N3Oe?4QQ{>Rey&ALG8{CUO}-tbh)M z*5dyF9sdB1*q$Y3!=ud=-wu8tDR^>R%e2;8R80h0T_7h zM#S5>AOm75=vTzH1Die0KLS1lO;Za)Q6eJ74g2g;uA9X)G=W_4Eh&>g52b5L+*{NG zuKRTt7bNuB(^jvDsR6BkI6LBsBA1XGe(Kz-@qOn0QFxMw6(N-cHAoCa$yOVS5$Lt- zKDNgl6|n)svn}7WKM7G(6pny2#Nd3QZc4 zd=d(O8zz!wF=w>T85UMFj;CTT>C#4`Z)UjwZP#oSQoT|%9pm><)ELaybEB9aa!6Eo z*sPvLX3n4pqSgr_>ryu>raw!aUY24)==!8KBW@z2Zd*LFG%1Zba~Y2Ji!Q}4iOwA2 zk&vqM zT&>WV@zF$jN_UMEu@^rpV?f1)taBM#EJmvlxb6G#*If$<_~3*umR)|gnttuC@cs@1 zueXZPbV#$5t?)@mnRgz*SUNY7LoV8xw!WKy0`w$qbl-mXl9?CGVg%pdtX$YL6ZwTd zJVxbC_4M^R8B{EXZ~|{8k}rKM#5SP2Y&Q1AMj6uMdpK=)^H!LKbyV#GE4AB6QKqJe zDpgJN$c1jhP!6fR?gv%+!1{E8K^x-kSjTo`iJQQJ2A*n(?R1AZ!ukNZd7Wh>D2eGn zO6$_5?ijHlt@T^fzPU8|b^~qWZfSMkk|%hc0ZdDY+T!1Oo;=7{UxdPWY56K)saiXo z47$#i9+6@N$=^u5i6Y@+n$`g)%XM$jRGeA>+#PvM)o}+ds`!9?DiC#4R1}3&Ur`JI z0Cn88h9#!_vD~Zi3?!55&3yC!0G6M~9KtivDiyV*!+CQ?bV(h?+Yx&LYz?o4hQx}+ z!+}}X8Iik89`zq)T|)h_W)m8>a_YHqxnrINDJl@?%4}7X?8CSqfJet1Yx0{X5Q^U; zJZ0yZ)eMo=(nD80Fi^7UXxZ!uCO|Hv?b}WFIPN#3MF1Q}CCV%$#M_>VC3COECUfE* zc%#fra8f`|l}iq>oRmDmkHi~unmO+v``p!y>yHXE9J_fE_og=RUy{{VC+;r&_v0Nk-^ zUKB?fqbEQ7cGh#6S|o;oI$AncX&xfxSDBaq!JA5epbtm`t;r-n0W)vb!f_ zs6}{~6QzJ5fd?(=Ai0IaVYt*#59C7M$C#oD6pHVk7L+&Bv>2U0KW}P z*vFddSmAL#OUiz5@dShgL2@*(@^)dhfgQ%(J{ae*Qy;#GXduiYf#3s}XNofV?6SYp zQyjv0!Ce93bqI8WxhhxHZkHIo!v==sZ#yK{c8u_`~pPK^Kpo0YXi^$ITV2OH-cMOh6cIz06+JYpq{FQqkl#)VSN z^SzW@?|dqFHn+@rE(a5*1bIAR(bvVm^UIaS@>W4DG>Ria>;ko&tzvATl6Kf`aXvYr z;trCnXt3oVYX}fa&iww3mrUvzTTs&&1Toy6oA1B1_r*}?+HMyUDuTPihDQP76q)dU z#rdx=_}3|-sbS?AnpujinbtLFY{%HLkA=3ubhJ z&ZzROOtLXhb|n#QeuwK=js39fO(9vp9R<~vB;{v{5<{LD=nOpcv$1Cy2dLN$?P5mV zI$r+g3>YRDwu*_>q7MY@<#kzSJgChzRq696V;)|e#;wV8TaQbAa&AF40>czoky8ZV z=G+s0d&C66OuX9t z%i_N)smWw$D&dj|l-Q(*QHRVh2OXzbwM2s>l4V*ZnYe`Q^3$0z@LYlkNs@+5q)+JF z-%tb**2IetZPNf_utKlo+}R5!C{g>K8d;DtoplzYCfGvPMDciRU`nwpQy@gP3(FDV~bk& zcH$e9-giLJainK(bVjF;99zr0{{SNr=TK$P%~>Nn@>ayF=H0Bnm6GeVwAe7*6W;i4 z5shO*4x@^>W+yTHl=|i#5BYD*7(}$RP%Lt8lF?K$t9(~WG9R#D(?&Ez2Lq@{Oz**_ zPn5w8E>dHs5k|=7SVXTruB{}4OKvO*t(ViV7dRgig6qYM5QTFG;GkcLuLIeK1ZA^l z*?ZC&N<`ICk@<@u1-(+~d!Pd0bfLYsH#fvoXul8d9Kuq>QWaVv0RsHc%BGc|k!6XB zNR4%2)kxeC^6iTP0ShqW3BVlD&#DfSS0l3$2(ToR?_qO)w*Z_Y1>)f`qD+lfehwkx zs%bpMmDT#)gkbcx`i1&!@WgJzQ+}aXicgI!wrRMZilaJ~sg-~$aM6ab*!16~*X!(X z-)5#ZPHhLbWvY0CiW!gfLtnWjJD;C?bYbc6OnnEPL0@s^{8Fs43YNIJ2h5BQpI*CT zhYd^!g(F!|@xH6lEZd4GK|xT=yzIQjVZ@Ou6H>r9AdOLt zSL=IW-pfybvgoA!$D;WeuMxVk{Uwg8U)3J=_b2E3@Z&+6rV3A)g0~Yk;+k02C8>Q2 zau-x~1ny7mz9V)PnYkLS8ZRbbuLaLIo?XRLTsE_JjNQG-?sq+UlZEV*#>!Jk=WQ3! z&3K-1r|B#f)+??x1a%hzz>Dv__usBL?DWRkDcmY>T*{sy;(BA|8Rj2q=XLO$+RtEO!m>|T zD#Tjk9-!^tzBq6c&e|j~P68J{I_3^>#1WLKsgdG7l57As8>t`*^c#MRNX#P|WCZu( zoaV;K4$4!0*ftOurEEZf4efF9$3>G$+o`W=lvLi!+V>rHu<8fG=Y8_1KzT%~0)?H6IV8!;x$9^-3kU%Rdv9u(&a=+S#8 z0cI5oVHn$|t$%*_?r@vzkKn!!09L5pFa@p-weRh|93B^ajr|mzrP&L z7e)#E7s~K0d+sA21Fd)4=ZifE1t&Tvix3LW;%fK zg~_lUVtt>ThKGW??62UqlYlcAj;|~R2mJ9iKYln~6WfG$1@gSU%tuJZ{{ZNT`|;f2 zGud7?E4Rcv4P7*F)Sq0B9i&}LsZ3`;xxV*Mq+0u7nUOEUk^zG$z04@8GSjA2WII?e z>u&zm#H}b=j4jzbT!H8AY2p6>G&zn~QH+t8<%Up9QpQd6wTL$aT}U8<@VLW)f}cXU zr=l<(6#Q%Eoczy5QCUMvPZ_6{Q6xfZ^YtJ3}I7FH9(xpBFd z_(G^NBoXAav4&t8M|%Z6pcz;N^&KN(8F#U`wiL+F0*DxZIF$qVyN+mh{{T5&BFz|y zk>zT78ZxcvC`aXht~RcPq0`;ugJAy}K;Kud$$ za>L*@uyrs*ZlbGH2pbL>soTKbC35+LOj5^nc5>yEx|@;JZZ|j74m``G zx&hVdyECP()IrmI_3mD`(S0PrL82xA*7pd7cwgh{4nE4}G`gaAW?=BjQ(A%n59tat zo&8qo4e`GDFNF3gC6hg(dBiCCM-nkF4${CVtIa;#B9o*EUxsqqOC$XzH@0@nk~ASviaaz(FTd)VIN zdFk|Zpu5pJr8c-)SDtysql)LE%;}Wn^T2~kDY}yzdRP(bR=Bw!o$NpWTLrd&rZVa| zttUpgjA3kflty`P)hPwu^0O=PGXN`Ry={L?dWtoeiycSNvEQaR97j8+_2avTTg04 zb#00)zR^!1Zc`hM3d}rFcUoK=-7@AAep;rhn%rVI^D=sv!+9zKMXz?!E=fH_$t3N! zu*HT04eafYKJ}6597wG0&4O;-*4^=DRWJ+5KyRKBa&);nI??B}C4e`b6klr=Hof+> zy6?BXBdSPgghdLSQO(O`IrChx4R_Nai6yD0WtJ&Q66ncdSQ}h`QtQ2jmiOBgN~8fM zFMb-UM&se4eN$25f5hH##q>Xm9yk&@-}6MstPFt|5`Y#VK_C;R_vvxd8*DZ;)for6 z$_h;{?HeYShI}dJTuYbJW)M|VDpbTID%!zYo`%5RJE;q3?YDwDr>5L3Cxx5PLpzqc4{8tjSICm|vk0sQg z>Abaa+~0pvh{tcyhV`u*A2j~}WqI6rEQDm2u*m7y1tg!O)HS>BYkl2u(NVEEPntst z?j!cA-KNW_>KdK$8VH$oZ#U_rs|(p!2D*|)fC&1=#=`h#TnLcTd`d?OMY9jug|$yr zOwkH~kY$RtV!ETK^#DNh>X=-c><0T2+X=P9MaiBCxXUOhxc0FiY8p_f z>$FiUL4#a+opj&a3-uo{fooSgM!P9JlrsAFr{*32rsbtOXL&?YMO#FsqMlt$bUc2g zWe07D=x=eh2h3bxp-TfqjaHXBKM1aM&Rl`AH>IYf6#y+%(U&bMf)15d+BGD4w_--X zfs01gfz4H7s=4AcL-t98pFa4Al~fwMv|k7=lD(D4y|%|wVHMxOpUzCXf~zaCT*_pp zIfs(e?;{09mOVquEOrHh`fp%JweCg711sl+15% z>TN8NBYsEBRSFuw2^Ug;7^8eZJAz||b13et5o8={xN|w=PaIROb*t(0wa`lPvzPN! zx{)AryDKg1PUE4m1YkT+FlP2aVaHcccdIu#tG25RuczdHc)?9FC0iR=e90QfK{h%~ z$HQw}Veq-42;YRmip@t7<aoXdu2Ng|-d_G3dk=(Z7 zen0WVVTU%%WjQSqk20!NP@1jzs)2mzt=!*D?!;ekO;-_-sESx~Q@2x1kyGLMd8~`#ZNYKn2V#Fv7-Hn59}4J-wg+NLiBOw%0FChtZ*=z` zf+q}nL%ms>!-Z6QL&J|oYzi5na1HFT3#k|0#4)#PgMfM#pba8s9V=Ml*0v7_DjE1( z@NdK%o8q~%T+v_@bm=ldBFGu0FX>haH(L$PyKl9QEMG^gc;Fo>Dm29qOzUV-`|y@knr;a1}m~R+yYST@7y03E1!(;pa8vMps=fbfOBX z*&?(7)%>{O4eDl6R^+iZ1lSGw;Hosqc_!8XBO1|3kvo!5!{Sadq~i`|=Jk|~=hIZp zP>4c?)}SitO~>?2n)kN&Z%iDmwxSkTkm)fuKNS&qt6`qjojO66>i+;o(l#Ff+u?@c z46(hz4)F=UWIga|j1?<8;b{kgJ?QVA^>I#< zYZo!Cf*U?ZRl(!xE*tuE-%n80WH02jxp94pk~I2&+-mJ$Zg5T<)^kQ(II3Er=1iFo zoDNEIONaTHpVQ@uNYU$UOod%tBTrM33t#Gs6JRcTVr;d+0G&>#%ryY(+QLyD@mt~s zFAOlGKTViuq=lC8!wf(I*Pg!xR6$(I^tT5|yg zKP^zGRkuwxu?KKL2Yce*4B;)y#arS;wZ!l$su|3S46LK3qubfB6+v^}ek&#&@KY5Ww}@xWF}C)pqqGWqE+Ds|}}qaa zM-x?L^*LaRBaQ;_)vR(YoCP2mUdthCk!1qbzhjP4#hSzrHCB|VyD2WU?4Up4LCEPc z{wAWSg;i&$pba&JixiQOS&zA0zDtX0w`e`^OXey498%va6;4n5Jta|>Rh7TZ}P+1x_7hGO5t&ma6435mep&Q(9ZTt28*ph{b zo(iX=*de43rGF&E#`;)Z+k4_gOqYDTnJFs_&Y*>kL%6sbb^9>K2~*I!fKL8H%lfWj zR3S-YytdK^^$o(2Zopp4ecQFpAbmp7JQjwP2E65sNKRjfuM1UNj1oG?XQm4aEki0I zIglMlgHbL}_0y`|?TP7TF*=bY6Nti6X@=iq)bhiPDmf>cE^h=e&m%&}(LK?0NClqj zZsOk8!7-46bqH2r!&YLh-jK^D3I> zYJ9d}E~Uy#9Fdk`s_0h0DeMjHVlRG>n&GD8UqzlKoWSS54b;i}9^5Hg!hBdKtfi6^ zG_^4q8I-S?rC2BfaBX|_>N;Bknxdsv3Exiwv^X*v$42e@)V}di;xmf5U!Bmw!^s@9 zj}o-;yh|Z@8ciy{(*TXGw%p$MxW?5KS~!s7<)UQ=nw2u`Z{1Eej><^$UR_tqQKmOf zqROC)m6Oa*NWXtkUwjjVaeGYe$toU_0fO5;0*4=h+bE)%uQ;n>4Qz14h`VhQxz^+D z3a8+HIHv+?iP@x%^llV^M8>7Udyx~%_{Tb%iKfe?rPG$GdL__pvfp05gqHN_?d^T> zJ!-FQRRaJ&+gbo0qlX3|iH@EmO3K2V;t>qqj&8m|}kozdf}rv%}%a++O&ht7U6(U>B z0#tco1b`XQNg!N+ZF_gNC8omr#>u#>H&7&z;G){71Ok40VT2%n;+Gr)z*Str%^=Eh z)$?bnltmD0E$((^_5g0(YyreIUyw>S4M5g*QQth2*5dyF!PQyAvLsa*y*YM3$3zZP z8`ywL3)|kp__U*hFKnABD;CjoT=QHN@D9_cGG?iD7TTS+0PGFh^lyva(nzvBseq0G}mg;{{Sc)-41OK{{X^w{`(yEB6mz}cAhDy z=Ldw0!rwo z+>9Gd$ZCLt3AUljpNM3pq>DyYnP*iSbcqfY{@Y|U2RaA7@e5oq5 zfh2bxUXG=oNhGoWE=b>|+v0kZ3v|AScD7B-DG&ZoX~)*hVz}#Sb|dt-I3Qq2-9l@C zw^P7Og4fNEAN4u6$NJ_Gz@96E5Y^Fxz^Q0e@NHe;_Kh<$R<-mpHOp@jO@dJCpPMR*97UUEfcenZNDPam zA{Gh16_~L5Te$L&S56T$J}F*y0;+WDw^3MfX+h;B6_s- zJ5gz{?o!J$1QKn!;I6_18J&ip^HDmti*pt_9;R|VjEqO=IN)eflM9O{5!PxKnnS<&<9_@| z0^oEh?chI}`Hcp3CR}8aSSOC+GR1&(BXAAvvEJCU!T{hyyVX`H-CKjX!Zy*iwgisd ze`W@Ck-^fwWRwBvJC3*Z9kI~t%cdB=VsHS`KDPEBulU~_oWXUgPcW3K6i0JUkCC;B>$bxY2ZBl^trX0S!UTRZVXfhQI-^Fp6Ett6 zoj0|VdarBP4^_tg*mp*>b+N7Q+7ARVwi9kZEOypkK^;GwQ{nwfLl>L!;(vl(Qz zfZEEn!j76xruv2U2W|0NhB;u3Z|X|7dwSZ0XiG(Ch^G=yCK;H8tgeZA?&QZdWOv_GWX;7x_R0TKo z`wwGr*2LWExGu0``-K5*w_q0&Wy`9 zCU~m6Kowh*TbSUz{{YKPp-POrf?ZnXrPzQC%92IOp7tQ`)PMjMr$jl$q&fpZ1VA!V z!}}qpZTCvf67c~K8|IYse~Xfxsw9phH=6RT$@w1W9bpqBS6T1NBu#CjSo)(1M zp~gs_6Sowm&-p_O=MqTrv~=^iTA1QO#Hv520_3!MtN|y~H|b(99tRj{HzAC!B4z`l zcvp3?EDZ~!h`l9oh&SRIJM7l zZkB;?WoXH^s@s+1sv5-If-Rlief7Cz^;{W=U^!mf2g5WEtnQ&?CV0du}O zqXk%Fz)=T)sX8CaRbS`kH{FA(j>~?}-c{E|=zkO>WHTZ2UFMUp|jLMNI8Vc$pHJOM7v<4tsSvL#P zykW&g=dg*8b+J@*u#Ln$j(pCI%6M@d$_MkKHJ>__-el3_$iYZJfbJEx1GRt`-uDN5 z5l$`Wuj=8&4#+bTs%!X9Dt!8QsiQ+A(#Vm-fZFWlz+d7>8=bn~hanpieIr!oXI!nD zCRt>vNYP-E%act^OxpJYLWd>5BXWU&8{A?n@IW(lJE+=0+HN5;bf1@yDHj{xdtTQ) zaVRJ!Sv=WyENb&espf*8T2vAvCQTP$PonHV)NSbk_qZQe+Z=-+aRj2p0mk7bbBH6N zoQQv$5ux>Nz_1qw-+SUQss^AfvHaZ?zrlSWch2wBB_+Q3ab(YM{h{zuVLyU@8h$IU z_)s>d$!-Pp)bHQ(F;!Id$JzVU&L;GKjvoXc%)wYP>_>6#Iv<841!f!E{#T<>%%Ufajrr&Sz?}r+2x+;~_ z;m#hHjBDxWP$!*JG*Bk9VR;xLlWv0KU)Wy`r$;e`H9F)JU?~3p1~(7ou_><2YK)4Y zNMnj5k6(Q{gEpSFxw#!}(+?CRtGhKPc(?F$@Z?lewpp6b^u$8ZEbAAPSPSW5iWpy@ zJKNZda<(1KY>ktc`fst{h0ud~zs1d=Nc6GVz>AOL<%rl7Em>=|UMGke#^i1dt_I&6 zJ1U61+)D9G^_Oj5Wrt6@4J};j4-&Oy&3wr0?cb)revR*kLS@S3tziv&g17!Y+(-g| zvR28P&fl%hI)@??kHVZ%xg;eVwaYOYMaOT5-)sD^$J5Uok(f+b!xL!)CmS#4bw){; z#hTO4DptY@q@G%i*0TUWBHwAikHcrNI;$blp#2oLIoxk4Tr(?1dwG|)#;ACxndNG@Pi(A;vMq_sgQ)DFtW5-5SEirI<;yY7qGRu z-vpWjgBz7C3`1(3)EFKqAL6Sx&N&5~#g|2;(n?gC@+!GHnbhv3eXZ_0TM)8Cj}c`q zG3}%QZu96@FD(2ayj_~nN0FH6Gwf-`Cf3RC)SW8F zF`z`;YKdcEz>9}igSS5Q0=QQtmxyXomKAv?nn01F3$r^LDuLXRcEyb*$OuY|mvjMd2mvQwB=Unxj2nI858k*?(FWAGLo@l}e$7S?OQwuEz5?V@rU`=I)v zfk_m#4W&UlUcg-2rY)gRPRdqzd+>e49w5=GX$(}^YB5Hup5YnCRE@F{BD8A);0`9@9GvdvD<7{o{AMN9B!!UII-Ulxnsn9 z(fNgF;y~j_RAMZq*A}t3J$Dua+yP;UheqjgVKvx{+?P79#5F-AtsHB03w=i51-8}# z$GyM@Yj3_fa1uc-Hb_>@$IW^0=ZVbAgz8|bqNj>Epd^O78|wPOy~7JD4we@H6MPd( z4b{6aar>0ccsgsvO}-ZVAo*@`5i<%Y;HhI~^4S^Z8>&8Ty#*= zc%(rpTyy)5vaWw-KTUX%Y2b^=R+rSnNx26}x?GY;Tbo#eeX)OD%y%>Y2->#OQ10F>?!yR5rC*sQg09&gTqpne ziC5v(3`ECV7NYhEC;>_CE~Sc}Vn&iT2VyWu={PeIBVE-_X3kMMPbD1qpC+y0=|mN= zyix@PLknGZ0f)FY*!RWF8se~)sb4_TiQGu;UpyetEp!c2>VwT)WBVJ6-=^Oq?}C~q zRU3(Jigt4&j54_DmZ>F?`u!oq2(FZ_WsOm0?Rz*Dq;9^u9gZ)=-IVXu7atodP{4#P)It7Q2P<} z55D=Xn4sBfyZpo}j*om8Hz$oMxK9+vgCg)15P4orF(@D#-i*?HGmxe?&O6RBn$-Sb%p}c;c)Gu0d}r12Q@EwW#N9K zi(z_<)}mawk-#v?2vSwiU0gPz0-rKH?7(Ul7sE>=K-OcDCbfR7$2jsy9IL~PO4UgY zYVy;>Di)dwuPlfplcl{xN$ao?5}-DvB--|HjrLPksA-Tz!4jP8hr`0j=ang&V7q0n+ISns|4j%IXb1y3H}w{TgR@ z*GM6S*+!%+zNGH%N*5J4K#9-64)~6R+8;? z78(Mwoy%N+Hpg1P;~FS>(-Un{LQfC$wD~LNZC2k#NaB}Qt54+=utLT#18gta{{Rio zSxKba=oG@C3Buv83DmjzB+EO;3r87A12v|RfN#lph?<3skEKSSz!EHR5NL6R>Y;Wd zjaIaN5@yV*5}g`Pq`bB?!lD+GKtTedVW-OsG_hi=7=^Lxj+!}rI1Ag+B-)UlbV-5NNF za!N@ff`2E~olS@?pek%m9BG0H8UuT-b?*X5@SCXr0L0v;t;uAOXi|A*f_dSP1`1;_ z7P~UFoW@a02?wN|h3rNk1UO4{^+X=tvD}zmH{s!#p_IqvRz@{Qp+c;S{VgM-0lwQX z->xKMl`c00lHoFnpc@{?e~t)+o+WxcL$Vl{u#Z--mpXM3*4-~{uh!T=F6Jx5i&$R| zguuUJYYSSyoAl}OKX2;86SFLhLpJ35d~wj4N_036gjYL|$tWfyU;^~k-pAMhx!>4# z#C07A{3ai*JdrNt&x3r+i0Ia@Hk0vnG^(CN3Kz~Hw?b2WAb>yu&$uSr;t@>5>&R-f zXDfRxjN1>rDYL%^xqLu<5?Yy(JNb@S?Q>)n7B@CJwCpY|d@4b;A~;K&s)PdKRytbIp2f6+4aY-igBiy}@lnLYO_t72%j}ZT=YVQrBpcDmZH#l2?c_%#VeO)6~>S9IBSmS=mIIODeMjWnEi1Ks8^B9RNq-sOim$%#4{71GY4waLwMA9%+@%$T-nE2H???qL& z`q9%JZlPG&i9}r=uxk26~ztX4L!_0qAx2Wyg_G3^4FDrAU$Gtc6HI2p(3c;(PNt;hbfmTTr z4#9{Yb{-cTC2x)=twCWtpW7TMT#o+$HSs4A)eXGq56zA`9FU%VWqE%nDz^Nq?YQ+E zaFpntK5MU&=9A|2aEat;KPZ4|CvCcfCnY0 z#2Xb>iq;{Gx*oWI1(vGyr8^fO?eiFPLL9nR!Hg)l_s2qxS4SkvKN?gdOiHUA$lTZq zpWxyroT!RT&OqW+g5{P&K~0{}nu#VzR-p^JpplhE=E-5Gn^*ur_PzssQd(emtsl*; zr+1kg2B?GcYn2>Vkj*7U9#m^63p=EuR96wT$axe6(n#C^anu_O4%{P4L5Tos> zDz+vr2%TXH{%uv%aRyZ(fxC$)CvYQi!(qmjqofPk)>FkM=sN()dQSn@HO;JZ#91Z3Z zALltm)Rq@Ibh`dmRf-`5Zg!cB1;97PcM1`mrW+HlCHwSKOjUYx!N?4l09@Q7Y0{}w08B*5917rZ7GJ_y2{h@Kv41^L zlg}aimK!)$lE9T47A`$k^nfolGS~!M<$?)|jY^i7f?PXYk_QQt#^;1o56cQ)%`=naoLxTSSD+a_St8rND)15R!Go z{$ev<(ri>Bi~j&cSdq8B6B;sy3(WR+9qII{9Jd}?rvry&$asb-iJC>Fo-~ELsh)a- zoQDl5x~ut21v0J=a$zg|??r5!6xQAtcZmIZEE$U`EP z^oey7VXM{k_w?9>I8Uy^K#TI^pVgNi1@_s^1!U2%iEPj60cH$T0H!xLvDhC`8m!m3 zHaLS2;!bGUalpLkJ}Ep^a0^1O)|~a@udEMYsmQhQwf*7MP15jMN8i z3PJNT({yRy7;gjl&##K()W46`sh8k#D32nV}HFZyqYaK>0Wpqs?jJfM1HqPd2%kQ$tMGX)3AcP~7ZPENAQtSmHcu zviJe&si?QDsow8EjUI56{vEhf$CS}MQswmOkW#@JXPQlbEEE=2gbW@RmYd0#B9&m&bzOR>8#E!3Nv;nZsNpg8UVs4@Z}z8Abq=bX3Us)h)) zv=om207rsdSB}Z8kt7x&Zf-%iQE~<=s^fZ!frEXOgE52wX-FP*{t><-=9XUBWgR>@ zR1+?l+6f*>WJVuX%xh><-$(?FwObdAtCzouZX*7T`anCYoe zoVneAHdP%-J%I0t>tY2VB|i^`HBTX_QiD0;S`JQ7r3PF)>cSMbV5BSV9JniUs1Q*> zu}% z-o~3i7F89hkYP{n!I`3)j3%CI+|bSuy~!(klkmCwK6vBUT;`pp?pD1R{Gr#pdP-D& z6Pl^2xPk#HkqDxNsh+~uGB}h2)Zb!9YhMS{5YgOF_`8$g3I_7;moo8e=BleibZP2h zECY}(5l6<`w?Hm>;-eFY>ckC7g_vS)mwd+NTo2{tIa^weGx?0>}9O0K6;A zL#ChcpK(>X;yC=ehbln@+Wz~Kf@=H6ysUmzA$&dXWkIVMvqD5vDOaO`xug z`jR@1qV}lA_NktHE>*?_rLL2v=+|;r#s>}aD!x7+hs}d93d*Wb3U_1|Wrfv_+Z`Z< zxE8?_DuB=pvq(8JK`+9C%hQ%-bUFIU<~q2IJEIapJU*q->={^+Kcoroi%Nqt z0pMfNXK~C7cX*#GA!n1rqM`sVe=$1z@DsFD;^j#`E#d)Fm;DeYmhii+(4Wd{V#LYq zYwgzd?SNTnTS}M9+!0Ff!~2GPy}N)(9X7f63=Tw_so2T!PbVVt6w0%>{{SdrI#?)03|~_VrPv)IyAnmM)OE!8 za9ZK1GoU*vjA#th7f;8Ehh8o+;ZvFkTWFeD30L&G64nUF3kv`N4S!K2*Z?u3sPajb zO6UX*8m3_Irw8UV^L;X^Q#pz>m0R*q!bOUdkP^=1*-Dfs0s8mEMbBurH;2VqLwxwG7jTLAG#sqk+sQG=tk~7IiSt=gU znH9>X=N^Eb*1f-G2&Yz{)NG4rXm}01?ufqw^7k&vsUVVuD9fphOs=Y-0}#Q{hWfOP zR_;yr+S0nKi%)S7w1U?byd_8BBBPSCgXpCZrh`)v1RJOZGhl=OdXjd%z`p%(>???9 z90!VHm~#R~g#_HzNFG5NYmzd7Kw_%O$}UFc+I4+f*p>Ds*swBpQ!qxnS29VOaugG& zCus(E*l}qGO}$lXtjp|D zUXF%(xZ`~%PtoGk;mTNAQaGIoMi;){CPvIwrrK;iu^GQ_@ zzUO9fYXU&&V1C_>_QNpMCaYtgz^!jZ(va)e54{n7E8(hKhN3w@Ld-ynx~nz!Hd00X z?QCrT4-!Pj6l2CmK;P~|C-9kbH#E!XsCarf{Jg%cMMSe5%&!w|7r-I2p6p2MH=V~L zBz-9dxm06Gdw@C!BfWh73?5q`r_6Yb-GkgwMu6E!A!K%May=jpgLBsul*W3$WO|z` z%rNTc&h973Dc3>I{G%|=4oZS^Cz<7W+ChZLxYK=FhTw~lYv795w$gS(stT21RKP=P z@R#r$oIjc6oJ~(7>YZAh!Q3Om>qb-B;G2$@TlY9VyK^>p7**JT)xO_IAyW;cefMTP zPq{b*pxPCYd>rtl4=#8Lin18)^)NkUOW136^&4Du3ae!YrvCVc0Z%a}a^gPau&#Qh z05lB-IGB`*!+sK+EPfndM;h5~`c%vif5Lk5C$z^tczp#vnFw?ln~StkqyT!e%+4 z#ir-2uYpi1T=*aL@$ON*kDUA4{R#g7UIN4kE&EOQg@4h0@%s+=BM;(#isIl(Z^lz2 zNR;q9vMsd`4?%ki-`{L&u)J%ULKcfrV2Lh46yYnkp`229TbPq~^3F>zzrW3K2Ff|K<0!fpyiTpp9$4Kw3)B=PJ`|Y+X z5sjd83n>ZwyvehwY`U4HN_k;;)VHXD5A&?|8dAgpwj?(9vBXX!Fpl^L_faL;xhZSH zndboJHIaTc&BvD{Y|Z&Ak1&g_y*DQ9u}}cG>(dBSigE{NMZPIhrd$k?-Rvba9w>N^ zg=%v7X!2T$YKbYT6u~rQ(TqS9bYyiJh0W01umZ;i3XCn%8#f-A4G8)d`M7 z6gy}*6Kz74ad`8Q>`qx56KmR_iyLpYgSWSQ95JVY57=B5++I80M$$5%-vykXj{b~r z<0oXkZ+8{Yr}5MZNhFzkak>?<8Q2%T-ht)Y(`%cN*K6UY8MG+|1=0~1@WtZ}m!8#; zRN2L<5x5%~?j0OrBHd8saAU zPiq~8h~Moy3>N9s--3plAP)5C=A~AiQs9-)*Bwtoh>M^pp6I#RL^?W{t14kxO~UR_ z^eQ(Vn+xA?ei-SfL>BACRW_kek;5xZ>(faP!KfhH_3q15nAXE%HZPg_~%WDgmFOOqVUp^>_fHuZZOZ-3EH z81^`bk+f_$9_4cS?FKn&qXa$eioBoPf!mmp}>vvxa+4Ua-afjB9F%x&$Po&1zoihXfwM!A7S z?vd3Ei8tsD+x-6kf3_rT#0|Kjz>7wUtYQPFY%&v<;ELYk4;Ebl7nI;A)ZGF0#Bn8X zu01^p!!(j_rHCXF4UM|nwh(K0ZkrqwX6OF^g-ol&1l04@2_UJa{%&}P3>RWS2d@1; zULtKW*JlPfDUEVlkcs=asE?WW8J+4OYHZRZ=JKsCYZC>DzuEidbZuH zH12*P!s7zdjO21wZl??m;%|MWMqJB?KNE3Z5U7-_Pgd-~zdK2LTw2TlHvrfRYVC^J zM23mEmwZ*QF;ag}d^Aiqji?4em1Ws&+G7;*$Y(nvd6BDnRQL5@*NME%MpVFSBU_olC!vW_vHnwGH0??#3- zaQ6i^VZGYts5|xVeS~zdjl&XJB21J`93-|J>60SSWgK{qD32qnk`!XWdK+)J@A3D= zi%wvv>(j{STxxk1A+RLhr}*&Y3sr-r7<&A1VN}ao_I~oNIyXms zuec#S;;S|pCot+NrMH&^^zt^>x`aY@7QWls;`@!UT-QX#vUw@`L7iiA>n$jb_#x)eEl@95lH^ zmC^#m{{R@cC6?Pb5)S8YVlFo8d_cotvb?TK$tP6S@<%BAd798bwhZ-ghu*_Y$9~qa z)O!zx4r64G6;y3K$cuR7{;v195D zt8muCF;8={z|us-9X<%`13mL=ldisKKh`>ZCrIB)BA)jkSli$9CEphCI_UrH`r^6L>Pwbz@{p#wl z%}4m*@Iv#1c{`tT0SuWwTUS_$PN#+@WdwB^t)}DJ_xNI0462QoV^c(<;qMN7PsMew zmr`X_ze|j3ksdP`ML$%zlS-&IHo5h7ziu(vl&1vd*uq@#r-Lpw;F?yftIL_G)LqOD zld=xCWQ>*ocQznluYOHIQXY>aSkEOEs$mr8PUH`Lzr~3rRZT{gSnR!^%-brMOHCsy zM9S#v>1AhBBC=_1h*m6g-=^E!p~qi{E)crGl-Wd= zV%w9r-2Se(6-Z32X(i|sZ*%d&QHH0llAMvudEHp_^kz7sg+tFgx6+En$}Bfx#1H`a zk^sRps2ZTg$)Ug)D@&bRmapWsa?sa2a;%YwBAPqtb-j;U5J46o{P!3Yz_7vD7Fr@k ziJ1OxsQ6nFHOO++vqtu7Tnql+e0EUvTV>yYjkh+pOMaXnfGh5$gY-8h+kcAOjW&2m-{CZcWX` zFZw;;)G>G(qL@Epyw2l*;ohI$!Qsl-Gij^1hN3-fNu~-`BVjCp@;Ls?sik#lQaqor{}D4SgyfTU)195+nYfxbM* zxqZda)#tv12_jR>z_LR#5CgCP+iR$A%_jc<5N;M6JOm*sKO^}{E*?AQ^Z9?6FtG*c ztb16>r}bhiSkvu&%JGI+@3Mg30IfAG}7U@a9%>{Dz6Bg))euO)6lg)Y@WqVpmq9>fBw4x!ZhFVtQSzX>$blMxDy<4qs8S z*62I^cTR_gUSj6lTTL_Ogg93MDQp)}xeNdRU3Lr`ZOKuv!F?Z9)!1C^CdM`)I*nIM z9d(2nd`xm`w>;v!^1CfqMJrdz7gUM~CwCx!L@;1&(2zQuSN!10E(|j=bkQ@U0CVS% zQE!R4Nt`uqu2GPbgp~^K>u_TSgslAXHMf%&{;e&H1 zh=U83?8l+sr^g9S7MqhXC7S2l@6-7EvE5M&Dp7K0F00~dH;R2S#==OKRH%#suC4sf zuk45{&2p=`wka{-Q-U>fg_DE>GYVis;RW-0G>)oRBQh$MLk_2me?UF=BVnjo-3|I+ z;n8&*L$d)JNmDf_P>Ln z_P%nT@hgAJFyV!F5WAg+is|KE1;3H=El|ypZ71qAGb*;-?I%tD07e}A8{8s7kF03p z$vHe3;4YJnDumVf^pvazq(uUxX{OdY$-5uUW4Sw%fSu9V0nu1e#WnB`ir3~hf`sDf zVudqQNa_CoCax(> z5rM1W+g+uuQn>hos)D@WgTF*3xJ)Mp7gNH&)7m02)9$pwG_S)iYe?MvcRjRapv~uCev3e`xE2`Z}IQ@RKU5 z7C<0Qf)hRx#>d|lr3eatIDV>bVu-xg0(`my8acY7#LclJTG9r4+@D^+_q`+7>@$nS z!;g_xtgoB~8Sftjugrd6Q`S;aDi_?Th2gKfvF06DgF2I}faSp7-yI)(aqN9?|bdFT!;%1xg$W5>Qq<~K)$P!h)ALxc+J99o))YNr{^^BtIK|0Mj)oGjnX}qIvQql z9|bC_82j*SfQmSPn7%ChC;1!~T(2stsvVkn5}Y5f30w7Hb%924GMJt>@oiP&Pb90! zViDzyKGH;&k(m_g`t7+wKn@jEfwxsA)i|t6*lY$>8LUt4D5uMR3)$xt=1{>z)U#?g z1Wl&oF18kB1Q0LtBEXx2hO-zd*`o2iy;U_QgHQ-MqNm}z!b@1fz3hfqPd7g9=~!drcJ0`~7(m$-F}6KIj&dw%LDGG(w;DNQ2UY#0H1 z5!l=O7$8{_loF5}N6UP(i0R&%wl$WWfI1ELD{U-nZd_>uF!fvm+YNIi*}V89{{Yz_ zI`N`TW<0s0t%+!eR)LhOL{thyp@FA9-nP|Czg3wpg>$76yf&^J@nrP>Z8r62hm!CU52#LBC9^)zEk*jb9X zaN(8gbpSr8BH)YQU`Wy@L%IFM(@tO?c4wTfbo{5Gt%j}*DdbcaGDPK>7gB+wDQhi< zsX$Sn^tViPt!Wx^oz!g^jPRe#Cg+p9l&#@Bp|X6+L8cjGS~+8t+H(Odq5Q`li3ngc zfB*@7H#lRU9v0SrH(aTO4!Cxt7>Msqu20L_9v+fel95EB6hm0$UReT@WM?B=Ft7|- z*S~TO8fyXxcfn0goEt{#mqC)!W)%~|NWtnUh%&~$hembP7-sbKTUY~Y0kyA*DpeIk z4qpxl)ajPo+I&~8Z^BXM5kh4IMjcsX==zi!SoIt2Ap`;m>Md|-_}Xu=@K0?332_p3 z5}IB;<%AqavNOig-C>JFlDq{`8bTRmg$#x^XVoNZ%$BnNxR5g?#|^Bar$wyo6MpIR zLDQNUB|cEJRS^og*rU_UP~e&-o}GXxM(zCM9$DFil-OIo8VGHHpUW6+YYHE}Nn);IoBj_@9XKYHF)ACQ~edzN;A3qyYQesOzyCVgk}!w0JnDPI$Oa zaV#y21D=61oNwWu zCwl2>8m2{ta|AMinhHuI(u}h)2#P=&qm2ocLKK^@w5)Sj3DU;%_aQ42NuW0H;4V0( zFFNo!LsI#5L@eQ8npmqAR|)1F4uVCS*XnB}fDNMV%c9y@uBHeQNd^s!qF(o~7kj27 zmx7F3JIc(mnpjZPdD^R9j-DAro?^ltK=Gp@NTyj;RZ?v_wYoZ$>xLR&NNDbpzT@so z4~(f*p~Px0sE@@;ZXM(nM_FFAFo_zD2&a0P3TTMV8KGB}R|z9ZV`dDtK&+a}79e5x zdScmtCh{lZrq1GXpLa-%r;M&Q5%^W$>i21&o5-gOeAjd$DVsbq+TWf^9P}O6w9^Nfu5cxtm1BqyfU`4fqvCpQa0g1bhJZIG zO;xA`)+@q89g8ZQNmeYffG{ySrfvcD8}{TSlmN-U@VH#^`OqUyf7BK()hUb==LiF-%8 zThcAqAAi@3)+U~JN1F7$ho>~ha@XU$uCpsT;*vxnp=H(SBB3-ip{#O=AT_s2?bUSQlC_xAHQiQ7Ef(2A2*8Hk}vK=u6QQ1Ak8HGKe-mLlJ8bSPp&a4f;6wkM}S13^>-k>^a3wzoD0;}jJ9?}?)|^3CLW;uw00bTC zbMh}dmo0XHyEQ+XtyB@{mcbP1u_c%g6oyl^x4s#Mb-8m}D#x|piQfxzM?KCU%p=S) zjKYzrNj&^lLx?)WDCbTVQ^+_r!tyTe5@WsQ4j{5x{1(`KS>;h5jbymTeQeOtL@Xu;Ey!@XSo{#ykLN2Sc@mpry8Ff`;r4G=N)8~VKV~(f^r^8WQ;`& zO2F=^7$7m)#>A?UNgZ(JRNsZidYQ~FGA$aONutc>pND2~C#hdh)~8RU4wg{E>uw5J zcG7Qa5r`@b*WbIvOiT!B>*hJsVw{;GXjD4Lu_LkE1Os;7?f_y%wGwOq0~6;Djku;X zTIs&#hH)1uGa7MOD6A}tE5}JErAq+*LpcXaqOz=;6RPK_$3Z2$HH8HyPM5yClfjXA z+Tpy9EryzhgeYXJMIq`c=8~YZF!dcFQ1`F}tOnSuZXfFjGczVUq${`L$g>Ox(jG#)&*~NBHNn*>;@bj+lkX}y>K*>Jat>S{UEKY z)k=*5tKDqObT*4HA;#`b`meH^*jx-nsDplLR??CocDQm1l=@v@4j~lPBl2;xD&8v+ z+Wj^n_ic!=Hn&7-CG!3h0RO|B)J}BfGVgL)@=O`ONAg3 z0XyQRrZL)qZaZ({ia2%y1o?O=RmiUcxo%rZuvX@7YDA)B6s9MQ;Wy}5Io*|jZr0dg zVC%lCh;YgX8B9ox%+G=w7lmyi3rTR_Yw$vQ#2#-Jd70)@q)iX|u#^bPT(7Bxj?3-r zJ*+K@+6K|wvArnB%i^OjdtjwU3TXIO@p+i|bdlg_#b#ME29}kdODlBqB6|?6ZI}_I zw!YvTM-Xk|kHh0SyA(;Yf5Lmhbo4DPEiHW2dVLh`i5hCRLnwBz2dN54>@ZtgH%Gf1 zBFH{-ubp|HJ2Pj^^CwdySz|;NNTXZnjR&x~H#@N< z0h8BKPc~ym8^=b`mGYzvNl!6})S3z2!LGvKreO;>iWlRw|z4XBF@PMN}uqQ@GPpV!!buTB@Hr?Ki3H? ze$Kd@KFY`2TDd$;_(-@iS{k3D#amG)lKLT*1xV#2TSGB+7Tt<@H8c?=YB-jf9ee6B0eTTLnd6Kj7#d5TrRwnnu6Xh(pS)P)*oizZhBxh?KivoTZ zw`0>0R&ZlU;1t+-%oE|_mUa4lCY{wIl`nl)Dyf;r^59x$Js~L|COP$_dly*@*VNu6?mv(ncBL5!gd>+>yN9 z3fcX6Kmz&4YxMMvo1L*P58NHf8rrAJkd*~;Jj^Y_LQKPJi!5z%x%BUC{r2yQo{(`B z8Z`x2VcHzYCIFujqO;V?Ugl{Sypj@FlX7p1_6Su^bd~UL>^H=U6|iS_ZExy76YMNL z?wD{*l=pI1n$l>y5GjH7T>1vlUF3OZbK z%3c`pk&)3?M^IT%>!+!P#pAua$Yn#*ab{Cuq+EPtbxyUUXnqSq>H+skY`>O#DdES5 zYNpMFJJG5)ob$yP*0wf=BsbjLg-}Z|Hz(Q*E(kT*jro-7)f+*XeK#t+d^5vCm>KLj zeF#{VvZzN6qh&!PmP?=PK>+*P3ljXYM;m^4DqJN#y}_q_Rh_v#%5>!q4%6`PoYV?* zks4xwH5nP1y*D-hu>$0ud_M}_uKSZKO|)via)PS_2TMN%Vyw z9h%6>TEVUexHi-3+olESELXD(z)5z2QL_))iL+4_@LSBhmx`$Bcv%_~H724VunROU zG_X*AoY8ANud(TE@GnNa%@F(pC8-*igpDvXu+OlSf zP=6w!Z>_FcramY&Qid2uv06;KRzSn1r)Gnv7=@3XwZD`E3JULXkt*W zQE&?H+SnAdhgcF0uwflWumbTrNR-%dH;GOghcT&IIBKJ!GWueL(nM9giH(Rt*E_Ai z*o+B?!uZw(}hI0t(qNb>IM$sfwGO98^P;@I1VlD{VY)?vqshe&2)m2r* zijl!L-Y)z?otUjIc*g65h_NDQ%cm*A_tA{tT4K;APpc`CgS*# zlgwN}zltKIW=)+UH{zoAExAQe&iw3UnQIi2$TFywCk0Ue7h%w0&Xey~rKG7y`3;!>1%oJX;zK!7*#@zIm_C z$oYw#=2hsk+OA;mh8yVz(vPqMpubyM+u(|iFFI_i1KJx7BXh4CrtdQG2VId!)UeZ2 zgpbgmhC6N45^jCXhTv_s2C0B+^*bz4rq??*w?7p#d?4Wz6|U%)b{si<>GJWD;v%<|Tv?IRB%!Hc^6IK$7SvbF(n0P4 zT~_(yON?P{Fh=Ctxerdb>)_}hd8O~+3E?_EHsC>=BdzG=PeFb4Q~up$ReIuev?e>Y_4>Kc*Wm zF!fa==-@ojm}diKsscRAFhwBQ zu5G=J+nje7h^EHs1E+#^5vHX{)B&Wz_u_tMa9wtL47qfw^l1g>GD9S)rAPxwaKvdB zFVp}%@e}bBTa*|i_}^tYYsqtnfi~N{H-0Yp;mf`&;VI~{*=VbCDRg}o=+NnSoG>NY zO$(q};EVdNYhucqngN*7-RheiTQC8)Gw6c|b8WUbua#V-$AS^3k1}!y{(1iZOmBfP z2T!!$h*!N8?;o)5fkhX`MdQfpS+`H2^gT9kNc%=MIAhs8$Ka{4zrhE4ReUmDAv`%C zm=U4LxGEn=zfccbb?twAQiFN!S_*{dHHEiP&Vwrw)UZjvrHTMg`fZQw_QQ=ry4p6m zSqD1gkLuDu7#o5C1PpVnje>Wx^DCLfe9=5pPSni;#-)L}o1M2EN8f8<8l;5bhXO8A z4mYJGimL%*^`G0Y^z}WkJgKrm+&QEag)I|ZEWqjmuKxhh{rG9RbR|6}GL0;PK?(Hi zJ!kvhpWlwQ%Y~Vj&VS4ac$^=m#jMG1P;D$ilVPgI?KZhRP8XlbWc!Kj1=vsdpcZ$@HaR{tfw}BZxuUyA#)=!;=KBXsz&nk#a9p!0)PcnBKA9- z`kvjfQ;MiZl#i|V6dO2k$(wGLy|G7&(Pi;I>UiiR4YtGV{aDRZS+_Y6j|QlJ9_4=zq#v`% zlL25~IJ$qwe<0=Q{S?@t;65Q4L61*GFWhw{m`N}H(Lb0;EW$}gPZOw*lEvmZL=~`V zVblvf$oSxlIRWBypSeX;!%0x{oLO|x2qTVVXml!pr`08e!(9DP0NiXZy{>UjR-kjq zMNn)~w(DDv#5Fa18cB7Q7fBU?AlZej(5dO|hl-g%+UgMA+50Gw@WtyOM`AtfqhYnJ zZNE+@YrRs)BFnd$tH~3pC}LFF{Ry{1J+UZk>bO)6&hvQbq!GJmWGpoywd~z5zxYNV zHZl-(DCRw`J1G6*BZaE-$_%fFKn+g1p=Q;%EIl_D>9NIg-<9G-7tDVet+1>wq(+$x z#_)Gg4W{x&{eHvz9^(Wi1;ehZ^3wL+_q~Po-+uo9vmHtnq}7nxf}{`1Lm+}fdFi6bN1tb(|axfoMn^Q+}UxfVUDHafkPICWnzPS3v74WNgLaLa&X7b z83Yv>5u}47_x%#KjwK)$QX2ab05|(DZ*Q{=8d)C0W!l$lAiA>m`D2B3c-23aw-1axyx%mE*i6_+{+3;E!l`qi$04W(NOI+DO8}0rp++Pt9nD(TA6je!R zk#7YW{7S-=oHbg6nOLJ)ZEd@Y+T)l)G(xO<{9jJNtFp z0~bLge)82(r=6g332R%*ek!G6vt{)qmq~3(SgCH88|f#o*!RHwX{m6H_A=4Kl1p(G zMVfGfBW5(x!m=MjI5x8NQSZ3>{#fa$e5YO;r#B2+bk1>6ZN_s{a6NIIwx_x9t7pS@dp>`(JQElf=0CUok5q2wk0` z0a105Oli?~-`f2<;=f^0z+eQ-pBxBy0pQegv2>%!8b-!rl$$a30NgXr=~lQ@=0S)I__kdhl{ zBHCCLCr+&=dyH4tXH@7nu(;7XAbjn}>)+gnAKD(Y&DFL43b{4p> z_~6;Rs#TSD zWu^RhQV*Iu(aC%<R* z6yi6Q77~e7(MSv*(xqC&9_mHMTseSL5}*~~`F&99{2`pLqUHYp6D>syYYbV80(OmT z6icY9kf3@&AT_#Nn{Bo$sfc+T2=z|sHU~AY+{p4!D<#TTHk~47E2!IT@KnOg(;1x{ zN>_0Q0>MN;NhAW+Vr+iv4Tc64gRHb)H{}|xP~cc38_pDgdy)IPoJd@w7+79Es(C;p{{W&7jseA)e}b@M za?|lpXD{X1%ya-;mLqUQhkgCF-+T+3fKrSxB4j~bDYU;Zqu-xg#2Ro&n zNz2+PTigOz6$(EzlZ-|a2K-A4rXkvkt)RlQ4n}ZfiMHM;l<^rg9%YkI z)F!5qX@rTXiL`EoijsF?7@M8D4!1ZXqaRV#h(72Mw9kSM5kyfAjY*mO?xzRf>6SUT zTCOPUBuv4~p8lxNuHM!kqaKG(+!s`|h0O9oTb-F*MoYvwoK+gU;a5Jp_q&n}{vd<$ zIIm#}dc(ELWa9ENv+*SsVj3YhaGOnak{yxnzDKk21_!b&%YK+R!+&e1Dbco#9 zRmHYjTELPH6Qjc<5H4)Aw6P>KWVC8Irk|N!E!&cCRb+V*$0laaAd+QmaM2fLCK$H- zq_F}MQ2d&*k~LUj#J(GlZEjGjDn1-t#SU7&W9M~jUF&9IP01$rJDcu0@44!4itlA- z0IQu;lPXMjUgza5Lz$R3y?&jOnB^?8D3Gg^Wk45jRI>xq($-%2Gij zx@>>#lzldUsU=~`%Dxpvd_XY`C%$DIAUzu_H~P!YrQhgHVa54FBR{{VMfFKGj0y^Z5><@>9XRro}jxlfsqQz!z!EV2`R z*SQ;fMb0`gTmoaTPCAg3JSF4qOyYQ{j!Q)snn?2+X+o}$PpZI^djJ5rxfpFsUhtQ( z7H%!cFS(856E)>tUrCu}JU>FT)$JgpD^TRjA}S=2KsWNiU=_##n&4j7#Ag@*gj0Gw z#7uh-H6Sv0I@XcN^jt)D;f|Qz<%t*xu-x z&Z(Fs)T^Tnh}<8R9Hc5Qb9aO?`fRH)n=z;~^odP7d7f#E#>E%|8v+PpeIO67a<(9m zhS$pGka&2gsf?yoV{5YJreN-@7Ee-^1Zo!|>Q2O7`-_X*U{(cBUt4ipx@sn`kztKl z-IxVY)RJrm#GZo>g6%a-E({WE08+Pr@_Jrb(o0d8=}C#+_irpO){THRF4qHl5Jj~N zRCK$gqLXgy*8v-c4UFfs4Wp6XR8N|rYw9GGMwNGF0QLmj1B)CxGPDD*QDS0kqV7>C zgx}nC_Qc^iy;#rm%>z-A1i~u` zS+w0IY<4M^pm-AS z&s)aXRJo>SQ1Rt6$W{tf0JMyR29PnhM7`92340!ZT+3Gi+U8BUhy@viWH*{`xP!rz zJWt0>l4R8?nSYwiP~+6p@v4I+n+*it@@l`D_PvG~h6FpSl4Ek8T9A2>Zb*>i9uIkO z!4&aP)KF7TBabXgSuN+4k8$cztGA&=wivSN!kwk8v=0>nJY{$)rsX{^@{@)<*y&XmuM25&pJ|*;!4>k`3*94^_E)lvs)(;#*=iL%W2zsh#lMWK+dmIx;oESqQP| zf7!ombE*JX0JBu8b#Dinn7pm#r1hE8zG;|C6cx*+YLLVjWw^Y$RZgPGtrXblch|j$ zF;kE%W4US#Ce(*Uh|%)9n5T(os4A%+>2&fSf@1QZo)(OqEi#4*O}1dFuFT4MY&a@7 zkPiN6u*efL-k+KTQu1q;%ntgK-9R_c2lhW5_w9*u-U=Y>wDSztEX&A_u4uy@QYdq4 z0Ki{vfEEB>a(CQ~o(P!Vf@xf;{x``ogoGL5e>4V$ILLN&3AEC2;+^j6oI5RjTsy^?8~~c^wg|3@4s=5Hlt33BYUo{Mb4fO&H~E~Wjfi+ zAidLe+>QSLG}s+S({A{YuQzw0a(st-B0kHc_EG!+Kd#4aZ*LIhP@@j=M zElnu6)LYGsPL=M&8`*Dd`gFv(T6@QVNVt#8u9i_jmsFW&rSfDlF%mj3a99fvY)A*C z#@IoP{BDtPN&X<<%1Z3AT)Gja86zmm8x?I0)GMu-E&umzM3kgx>#c68nJDhb#3(d!Tbf*RRZ~+(jV~H(=Zz|WZ7(}MTsc+%u znvKic!holyHwMIRFeOn06{6_s*@0)0KYXv_noeTEl~j@u z4DmdkT#n_3mddOZh_fn_q_xRAe6b_zLAAoXp+?8D0GNoM6(K07nyE=(RF21GCfjZ; z@E5S!;@D$cMf6hS17Cswq(EE0-loZx1h6Fz#mXC8f(h$$ZLQkl6PuY#Yd}ekDB>Ky zhf+$3g9oQ8vYiEq2S6l{qBGr`h{dkN0dWmd8ZJ$U^HMgRV*`0jrFS0ipB6Vr6q!{*#Rg&Y zikFr*0pV~%E}dUhh;kKdPMwAAhL;fl7zff6$0!6CFaWxJ7lb%|js%E`VH8f&s3tHL z=FET6+}NLc3+yp4iPqlije-z^P7!W*@g%>ioV!AYASwwYNk}~m@yB&h2_QwlYbxzLZ&0Yh_Y8=l^3<6a~MJg1ixda^} zZI}WrZSRH*I}V;wq!@<*_r?6sDe+0mX3DcH&M%`BlDax+Y9^IqD@`a3^ru;M>K7VW z0+|Gi<O|&@deep0mJ=x+o#cjQHkm0+u11xGYAo8jTT#_BsIDYYSTjQfvi@=^DA+ z92BJd9202cm`Sz1i&QWEv-SS~hZ1T>dUgbArx)QGtfqXPiVBHD5=$heCMe9Lp^UI% zrr}6C>~JSY2ZGYkFieh0;k6pydeRNh!Qt*?(eQO0Wkk*^Gg_jl4Lm8P1wgHN9e`7= zzg1gYBD3Klsyc|)nrmKYK#ZU1 z1AQX>&i?>2wlnS@vK8t*3h*h3;GVu_a7c7(ExO`iWqRQ%6Vv1klh#hcz!=J(mGAZ) zw!*6YJJn5g!%uRCTu{O4W+QRtKK*vNwk?I1lgzmHrv6eJ=YvA93*%UiYn}Rj{5n&$ zUTSPG<0)$;wg5V;VZerj1s0TMC~G2f361+RrgPFFAeXG^=I!8gf{}` zR=ti>HNUxFnqKJcT59?gS2>N?-*01x)=Hx1$W82Ulwo>(UB_G`)UDw4Su0`<{g(d# zVZ;?QMc~X-9qIevN{Y74V1*gYGStMM2fo2R%qh!;46A4R^RQ7$cuaX%EA<`)-BoXUcc z+$FS~GFw%_BrS!-t~WQ>blVm*t4UNi*YU96pf5i-GdySpdmH^8N?$5ajUh84#!2&I zkytYNO}6!HG=qEK(=BNe7ns)Sd>b;lG&^@F0~~@mS~%KAd8GBQu?3Boub4;*cd;N| z{jY$Cy1RI_myH^_)FOGI*<&Cwi>2e$=mV=*mW;6sJKs%?9`?YHp{7LfPp>ZdE&fPR z3f$IKp~>NpMw66jDkqXts6kH}+s>1qVl5nDg~+fNfVjjB2Cm?x8Ck8)fDKRJn~rO7 ze-Gu=vSs`^PgF$^k*n*frbGeQ5U?z9Ayq-ps*`pm?WA7@;jpJ!kr$niIGTmPKn>-o z^OXtZl71$ue=0wvA!cT0L!dmHyASB@I_r$>v7%Yj^6q#7fYj;bsu=*i z!xBc5V|N=1x{DuihX(guAy#Bw%hr=3*0P35e>Ov=Ha>5mR>x4%NV|PqFR1Tp1BR0~ zfn^)P1Q|BeaZQgGP*f{4Zx9|^o3v#1+SfN0zV_{oxMkWAEOuclYnMF8s^#@!O-{ro z_1K)2hD(B{Qi3}h1JqpaZLu4Z?iA0VmYXq1b7-838QILR=^KD4?`s=@j?O~5Mf=m=z|@5!s)A~X73&1B)khxD zDO&gYw8r#BvjQQgmMEUL@5I0 z!vx$b_eWc)=xtkGo>2pGtXk6RgAgS;{sw90sLkr8bRevhz-TD3*_FW-w&VgfO+6d7 z*yyMj;L*HVbrKNupEf63@4*VLcR?&Uj%Xz6xs1WT(`tMF0Egci3LpuMEU}#~;&RV4 z!8~OG-rk{cxIM_(@vgwGmbM@b6F<-);UMrR5aRgRVFNdo7l*yC7gxHMTANsOiooqh>F z;?I^89GT()OO&*~LY@&cOEU(ME=Xi701PfR+V(a#JK&nMdbs9#2jGmsyf&ab45#bGFxOvADF*J7G5Wnsm$tw*!oZW%-rH@8 zPKthJn`mKJbdWjDfc7>0qkT)O`@wZ!V@;H;FPFCv|P~ir)l+g16 zG5FrJDI^MsH$6`Me%`pW!x>=i2ujg5QWKT|B;iW+WN=he9eRmd`9n>>>HQm>i6i5R zoKwqd00hpqQx~4B4rHj88kGR;YhwHeK)A|${64>%ouMRxB9JbTa&9)g?f~hz1PoMk zlY?o=F$5#9j>t+$b8eW^=k?0M)|6hv9;gpdvBdZ|>f79-t9gzo=j5#_E=8G0Wb()+ z%6AR;Cf@Ez+DZK3Gm?44qSdO`A0{hDT&|AgcpwFx(rB z?hon0B2ybJxGHyYzn?t7;LJV?7%&eq$R#FH!w92ama?KOlnRu+@eBK76sn4?(g_Nr(n%5TS zS!~w#-+V|MTAKVpc!E@Qo>p&DU*E64Ty{{JuaQ*9K)%CqjufGH4;I%2xShR?&Hn&i zIFN6DnNoY3dCbx9hEnRw=Cj5nUl27VK$=gGPBl*aD zwE$Z8)Ij)ruxCd0gLw~tSN$gV4(Io($VA>w;(WE zp5y~z-0gsCd+a*{_Z6k+heKZ8AbdiQ--Tm8jyB0@7E4s8(qwcEXH{(hNds^R2G{Fr z;_%v^d%opS(g!oZ;M@7314CWsxf4`MiUA<4-t0SlVQc&1wZ!a%V@B)WGU6wwRfaOl z3KCQk>m=Icm~}qGpdCAs;bfe1StrtVl#)r`3KK|#yn{Jz9cVKQo$4v1gdllC*^+(E zq-3!QN7cUj5xy83I_iur%i5p( z+Mz+b?z`{DdEXaPL6Vxvsp%teZowUxHeF$dx6yKb|##*D_}7k9c;8oOLbNzAX^MuSn-*+pZEosiny1CgS#M zGO63Sxf|GY?_hD8$1+}QbPDp7T%oaP3PIB3)fLgntx$(XRSO=UTB+HBfUULfexzcC zog&vX0Cf_Nb}`kaU2qMMut{1Vf72ef#`YF@&Ra%ZTmFnFOqDHs6StSgnL)Mx050F{ zZ-B8oeWv_E(DYgDAF%I&D4skeS#k=*U@j?cP51iZ*xBK1$9ErsqsP1UM*X0xo0^#- zW`WeJM{)77^?uw?g`_titsVr+7d5LfyhdT=N^}B2xI#hN=VQM^ewM_PS{&gzU*T_5 zOUwkUpvy#nF;MYGU_%sJ0&GG2>u!BVp|!}iAPv3I2SxQykc2YF7MTzLWD8>2Ljp)7 z9*1j#WAO(ah$=ai>kkgnO2=4YpnYI8n-hDTh`#!7up444u9IsoI-H7@l!o$UJE+uH zTI==uTiU~@_}>UgU6u1ykyF$VY)B*1Vl`NLu2dUtHr)J2wjC?0P8te$V<5$>GFb~; z5$d^R>H+L;eXqB-K;3W>w;wL1u8Jr0d8wc@Z0yCsy~qfmR0{#7-?_g1G0|boHsr298RZL-&88^I!s@0n*WSPeAdosW z!S=@m#I6o%Z}=8(_i;kKMeBZF&BxmnSg-q-_$!7`UuKS*r z{lBjV(9zw>i={Mgc|!9bmJ=eF!v?X`E(-P9$FIyEzZKY@bz`w+$=%CHQY`)H|c%5s?`5^h*80 zNoEpD|I$C0Ni4!iM;{bO(qwU}{bN}OA3HU%*89V~M^(9+M3)s9&+flAjQnekSEUM^?>I zQBK9Lab{~@uE2Eo+Yo?GyQxi6b7a-?tCYEC@@}lGGDxw)?+i@j#;>RAg}>GvuZrAW z9Ec#9Zyp67hOO3^um_f?2Uf_)eK!~=89>w!N_}}Z;o~yq>#HiWM&jW?+<V>Hzl7Sy4@0Nfi5j-lA}-{pd`wSsj%iYGnbhyd|V z_X2oZ%XwW^b1bs1SnDiQ$od=4Tgo^qd<%o{D*eio5txvHrk1L+Q-cj`dXupO=Q#H@3gA_R9K zju^P=d!;F9s63S#bzMliGiqaPw@N&CJKJmliN2ZweF`#$P~aVM zYzRYNfH}ciL06aPWkUrglr&n~17U82i=Sc(9s7{-K`tACWJ3>R1N2EgtC^N&Urgfa zx|W2LsCcA3PL?5nV0R;PwXQ}vNMs$#Tvcr6G;BQ+OXEJERMJxkRhCHVXN-&Lu~^gq zZb=>X8y=(K4JQKYPX7QD{828ZPR0m3>2x--P(vSX_`jyGn5Eq!&2xKp{yniMRHp0p z2J;<2>v8(=!>V@3i100&VFlN*)@< zlQxYwqUTrQZ--_wuoVu>i+{-kR}MBVO@TwTi`?}V7u;ayI&zB-Iad!YEteRuo-l{! zjqX0kxYs9&YCohT={g0C;{1-5Qp{D!*xcN$t#fimaN%fnY`ki5xiNAHU&?)Uw zFQCfkg_YA2hHOW28*0Pd< zlg);i-42koO&^;9G9DYl6fOHjyT%LhW`LuzP&LAdj^o)lXGX&d7{}cBwNii(FFJjycL<2S4~Y& zAgJ?cCYjYFNa_CoFB*$oj0)LGzEdpe7^cC&60igQa~i`pZIOvg6r<))^B z8DwXd)2cC~BE}SXN_1H23-yEghTVX;bx0?~?vWwFK!KoBfACheoaZy!V@iYmIN+Q< zg#zz*c&R`5L->+@5B^T(G^`eSTFGaKpXo*sh5iSjw@`5dpOT;>fm32eYBBo5;VH23 z3*%RYxw*lum&Z>tW_2D>Xq_yS(jf(;SuR6&2p4i2)u((a;5>-fgW!gvjqDu4jXVCi=8B`>}`iV{a}O1R?)0!Od`ACPlLEe zlKd?X6Uk8$R8>k{uC%V*GXSBQ%+tJrUH_LTi6q*0qVWL*o%C630*{%hXWVg zOF*^6$D<58zo-@N~Y2LcitB( znmn~mY&uVxRn$~9DwTwVRrD4YHd_O8xW4xJVYrM_+~9565Iff?VX3jXd~Q;QlKvQ% zJ$l@>lCpRx6h%E$imCL5C>}M99@kVNN7lCr_5_W9!B}^^Q@b*ij#+z=5swu<+F~LK8vzhs~DXS)=6(yvn zsU$h|s~CwFU02c=Y(m>m*zL9&%Ei|zzP21N_$N=9z9RE}b#VPC%w{09{3* zymA!=%Wz$7Yg`k3$i%Ph5PvpE)|QZX1U*_;+>7ZK-rIGyCuvy+GU?Og&nGipcV?00 zSz3Nk?qrpL5yKez(nvry1KX)200}s7x-yjs)fViB@LuHfw8~}9D?XYRd18(=h&dMY zp}P^=b_9#`!yioSRqZ@88^sNO5ndd#PY*TeOI}u3rquM+QEalRkPh8d*5gv1hoK{U z4Nb;i>V?JOdcM#y3GOJ3^0&bC2QxA}t2)iA8mgwEo;jJkwJH^b)-po^;dTwG*Ebdz zg!Eu(mN>R`14VH|9A3d7JArk~Cwq>(0OWkdpXoK#^{UD&kwCCT1Y@P6h#?9sZN3;G zxF*viw|#5{(;VROar?>y@}HP=-VgZSGvT_)#Mw*CA(Eooqb~8xz+To2RzbbGkE?7S zgsUSdja3dcokQii6)pLz;g^Pa-CUW>%=)B7CQ4^elOP)wATYhQ)GTdpVSHAJkudW=ibhYE&eYLI9Fr1&YnUMfEM(koa9HkDdtV*G4zOEcF}hW(=^7KMRuAKz zR#S6pD14%%veU>E8w>qWVSDXwax8v0b}olp-C{4|ttdNfh>rzbj&X9d^1ij>f>TvR zt)oe7JuIfg8(b0W+iD)iY*OH{y=P_CAi}Q1;j(sx)sneo!!S$2*=-#w>4fEwMv}sA zR5T!KjP3(mk`MH;e(@GoIC29*ht+?BAB3E{8qCZ{#^ubBF}dnZ{PB} zTy8byg0DIFIv!W&_1Qc#{Us?Tc!bsfKAzwWz8iPA#5A9rc&aRI5l!8l5;GtvF_nL% z5x>IBrxL$K1`Kw!rUMoT*@0bm`$Rk$RZe1)(zTAfIe z40lS=vXMF+NE|z5*EI!Z^y$3Zdp2JorSWH-u>9$}_51 zCQUL$R}f`4>Lg#6?AsSudZMg3x*ZV?8A7t3HV&Q=uQ%yMKl=v7&2!m3${aiw4)s{d zd5ZuocWddk*Cf~tx^?Nd&j2<+5U<~3E82#UsIRKSNg9UN*za$beg6C*bt9dB1@NQH z*j%;l2g9bv_2ZEPy*FKw`+@$9D^ON8m}LP*h1AG(EzX;UwSo01)ozzKdl)z0L$c$$ zQ64)dL&SFx(aD}uoVou18fAHUi0P7{q-C0wuPusPc|~=!XGNG44t-nVzNHgP!H*3i zin3u40QJ>8ysG4;ZI^J~Tc1@0r^)Iw+IcBpAUuhvFh&f^ti;3=05zGHSyF7+7-LJD zkN`k&1n(p6MODKFjN912(lqm!Mpv8_O_cBre3vnVpww6r2pW{JxZHQ?*x=gEhFe%v z+PL>B`|}%^75v9>O$VOzuGVd@}nU^*z@sW`2nMAN&BlBq>9;$mc`W?Ct0 zRb%xr8z{Lp7w87(srYVjX((dDFrSVG;kbEe1o@3}52Xn7ppreI)paf|N3W5qknnz3|F3 zNbNfAGbKK)Y3z*#f-JZLDVmHcOB<><8c9+EU$`W1_F%w5uc=AVD|I3<0B$Y%u;pAr z>(a&alr3h`tS-TB0XMKd#Ms;X7-8)$Wy^IU_#c>1aeX@EdBR3U{#49FWr!OqI2ST) zXxM{tNZg!I;&5h>FJ#HoD@qu$22*)P%fWXQQOm<|@X3UnABCJK`u>6Raj6G&TcxAeNOFb z+V;K4lASKDN+sY-ge^JW!`YvSo->NCB%IGrSt3)@HOizBCs{rV>1hiM%Y1E$+E~2x z3r&oe?m#)=<}^fm#s?D8^52(rbTxCCrky`R#LHH{Tr;h}m#-wLU(DeCU0mYT4cqr1^hIk4VxjYXj25 zNH*AGnFzA$dQFFaLc4JaHFP@NR1GBsVij?<2)hMoM% zSaNQRPyR;D3!JwX=Sd=0_F zO(Uvz&eZ<^Avs(z&8*ji;xJO?(nf|vnbb`kjHqrH`iZ&kVlUR$0};B3a&YO2V~>(} zbAKC|{BXJ&$bTspmmwh~GJq{-R6(qP=>^!@=W%n6wS*bbIZ6wgcHl!{>t97IPDbHI4j}e9?(MvA4D+2ofQBMJl@J0PEFoZ(tVNi(2<5 zy}<2kCD7RmPDu+3ZMo@=%2{D}qTAz+E*0t%R@(vBe*XaB#|mg&oTD^+qCn9Rax6ja zJ-=x7IOBw;GNGn(4V1m)w~4y`RZL`}k|C-$iQ=dYq^p%AE2{#g>Ih{hZ@%XPns(x} zGQoXJe=|4J|d)!jx744da7G}6(lj}L~FXtSPf;I>IYL6CsPn?Fa{aT z#7moaF(~XuNU#9}Uy_cIXB4m_L=3)Epn`(6K+|w-Z7f%C3AbLCBNhgcRpX_ZxzoLC zWs}j;0~nG(s~~hAOJ7MB)1`p8>vPj^FwU&(r*BEH{_#(b`8dp}6eg;r;!}TBTw%j& zEczW|xI%Xd+N^HfPSHCIPN~%TRfApo)3w2VIkTQxC@7TEf~8|=+y*Kb?piRuVeNLk zyKlZEr$;Pzu2$(LN93s4&QB6`oYZgX=ZVLf(|J(Eq9%4Xysm#MF<;CM#Z(h(T-?5_ z4OKmEku@8YvN@2WCQ-xHd}mgW!VI%Y)&OpPXSIOe;08G7h6y$SSJ9wq82F;m;LC#O zI1`AeGSn#b(!n&7xjwH#5*1YaMYDi^q<$SL=wc0OoskU%USX*gSn=67KZMUCT+Wvv zi26aEuIIhHxbJLT)N~0_)@FDC2`1rezb3!s;mAX5t8G%g8HTJ~noCKoFBq2{rU<$gRYYVB@%FMy(XkaS# zuv-Ayck6rnKaKI5#9hUDCq|sK+IcBS!}-q?a~cUDqgm?K0r^Hq)!YNp?`!JoZmqT( zP4B5@k41UbDE-7WCKhqN{hqi}Xt7}@HW-rFEOJ+|-D9W@mH05)@9FmPQRRm5vp&HWXOcItXxZvOxrbF75# zn-|452+%v({*pTdu+?+$)AoGu(^67B7zXPzjs};8>R~a(IJ}nAA`sS64f+kYx6co# z{=q6QX6haSvDb(?oA4HKrsa-PCAQq&G1~@Fvq9votGdNjVfY_6s7I(;Ntz`WW>hA_ z+>W>l+F3Cwjo4!C5=9!<3$Y;{1Dd?q#4L`P5?zh6n;5Y*8X zg#HLS)TQK}LsOjQzmSlkO?b_fm})>J8nlKZ>UwT0#^T^&qP^+5I3I%D3srA;MmLy_ zjh;>eYguU^Vh-RF0I~PnZ+(r%26M@1kE+X$vJnNM^I}QvTv~j=GYQf!I4+mJmbbcq zJxtfy)*`@aBIBj8V}^60J}QeCz~kJQuMs@>%s4uc{D`ULY|00u^(!;SZ%Abpx0n|8 zB-u#}FKc4XtEPC5Bt{Wcm9-L19QYGJ7DfIyCN zu6FKD?~lE#+~cWiTUMURSf)5JH-V?TLQ&FPZF$AM>M2}1Q96HX!UAi)%4wqZD{}!8y%ld z6h2&?vU^T3JS1I1tmijc8X9b-m6Ay(W}31?)V!M&cj`etpf6wo?Ymyc_6AX@I)kzu zy`v{VvUa9|4hW=Uwe19_-i0fiYvKy#!_BDBh<2wQH|~z&3gsWazOxmy8Oq? z4(UbUE;eCD4si-XgUfw(H{A;{Hs0F--u7#gw%C=YHmiNAh@N1m z>jMCdj{Fm`E@fN84&X3?q6rF?3Km4&(3NhgP1$TW78W-cbB^4R4LRC3@miFgQb-S! zN!#lZph>7PEo+O9t7{8`t7BpyjSQjyI!2*IZ(-L0RRD?Je<2q@w&TG$>5x+JGuC-^s_PUpfJCGjSf~hqY0^&Ri5D74?l38F zbOJ9Zw-DVl-AvyK`NbA#N0-e?D599lC!Z8gBS=vgc`U`gvl}(Zw$~tTF(Vq*3yC>} z;#>y4O1l+V*|ZTGaM1=I6G|cCEcF*kh0P_fTZOi_=o;qRcj$3_PV%wcC}g*NR9k1Z z*m+c-FR;Z&?8jFkQqP2hW;%zFQHWIwtwG$A(*5xhj3Y3t4|E<1Lh|>+4q?q&xv6NH zj5HLlRjW~CbyN>!D5Qcxu+^k$7QajhQn_QA-AjymO1-6qV}$4eY&YvSOosaSZO}`wjTI3qD*)E6m=*uyTB#_alAKkGA>Tm)>Sl4(A36=G)5eNt#U$^ zQSW=5utf)oZ_UXvt`NDfIIkZQoWZB&R&5PTOw1ONF=t5bH4@r=4(hhOn0;W^7@HAW zu8g}%ZW{-XoXd^DJdLg6Jchf9X_}bR&^$>ja$n3oV`)}Fq@i7`MZo}G#A2P*W!Y^o ztet>t0!E~-tfDl`AuRAy9VK-sMOI;bCrATwFX{k|x9Nz%AgJAjrdoJ_m~7^pQ%@oR zWfCw0;0d-Yjn*-%Mim6_(;P^p7YxiJu7R4?5~opr&KKJeRy~v4Q8-x6CyJYV*5n5g z^LnZW$tY^G2%v>%X3<$o9O^U)gsga~b*S9LXF>!Az z>kW2umQ~VHtZoeURtj3c2GGnxo`l<_@MlQ}RAB+L$wfmA)Jbvjx`q68NMz0{Ksvvw zB;0#nvAMs)b9^0!J%MPlqIk@0#ZFF6@&}0XeiN!O<#kRg5#Eu4X%gyNY5umf zdZ-?jK`3u7RkK*AHy7-Bi*4+2J|3B*&<_O-aV0;#8+mz{agIxxtsN}&AZiCfh6>(O z6x#Z(eUuyY#ZEXE)o5tA6X7b$2GP}-=jNeQu{M8Q- zkfACWKvq}XLjZ1}t?ASNZ;sf|(>bm*L)XE+mCor0%ia`TF|HJnK83Mj*0}*i&4=ju zk%r!_n&}lAJW29H}ZOJmt&OK^%o%szyIps392Mz!A8x`4e%Blln>di^(Kd zKo$!5xETPqeFo{0=Z0Y?15;CF)PP4Es{5kpvZ>RhcPG>=Se^O--v;z#S0(1~hQ(AZ zZe1^`i=Yss6Mpu_o)&e^UJ6SR2(_@1D`ZWk<&ML)I!a({i!DZ!&UffAmvX}W4XfA|oJYC;%*x z+S-`>VA@5)d5KiAO@ZvJy6CHzb&@WCQ;Q9D&G?qq<<8nYK z*RdOrb_9OxIkysos>4j>DK`Zal}hf4Sz`dm!=i$03HBEteDI2FCBH5CXPWb7xpLf^ zo$9LC77?Yss_x8KkQ4#blWZ~W41jkxO)Vu-Zeo>6wY|mmBiM_B*y2*s6gokB2e zbxrr{*K72+8{30W9|QErqlz0(a^ehThn^!QixW#lp0GSQ!Dg-lAN4 zf%^UNdkVaj=QNs5+hNJEDN({TZ%H#qmbi_8C$_DwJKT1|3Z8>=I0Y#=Wj1YJN%VLu zY1%?rnKd0<2A3k>Z*3#zh_eAFak0OhZppQ^=Y=m-)*Z2bXR7W)fSZWJ0vN-@03=Pnb0Y26}ErKbT2fNYN z?Qv^iilixT;FhP$}`uXYcjsF0HfN@$6wBLwY9*OtQ*muC9apSEGK24Z78#Nz8 z(E7kO#@7yIhq(L|7CO}jGSyywA&va`wb(Ih+oioC!|ufs#2au6ObXZK;g9KrJ*{hX5W6Na1Q;(8b$n5#|7S~WR29t zsaTNe*0Hf20}jV~e}LjSCseGuN#)i06`N2Wlx+to{Q+i)Wk4fNx9j* zkM0-yan_Jm2wIY6a?>bVv5*2|H|SetvA)EMZEKP3hUA3eVRGo*vh#4|Usk&UMatNN zw%c!R`+MQUMblT87}kpCMnFPn7EsQ}I$ut|Rm)wQwatds$7abJl{fqgFgd*d-r=i{ z!}+-JPm2ElxsQUl!hhTk#ZI3Z@)Y3`qoJyT{{R39{kFmMG(F0XrF0$T3a%P`Qgq+= z-xb)c7B3Z|cqp3I_r_wNS*Hu4J>bEuo@HS5y+`{@xQ_-unN<6o{DYUKbVu+H&k&5- zBk?~^jF8~hAgzqvJ@9x}hh98e@IG)YH04MY+w z>N?xxe%-p*U12IqT;2OORdr%VdH5m)l7@&MFaX7#-uBdg$B7>e(GN~Vj~!QB%p8@z zW1X%p=+KuZ09BieeaySvO zLrS~wbwN>BT{AK%%O68TJisq|1FFZ^8xMP8BTTT47EsozT`(ZsPkvi+;*XZJkZnoLT8iQ>ji!Ta{#;fR3& z9MQVue$1+6l zU8I>6w3}aW2g4G%*;0k}K)#V{cem}r%Q`L<H@GbkMgey8vM02bJ5bcN6gOz}=xCT_l0tIJ_>6x)Ae*RR`uY;;yMOpB>aJi^v8 zqwh9hnx7=E(xp~9c4aIzn`t42t90mWeY;}2642%X3`>b3IjOL{la!9)G*G*RTdl~{%Ea2n$8c^5u{O9LG_(f^o#yo%NB3mEsVTK65HL16Hs=)NJZwE_80pIuXNq(ss%qej z$W})ZMzU_RDgJNEVHl1zy9ZKOmbk#i&<2uC_alcSu4V4LPF_QQG_I`7D!67;l90z8 zbo9w1fUBx73#~ziM2r}|fM;j3g*O^W^**K}tT{CYEr`4hfjwPx(4li>T}Y&}d;kQSZf#+?!0%>i zf&mvG=v*`>&4mWe(gkd9A4b00rz(xO1JB0N%!u z+ji+L7DBpk&E}r&X>grs%X0o6qcmnZ7`(WoZ$zzS(r&xzE$o1sn_Kg-UD8Z>A??y4 z2C7;V$!Mk{zr{o9UK?83w9QW$l?$V!fmEvyeGJaJl!7h;+S^#;C+$vY-cH}Rp~c}2 z9zij@r4~<;(s2CGEL8ON@?3e4d1`$~#OZRZsP+T{X4G_nVsL&9#}V1T9e6C;Ug~wJ z<|GM_c@-Ed^FPMa0$mFm*dP(=9*YH4V8oq(8}%gadSgpf!Ju(kXCBeuiNE0Xs!Y2o zuLKXt)PhMrS!7kZ^g4~gfB@)7>uea?QwC%ik->41pO^!ExF`3SJV{Ygs%Rj0h@lFH zNN@{kB-?*c1zAZpHnoW(74^t)jZDu018*f`K;$BIC$l#`3Jy`xMJ|?f^4=x7uA7UC zFjH$bo9%LKW3by0*Kw-LyBjfW>@VN0#2xVKx=yf@X|=;Lnm!r0H}O_e zQJB*!29|v*t!@l)DIT4{U|ERWhz9#yV%@amtrHx!@lb%;gyt9TA!ujC>;9CYap+a9%-sG;^{IQ|K0Zp0AyEgn5$D?Vwt*2kiD51_z5}Z@RvW)73 zFnVvJNHo%17+qPc(MbuoC3e()u(`QYu&crJld3#}xzk{*>#(!4dkZL2&uL9yr%u6+e$u<@M05N!5c~sT$Zg|ReNp1?~ClPRTUqs8ZI?8Ai zmJ!EMA}!BS05$~t2V6;*lszhix+@a!9%WO?t^|g=Jf2F5x?H@})XOuMV(g|sg`0D2 zOBf$!ABc8Q;R{KH6R&!#zZ`KayjPgiW)(!V)e}6DFuv~RQMcND><>+u0;I&$>l{xt z$;-I&HppWz(pA*K5j&)FIw`)#(g+tgC#)jM0KiuQT*g@?^UJuD5JOz*xbJ?q#6)J* zIyN^_4}ENDYeTS@wS&{YXVh)Q?}a2^loppjWuBGJM*)62f11{re@_g zADt3fx11bLPGq5zHmz{1V_>y%GLg{k9D)e;xVA1uLg9VYbKQ{0vU<1@vV7BqYhs;) ztZOJj=VA_ z*gc$EVPJ7xgnOwvW+dII&%!yP9R_A0LX3{P=q}7um37i>)NT*#-x|>d#b?_rbd8ba z@aN2&&w)5wD_RvuqLQ4-QP2X+SR0$0n}fBwjm5EBTBC&JGX^iW?k!ds;q5$SK@T5X z&7X*K`e~+A^B#p`XN`0dW^hAWwa-SmuogBrZ3dB1*^TX>jg+{;da=H!du5sBPYcQ> zqmZMYNz!_Q*pB}I3-{b^F=F~*);cW1HI)5ivD5MFu-EAcm_bnqm~tUkf|z45NZ*`*DJ_kiZB z4;4v;*$Y^cy|)ZO>2drVRa0~|Y8|@m%T=gd^#-z)xHdk*-M)Bv8Fb$1Os@49F)Li^ zEn*NWY%X@Uw|~0~mBFImMCOii;OH0JE$#t7tGFKcen@W~NyA?hsH5VG(wajWJVDHf zBgd)ZW2k8aouhJY%68Re+<-9GFdOS+vqQKkA<9n@ncj4nKL=M#UoWK1Xr!l8Z$cvw zBfgzQeOChZ1a$VR>ayvkzn)0k13V%5SKy zpb#B_x!i$i=~0`V5GJHqPv~>b80UGd$q0tDQMZ#(3njD@wc{iUSb_s5LM?(z8&G}e zy<@4Hp;^oy3Xj5>%}qR&OC1VSd34Ozd1O+8K-yGWNCY8bMaVY3_@>0T;n|y8krF1` z#;cWT2AvEX6zc%bUHs&o+$r4K3jvT7xUhcKQn3oM!d4Iegp4 zsr00PTW8Q0Sr^U690JcC$zbEw!Kx-x1H--CMkTh%R zMp6dX1b)0BRW;?qWR9rH40k`{!7Y5Ddg;}1ge>PsA3Og3*eX#X>Hh%acP}V-kLeXv z$YqWdRgNuMLrCD+ovduC0Y4V7J77FjeyODSBNjw$KRIYUKD1Z`E82qK&}l_5t~ zBEV}_*XjU*2j=QK-o#@*F{GOvyf`E3(Rhn^9qghPF?ddwgzFZbjpdO{DDUPdR#$84 zQE#jThpNMS?X}J34zsF)TmXVVjvFX3bl3w+CgSt;x9~`K>DpEb1(SOV+A zluZ#D!CgZ4+i`L~SU+|lH6cXv7D{?f8)@u7XFaSiDXyUb&d@H*+#fA4ypc$w;-q7O zw5bg@4U&4JC^3Co-`^4nvXdVgt^-E!U%vhDNHU}Z6|1F*=y2@HT&ik#c9oKjW?O=0 zK)~)a1-P}xZOOjY9Y=ajlX%Jj=4Bc(k(7$Thd+aTX^fpwb;oc^jg(PZ-L~QR9#(c#N0C8eK zJ8B(4?Su>|T5_c7)ev{NvHe(3qRhT)9q-?7e&4$Zbgj&bhw6BeqB?jJRFbxp>uvj< zyL@nrHs-@}oYs@zrE65u@)s>zdb0_XoAhS~Z6tN_)QjI^eY*6{yc}b-?C!2u;X$RR zQl4+Y^~5!NUCbY*)yQU^N?L;M!&bpoz#q*3Y(DPzxTQ!^fZHeF-6~&x5!jXB$nH1u zYi;xLSFiXmU1M(aW6j&%g@p!XOs0wnDVC<9vZ7emRIL}JGAe~&Y?pG^ViE#RdO!zjn+Fz-8(!&*6Mcv_ z(&?7wZ-gA~qBW_fs)lHbf~hnM60l+eYJE+x9;9u>`iqNTKDDtZ(}T)&lNa6;FwVJo zRm0iTIZbj>tVE%hU)r^zNizzf49kj&;ekrfj)Vlb+xj0hKVgJCzwayQCS&3I&9OCxD8Wd2t7sGD}Ig%W{ zt_dWJDv}(^btRh2ppC}F*x!5fwg}4x`g*Gu2|NV;LhjV~W2&a9sh2LT(Hw$RT`{n+ zkc)y;i>KDQpUwbWfRln3{AzXw+7B|6(eI~Z6?W%V?+s)7fVITGX5LO=&3UFD&h!DOtxov>LzC_ z?Ci$d6Azus@dChtcbPU>9B4194+sEgif1!Xzmm0tU4N(sbJx>i#@EIcr56T=#0$>0 z;88WOePHa7m^Q$e@=(jfK0ui!YKs93uO72x39)NxHtHDM-0yq*F@MocE@k+t8np}B zGl(K&Ys*x~0#|QrT`I(};JbY>1^YhV>rNx7qALgd5O=9%%C~ySDXEJrRMS;dnI?Ox ztE2(rP~eNX(n=xOoGt)x4yNFEAAws}6561Rp%|VsMVCHD(mhmku2>80E zb)T!+5_P(Yekn~f^mI+;=}77$WGvc)v0EF9sUR)(0g9ch*fE5Lljw@W)y`*t04P*U zf!1kaLb0>N$_m+I(n|s9Dhp~PIQ15}><$O0;B~hJV9~z3S5Jm2p`xyiRYM{CplHgp zhDA5JvMq|KjNQ%18g+EXAdM58%+s)qQ*+K2{9j9#P{!I&ZI8ruH=1laQOq-U9g^^>7s2V@MY1(iV>bo8)Z+O2R!!IDczBVwQw ztOmmA)=GmDNlf|}R5}$GP!yph4@(OvH?dKDh}Zz<)GjmPr)cwDlr-rLL{hQy0hL5( zz{&bKPzNe0$#qttpE ztazrEM;T4y)C4fbj2beUiCDkjXPM}w;dQ(Cezf+LX{#E=PA2T3Bt18Wj2ZPR=SP!cSOV4YD6 z=K~skAgXC%SQu0@*<@)W3f5%^SX`pql_V3mH`@4SNp50pMClscM?wIBwER~aF{231 zh$4|o>Xo5(W+b2I6fo80+=~Og=H|xYAnrOMK`k&=#|LMP1#g}_!!7)@(%N592FgJj zq2E#J7oqEi76<9MnN0+;nRK2LP6tC0gc@ z5x1(5z6mp#s4Jp@6u0$M0H%hrt1OmwBVb1MV`19+;m#1*(g-u-r-}{o!T{c9$wr=a z^6rO^c$YnSt3@IFWgr@rR2B@ufz-gD7rn-&hNTWtAFKW;#upuVV@IAs&&>*9zf<1phsCgt^{Mcrcff;KC1qjk~o!4!MAjwU+oC)U_y3%!RB2NVd zd{XfKS;G8VT}_ojXz8P-(2>Hr^*LJ=WiC#V!~##%xMI6v-jhSmDA$MYsH@X!#l~nN zFCHoi24#_p#Kt?7(jQ0VExq<5rNCW=B6;AZfxhd{KFOk{3!rINP}+*=)DHKty~yZD z+isYaWC&5_?LkGClzbVM)beIIDRuoKN~RSL5ess&9SJSL46ATd3zBWPzA9-rc8S+C zcL)f&z=+|ML4G8r87`N2%xwA!r@pHKPtQr)^Kl?Hj%Z4PXSqde_-m|~+pMqCr&ELC z78DyUZ91FS{+uP!x_mq}R>6A!WlQ^5u=d{r*D%xEi^F@vy;<|Z=oGv*y8x>-K^E=- z7TDPIu=sSve?h~TV|Sl`TH1yH?oD?hyl2w$-#Dnq{{R=sM>J8%ByxGeRdE{yU`B<~ zY;HcVJB(gFpkuP}Leqa*CJ?ak$;&D}TJfZUhA|aAY%$dc)a)dZ;!9tkEC~uW7u#%i z62KcHa8+q<;B~jDAN? zH3264Ds~4>M4;PZYe@k8kc*F(--or}s=AoMCd1W6?+BdLmp|rP=JjC`Owp=@p+%G# z_wlc8^431=SXJ#e zxcpPG6z&fdC%h$alzf%t{5ADU<-F0$n9fMwMTw98F+l_4fp85DCEQP-R#=nNus7IP!S1l}_uAYENu^@Zm zj}G3dlnqIN9Ly~y6bi4*bRDD0E{`8OxqBZlIA1c!l|Wf&-60>*Xx8yt_eRri5-r8plpS>{WjymF1hC~cUFTIG7!>}_H(TaLq;jUZ|?RX9rGldqvp zo_j%A#F?b>Qb^59B#}u4YPOYvB>*{P)wO{)TU`9M#&Vt^jHX*ry{$6A)^%F~*E!+r zaLO+v!SYFO5Zqx&#d(@jWz&5kl7&n$RB0*aTdaW_)S<0#t+>7}IzI$(z{#014=xKE zkHm_uBnT2yIhEJRmCYRRoiaqq>VKljZl~|IE&+v2D_*v>s^)o4m1!B6=@whDxv=av z*kgprlAJD{{#|luc+#0`DkdnUimYe`rqgSZNjB;Lx|{Fqip+jA;j|isWk(MpPKSa} zabF;*Q4iwzWLZFOM64KGerp{oq??N!z_`BmwN@9T-0y!)mrWS8=5>V|zZO+e$>hlt zf<-pcQLlUSwXvZUfg1XuYEU4lBav{_oJM35MinBBNHX0lzzcv4x}Cl!rWhG2`Hvx> zB0tOj01jC3H!V&bUcXXY^tji~;ki`pi(t7}G0r$8#VB+VFVm7ww&Zb_;6LADa z=y!O4;MxvfJFO*0m>BBN6cs^bD4?IL8tiooX|=nIU(%%4u)D*W9;0%+G@W+fo6quW z;@rlE%Bsw&eu*q?5Co}>ku0p82W`9d7-K7z*{hW>ZPbY+A$e^?++&^4<+CwJDk>H> zDxkKKdJcm9uofWY5(U+T3L)k>AA%viAo&H5^1#rpT@R2;mba~w@ENpDvkhcS&7dN@K0QT2|ctMKLCI=-vJOOYGHwb6* z)Y819Nl7eaT&cC04b6Zz{T;CUJ#$jCL%E+tqUidBF~Y(?Ht$m>n?5CKxoMpYwP_=o z0FgT}XIHb{_8=7&w%u=wjBX^*h!Y@{2eqEFByIw4e0p-mgP8m~1$^<^qLz64xt793 zj$N5I*n)JM9)w>8I;Ltx+<^#Qlz&$QMV%K=_rr%bYrHs7R8i4{sHC1jD@Rd(Oqz7v z8ao@103h6KE!^WUF@=vNCPmat=@$SS0w>~$4~On~W<2BiOtloS!A}51428=yjeU*D z7O=m*;}+OlVXo+i07*V7>Wj{Bp$yH~5WdB$3uEwm%^PX}6m8c?%Lc-%5@D z05sxWkj6TcFaTobyu!%iF+|lQ3s_&0g>T4uAnKlEz%VA@t2V;c+y(1y{SGP{k1;$EHjs5q;4#qld3Tjx{8h8|}r{Fl{jVV5t zR}C_E3o?R(<6 z)jWFaSA(ghnk;?yxglTF|$ajC#i^?jfg0twa3;Q+ow^Bd|U3ZA$C z#LOtGQ3!;K3wlAZAolowj~XzAa@L8-HaiR`Twk>+icW)dy_Au;A0KQut}fRNvDE(n z@V~I`F&Rc-eevFnmmv8^!W?RpSyeu3T(!?mW%A;Z9SbIrs?0!>V|xuZw%546Dkx@Y z2B*?=00!LF+?KjP69ZinoyQ&uVc_aXvjwl7pE)7~j>f7QMS*fo~CX z4@g7e$&;wAigiRtRwiAEQckal)K31^u=r!G5EgyL>QVSB0eJH#Hs9$zZ*R-9+P8>NlZ_qe_zAd_T% zY@pv|--;;XKw8D@_E0yv`;EX|?|$DQe012ZO6Z_Sg2;e*2h!{}D#rE~>3eQ3+W6-8 zP7RyF>gCN#D*2Ci+-e$u3>Xn~BoY7{5Zx>{0G+YJ61gWu&&+C}nF%K3fp1RN>1*}* zTl8a+oOmrKfKe=RM&Xp|1nRY{NZbNQ7Vd4-iyL1Z%bhcl{FgBWh0mmoDobCn+V<#u zt@ey|VL5qGW@!qG*@y#Bu>f_lovug6Vmcf&1e_O9YeA-tW2OCU85vELMXWVm);hXc z{ljmLE{>y#00_NuZvD~Ym!qv>Hqmxu=7}@;{tXQb}q%&+7 zbg{SF*9uPSvAXl)nuVRCB@s`OszR|oq12m_H4<*>G=)1@8{x$>G?g>_30&ti7PWz` z{{U-`6xhG}lYR>82mb(YKNUIrY0ATeNYkl)l?C^)8=G6-dt7^B!iJ9SR9z@+JIWS( zE$N@^I}BE0{nd)a=$*Pjq+Ee~XWkZZ$c%U`_2;==_pzuyFUZI`VjLy0RQsL$iW-%B!{l(sw=#1~ zDBHxmt6`R9V}HvfIAKuJ*0(D6<>xOa;M%{Z=1|A2A!t#N z?v{35qvSok@IzW@f<~qgl^hHKu_Z`)H#-G300ITJ1L699}$lCSJI*4Q5V;wQf^ijl09@nF_r zq~Eyfhc?=#t$36L1dE+SjraXOrwP~5IJR|O&ln_&`nMw82kgT$Lg@<^@}8fqYhK6r zKRi4-E;muc>b;Gk9+JwKKuMVu-7^)q+=q>bU>-F7e42E zefZ(2duots@_Cx}*pLObzt7vQ9VrGC>=RhN+Ya9>Heqxm4>74C96y)`MYqu>xE(E^ z^u7beL8jsnSW-jBdZ_m?Yb2n|Y9mG=Lz``Fjvq%sDz_JBm`%L7#D}XK5eOJv%&&wq zjv`Monwp|mySm23E&iI;#|yyK63jE7pidVn{66tVGa|lGmekZBa)wPBV+=Z}k@TP= zxW3)-I5v>0D&d^t8eMm}f#LUwxmOsMIm@M|N7-cA(2z#j(6Wz_AfDLZtrj*y)xf=? zbsVm{oQm-spLlzgU&T!mLsV4DPcmzbnRZv$t1Ang)rH2y8w?uSupw78^<=nf5T%w; z;x9Mm-fsmKZYbiX)ilUfH($yWbyc}$8|ooR*fohZ2OTaIWN-#M)xS_&+|qkdWabGY zflDgLzzZ1UI|*BFLI%@tz@D}W0l2xveNyK=u>wHt4P%NV8MCx)yP-8a%;UK^aY+Vc zNgA2y=2^X0WOgdbNgA%!)J4Gp_Ud{^RGE?nu`?=sJs~6lO{F37ZykJTB^6CRT}4j_ zb|y-cr;(gApPZqXqMKL^kH1_y^?d5z6toRo77`D2A-NahGtKs z%F85xlXof=n#A74h$q_C!3|*mLII8IoJ)*d7_ST5tEuFN4MUUG$rP0dEV4U9Nl(f( zzy*Li3tZS>(&+$j3T!k)pcps(!c!P!|SaTOf#or!N#f zPO{+0`@w>=s#2#RMVW)LMi4v%YIcao1(C0H8r4H+()x%5kWHg)V$qfWk*sWs?1yP_ zvRCCiRh4GBep{8sh?D&@%&DGIB6e|dV@(J_g|xz^X<3;VKcpbu64D8N;^Tp|eaaRv zl4nPN06Gh#%TY_6SH4dtnLNH@$4^YsF{X|}z{y~mgDGY*UNFRi>L&Ws*15IYeMCnN zYC5ca0|bce0%UNqSBZX!S2Y_Ts8^7WqC*qM6D1p6VlM2m7*qo7pb6x-v$NX)G&EfC z@+itxHV0wiKRT%Q&;A-Y45|nkSdS%;u~&=&3!gP3ok@G>6Dqftr|SS1#ODWgwm=x|#m~f~*sr=0pMhW>J4_$^Dos51~VD6r}tk`KCG| zb?m-4Ifo^O;~RW_iva%s-gxI;x^wgOQai&3IQY+)Ika{1QMG)OYWn7qHHspyW!gZX zESJL9?e-+VMBX)cgsat!{l7S@S4TcBX_ESiGymps~}b z&YQCU2H*m1d}lgSquo*DHU@@9sJGT@>BUZ9DAh;LT%R|NSZQRbhNQ(HgqZFXw6PCz z#`fC4gKL`}n3oBHdmSbK18vq`r6xGGKCsu4Z8(cHqw>r&(s|ZY49JcnEnrTQC7V#( zFd%L=ByWpHG&oAR4ZI>Z;5UdS6f*^lSwx!S8)|1729v0CI}x}5+S}g~I<`h=XVA)t zw1aA9xvhc4JybD$#PBEmcX^Fwj)Xa05`e7l_@q%5LxYNJA z$*|aBZ2%3@FT9|cuAhQ@c`+Nq1zl|~nQVTOAM1bwkS(TJ*%VT_5vk}y? z!uyGj-9yy^p&$;>0E4FbCyu6)T>1$r78Hk6ELg|tI?1ru^%l2M4ex!hC2YB5NpR5b zbV;OVwk~7I0bVJHP-ay~_bjrx1ob6J*n|3ej5d>O2~c8(Yr!q~MX0?B}@fFxHIutY&|1M#GJABqAB5ni7N73l04%rfY;I0#B?eZNl?blrEJE) z->AOFd}^@t%_=}YSeslc{1+=;5U%k*&1!BrtCp89i21b>8EY7!K(jNmG%+dlX;MP7 z5T)D`U;;|hr2_WYcGlwtDpsQYmSAm$)=tvB#ClfXg(TqD4_k1_Ko^6a(-sj8jy z#}s>(C%?cBq?-T%Ct-=Xtmvnl#^oq@uaViO4o@{S%N%pUO1vgTW(0Kwl$5yn;1i5% zk7nqzL#vszCPuQA^2r(*)sfe#kz@WZV}dEv4$#VkwqT78iQeR;a?@9zN|T_HBRIHnGH5unP>#lW5|c3=lY&Nj^88*~Mm+(mdh-)272~Y&w4%;bDEjE10Tq zV~2{8e5I<@!(xP6@MRTL+hq%o4M3ofjTEp9K z)9}UnY=eHKK6!5$jOH3esi+jy6fwHTBcn8OM#D~*3F-#M*B2J+)Z&lX-$JRV!I(Y) z6Q?z%!s97EmsL=f!6XrX!A+hG$nspLhpDM@`q7z2L%m}JWQWRbLs}av^3QB=!s`1xlhCyuL@;T!4g%Wrckz=dNkcm?BIeeZ2)`U z+XdC5B-+GE&|zTRwg<%y&lkBJR$0VilC?}T!$uZFI!M)}+v^ROSc@?0^T#MNv`?Cw z8c1LVg`q&$qL!Ifq$SWXT}5uCt-9_ldwsUw77l{4)QK0_boeqyq0H$ffwZ4KAi~$R z+zSwXusw$V03Gq#O_BlgMbx#-PT5{qo&3)zaFpQSH&rPm+-+T4=Tc$eZ8x`E*VRqoDkc$s8 z$8t!uuiSRGu=d9?&DTLKTxyuUj>X9(b#Hy|zTG{&ua1Ls=#eXaln_@~3Wrw1;nLvs zH|&3AJEfsQPHXO;-b&O$`Go{#I(B23Qu$}fBB(JsR0%I{C+iZsooWwDU~EfF2#s}4 z6+2l@>cAY?B0d7l+N61q#YriVdg@erk!y?Jr&063_=z;FtS5$?#BwQ-{2n#*S>9Wk zNtVLUM72<>DGEJaSvMBzvFd+T9fGF%Y=@aM_aG>OTvP+`7sM%?@#GnOJhK#oHq$(A zs;DLUP~BJ(U2I3z`n?9&9|?vO-dr^$KN#Vrnkl3BNvUtbL;YV3zURfAXJ|R5=nryg z{{RQ1Rl|pB#ObDw>4eicwb`^NlmXPJA$RClk<=U?MA=ilw^ECSId75j+M>F*E`&!@ zT;Zx4%q(wmYymbwb|isq&L*WqF)Gzd&D06!2OZVrd5u+lZ6eHJdo4S$RAfd_2BBhB z%&f-XfOgm$V7f}Kdf9FXJQa=_n{x@c*Oi8eA;Mf;U(7tpqB-beK#~0|R-WV{Y6=t7 zCr8%oJC2}zbS8P?>M zRkOh*-sco`@P?7j&N5YJSSwzpg$6lH$crSD^o6y^IbzM35(brG1JFvKWe31G`|0o)Kx?QQTi zR=KEZ_$G#u)2AK@q2Qiaqy;3YUp8L8O^H$L2pg8}S7F-~6>H>C;JQ1mx#FeHOUUf+ zH;?+Bh^$t!v+0NdZM3Uck?w8w-~jhN_gcna19&aG{{WCVSD z{g~h{ZlO8&5bc3b*NeGZ9Hq<(W0iiiY%O!`V{I+BPM5I7l?w8*nEs2%3xLsaIV<7b zzr+S~e@u))wGL%<({!mEHzdJ#Dtx9C`Dk3qMoFMwnhU^F(N}C&d;z#CalL#C} z0j0f?WGJ=E{#>SMX{Qb3?WIM5YaXY#U5`!9D(hCtt1x{V*BL5n@V6%`^JEK_(E|ty zbtj<->`T3YJKJlVR2q(`wm5!{3>F?~dnboH`$hbi)Pb_Bs!jgWWBX5Rcec#}A=m)! z3N!N?l|w9?rDZqKY7}}&kJA0OU#i2Y)TZ~hwhN<(i#t_Aj_9Zo)f_S&Qr7Wqbp}ZS zpsA{rV~LpAJzX1C{+n)3u<47Yyqy+eju@BaHk3xGe+6<%ie%31C5E81zMJ>8BS8{5{%WgRGyJ*N>#6RYqI@i>ImpBx!VZSx`+Ay01?!2HB^CT`&mb2 z0Biso05Nq&h@#jC7r!!}AA@dEIN}u~?@3e+{e2hT6Sq*T@H(PD<1c~fc>bp%hcK)( zIeJS~^qPRW*#jafgiXn2KAYHu9-8?$~1&+@v~sHKF(Pe|mX z$3~Tn9I{=Tr>l3qo4(%41!Y+Rx%VDMkv-GPky&jdQKJ=YKstsRl-ONw zVm&6}_Z!)c_fj*Z*x7gDJnr+z!|Vt__+(f~GCaHd9lV{Nm1QGTKjPaPEe=XxS z*aX&fgK$B$#++(8AJKjp=KW#M{)z)o>Qgbqw0gk+nKat{(96KZ1VvgYbvv z)l_XyO&`%}0VB*=;cF$fE2=Z<2K|V&y|EysMv|i)Ak(<@QD-*hW>?1*Pg}$^vu1U& z=q*=0N9AJ?_K(YGmcUqPU{u?t=M3tJ)Ri#Dw_-d6*K0QCK0%X+De~y^3XNnPHEjUU z+V7!!l5ZW4q_GC%i(7COBM4ogv|K_15mA+@g3;q88IE$ucz=SkDy`3vma~=j(tz7< zyWxv~%=GecXbwVlY&qLr8ftu`eG4b^}7E6r8t77h|DLxJHmH(cA{$B!U}USNMmPY z1&)M~^o!cZa&2?eU=m@6&2B%2j|E_Z!!KW$QxkKqE~Z~qwOB$+3tM(JHURbQZShpo zm^AN@A4F@Ll$iR(ab~0QYo!(nBPnoIN2I}~>PWd|YhR}0bJFG(x%cE1Rc15;-l0#3 zth$3T{8uefy!24itJFpn!!m>{$_fI@tJHM>Eo0i)K8sl+~h8 zWsOx9e@GgeN&>7ALao_|8?n=EL~1+XNOyv}>m=J=OJaf)hKfk#W>!NOl2SC17>`PH zeM{;D19H1s#^I7inx;4%(mR^GKO<7*wG->|o+`?Cq;(`~ip+?%EN*XWHp5n~hWH~6 z^S=~5a9|Oov`lv^Dw!pgl(MSG4({3%5xvRp(!ozsM|>Mh2beZYd-911=%mW-<AiWr|sXs#7h78cxT8x54)oyf-v z0r;j7F+5jxRg}QRGzEuAnSEMV-1&Nz)+z}BTqsi47vA`c8{Gc#?^b>8Ynzv3^z@Jt zu^Dv8v6gEI1D#E9ppwI27y;9*yY#msgLsP#l;CE#*&{gNk)r;mX7t7g1Oaxi)wnE3 z>$7@^zQ7O%9PFkJyc8{Il)&(IOxgWnK@?_5Dk@-#ATC>6l7V0at`9?hvwTAH@c5!w z4BGzyxQH(|suPHKmpPS&{{Tvu#poquD*6#pjTFaridV936Y9`G!&y;+b19Th51OmGS)g-fJ(v&y zx3ME`mG5zM#iae^Sx6CU@$d=W@LdYapXgnbJv$vvZq{z1+D3pH+FImw-?X8j_B^A3 zP}P@qxJrRuEAr-`;%xavf=8LU^}UHM+i!F8`_F7yvAJxqy(Ba}>MJYj?nl1(mK6bU z3)&c(Mgc)2oq*h&C`dSz=yLLBht85Rb;eh?vIr$@XOcDN$F;S-%X zK24caRc5)8QArvEhB+8V9I;pxk5L0voUtl*wXJ&-fyLdWfY+YuLed&;qyGSkJ|IqJ z)~^(UO${%Q$W1jwXZo#4a;+0cWZY>C$hvL;z4cy3)FQ)ke&j>$CV54rL%ti}jN6z~ z)Fxd@^%6xI$u^4+BOsqNhhb~&(|)$w1{$Du-_dcc>n;U?*5bYstKsB=D(D(HCs63J zNK~Lcor4es&)seA4e`wO1gL<@V8p^FJdfrCd^O8Dt{bgt2x^H&r;F(@8KyJN6^H^x z8p7@^X0Ywo6|L+S6QRG7E$1`)&K*nUy3+;#WGla?4S60HjQt`El@3O(0T zhm-oQ9*5S3#M=I$wecNMEhC6VVT8DMt37yMjQ;>9S5kmldV)YV>PPJT_^#-93^Dc3 z+_mn90rpozf&4shzb5COG0G_nRTCpDlC+N_1Se4osG9;t;deH-!xueRCpPLb{GtYk z4*0R=qVs2rs_P?0NFou_M6LCTLM^P1&D2S2b{4+)ZX&RFwty8z!!MpL9~6JFw8ITG29jPc+6*t5Z*j^2Y|Q^l7R5DQpaB; zjwXVZe>n^Rc6YP5Mh##$1%WpP*TDji4JW!47CxYH5$U3a-W#)KpwCuO^^!L$*jx+T zk+>Um7|!&!@IMfn(c}ji;H)dm?q5^*jo|g848PSYPc(9NS4UFTKM);$+*)Dlb=0SF z*zsBtz+5;23QBmh&DVoCLV7Ad2Dm$}$vLQo-{({M*X|{U*^bu|>Eaerw_@B1i$X~v1Qu%^ zz?=?R4#-U8t#L5EJ1c}r`qirY@AAh}VR%bs8+F1@ZIqkfUT8~}@Z^;AT1|Z`7ey=T zSne6*U+JB_L9PHD4lV2BSqYCoRC)cM{Rn^^Xv9K-iL**6|bMO0Q2xAEANN z%tJMe?oQ+qeTW?~WX_Apa^u{yb#WQ&Q=gUb+&PYBHNoY>E5y=U)QzV08Z$(cz??tUK-4(k|t@z-$Y=KOASi95J@1G*@gOBwj&jLRuO6N(OwEN z(Fa2s?trg5^9Rkdx#Fu&DoA9IGK(S8e#>EJwXbr(pKMyR0NX0o2Nx3KH?m^sXj+BI zU`h*;0o<>Cx3|yV9nA>B3SaVXDmljyWwAJrMAeYpqaT#EyZTAnrsn;I*asSW1~;-Z z2Hej8qiNy;ETXN=^7?Gme7awwNh3IOsJ)c>)LFnP06k5Qz5}G)*!qOF$ny;MAK*%`XzdKt0OawehO2MYW?o z+IT0ni$jZmy_8$=f5!fKi-)3&7Sxl>&O(cB5mX^8YM6H_y!10Bkih6vPt_OS?~ zczw#E;64so>405nHC&C;QVZxLf2CX4U#>MB4NtO3)VTQ#7Q(wdo}OL9eNesPN~g{0 z-k`{?^1Dc0;A+;|tVrA)_7@~}wedxX#C6XugL9(F4vul{dCwL5NtTL~Z3ZB&$WEqF zr0dcL*1n&O#ryQW0ewh18*(>R6!68s+*xjCc}b*IaXdlwJ8IO72A^<*us76A#f5?1 z_7TynNiq+SOm{}u8yVC}+NI(3jw0~R7=qRXkdi`+kVD_ODhM4m?S$&Y*tF}gDi>k% z2!YNQ?F2%S2B>%lK1Eo+2c4nTfOv^fcbr`0SuaDE zX?IW(T9qcmbt@mSxgnW-C$=uIJsxK}xR`1drCGMhD@c5>H@R)j`-Abulpx-|SQhDr zs3m>|E3q4U;RK;zPcg0fu-92Vjhh7EWn3AW(1S3ct7x5eaZe#$pn?vY5&AH|;N@vc z3i@sYh3}rsayqJ+l1G9_PgdLu z-=@~M`C9@y8g)=&C_bpLw-xc4aHV$+kSl4Xr!DnFu{?MAY6AB7VqI2pr2|h@E_@7d zUSXSYo?BZ461^oX+NYUgCOF21wzjo_Hx{|?fa`XM15oClP_KF}iEO;sWgs4KL?Uaw?-6P9E2OuvOR1@@f8zwOR>T44RtTTsL#? z_hOU`%KWz}2|d8sohC=6NR%<>kH`5%k|8=D^} zlHZyx2-@9EuYQ9Q5>ra+Q|3H2(W6M&f=y@h5;&nT+W!EP0KA%-`|BhNTEh3*H$BAY zBW+W_5xn>9Adn;G1EDyOQZ>BYjeNGi;qEyDeCP;MjR(l;3*-Y{J_M;%$>k z+^Nno21hadIc2hl#+YW1|N|T6avj| zjjGlQeYW2mxLtG+WxXbKk<1V>*9{?sg2Q9D(0Y#AwV!(teeu)Da0pwuZe_yJ!oq*X zW^BCXp83 zR4$@-EpkcfW(7dzjg;B&C<^BEM#OJfzTf9@;;R+^0CH-#!dv!-;-?RdmbVI!FTGS9 zKht398aucu9+lC(9Hhr`w#2BA*f;r((A45gBq@lKOIxhX(C1-v1M0cMxB8jE^KeN zYzEr4je;<H^-1f29fxo}M!vpn&rX>_xo09p>d7Dh3;~|8JU`5WQzkZh6+#SXn*7`2Bk>j$| zgP9Q5Bj^CICvw*&-L#t!ZR+;6`01!^>XB#eEou&H#^}JhU2c6q?x5L-w$>Y1-yJTC z>bp*!V+DIRHR;uEgq>SmNU&foZQO0|a4_LgN?>K+u0=mJX?2DYD@Uwr4e4MRZf))d zVh2-+MN1Go(x@-5;Jsz${RB7jS+tOJgJeSR9Hff-kMI7j{ zDuNB}$7=y_E#Gi&Y(0*froh!|w>e>V^5b(O1tnFM!21JWZQNgL_1heEe5u(p#>>)& zoerOBtRin3B7C2?U;(i?Qek=`S15(b&hQB=$_y#0`Y^L26j}jO(%QXp!X)gpN7Z28Jzeo zieQ_sKk{Z^N-eaVtzodYP)}X^VsQH=H~>>A&VF>7ERuRq+T^H>(5YaSRs>&9OAlaf zcEI%xahHmh4%0~H;i4NHq~u;r%onW9vp7vhnJmhKiG?7v|dOvu~FBe8urK15~ep6b892z zgkKLCRq8+|LZ)SRGHE$6!R?$?Bgq5+ zkrZGJZ!6hA>@H2&zSDeM8l6yWwX&yp&o1G0oSNq*OX7zpsREVN7xFcfl>jxoz)IPN zrGpK_3lMt)iJwS4z33c1CS4H(dV~mh`NBt*{6|}mL;)%b@_N4dJnAYk0njDufSCvJW{4I$pu9N$ctAEIzOT`^#NB!V>y0vPS-x!etb0>E{Gn2Qsp zhG)R+kF6DSZqRlGLvI&;9&)~4WpTU_RIz8k)_rs5bh+Nf@ofh}i2IZgg2} zP;;FC>nPIlb|0kh_FD0sO4P_zgO<9R+j|S`ZO8=s3~ZQ4Xa`sy1pFHzk-g{5Ic-G8 z{8)H$H!2nWDkChsbSX>~M*%ms-Gx^9BlxqVUZgLe}xf&>oq zWg24;14Y1tae0--BNON_^qD(0{_^CyoK_~tyTo*o>n;yI*_F)}BT1Xm2s+UA)@^Ko@(#1W{xZMBOw z1gVW-aBF7Wp9t608s3kn_GWZ$OdjwPBK-8ra}5e(8l!+m0u(5US#3EcFE7jU2vSH{ zKPRX$W;ey1GfWA*$ha{eY*kxnWDpLz&HQeh9Fm(k;?>QwC?=;wrH&WO8Yl8hf$X&A zD=9ZtBF**5B$mIZ4_6cc&PUQm(!fhYLC_N?aj8+Wn_SAO;wTLa^;68xQS_RWlhmy~ zk11R`UPiQGPzENz5Iyk6xH#AVIVL#e5hR^FHdBl6Rp0U6WWV#7PyBJglr$(os8eso z-ffm-6?`pMokD6d=yNF^qC08@0xwkj@C}%+=K*f`fX7%T>XkMk)Qo*#oa%2CT(>Bo zW^@XHMwR+Iu94Yv`8!+Nwk9nDf`nq58n0#XQOjjF{Aodc$H;sA{#H4ZCyZd?R||@K zb#N~VQ?t`mHFKysA*O}EE!Y-yL_m9;&9|}Xjyd^7lZ9a7g0DU~qnPu%IGZ}JiR1Gu zu|;F$#R`2$)rc3jR&K1sov(mf&vX_mHC+XQYgdV!G(DRkFF<1FG9@FM+a%5x6oI#D%fo*? z@~%;}MJ0Pl3i-w2(%zeaV6J))2(Z}o`*FPkw! zR+nPTZdXeby^h3|H&(dpF<9n;2#rJqYS#vl2Z2z7FXwLzK#hFH6>j@83vclGZ?VKC zo2_jEn7y2JDM!N`Wt4G?H_&RMtCl~mu&XdtVPIH=xW7&MkTBYrxJNYVPHC`Rej?*6 zs~~uizNRWt>U^OnF`bQ#wJzXo^V_BeYn&}}+@>vSZcgdZE>ksk3ew0EZP2XU0F#1`UI2C;-IT&NJDQaAY3RLl0ff)@WvV^ zRNjz+kV&7+YqIuv;#bpO875IBTltn=_zHK#09*8At21z!!MzC#N6|a4o;Kn#O zW!xih)rBK{4!nve1CcxrnC4@Oeu+gbPxHd3%u@kjxRkE1D6s3Sj-vfV@GznnZDpV} zgOsQS1~uM(Y?P>@LI$oq;$yjuvr1Cl8F*3MZX{+(uN8x{^a|R@YIhps^;yeXqZJ;iM)2cG);wj+DW~*<_CDG-ec} zDeq|ju(sP?DK_n#4GQ1se4tva>##HcY%BQc6wd%DX zR8Y$9tO?bw;DrDif(XPEq&wD8n2J4N%_B%lf5LH}B_|J2(E3ERHD*D*tdOa>``t&| ziE7>y53@?~@UxkUjsc>MjH^!+GseIW2$x%tcI-}|!s6B=7gVXkLZj;jV|@zaa%{aX{9FbBd|By*RU9^px3&oaS+pJl*cyY>o%0f_?VIy z^En{3%YXE{_Vz#GwhJW&(F#Fu zPa4v3jXUKz<=#gMl=9xh^#BuM0}H7Q+yc83y*48ZSVP&ip%g2?x@x%Zf@k1rpDscg z7P*R1(2r*JVccN6E-S7a!c}R_#*4km?q((w31%oRZdTjd`btIA->4VFIDA%8TI*gf+^4BW ztnc6xAss-Hz;yP-xH79;!WP(-Slb;@!u|6=8y~YAO@)N1-sbkhQ^NKxi~(DLu-|?A zpO!k2Pgfwh(OJmc?i}WXD?DM5<9n5O-oez^gSZz2bRZlw7$}MrO)`niC*e{Oi#9|r zy@;&+JHvn7j=HGku06P}M;&;?t>@-nB@I0Yp`^O`){xBdz4a;sr2?R~rr44|7XtxS z#P&=Cn^f0uVBY7rDM`qVP{YAAiCI>HO6f+gQ2uRC)fWDhtVuhAx4tMtGZ}K+QKl(@ z92AXui|%^hnNqEEa!v5rT}i1gp-jracmHH%?X6&rd)otJ}t6)D&K@x{2l^>6( z%XPo=dQnk`BkK}C?S71^4OYOk?yO%-xIx`@xF^GR4{-HD*Go*bwaRWPDpW{Sj^xIN z(3d;h0^iwTv~Z24IH@UtOhB?-aSu204jZn6EUL^Y(z>EWiW+eGq-SGg1y=fZ?$#JI z2;`V*0%C5GekDi!5t-=de-~7Lu{ctk{S{_1UKgK?D!z+Gk1VBGilDxfU#`r5H56{l zb^{Q#r0BC`DJfN3m+~VmSmQ*OF_>M6yA~yF(DaRchy<~?Bx5&O=N$Z^LmSUh6_Z?W z?T@X?Wf8Mn)?Dg$si5EWHQrNwd@JDdIg06a;mhEW;(9T`PR z@pKjXEljXf$EcfKjC%#Y*7)0}d`8y{z)koooFL17D1i9Ono$h|i>OA1c9F)~2juN@ zwXS_Pz60q&W`SZ#b}gmR9Q8r3n_TUv;-q}8hG_;|STll3ncn2x_avw!=~J;bVlRmB z*g(i}9jJ-jRaY{5f{$%b%h2N2G61v{?JYo%Ew6AaZf}2=x3#dd6~Y#ip;NuBjzW4< zjh|AK&HQla*B#KWSnV`2P8XNa5n>1_v{CAwk4qHcZw4f z%%IN%;&?gmsh0Cs={fFw8%s+aLq!EXvILT;yp1ilDlPy3jZJ%-3u9MGhgwenvbY>O zK+IsyibnJAz|RSBj!jcnkwFe$T~8E}MI;VP4q^ndO1hbMv0XyUhpqP*uoOViSyp3e z4F`Bp8}NL1e&OD1MVZ&|3o-R9ajc0Ou(2u&fZYoLVsYAx?E%F$l@{kFJQ4@T ze<$*OR`ESN89XAAnzEH9Xq1y2Ze(;~ww$?%7l za%1S3-a@h^4Alz^@H?42nHzY@G2cP4TQ$nHx3Sq(WH*W(^uTZ;*F`JD1E)vPip5;DQ&wy&J?-S;a6(N1A} zt)*>G__~m?vv(-VsdhIcy@}Y`+wXu{Ls;Hy5RT4VUEhBcoXzRrrG0iujG!M#EY~Eh zn2~$xRlhUr|CfzVDmqlm4>6W*jZNOgK$V5+hR8`xE*aA74fl=x==n} z4J<5=FjSEep+RC*RO%)_P#UCb6$A^0vVuV)2+Y|+TmXsRg68vShY{tI#Y`1V14lI; zfDwuU!bTx&=CdeYSqkS)_W)t^8dzlLekvwY01-Ex6gH}fvRa6$l!k_&d5TH6Khjgw zf*ap{;|H6l0rXNMhU?Qd`Z-oh$seh-lE~|*i|@UTkXZEw)+3|nxC19d#}M(BA{R?0 zsgo>5X0tZ17T~dAus@U8O5g6a#ron?brgmO1B&P-c~Vj{Q-7fr5y|}`p>7ubg26xq zb$U>OM&j6OpqL(tezF?_y?kYr3qo0qLadV!e7{J>YsD&o#!o zF50G>i>f~oMD*ZZYKw(i-Ivrx=Sb4`)3Ck4Do!DMJj$0u{`fWJ9ad$TKN3}Hk1OG| zYUw3axN6Avr-6X=YG=0M`anG|iuXJ{;hYFAw=lKl^JX6))I@h7$p`a1n3Tj}F07`b|%c=OTl5E;(WRjoHi&Ms`U6q8&>=mu*UoYyNyI*kFtFHdk z2$DYaVA0r2NK5u#>YB z`{=KJ4Czyd>oa<4vXU^QgGQhWm03x#KUkn7TzeZGw!|%Lzrg%fs{vYAM>K-`Ce7t> zH4m4jH~I)^5kieOa;tlFxCDZGVCtTW%%xgr!BzmSoj{#k zHrsv1*aHwHhq(HvFm5H{O{Y~OJX!IKna*!VEG)4gq_9|pm2BmHs3ydP3{O%`&MP`4 z#4{-*!QB_qOqXe}xKSQM<{O)~=H&OjFHO}Ji^QoLo&Fd}N>Xs7{!L^I4a&%$cA>T* ztTQx@MHhwl$9l8JhdPlz50+72vrYj75Z1BOEw=vMx?m23Y&4J8KXTQ)2D01_E-EJe z4{mNZ5K`uRJ69t-^s~KHId&{eGCr9UUgpa7HvY`w&^WfEhaEf+xOa+_#}=Wl;>(uL z%KkO4rXYxFB^6Zd*vCJr-|Jgaf33r@49nsbf4cGBJ}B(-H-hT9fx$;BqSN$>jJgDm zQ3|B_NnlCn4?=yh6#+EFeNe6OtThP(iVyRC4W2v*eH0ec^+i~@w_)kE{$vaxBV-j! z25r=Q#dk{5>|bIE4+t_i&jJcL z9twEx`Aw5nWzrMo<#nwWsQSFQm1aV3?pf{d+a2iVK!dKn8Y}i3%m=|UJ~VjtI{Lbd zr6coxfk-5nd5pWxuG&h1H61Dl>IMEgyQGX3H|t4-O<(p$7(VaeC>HSzUSF96mWBtV zYXKxiLn4!H*%*L8zvi(vzg$@~KvdP999IUO1+8^MB18{Yd+8rNAfLD#bwy3GrZi8o;Mld%5B*A@Qv7%lg2ar9N4Ax`tf2#>_>!Ar7439#1I`)#{h+im+`NmW@O zU1=J4BVq)Oz;$4oe84OZ%J%tVkeW*9#|~c6hBC}${(y->^!}ND{nz(nhEw1HysxUP zwkjD+H3=;{0duSeJAhYVq<}|#_B}Dtb;8)OTKp$7Wto*c$Zcs>`&?S~J9ien$9xZq zV0#EW5%@Z#uMlB9-Xc88Dd}k=C20gtBx9zcT&Z%SYwd4_{^u0E5lOAg;i)m?5t#ap zdF>g69w3uVf#@-zB2^2M@t1Qh3M3&UC}dO;4=($l+Q)O(dy8M8z|Ns2Rn;Dn%FqZl z-c#;8a`6sbKr-4{>0*aWYUOF!8N9U$q?KX5z>+LU-uQ@N0KBQ`^q63P4UG7xlg0c- z{BvC{VN)v2S5oR&geotvCx3_DcQ_@|*7pL4r_w!RfRB0CD6jEn;P=Y7Z-=ULII3pz zV$0f~g6dU@#3d?y0N9aj&N+i)s(??@y1K?<8ALh;oY1@FpE3_DGirR=n$k5p>saK} zRInQ#fga-LsPBmD;rh{(=|2LgY4pHhklmBl&hHlW-1bUCT9H9j1bQT>i&--btXWNh z^sxf>!@8rPDnFe>&Qs=?&NJiLIF2Zb)k`$-kOPoVA_dZ@fExw)00-ZK8Z8F#V5+fL zzP-`}CgvKqfZtKE8}530V}+p%*N}>*;I)v=#I?-qSd6^d=KlcK65|0mzp^*@?|@pa zjeOI8dwwNJ&T{i6K3DUTDXEq8Q`At$O;C5RNtw_w`8Jb(h787%)-l6kPlUlt!&Dt} z+IIuaC3$`mu2}vw$!R!_oN1pgm&u)m#KSoOcN>teZ;)ey02NqyTz4Tc(@cUbcs=MF zP}EIZI;%+Jv9-$*e_ktU450TQ@UG18IGd{?c#x_b2g=&Wp*l2Wa8oU|BU;B9x3^P* z`ZCN1g9-74ZPPD_99+@iDz&vWj^vLpk=xX96a}0wlit5%%qOOaRVoQdE?E`ZVhz1x zrTdP%Z?+qgbkkIY<@m~E+(^LNdojM_f4{yQ8oFwdyr9fxry--2k%7I#5JkQ>$08Rx z!oFp$m5ByNH|uskJR;C<_bzpdqaIJ@(aTsWAqFQ!@)@l&2fwKn)@4!#s~tk%+Senk z8K;m1J-}s|xgQ`EyG(J%}h z5y533>}~d+N5tU|?fZZm6gDFXxs)%N(I&&T|;(9Q-nE{!-@j(np{||9mOmF)IcOYYo=RMJDa#?txDP8Zs;QM^l0pCl+{nO| zx7H%ST<>9SgB3Un<|kb4@>)8>C}X>qRM^uE z2ZNjORr<+t>FaXALa7@T^I2HPqhi}%d+O>!+i|_|Q`QEOu7f(j2s#hFY;b>u$hfMh z_f#KtwW=U2xKTlR?LPicSiv5w$f!#Ue04QvU!(I?1w- zSZ;5zUYl-4ESO1b{mQ-K@Hk3NL&~~2;X&pka)d0#N|fHjf&dpCh_&u|^dlSxij1D% zwX*J0!l6|*5cDBa<01ffN_)Te@#g`(pmWfMJ)bdRbD#c5Qb*`W< zZ%8DA(%@SC?TJm4O{v_y>N!&cbWK!h;PX;V4^AarcGxSgW(4d&-AAbHh6hO_f|14q z$nIaV@}(3jB+->^&E(R6Wlz;3GJa716d7QoPA zB%Wb9S(kzwn!1htic7xpaM9&~Dm2lR90z1kb z6&x29Q&Hs46DbFMMA&a_>~_JV!mcN|PJak=l=(jrQ_;lnutchm1#8%{D7Efyu-kq5 z;=2%L4;KYvgQ=QF2A_(RzBJ!Y3NQo%^r#K5x%FV`Iu%XQro+7a5V+xn(=Ptq`eMHp zJ1ZBA(K^)ZR={<}W}#W=G!!XJgO--wAN0!n-(5Bhe}I1tAP{k)+F{ zT~^Ei7wg|wOmV>Px(=0ou$UG}E^B1a*W_-fs*4*&{#uJ^7qJ%`pRK>g+XCWg=^JhL zqG>xRm>s1U>3Cx?s2XF5-7R1=mIL_qz!^^jaB$UqN5Hv`TDn#VRY2_%06qI#@7N3@ zEgD%V1f*2lK(q_JLi~e`{{T+8Sw$wEg2Fqb_sCNGV zO>fb2hV{j^h*I@Zwd(kn=)1W)8xe8Wt+zM7d^@cs>Ag+ibh2E|elKSkbUu4R2b<-U zAPejXCfD`@dkjCWfjcHPmSY1{*ymj6rQtZ*rdMcVSv;+FI(68FUry%RgVzqTyi*(t zXZ2HISK{*}fvhIKUW(TJD|;LgDXQ~`T9J6b$CQIU{F3v)7}Qtnq#Rf3~-WfZLCd(-9G$AXepbEIwxzw zo^>8~l21HpRSjH$Z`Vqy0e_CzbH#$64O1~b3ORGXnP-*U!-p|Y%@Wf>lOQ7R8!6Rq zh}e&a!!WRO4ka#-IZH^`ed!nC%ba-^G7^LFM22dJOSF>tY?>8-vU-3et}nH~_umeu zOtsRW#NtItd3T>73)?X9GoN#RE6ezAG^vUj1)3Fk5-lvlP*Eh!e^!7?SZM~=IJR>- z(%wrf>`A5w8Y6FztNbI!GFRd{=3BN(}OWb6mPO=;WZOl9f>y{UTzFSt=OZ>Tgg&Knt+4;5v^Y z{6>oB4@z0&M~ds$c!%S@549-GDw3Q9(<3Zelna2Rf*XO`vD*9MDsGb}U(L}yrho>U zCeN9?{LguZlubcfn@do(RuRhgELPx!2m+0X7bf<{Dl<6*?J?pZOGdF|iPT@>p`}Jv z(bPYfEnvHQSw;{b;BF-cf~;EE9APc|bMq35n)AlgO9H_ZMoYp}>UkszSlZ+U05A-0FVI@p zuc1IS#OXT)caFwqrY|R6Y8P^&E{>kHBvmZx1mc zx?IndH1yC#=6x23Ge$KzL2Ea!pejgh&(+_iD{;VQX&Y)l;dypCO8R zl?+H1d!MlX02c3yHZsc^{80|^MbE*V22q-2Tu+wP5gk=+97zhfx0)b~vgrr=EhKk6 ziN$^~lTFRIG8{YzKC4rPcx^_Lq-Es?R4}=>MnM(Gv9B0t&4h1hMw@Ns8!DR{=`ozFB2ke9FpNg`?e*!;fd=O@-sA$_sBK>y#TjF?Gg5~J}G95R! z!`%M=0B?>Pl{v0Q`@&a*Y^#>pZ7yq?Qzce)Q4-2pU>#{gi>gGVSU3c0atmJI5C$j6 z0>8ty8$49vuga;jC|U}LqLwBEIyx&U2dFAR8{xfBJ6Raogl>Z`j(-bOysudJn}_2x z^lczYh)|s{NZ(Q8?h%f~chcVjU>tQ$*2hltHZKe-w73h6d4x1$;|ln!t5Gh*{&&B} z1d>Y2Ws6_Tu2o)tS1=*wVm~+&ewgG+lt|q9t^~5q#_KB&pS~e9(XFmye>KXIj%jbH z$rtquEQ#X~iZ|fV<@{VnK6S2QhB&FL0)uePCg$g$x9P;A51F&gSm7~n=;Xb>2|obG z!;|FxT*cYqs5T%10A=5=f7gofM=Sglt}hWZ=b9JrQ&a}GnnAx?8;li^W;*%err!l} zwyvuy%jSBR;}s}ad8pk9Yc!RKK8lu(EL0YqeimAMYs&1xhbgX{ z)h?KzXEA!!nN@<3Z>w>8vYx}=Yzt4MCGBm@lx;eqq>cca{mLHYxy4;F1zDT{y@Ok> zHfj^C@U5Co}u($%3P!NE5m-|YEeC|v1W`J@Yb_4wnd%?puNR%IsU=H31A-4U%4 zwQ1|5qIGzjq=>lY<(kQrq(3eQ(DAgucayVuW1q;VQnma^z1ztIpj{@@Z=Oef_;Gd@uLP% z@27RQ5i|N@1&#UuN%`UWC`~o{QXT`Pl!ABM5%%GR>2+(n!`b^~j$DmEsaq08$KpOa z;Q_@!_Lh)F$$Z?^sU*lXE1Q-o;R{^cmeQk9J;}MpGBj+b_Lt7yNk3oAiDq|m8nZsT zmN)v~gU}CqfqP$jVZZ>0>)!oJZz8CmM* zqUZ<~#I~YO-M?>bt}oQ$Ou@FF$k8;kuC+LdXo=NgEwSHy`w`IX(*FP)G+dr(q>-pz zG~m=TDYb|Il{*i9x4pg}95Jl}NnL5QuW1=lP3$z>Tl94A*7yC`dw_^iHQ9VpkAkbx zmo%Z2mGstAl6nE_@4tSg*n+F%WlsX~tS!VDIrsbTDF2*H0nx!`1F+$QF1t}qxwR82vYjaw*Hb3dB3JjtpuJ<<@&ZK;b& z#fkG|xg%K{dP1lG0Jx5Y2qo^joyTrL7g^(Ei=p!6BU1o7+poiHSVF6ElDwbhi_pgO zRm$>F#+UPKSVRf15?b~`NL455vGzF6WBNa=Ioo12gnkv%Anv4h5pX3JAJX{+1ZGxI z8)?*IVl@Xs2mloxpxwydd{LUu!n#LlHWp8PAxIMAAPqD_>xnXncs{kDW+b7yNCurk z+Z!I8cO7xIqVZ5F%Cw_RHe6^XLt=gJZ{z%UC<`H?3X=R6#q^xPgk?G2lwJOhBQdZ7 z=Se?XUqFo4y{%$S^cc!6pmS642-ZI`W_as@YB&m~$&&8_T*Ee-2OloPcL#p`@neO= z^`iDWg&lesFFLNTE%}i*1IwkoRFa!GAd;8Zx>$k@wj;Q{8;rvB!9#JcPH;9VIARC^z36O?x4;;IAa|>Nh`nFqe)MS3bzoJ!Uu8GKNnjdq zl3#&ugt&!2jZPR^EXJZ>Y_kX?xAtT*x8GuZc$*9^Ry(J}7M}Dn^NMnW4X=xaEPyF3 zBDZ33GJML}hIL_L4x)BFzVEvXDbr;kIY5GHsRwZjTHg0jin_K6Y$5HA@Q>F58GD*Nq(R!mq1gwoP<<#>jt0#)riU?Lr=moOzS6H`cv>oq*8QH*b~ z3m4N= zshEX3ld$>X(?k#n?B#bWXX7c1&*`Lw%_G?QhM}oe+mmaP(_l^SY;vo*mggjL7E{w; zHYln1ZKPSaijiVSqKXxfVumHUstAbQ-3Z&%K`c$l)Nz}_)Gn+K)|s0hE(*s7Vq37i z_?55tQ3KKCnJR{0l223SDE#dxgkRFDT4}qIF5VG1PJ=Wr)a4;)TTtY3@i*1RVRyxLP`%7|iMtmSwA!N@*ovvAA#xp_1WMffpKx z1RDc!Y-q600^Dw~I9lx;o&`KS@9?wu&myn>M%Pr(ij{e#%i*Xdim;J)8c8}?{nY4V zv0d&9EG^KhM>JFkqWl?Dk3&PA#V4iGqL%Bn-ibQe`;OzNJ9QX_k?nXU&>34C)Y8g) zKjirj6I5}0D?j5ZrDc$r35aS{L!~XTAxCq){qRp$E|olhG`bYugy;UAY`^~TqwM^& znB{F1Mkrk^yan>FhPjVKYx9bxtFEA`A4j2*popMQ11y3f-mOi3o{^@+UjwzBX66RS z>^Te<7v`lO;R~j&so^*tnL|^>m_YSr`-I(NHtsyeP;JuV6V>-ma*@rH{Gsn=^f7Zx3$h83nuo-T%*%|*hqEy zqfj^Z-~1TtBvO-uoW)p|Hu~27)ZluWBXDQ7JJikPZ1CloK5rz?rKOG*Rk_r~W+Pui zsZfy5?gwk&RsodH)hlOIoZt>3QRU%|5Q{XT%ce<+%yRlwy0QA84^S5T>vi~a9dS=c z7dDvvMQ}q}U94u;xqk7d4MCMswCv5LNV&0Ii*N}WZr9xGFYk#fQ^+m3zbharz!%v? zyu*X3`K3cwl+KZXAbAP6Qlc`aZIEUgr%bMWUqXXgok$G|DphViKY>SHgc zuFY$$_6k?keMb7N2FV*6c&+{=p_3<)Rk$)j$_<(_E)-dH$8-(8rT~$)l1T)ao7%Bl zkP43c!{zAV6CA9CsOrf57l)xzi>r2+_Z$+jNEvp;)GRj+X8`R ziH5~}L*IX6+e>_YczLpkJNXWGL7gN)ozi1vb}yxD2nvMW`g@k!+THOL!Q{D=5SDXg zf|^oNvLhOg%za=73~YmTu(8y@0voRE33zgx8?Ji%aLh-|7y z&sLk^!jot;$?ZGd@YxS0v%gikUT4WiT$;KlYY<8!h7j)?J&Q>j0b<&QomTq6d)%lt zI2?!;Qy#$hEu7yyJV^|2yDafU-bFYOuBhX_vf*{y6VU1;?r*jvbuq_9H760L(n_ul zuc^xQD{Az^|(WLXw-a$l|AWAgK5beiWlQ zmSY4HR7B#g35g11b2%$wMc4_5Qa1GMz3>ZYn#7#Y<0DG}Cv{-#M^o7XS^(dWXIvEU|Rj& zgI|j5`j?70i#M;Ke>R$`yUvP2jD&|$cUA!2=ErW=8)DXu{tG42&j&1UQBUai_rHIa z!xNR8PIm%whdbs$Z^cv<6@oh#@?$Qm>==!KKG*v(7g#10g&Y&Ai5ew05O{3k4jHCu zs+^j-C=_~}!o(=|I&MJtoIE;I)jB=x;F*I>^kZT-w@f-!W0+fIwLz56noO}%qdbnm zRa6#cCtwr}$tR#4aK}F`s#W>e7h9f-r* zd!k=W^bBZ_yiLTpk1#6Uw!V35D<)yCSs1*IdM@Yg0BzdXbDmAgf}Jw+Ym%lfEIcUY zoIeE~Z<|rnndOm;RDMJ1Pyo{`jc-6C-9Z;2NwC`Vn4C+V4nS?Zd=>R90A-!29;wXc zPba71ic|Eud02`o3+~d9xi~m~d@7}U?+5?PfHtt%DC$O;Zhe{kJ7Y+VK zt`=LV^AxXr?g$u)sO+BQHXZq1JJBWM9~Jyb$ZBJf<))lLR}_pIMApzVn=`^y#fiS* z!5W3aj+m(EdhiL2{Z;-ptF+QWk6sfv&a;L%iL(k>Z7fvsu~L094X&;~IZgK$7B)8> zaA!zWECIsAI3Gkd4aWI+ZjoLjIjx#=M~NzHGe<^vTgZ)L8e>S~K9x~&dXh;blEm+V zS%@{73E+aL;@3{{r&lI?ZgCwa4Y-@=b3R=YM-xjNhDjDQx&|oV8w(4Ny9->M@Eh@l zi-j;ORgbkhE@SyAAt&Kb;<*ropvu+A*!ffK@v)l6?b{ZC+>p=5k^9Oh@~?}kILC_L zGR$1fQBw0wD*_dajp{6?WmD~YfHtwke@Xtz!!RewM}=v8#{{+EzY_V^hn^|S>oRXF zrAq~t8in&?a1~1G&{Nc;n-wc<$4#)z9W1SB;1ipPGzL(*d?n49`NLb8wslaZw=gXF zw16yEPLx~zXs|^$=r`+u`c%3@z?k?hG0l4q)|pWUi+FBc6RUbzRDh)DCv8jFzTa$E z;j4a80H5E zDv6biGa*Tyet4_mZg5i3QRfmyjZLOoK!PyL$~4I)=JH#60IIjyK{%K@vl}PkD;J$^ zJd{YDW~pX(C7FpOiMhYV_~wW`SI3uq`iwNLO8K#Kj-{gda1?HDgi;qy4-Rmm{RQ)f znIl?h7xH8lEmcK)Bj;_Morl|5ATiVBeh8X7N1aeNM?1Vdk2+VP>;SS;c zAmDkj_@Su$aXk;w8l0!(k&J#>m(9J3+Tz6{eNV-;FP%RibG;*(L` zGz(n{+Tfi*fgp{r$5WU)f{&w#G=1ISYI8fCIlnkFd2_tZZBqr57?;Ky-%G^DD+COgr$Bn>)#wJg3Dh^77Cz}dH^u(HB&K}(~p<(k2=g3%vx3; zi-pvo?biAYkH*%$v0YOh#%_-oYk|cjxQ>b}l4qoi{NRiUm7-{yQBkQhxxSEkTnnA; zV}mKYI0MBCRj^=w>fr;VVdV}&RdCCn%8&Fj`o-}Rk@!2h4-lu_7bWRA$xTI1O$BX6 zWDS)GK?zT+q6 z#jZxBHX9MS78dE+_+*l9sqw|9O_NDWDVU2Bd_ERzT&pU0GLKIID-B639G?EYGup7g*|Fj-uNW*UZ|#=&lVfUw)!17cW2MxkEx zbz(D0nz=VVYH8;BE~-f5T{@&_6{OtT&@2#i?hfRfRaBzs8{Cpe1zDQoF80s@M~Z+R zH#mwuF3;nVGP6Y$0_nN|XOWn>umD_GSdOCJ*uHDK0C%kWs%CkPKzAq!pJav#k{-h7 zhTg+Swk3-NK~l6h_m#$$fp2#E{l*Xob6s-H2-R>%w|n%*VKm|hU%x?6b|>w_;YIBQ zcCu`mI;yCUGZiu*B=xn${qYOL1wA}TBq=a>Mvio>h5c^L9))6dA&u>B_V>8>UlGz5 zT1u6P4Mf|*w;a^)Ck)Y2`Efig=PW4euSKN4t5F9@JFcIqE!S)ZPOeutZ9f%m>R^E4 zWQOrlJC1xz(&f2jTvAfTj@0rpkVr>jr%k}z-1SmM4y9*iFgt>;#I#hWsh%7aet5DW zm>C=aVNS(>uv^@2H#hi?dz%bBy{CDgF;9j_+7y}m9N85%WyXPKO<+;fkS}e*jrO(z zt|iO|>wU#f(Y4G!?Ay#D5%@rI8XT{JS&}f1^vZCg6R4d>-2JCxu*LgmfY%-XD!!4# zIF9S5lTfFK)-h8-=ntT7fQzH=ZI+BCxiItp?5 zZNoXXb6nZ3aH2StFqG89+>dvA-*7e^cO>m$hEZWRcBwh75E?8LhgA#H1*WZP*hNHc zhodV4_KRXFfNL1FO*WEt zSB>n+;|f5W(@PbKWttx_q=ha}UiM(;QPgY+7Z&Sl92VdrVtJ`R?OyTSqHMF88i?v9 ztEWb2qzH`-J&WtnW?%>_>QQ#}4UNY5A+?5v_taNxRapnLpGLE*s#3*>fGX<0m||M$&^W%Mw6wP zU*;aCK{u zjJw!rClTin0&ZmdJiS!nl$msPU|9IiGN6};ZX0SI5;H{{YY3`@F|jU%jE6~(^=(%S z0XDU^107{f*lF-wbF(qp3w`Ka)Jk<9nZ|%DW3^wA676BRIO=MjydmH^zdNFern0^| zX=OnENQ}8q!r(JqMz3%-2f4uSc?K2D958T`DR(D=n^8T$9PqZ7cK!A8nqk~pu)e+T@e z@0y^t-^?Gs>vcFFJfY4NyibzRm%tQ@{{2_`gMWRF=PAC(-Y3auKY)qHT+u(cpYO5W zwD3#W8^rl3fyqu*o>66umzhMw%F=5JRC<6N2`9EV=jKvVqAAR7hqsGNf~Sf&)o7^D z$vp7WBxpO5z5T7ZC$QfP!*BMF!AFl0T0d9sO$Ro-KIXigT6e8zrAnKGXqb>4Mar9i zZ(=Rj`{0ID%wYqG!kTT(vPaOTkr)JC%ec2odw%>_3#_x*H%1SaJ_Dm{uP)B>D)}o4 zz?CmS8r@86n$i@Nq!t7btby(nZ+uHsiYGA=0QE&uqt;WlrFVGnFA-3EDxf>bM@m*2 zNI_a?kwx@Gw)852dz%)u+UFU3T}M=QajB1wHQ#5<%#I`wJOV*iRa0=BAB?j&<49ou zqm76f3m{+Xfn@2b*Drf)cBgMhIqu5ICT=3vFr&j!s?!xoXd*%6hyMUDdCytJ^#P$( zrlpR{97?yjADrd266d+Ow`*ftMwwu}%8wCNdmxw_q=hbjTT;P8ZbsHc@BX*03J7MB zY-Joz3|sgy(xYpV3n5(LsRoHe4k*?Y zRY0nWEEEd>2S5PW0qxTX9isLxVbcj9Q0wup;XV1G8}SBGrSmAG0(b`Ic+gmA{>?zP zo{T@T8`o7~rgIGrs%n(RA-PS6m_Zflt8!80B#gQlhJ&_~(A*LF{y3OC6`HArb7i+4 zYe-E~nh^}M2-WYYpJcG!=EHwsweMk$$SNk$Ez*5Ta=8Mo+3W}k`9;G^a0$?$vD>d} zojd6VwiQa*SYv_n(L+{|(&L(%Ty<3%WVP~(!xWUV6^aQ6^I1aQQxjvo*q~oZkGmsA zyNj}un1TV|tg!66OS4QEi}|64ORh4kgoYb}HnI3)UoeMt7af#~5NRaf{>iXrKaOZ6cwG;|ktl~R$wj}fRGqs0RPBMDe`FtIs~kk#vMvt5m9Rec_v?b;Wk{6sczNahPc*ofF3V{ZOSM8s#kN(75+sq2$yDv! z*muLJ>JJ3=Ge2jFv$lIXQqs)vHiimxwYqy7d_A!9+CA$^4nf=y>E%Z#EBRfXzIb!G z%Ey>UCW=)WB{FUc`rB}9MTNzzEH=S(XcmYj%BrnkF#dn7VyDYn$Q-J;gwC;1-HQ{{S-e9Xr#e-|_qYyhd=RiX6R% zVz^vW-~iH5O+H-!yg)<0nY7htg_0>DSk=fE)2Ue4p2oyq1Jt1EVs(W2ZDY(q5{7Jx zE3+e9X(U_XI**{Iq(!s(`&T{w6`wrjG%Cfof}h7k*`rbdhOl(KuBV~6>(J>vh&>JtqlgdX$fyD91-NOt=VqD3PZ3Vl zH7;b4`n9Yr>;Tz7>;MAx;?+ICAZ>e|m{PjRQncD5{tR(GA$S<~ z!$@5PJG{E!dd$*zD-H{@uRIzt!Wdk=t@t?2x@a1@OjsU^RgP)<&IKGroCDSAFqF>^s}dXenbDbxl$HAN*!b^}u^y%tMDVl}-q=iDA^Om_G;i8Mk-|0>jpu z54-Ni4JYzl7^ZPv!|;3Yn7yQ@^=y4<`?1p6iFK69*MGs^#xr`0zgO(aNK1Lcs*`BYuT;Pk(2cd4l1qG82T1}9!hcxBW%w=H>R#55V-m-L6H zl%Yotbt-^dj)vgkM^hr5#lb>#;JlD*T7rc|NtlCs{{S5^166B+eYrKu1I8|MD&SWt zrj=uv^=UFnz_LJw#?1O`TGl{y9+>07YfH2mZ6!nuXh1Ry88aH_q>W{4xs0GBOHTs` z#Bn8>IHHc?IEe(@0btE-PxX!2u|6sxK4Q}} z2?^A}03Mg!O`EQ;G_I0vtGWYnD%}3D!LSKFVO-%U2FQ7X9%>wO6OJb1+P9T;Ej`AB zW+b2Nn&j`fKMUhShoO<69YunWyCjCryWFHdkX%~_piS4*QTZ*n`QauwO4=pte6qkb z!56j1#@%~u(;S#jV1)Ye@0+z59BV;Z?c|Fra$MaPR;?^lhGhqFa&(K1n_`a>fDSpE zuf-Bw*&wG+GvQb`iUUhmV){vi)ro0YmsD)JfY^cP>!=$W8(?==EcbbGg%fF$1lSQ^ zq9%2e%fl12QfXj5t9##Lw`K>Z>~Wx>SX35K)}x^t@LF`Td7GS0Zh&)PNv>XT)Mc{`*4HjMk1qvF<;of8oc>Zhi67Z0U_d_OxcTF_%pk4a z6Dhc`%2M+;moYMaHp+-dhL?I|(nQK)B*d#5K*Z zg6Rlcc%jcC<802hG?PqudMN4j<@$jExap{|?{3EiVJYS%VR~+?xNsVwah}yPO6o6r zpV9lfdt%Y8Sz*m37!YwN3#;)>$iqtNczJ7`E>l?)@AU~Wwfb3wjqUB%?8R+cTZm7k zV>}A64=(ei;%uUhsed{ZR`@av3_kF2UKgs+swy-%ZW81v8)K?<_`=vpECaqe5>TJU zhZHPyRJlq5^HfM(l}Q#r3f$YLQ)~QiRR$Nh0lJ{+SIb~`pzp=9BTV2g)iz#$5CI2k zZ+msckfvB*tUHO7BK$VjfkjdxS2G!77Zw%_udyV6NwvcCwfdW4lcfLzPT-#;V=U6^ zOb{h`b5EKX-zKw4IkJkniaE47e=Uef2yKf-ZyQ{8u@*fBCg{qf%556X4U?!lDO1Xy z!f@1(tQ=89W_17>icKo-zU87~662}T$`4_U25D9e#zv{Z@fYK(gm{;Pt0;1b<*KaA zV0acI3r1lNvpl81U57xWi9W-8beT`7jBFt@$j3Fg{XOquBoA-s=r`%`7yCZLPtp%G z={Svc??6$0Vw0TTf(Uqmxng3`>lz8({*^akY)5m`uWU1;T<22-+V2`B;!K%HCjxf8 z_atxA^mN}Ag$&VjM{@dMmL)t4>Z=q`fV&fBRc&|DR0}Zm5Dm`b8H(7RyqORUxM-!R zQNkJrigmms^FE`Dxrr4FM6DDNRg%5lMT`Q>MYULir?-0nusaEa3~+v@OYm1XR}eD( z`f>jN3X1E?azWea6<_UXi?`^XjrUMMak(FMII|^d2(+EX8l#oz10u)_x{Q6o*c*Ha z+Z|m2cmb$`Y;XnHOKjVSAO_mrGsWfF`t_}?*7v>-%oW~XpvQ=wWJkq%XsK8=G^Vs)LZRrD{Ho4!X z0jXOt0BlfrhgkP6_aeL@@ZTTsyj3}!G`~%&GDv4G$m$E70Z=xAg+L5U7YbE!>x1&&3EV9-Rdw$z9T}FP=bFd>Yg#m0kOjaBppwkQ^*Az(GTlt0 z9_G_+tfD92+Ru|cP9&TFhHBVm(Y3&n&OdNFeDNCuxTrAi%-lzF7B{vXQbU4eCw7Y9__^Y2r-r$okX2_ftn@Ha!qpLw7zHy+ zrHY-1Q)eLkV5c3#H$>A@E9FXFari;u?tITjn$ya(P8CZ}M;d9VgxQy-l?LBdL33kb z4TY2G$n4n~GP>sJ7vsyCG&~c-X~Utl)@B9;SoK}wr-jjst>1Ei7WUM{p2rWUGBP-X zJxZf61B!qAK{uPgS<70(@l2-O{{Wf?3Xp-|q^>MgV1Ab+48Ckk$l~^8Ha$1}2N8vo zeyf&R-FxGtC}CnS8ylQ)C|%AQst{Gi%xt4j`@!poo+VF3*&GiADY#de@nji%v=2^Y z^MPG!P>2j|zn0*KHVy+TAx8SjZVth*jtfsyq!{fE*S6{0;olgXRl~F`Lk(kq6;d`e z7xIw+RYYA{Kmd0a_umaPj)HB>uCpG&tGvQVac7C%C*qoEX=?Kc=ckuQbTtY-sRqK` zFKzw%?}-B(EOb$vRW}S;jMf~To+9+Y)bKJku{p=BaR^)As0w(B!bRV z3!TX&w_|IAZMXq39A!lL8pKN4%i|^&l_6Q8fqc1DfplowQWuuy_bxyd*-qB!hSxc* zp(!xsb-1RFi#cBvTeP`JdVNuOj}axJve+{$oBD1~))pNBwj`$V!P-+)0p`}^kPXY+ z_a{(g%idU-nG!_>mr{|c%$-QRh&?ZfvjcS#sg`RVYpcU>=7L4?vJ|RiRovhG0D zq&nW$uam-$G|DgPoBE+mH9`b=0;{OjmOg+> zGXPk6zOfptrAGF>@m6jmqeVWfk_MasT^MO(;mvhoon(lsl9B_kWp6H9qY%Y)Yq2&1 zPNlKKT;TBm>tHMZ1L8@?Dv;K|-*omjtRXi%NzHk+w zA&DWUo~00$O<^RJn%bBa18`KXmK|@7wV8R!udRy=bWCzxPFQoYmxHHcEeusL&cW&u zdcqcGQ>i@D^@@XXRFWGVV=t%NhIT!T1D7P9=Pw%5akjdpSfZ$&spV*)eNn`vLab@I zIz_s%zW6D$;&v8*v$)JytVDD5OcgCTk-FFo?s|N&!hqFSSMYbyEjI(k$~2iPY72I` z8dQAjEskMzcX(S0XRzMlovvJXqsjS^pVe^PJXKKE(wT;-ReZU8meTqPu_NBh1;@v1 z7g6Dk%f&-Q{a`kTLd)=oa=wd#IF_ESiXSR0%AKZ_Qo%gra;{W#7aCZOny!1}wOSw$ zt1)eYov*>6$@(nW>iC|dEVUGJ3VKvFCMI>%(-GL)(;5E&PI`fg(NC;>^k@ggSm2?I zE*g^_348cZ=)}2BPUL!)s&RAdV+Pmu+o!%R9qu0WUysyt1qWUc;z>9=F{R8Rjb2(= zfO}crFOZ!&i!`+_^`wKqqzr(X$QSXzEv`}3g$yYKdN4!Sx=L(xb*^0 zLG^aGd{kmd6^8{dlEDH`1rg<>dQt%d5C!jX+vAJ&D(cjWOcd?%BC0w(@*h2dBP*uw zpfO>x-p1GI+k0*?UD8ALGszQ!BohYkzIoHZ)f_#Uy;J`HH&)Fei#MmBDow3^_5_<) zp15a6;(Er%1uiCuiPlqJ4K(Ujc-4lI2yh6q507K*i#HJKA0#6wI9vl9c2YNn_=XN^ z&@NY)l9g&mj$02=+i*y|$ok9Pz>cH}8uX4C+1sY5roKnTG2BbQRlF@$^wJ@6pfF_w zf`@S4;9ITwV%~-&wS+<|Y8U!EL>g1+F( zrK(`Dsb(g@M(6IsT;SbNROvbT30pPP)8)s=m0b<}NWiZBt_{CV6a)CDJUX3>j!9w5 z{y3L|szJAz1DiZsbUoCNKyQt@ZSt?iVMSrUjS)Cn<%g1bb3R+-oNng2DuW1Xw1gc> zyYzegLvQuFSak;#O~louXqZ-&Xo`~PTsn?TSUzxbIm}$}EJS(U=fG>RLXa?hgL|+WfaW;jG41ytF1cttJBTtK|Md${HNS^=)-# zjGgbk+gqmF_50mV7gWw>>7r?;mxM2RZc$`vq)V6$L$Bpf5B0VN=cqRV#@_oxakWT2 zl`cRnAx3<^9_R6HhY>Q?LJ)yvAz2xxYN(efu z3W0V$Q5z1VdPzHU+o;2vjH*Yw+@v@d8ZKz$mPW)Zb?qaS3{*0E*sn{5_ZBwaz8USc zw`?J)VY|*0_!(XJ$4~1<5^t19E-?9WM>la+McUFwrSlpK|v!;YfkZXzHnz z{{WgY!ttp)Uv^P_y|?MM6J07wm{(J|2@1$b!;ovWm1K1p^Q;uH$uz8Nv7(k@ehRlI z5f=c8qlYz3gr~!j+`F%Q(n)5dnMEXT=Ci`qh57>^Ww1N!4T$>Pv0IO*LtsfWr+T@= zV9&g42I@*@JZF>TRI(_Jrb>mWSf!~AAJMMhpDb!VX=9{W)My)nx!*lU3iq|d?VuV* zJ}TYVW)jxT+<5P;lWE7CCza+^DOX)D(dJ|5kTQX%*xVgfy{<*T+V-%-xH`|v47lID zSBfHwh<6pp%eWtfvvmHd%+*RT0C|zA(bSs=_dTzB-(!Oq`m$_)bq$HC3A8SPa?6Hv zO)g(vj?x`5#?zqEnt>(TNZD5R>2qK&g6UPMA+8%=f)<nIJd1Eb#hbm|9TGp3wC1_?fER@%AX698%yhs}Ib9Hx!Fku5DsG6i;t zb!!9=_8NuBx1<{j8((3L0{tX!vYM;d*dvIt8r~-Yc$>{>>#3rWijoydH4=mYbb78% z!FDzXNjDcJ#}2Dq%w?|%s;Fpjaj+-gnrezW$HUncL9)OTK_C0Z+=yzseC+ih9 zFhhsg3!~yPnX}Fm%;{dplEM+)fFVJ3xwCA37w?PG;?|Ni%6q4nMA2|-a}C@Ca#H)1 zz8@i|Gt)xR=+UJ~T}vS&R-g$Cpo<+qkWI$cwhXD5=qH-DqXZH-{^D1El$K@G{{TpX zP`f3yrvA-hK_1&|cfL3K`6^xrHP)NGm zf(q}sHo-0-kl%&Etqe1pfsa)eIM$xku0ODj*7ndaNzivJokK2n+CLPLsJbqbhJ_hi zmrxJG4^cR+aJpC%z8s^K-{IK=RZzG3B5(HMC+ND*cqysF8Ekd$SXhI)GMm_fZ>rYn zZT1WZAC#@QWPvD|a&N+=Z1l?YQ;6Yh4HD_re79Sv-K~FcJ^k=A={eeNts4y2hr?vn z__OfKnDBO6J$)@pPfHqxb+ALE{a_RmxE}pS#{{yGVS^fLeRv>o6HNd-LadgaL)I znv8ER9Zw)hzfMmfTa!MV3sS zn!2qi>}7aNtP{Ao2kUnVF2}8~_fvr}-!hY0tDi5(60iv& zQ>o-|29OTtd-`k-T=p{(81TrMBbC&2dP1Wf=8+jJEKDj&Tg6;M++mJU2_$#8k^@1cS(N;D)c`+R48t^UPfCPn{+2 z{kL3ddZ3v6V~*=kSIXp;c?(}}%0KJXd^FMtp4{#$(frx;gLfC`%&ktYmkC8Rb5t+(mK{ah$Ls#mb#bb`zueOp_vz5f7?_~>jdI}s>}@JEg3;rbXU zvn;V|WsW^9P_+ghGf1!{JhmFTY9QF1>@mmFYp)2pJtF1^)C9FpAGD|ivJLOJ`g2;} z+;%vRsc$q-&aPWO<^HA$8Mu1uzT&;VCVm0&lw&{L%2a`Ct}f*-!%3$Rk^>du?JRx8q_GE0P=|mhq<>O`7IZ`l}wEP>RLX zVkxCU#tnzm)o!NO?{kAXIpO)5MdEp=#xk>>()$a*_%ELr9I%SFGNkjVoaM_8$z+fd`9{=M+m#l( zFQ)qqx9w~QahYsfCu@9Gexa!IU;|(o-(3+-@Q<3;R^`*dCH{j-$rUZ{v0YlwGXPrN zoBser?cT!o2=_gQJ@2n1b`g%I@-=S0Dl>DRIHk&~Ge(wRloYj=mJc=5NP&USsxPeS zzocmZ1AF$wVyZZ}(rG=c&_>)mI3sJbEkb5Pnk;*+P`~D1Fz4nCTO0Xwf>vmw9ROqX zi0VzY9>4*O76Sw@?Eu``Pd(7sj7Dn>5*ntIME;w&xv{Yttacz@Z+mZSS`w<QQpnB$YKLSwsQ1N?5nI`pj_A17uTbo-2R+jPhQi zETbRzOBeT;VWc=G^@oa2*YejTy}uXI1+}#K2nD^Y03T)-P|ZjPXVjB&5|@Sec8eoM z`W-w_2ezXeYPy2-)KqV7-q?6xZkAmRlqtUo9~RM7HdU6#GQCtDe6vQR6B@Wsx~zRA ztZWYU-@XEPCG)^SZZ4qeqjKr=LHEuQUdGqh4UP&cG8-ymcp&1s9uVVsEhK1*d>O%&6?m(%_-bQ)jFAxXGzQl)`yh`9#$-1o(O zdRYN2XeY&3Q^Yz%n<#U^{un7Z`l?8)U}{QwcV9A4rZ;Z7p^o-OH`uTTNZ+l2r^3^H zR|0G|^H0Q9$*@F2B;~e6!_=uwPnXh10)e0yk-o3HFeQ({i>qnbS9R`g>$tot zln+>=qxjkwzrDkHk-<;xM_FF!!kIIW#*&KQb@HO=_kRZsG+H)Y_LIleKDh=}ljc;g z%4z96Ag;+Bl=rsVU%kEYSz8Xw*8=Lwm3-h{>8t1W5AdRJ=45j?=_023EVp$Ct|SUf zVG8bFRji`--s0EAeR?b!00`0%tgD!bAc*u;p?Jd)wTE037D};#V@t0|RK{&^So>S} zIDV*dES5YrjiW%l-L%@^UgQDSZ@2HnHDth79UR${+?>4khbZ!mKvlGnF6U)ZO}?nL z{a3%)z8XPkR?l$YxIze}j!CJ=Bmh+loo2%4R{M}Iup_6`!uPnjJ( zB8ZS)X*{Nor)@`30aTwzCf==qH{3&rbX_%!aJVz!38>~50@0;Bm6QqP7yxD()cJ{Z zQp%&HnZGvRi|jO#T}K*o2A`y3xWuBMy2pgbOfr(@`&;3Q$dv&Qy>yl6FMK#zM+y4y z?I4FckIVs+%ac{cpar^+0qJY(I*eBIqe-IT-9$tfTx$hK0C=g_$j=Ko4;#TR5$7x_ zevvfAY1NEzL?e)`C`|WR1w#;w?|=SlS{V}m1^+d2BAB=Z{ahAxXOp=c@z;t zQi@Cu1cb#QPO9Ff1e3MF1Rc%#V5*pK*)8Fr(RG#cn0J`fHC`S#9+Q|{M>boUsh&vb z%#g%fm0<6ni>V%97du|Sn_C&qj-uMd$LgDoXo|Fh03+!g(_7^U-)A1^O5FUBKe+wNgS_Mvq&Uj z!J>gK6_A1g9hr%-Jv(CjQ4VaCTq+RgvVlCP;0Sq@#5DOopX5P2t^}uY5kYN%*s}p; z9oURPLY{aFmE0I~-4{H&!$$*f!bO+OMNZSox)9V#eECmuqf+|6(kQn}V#nCp6kgVO z0HBwNp9;g5b+FBpHRviy2$DapIR{nLezKc_4^h4PVz#?_lY64%JWYga!7)4~%I2r6 z4VkEFa7~ss2h2B6Kwr` zZ*h$X#hB3waN}d?DQ%Q^_~O1HsEU@Bf{sdwT~Z`em6@H6l?tKmPWAwMM$l$s7`qCrdI7mnnjn>)q?0^NZL^xi+{P`&$Lm#)pX;_@iGyvqR}A zH~!?OiXJg; zor&7mpW8j4TVn|4VY-MU>u{neI<_9;3dDG@FUz`GgvIo5i(ULti8k8$nGY#T09>0U4AM2UhBD@jmAj z)Nrk&OMtmID&Dj093c{)3fz<7era%9JzX4gSIH#t%}~bjqYviv5xNByBi!Ei#n7M} z+1#Mph`b@?!+f`sH{vL=7FH8IV$(Oc8uYV$i+10(_!^sYm|S}@ltz4K@>_;^eZvsT zm(fK_Qj^6DGDa-&Esno1-tI^miyifDHpI2523#kyp>%?q&j&se^4?@qK6{=imXTy= z>8XP2x2`Pf6U)@s^n0^v?LzpyA1r;nbbD(67qMOs9 z)NQRnA%G^tHump{4iq?A#U|n}2H^?1P9>=2wqY+0=N8jRD?%mT@^&V|-5Ciw!C*-F z6O83tEz5_9*&J*D@=JXG0EFo{ssyK~)Y7)LR*u(0)G%Mp3<%s32)VuhZAS-7NP)LL z2r88eP2vrQ-lA4>lFh?41{p3^=R??l>)D0<@qJFPe}3vGun{3(yw)V0`k#&n)mIY* zNzMV|HR9+FNu3iU49JmB*fp%6w`Q=iw^P#;n4Az{&dU&|!VtqJP6?mmt_7&zX@bb5 z>D-eHwzi-Rh(63Z5!VLcu_CC0s+O%i#*3lL&SEzRx061pC|%Uc7RPJuR{I0kj)Y^l ziUH!*Dk^nLL?wqZxnmR&{x-?an?oVFLAguswXbjo*8Y!sb^{NJEjb@+*-q*`b;hZM zk4yIHiDiCC{8a2 z=5fP1d9KMBJGzUMJ(}AR0k!%PI(EfoDTXbow!&0vPisy{&v<3=HA~D&X!4xWQ66mq zgayu#Qln*MC;H{A8G4_rI-5W)C2MfFpnD}u!5KJ8&3H6y#={MXU6mU-na}z>if_c3 zL;Wv1sC9-o;~KnNO>4t!-i&9*+mbt=QUsKFnDv&)Qz=31AzURNU%GhivHA}t( zt*3g3>1!o0(@4Q&lrFz5NdU+cSg~KNU~a%zSmL`F(-P4o8G_1P4zN0uL_9Nin2(k9 zPmt#Fhs)YRBB@hx8R#6J^R@tAy6jIwincp2k|ApF*nx*7lOlCRb1lsw&7)bVq)B67 z3c4_5AoL)M;qbIgcy^0&<<2;sn(k@ydn@G~DX6cNM1&C(AcG^dwgGQ&J=p9qD_JN! zMkTa@j}*L9mE{~KUB&ssh0k-SCyOshM#&Mf28j*qx7yVNoca86Timt}MTT$pN2)GBW zwy4L^4j`R@x>XBW+vzurQ(fXlO;uE=2yH0j0NQ{IM!@_nVtyEQ1-g|L5diK9vX2Qf zII~{k7VD0>@{(3sLz@69~|V5Jo@= z^?<$ANFF@-99Wke*;o0X3 z>kV_@rSIV+f-35Ki6&i1=hc#@V1Fi-_~6>dC`8q6cn5STj}Ot$GC>6zJd+E?3u(Wr zP4{3eac%9s18e5%wXiH?C3R0B^IL#&xD;CC`JjLg=Nmcn?l;4;C|!c4cS%Z)4{VB7 zrk;WyIY+4#$z=zlSe@_Ny|=a-)+9PTql(dNo`K^lEhKRk1P9b^q<0oOd+&TXuexPy z8v{uigvCQ2^iKZ(tYC$kEGfv~{FSrkNF%k)_dPxy`}_tgF%<)44$20z@`W~dB8E(Y zl_F+UkwFd!>Im)ZZPU2MR6rlPh&9(@e7h_fchzEg*hRxhHl5PLKh<&7B}Bh+@TK zbI<^3eNg1)Z#_7Znn;o7S(Qy>aWOHZkLU;AKml*@u|FIb97+N8T;X)OT^zG0&S1?Wo{j+qWMtPJN1di% z_a|8;wFBLW@7K7)ob*`3H)QB>k0f}nMTVVZ^#k)p))wuK zI-z<;3eGEUBhUEHleGR#B-J!DpI=N9lMvO=s+)nUrrrKHLZgCspwY!fzGi0<@dawv z)I5?^ODhSbk(t?;TkA6uxc0uowXpX;Gi6rSr;?zKO2K^bN2=fq&NLdNlPD^nSX{J9 z2kXHMbT)VdeOrR7;hFe(%_-wDG}QX+&7)D@P40DDPUH}zToNsOXU9smW7SlgJ6vEJ z@Py1}xeZqj6znM{IO%$jPb zi4cf{q9jIlMTD7^OI(sfmQ}H^-w}0@AltY~)MV33jxZNqlaRUnQS)ZuYLjOPrfI56 z4T=Q^ZAdn-zLomJt~g#AbTQmV+?r^ei)7zWe)OlO@ZZE$5Xyg(5ytU0rPn1(GOU8Z zLYa^;Q+68`EwS|Pjz19=hX~Y({1cl%$h!zSNgs;Qen4?mdPxHC>@O5$36eOfcXmN^ zw2~&Z1cKtm&bmW^)?nZUgvaNCf~_p)R*x^mDJncA@zqI+N^GKzo!T&rR1`(93>d3V zBNhZ-a8xybOWa}%`9ZVK@uf@E17haQjL7^FwLC0w%ykW`C(7g`pX!4eh9r$wAC(#b zZl=JC-$vR(qgG&+zqrbC+9Cs+-@n0qRd`L~iYdY-TbId@Wal}iA?(3^t1q#PW zlV@_Eg&T|zDwrZ1Cxoa2Mrkf@jr}2QQFt)pOueOXOO;6`r3ECVfumqe#fc0oq?>@Y zTMhk&rsoNk8yjyk2M=}>)nQ>9#xmn zLX`xDE2x2};D)%7?s|mhhPppw(@RI4lER0=2OY!Z52s~uvLm9e(J7fib=&d+?8Noz zx_+@6Vkb54)q`D~3GS@Iee5LFVRItz>BhV(OtlZhH1SIpm|i&+0}*{CR9&L5y4u94Wj3%q zaQ9Mld!TrQ(@~lSI{oQO!kmQRES-%|qLQYu!jUAd1Y%YjGQt;cRhTKb9WRJjWDL_I zVP>Z`p`&KSw>LhjyPW9C(<0&=&Xy7xA*`M-z;!C*6K>nz7QtrgH!+?mt4Wv<^TW^& zZIZqcT#I$+F(_0{3wE8fFxAt2u+ozPu`l5JK)eM@W53d>kI3sEyS5_1>hAEiZTB18 zpI!;@`@{Ua&8oA?3T9lwu(^^(k(%CPYJV+G$QbpvZH5dq!FFS+YfeD`LJb~2IlD>B zjwwoN7S&Ic#MG@PXO~%NboAI8CHs{prX;Rl2Jl(>^)tAi58Yg!0a?vu2OH5+(^Sa} zH9lg_iq=^XV`d8C_G=Ib)zIRx?#e;pK=4NNe`t}g3TFNkU9w!9f6lqL`1xPK#j}rN z#C#MO;c?thO)g{UeOK7u0R>KMrf-3cT2t}w8Bq$8tLW_2EgqejU|nFbI{~Xmw&b0* z7#gw6LwKKs){mma%eW7YifR56-Z4zKFUztRh!0UC)y$)7q<2KW!&4J)>~VEDTi;|3 zJ<{Mf0)1fx2>|WaupZv{460JT;6lN@YAm-GJGS<)*o*hEw%zf?*p=Pkh4X76LN+p` z`w%WW{@g`e_Dpa;1XdV!faa{R#e9~_`Io^mWP%8){Y*)+`ra6!65C1 zbZbXe*>}deq`Za7P3hyc2TnPAua%>83|m>C#yz8~Rov0aNpDPWx|x@r=$xIJ@^y z_!ea)(I5pmzX|6KQhp-J>lU6hsYM5lz4`RmmAdgMD<2_c7TUns#5_~SE!8RIPcF{W@ zg#(t*H6~faH1Q%zC3;$iMG9Ct>xjL&*sCbN^sS91QI^|$5cuZiAfbuK&M2VZ{wT`p zvp9tGa8*RpqbMUtZOx9yPu90XYhtSs+|BY(^mS26pd}su02h3QrRL^W4Q(_cS)*jR zhz(LfAr>iZuB3)j{Srm(g^C}s7qAHeN8qkqPF)9$*1m7T`3HsGT2)b3Lln^^Bdp5m zx~i(C1O+E@PNQY9^s3x+!B`pvqcgQPci@?i#0Ix3cCa6M1e}%2vaHvL^7PLpXfoES zj8sgmr^`}$>gs~~79UhyyJ8$nxIo|GDx(FLKWgKLHDNrzn4AyG>X>qTzOIAJl?yCz zG;^~nb!j98i7nKIV$HeJY);xtqT2u!xUGDx@){@|CuSB7$7g$jlwc@HPQUIH1pDzQx5vc^S@dkE@Sg^u zfT}s^9MOgFwa6@=!=^|0=P?n!V=P{5^=`XG2{%NHFgk85Sp8;V2TNeA80*{CJVeX` z!Dus#J94*__pWD*Oq+zc!NB!d`%6wLX=3vzsz#KU$#6c7!)7}EsYba|>K!p_LG7w7 z5EW)M(%b5T_lDk0zdSgWmnRNV85q3s4yg(aPCz}#^pA-cti;tV05?|{QFL|`RCJvi za;#8ueh=hG%eZs;mWS!b$)u;yKPpDokl5bjfL=|RjjwZp*+@`q`tw&0ubJ6+?a2zi z!Y$#BiSZ6tnLNW<#uEoWxJw$b}3GhP_&0fQoEgHCXEPuY<}))1Eq3xC8bH5W7uIdw-SJg~9b?Jn8Wq!`g)uw} ziWr$!3ek9k=4_PIW9al*j%O(v7TRG1voKwNEEKi77R22o{HL?2hWML&RX0XAu5d2X zwCLn65z6C^IN?7GS#=dO`ZDS{WDrwF3}t{I3$tn7z;7e9&iCI0(xND|kSsMjlf_t9 z#7O|}_aWE#LAh6w@f{^5f0KC+nM&YDX`V8()0Jc9uv21G*lX%RvAwo+TC5F{Z?_d! zUWt2vZu*tK_%3+9nv?OiMIx%!l9Q`#sggUSiGJZp4QtzSaa&B~sXtg-!|_&_f8cp^ z%5Tj*ye;7pET@^ZnenGVl%#7}PP#^eS1r|$4ueAjFSXcUtXn$QG;Bnl1>7AmLo>IS zZ;Fdwg%6A=Gi=)|%Hsj5sNs@H-}97CRL2=TdMSH$xc9;JVU)n!nebWcTl&hsWJij7 zKL;Nqs4~o%xT2yVC2dTv6+1WtMI3ev$$QzEi3PSGEr(NzTswN_nbD*GIqI~Om@xF4 z7A_J`EtIp%xxK->{fjWk%}qT_Fqej^3Y$lD8#Bk|5vc*aq))0v_S+X3vb!nQf(Nc` zM&{Vgy7#Kyb1x>#@(k*_mn@ghqK2wi>E*tl(JK(9{r3Ff^{syRj=Mt~K+$E}+rJc0 zzXh)dM)kS2Xy^;U1Te(J1Ek92wU6eVDQk`FaZm?YR1KO5@sPGS26c2xw{w8-0T=x4 zNV57WxtuP66ptpu)u9j8_t;y0+hXpEb2ttqHoMDMPXJ1)#JqV(^}mcNXoEy* z!0mg2$z!(5sYQXeY*Jz{uI(|d%F<5TN(^+B+Vap|&>;9Ew;S=rUmw@KePBRN%M@#- zG)~Hk*bnjF1X7?W46V^rR<3FiPU$BiSndk#ako%@Rh3g8cD|2pj{RSbw~S z{hH$ThNtYGfmz;?wLcd8LIOOvX`yO%rw-DJiz+3{IXY~*M_cp@z3+_GcmR`bX#R_C zVn7#GSLII*$4N;`P>`B=W`QIq>uDk`yP;OqV!u|`=sIFL21842KAS6o;w7hV#Xbf% zhBozTYa0?i9>W%mX=u5WIUt@0R`|+VJ{!rZ>!qSfjKeY_kkkZJF<7Zt2;SQxC#UON zZ?VKJW>Q@1+QYp%wLm~)c!v|>f#(^y`g4}p>5kU_07xx~b{)$O@!EIVDI+YJ`t`z1 zE1jtO+=GV&5nqPyw|n8qi>;E46%k(FU3dF&3-nUb7YLPd50cefdo5;V01XuzM;k7k zL>YQ9Tdm^&F(pZ0K=hDK0BP$9Z`Ev`H?W1i>xLbzbVwu#oeDf08yKabrHrcnMkI_p zV@jPM17$bTE-hkCB%@}D7aRV6B~Mu9B=Niz%I6M47Zv1HnRLPATDYD&BLrXwxjKj- z00!jk*!97Vc0oFrG30?Xg;)nk2gu5-?>IO#a7BJ&1Z@lFTHRl%QDO zrMLNEj?(-RLNAQzBB5_0nCglrb&b8$F#*2q@Al)x@kBPMi-BOT36}Sj68XO!+8f*R zh5qm2*wA1eOHH?4ebeUc9Fqk)h4l5m@OQ?FmDX0BiZGrA=oTIefNkrlt1K;HeRj9U zVb{Jj)d%cgey8HK-4Y^C>yLmyvx^{EGf83&7B!vYMms96m__Z@!sPGza2^z94d)A; zAndgr$eSxA@-voMcPR2oJhLnz;#5f_7ppU~z!PRAI{3Sg;d2sIiSMtmwR-f^IpD zkub^Y7Ds7hk_ogjv1E7Z2=tqRHCO=>l**cCL&C=7Th}ux* zugEHL0+Cii@iWGfpm0=_aj9C}Y(coj@uqFTSJh!<&iXAwN};r&)@(QEH?_yU$M3cr zhFqwbK$`eg?v;XZ?D{o#}Q^ZX9!Y8T39JzK9yE= ziEqmy8lBm9kXCe9CI10hwCflc-!e5NyiVQm3)8 z!=Fe4m|4L>2U;N99r>nVx%b1trOJ4YqLP}n7xJnhk%Poo++1Jk-q+vi0s%XVg-a65 zO`IcZjtU&^rb}Em?~$=v4?)YhTj?!6R=!$@}43 zyl9*5W_Y>bj$P5Tc{N=%Lw!)R`a(oMJf(mR<6;0BOK)?y#2AcG9fl1?yD57hOy6V^ zxvR|@zG+o?l9G@n(Z)Kl9b|v$J9Q_u>^8vI91pXL-NeZyQa-1N;&uJx*HgFANH;dv zbnEYnhJ-0{->R%uMMUbq3v|E4^~A+SZ=%F@1l*BuFW8;$+vC37@y#?%)FVaVhsPFK znovQMaXiA7vIIz?s&IU%&;YBgx6vRUShbb9+#8G*Tq;~x?h)8rNu)BnO^&K?W<2WQ zteyi^K5am-fLO4pjjlcltXQ9b1mY)ITZ*9Wp+^vS)SHa6Ibh+YSg9eWeKitV@)-}8 z<}#3cwNQNl{Z~4fcL8cKn6p8qM1y4moN7I-VU2GSwu(1DGH7ZlMLb!AjAe`nC8;lpab;Uk?cJAe&5c;@Gz_0Q0Sg6 z0Hr`$zfNQGxA-YIU)( z;#8GasZt{(lgJe{uo{Wg(|!7O!R;HJgs8gPNc)ivlY`>n ziJK~+%w?`Lqwuqhc0ts_P$fTsgUEI z44;atJoT%rf=AM#8058r>{KqHW>NOG#0*P^#dSj39wiL=y;o=BDY&fUH8y0+BUVmX z e58`!sjZ-QUaIX0b8{?c6H(lind%bfg=%i<;{si{joon;h)N3z=eH@9x* z1Pp0#%pmfVJv>WJ%kwYfEgO=3TaO%%!TZ(J(ZE1~d z&1_~|x{?^4*@bq57j+E5LIYxNsM}Am7t_87ZY;V8yzRO!mMA!B1`|2OoGo9&^DLQl zT$OQ+3;->xUrm8aom-o1H?{QJ1v0ydgFW($!#TBqjX^VFH1AE>Y}s9vg;-_1!D#_q z?Y{eOaoWcOf_bBQo=fQ_%@XHTNE_VieZG6)8iZQu3^5xng(W^}h9{5dcXzUB^DbCh zWU;wxoq)%B-g;`9aK!A3M}{8}tDMvRFXD#~)xe}BOv6UC2Ti0qX^?xHYPU<@7EG=x z1R2AcMh(GJH;(z8!ue)OYlUSr=^0`oh@_Lb3%F3XCgF z67#d1Iqx{D+F=yb^pKJ%>6223)7|vg7d=^y-G>*lu$vri7wz$*jr>ET)bsx|mjJpe$f#RkJG)po{F} z0Cn3*`lYXMC^}RZyRr;{1!l25V+jB{`Z#RAdB0AQaQBE6hgudYT24m&HxGf-C zkL;Lk2YY_J2U>PleH`qe#ON-J3}1u742=-Mn#UzXiyfAFVn8k~LmyfK6pIb7Vs1KO ztW|J1No?*fxR9^d+~7D5cu3yLAM>ZeO=m7)s?0p--WqoxIz;h_KsF>H7gfH%otngt zP9}X^fLu$h9~5c9&cb-NWN#>7}m+$lASg_|-z zbxT>TXb^Aolzok~bnDw11ytp-o~`;3)bzqkPPZs?7&5%p7n#)+gP>;Vsh44LKo>R_ zJ&C}W+I?dPPNz$(a0gC$C)`^ zyWzbTRMu}+(t85(rmPwcW8EmG@=uk}@*5*G0(Yx1ytByRNbA0s&=YNxZE{Or-x^TT z*1_6UXzf1Q5)g{uE_POMM;1eyD`<>XHlRl71hRVL7w7;#SD;~VF;RqIrupNqGkW%PNRL(Zy-rYM6T8^bvfuEVfmr{QvNW+M(HK-8w;>za)+X4B}p zJ|pue`8&W*K~8rtML`Yv=_{p=Z_Nx%yPQpm?6wB>P~iq=N!TEfO;Bl^IN zNIMWl_^)XpDuz)j5;_*GA^d`HLB^pqIL=i*lZY^UfW;}l6GR&gMEAvm`W1YIO)wre5;7* zGb$-6S&b?3<$`GCR=jS}5vx#JX$laF?is$gLF!_ z8t-kk8yssIp{Q2ZlVDol57+R=N`_On$o%E9tnvpz`DO(oYk*bA^sR4P1KsO3Twn!T(4KFrmGJ2MxoK?*5&P=sR;Z`+nrBd41f=duv;07}Id^52PFC(%= zhO^CCGJv8o*cWOd64H|~%c~sZm5ru4x@ndcE;X`+)})`!zRapk?k)M)KL<}VfM)X? z(Dh5$?$HsXL`UL>gE=O{^kV1)6MzLi+@az_5>1u4Z!S^hs&{vcHp6jpRIsqz-)r>1 znCFnoYrMyj6LogrN*Itfc75_rw3q~THEe_KfbG; zY?3j>@%0;G$RSCUHF+tN9K$Ce1dC~!PfD$ilr?U}fw?4F#`hk;;;$D_({ON(8=z{_ zgCkNv7q!trMCZq`AFR{T*YTt-4Y+c+s5(3CS#JwY|<)J!U^u4i3i+J{f8E~V<3bJ&D5F6 zxeA$IGAcNWO06-aGoU8p=~CV%wY`)XH`{zePLw?Ubwt!~dqRu9gg=gd8D>-&OlMH0 zg{6=S{dq_V2>2@A{>KnkH-*}5hR+o%ycA{<=A08wvc^>*nxX|`w!JJIn|0e=iQ8~R z?l5f!NQ5KWT2r^mZc$S5f-1zOntA@4Qq?SGA&RjdRc+hicP88IiK)}eys0Xc*98Uv$!QAD7h} zx~nwuopD^0kZ*8*HLb8=(2sq_*f$A^727-h3Kvtfjn`k6oXeYlomc7F-^bsE71r5$#NtD4*lLt6tt|Q) z_v!7m@eA81mafeXWc1`ZwU`lR1l)XXH~AbuXv!(Ih*E2XJX`T+3B)0XFnJ?T0<6j; zx3C3Qvj{+n_wA`g$KQvFNwqQVaz}8lGzSEI zB5G6pSu|x#CZcZ&Q)PoG2)_WG>=ZQ80O_L|Yyh}cNBhM_Y zBe^BXB(Ws*J@4^5+r6+$hRP?wNaHr4`Y*qKT;nJQ_5T2a4ofU8$VImU<%o^bn%GKeOvBIBaHte&wyRhR3k^o+yYF;b^6 zU@f^-R3s0Oxce=Kwvlon-5~BMpqq@p8_v~vgs)LG{{TJM-uJ!E7$r))t2X&}MF)zGQGm4|2k+%o|G^@LlNIJ!H=QK}?Soo(wosm3$W_W0prU z*3lRPPSUga`rJm^(E3+))Vc!N);Acp6r%F4@f4a{5vJ%f$@Q$ei|yLu9noeo-pW;S zYnQTc^v5^MHPe`5FA_K?*Rl05>K50qHoE{iUliEN!l1}6nGhS*>#pN<9{Giyrhl7N z)zh(P5r@o6Cq=PyiZyu%t4CV4!sL_BX#%jD1|P zPJo!cru#w`i-cv5rM|P?lAZv|9%Gvi%&xwZeXMW4aem_(DnCVQu(v)6W&RPNsw|4# zJo>@^03uEQ09FXCaEyJ6d(h?OFB|2&3B(z7eq-f`mP(ar9SgK;p+dTV2`n4#xg(|n zYe9+9QFZC{q0Na$8-RIF!yIo;fL17#Jqj&`f%yKQcThWHt29O(}*b8ttVt`Komm{(I8rb|<0S4ucexgN5xp zmCY=iH0NY7d9%wrpM!I8P35d~P^>05mPhktjG!cqJ9jqRv)=yzSR72(7`CvgF@zk^ zz`#tjuy7>=sIhw02o6h5x3S*WvEJQm2)|9SU7E6@p_Go+vH8(FoSvcJYMkPpISEQr zFR9u|g_guw%HG2EI)LacU@@NQM%R5Z1Oi}RYwNz<=)Q|r*ZDJd~ zhlhbym=`szf^~_3uoG$_exaj_N%q4y#_ln2QoIH$+pf zOuF`U?o5MYp&ytlJ@Sq0cmi$=3pY;PTI-1$vT6z3`j{tvjf)K{LZ`luYMeEDs34 zYbi`lDF}GP)?gSg2w6&q-7HR}dvpYDO~Sj39n_6ua*_!V$5}$>IjiB!=Bin9C8S51 zrR0riME+8iv0(UV~HnklGy}2XT%w9UsAV*rm~(XY;@fo zMOGIUu^^Fr4OqbP3d;h}=LuFB=Wm7FlbN{$xjh9H9UVkV{XsIsvH4EFS5uS}3t#HD9mG96gTA9`toO zB=)ld%e^e&=HDNP1Uzy>c1i8R~*V? z4I7aeTM;!dC;C_Gd-UGpzMJ*yUgnKYkWvOT{SoF@Zl{m<>b7Gpl7I&C;uBLUdWDCW zLKY=|u^=7IhS*u$L`j}dnbZNp%&jW^C*zvmS~M`sS55rz%DXL@K~w4k6SyGivAuTl1AeTUD$#1Xy0zz2BINU(e#D4T;j?G+ODA z@pVi;L}jFwD}svERUL(ftu_s7leq70nC5%U)NQ37SIKa5-w#}2#&q+;RA|sXsUmNs zOd2`uWS0J0lc#OABnxU7=y{og<`izjPtqT?NG=udp~pETeKfhZ6SR~`BDC?vRa33d z9o0>RiRp57!(Qh&KoQQ#wD62!lIi6K@k_rF{E^FepM`Teh$$dhC}L`u<_Zx0Sn4$6216lAv-;}v#Lqk1XMt|y9spK@VX>yWOTFQ^0Tn+A~;0CcL zP9?}(Mc{6;BM`vT#w?rINKv@n*qoI_<aVR*vZ+C}w$!KY-wnavHrESW;2PGc+&3Eb(QjWcc{$ZIBfC8EqHnv}@be<9^4 z(To0UYynaEs@Ap)d!nIl=1`b-XKyh+NNRZY<+NM@##2iKeqBCc64fsHfsu(55D44U zFQ=!f{qXAJL&a2LMpr`b{tv!YW_kA%Q)iJv$1b3DGtYf08KYpRFZG*nNF4^}6>d44 z8aRSgo(73vFFS||bAAy1TjsoZ!}QfTbvdX^zG-KwRbi=OG22?NR<}|X7hixV32Iplwj1?5ZGhHvlvqCnzCw?f=M}B$c&VX{3GL>{OIzj;4!to0TbiKc z30hu{XcWofVKG01ON(DULpA z$J>FyRr0;4Ct3#9$g;0OkGNs;1QkCJLBg`0oAQ}U4346HD9s>ngUop&L{tH61(iVQ z&;SWLo}}E9f$;_!b`Vi`U|jHdt5}~E^rwiRQ zytw2A7b|nD^Esyrt2CJ!H3Rvm$PFTYu9oe$YYY*SGxHu6?@bWKK-O>EqTk^#jK2%z z$Nt&_{iX0zy~ExWRw!M+$9>Dc_&GUW9}jS(xwEaN%;#${>*T;nsQ%ws`vxlT{iiwJ z1HoFho=R792I3I;DRmiw_I09Bh65`rKMP>@PBhgtC;*CwDp0EnPk63Nm~B^l#57o7d=V? zp#K137ZG8d1h-)xt5(upz~j1&{yRCB26LV#2i3;$(?Ic?~ZRS3H$@fT(iNEZPzy6Z#e7UsDnQa!D5i0k!a$!&-9_0O+)|TH0*| zpc5A<(EKM^re~M%3!J!QGLL^D_rqIwWo3(g*R6@2AiXW z;fKN`T#1MAzYta$jKyMYN@YJqrc=9a#0G7>PHdxlU#=TV4r)6yh4usQSJ2EmZNlXJ z$ZPoD@d-)Ijv{DQ<$8rHZ2*K6ES~Ba!P`a_G;zpYz zHQ{H5&kb-^PYl-c4KJH36MM9*(h^U7h~L;?EEU^4Tu-bmC1`980DL8K_}7)ZC*ofy zsEU!PoP#6@TS=v4f@Ii@X={LfvbE9I-(!OVMrb3w2;CJ_Dy6S}gm2XK7_Y`r6hW~4^d1Q2s0P#UR&e5a{I`SXWT?zu7$_;wYk6!V zR9gXi?0NtOzAuruijjh5THbro4{&y1*}_&K-+ZV}>4!g`cl28Fzt2Ybwy0Cg4} zLG6m#HN{$u8-RWZ_+lEzM&u+Pj-0bO;;uY-X%_KPK}wL5BCeCC%qMa!>Lio!>$WVq zM-bLDF#S?|RZ~t{h3D%uDd*z~mQFY2tm$NGnIfT(YG449TxE`~ozK~c@U=jtnCX-5 z2%eD{wEDpTh`9%!*U9BaP9$=DDvlhU{+&0!*@}_Ubjq%72%Qp#{Hr`-cKlm72m1!L z=HAKY?D1YP}qtEhr zVXBHW)Qqw#d4tl*+h4HUcei8Hd{R~E8e~Q8yN1IS9NY@9Cp2=S;wP7~#3Kf-vV|s% zN&2;MfY!hIb!ES?#pVXMsZMzj;;k{Xq{lF4ky~78A>@ZH+KPdHswr~irGY`U=aqEc z=h>N-hXv79!+U$H-?Vw{SX}GZh4sad*Uh#?y5aYO***&)r6>S zt`y&?Qb$dR7q!MJ-HC1}c6FKclr07vF`c44As_0xJ_qrAmD9AX24hSQnGy+1br880 zU{zUUMgwLRvG|J|Tr#e4->5})Tx4Ah9}%7#$Awo++bwBo_;#j29MCzul&(Q2M1XFM zxCD;AmboC{9A*cuY_`NG3&j%$L%#&Xa9wZt5$7~KQ;`8A5XvN|Slpd(xwN1m0kml( z5C+Tv8)Al*B%aHx=IP+1rg9tT?}rq9bAB_U$}*`lrf_KErZrl@*u|sF+u}#*#?KBq z=;l2WaZl758^uJx(=`+H#G(Bmg{*U}Db zLK^-Wd@NG)2aBt?bBU#ro=m+Y)dXx3NuD+fXxV}EkVKaNlASHr0M`y>K+TNb!a|D$ zMw^=A>z3FJuc|h@ndC-I$a-05@_6M$ox{pyeQrn=8`y1bg57R$Jvsq|yK=1a!$U=Y z**aOyM;8&&O+_$c6+~|onoXFif~{gV2FI^#64zxi6g3(u2s^6Uc*x|=S;&4RY^y4r z=BF@4E{PdhNLh;m8?XZX0}FuGBL4VoT6sV`R${Rk$Ql9^M4Q%dI6;-?dv(K?7wk+_ zpKok6bgyqR_s17OUuE@sy^ke?m_#30{ z)Arr*Tg*}Se#3qXVC=i6`$!J;Ag$R6a+a}#J`9nN|m>n zNmUf;Hn|Ex+wBAI#&Z!%I3tKebXF&;sotz{!kqrgtK&)Q6X>wiX?j;{u#z?ai3EUH z3!5EQ(|zy0C8bfX0xTl^>fN&ac#+_jIqx^}idsgS=}DwEg3202_r2o;kan>hNdw;w zePC=2`_!2WE=QsgzAJe8uZ<}UYLppt^%6lv3I1|Jrrvys+o{qNhEuz#+XOU+Hh5VJ zh<8;J7X zAdCF}0CqZ;k(rFs8z{aSYaE}^In^iQ8 zPQl26#VSdKV_@E1xY&zHruwV}+S?mpwHg@czJk{p9o4NcT*)~^lQ*04NnaDZd!2Bv z4^>H$MjEn7QaxxOIJKg{o1Rf93Ugk*sFtFZ zRjHPi6HZ)Q4Q$3q0oP-69+vHKweZ?By1662%~JH8QBuQ?piznGPL!2}mGx;oj-I;> z_W0wfkcIk-eTB%xWgrsEh2jY%z8C`)q8h%5$T`Sw}g(^K|-N=!Eg z{Mo16@8nAKZixN>e>;R{Mm-T6zi^USgp&W#KbT1@!bxTlOE8jIgp$l8mp?TAVqf@M z{-cO1`;Pl3;GWG#dJwtP;$W+7h!(d`eUD#;JWjCfcq^wH9`d6{HWSU7Ev^V7-+TLi z8{+=}qn=9-dh^ee1P3>{F;#S04T!e;+vn_d7}C-!EWl^I7taIG2zWjf9X^>?x$pT- zqv4IEPvB$hek)tiVkhnX2oZ5yYd&FCNMa34!B59qd@uzIGCVmb4VN;Zt zf(_kM3cxRnM|Hh8;vYlHs913kP7eS&!N`W9cQ}fxfXzQTFP|6bwV31Xv{CK zf@tziG_6dowp`Yw-z;QgqN*|5&6QBzG&)mLsVXF8xE&3^7?Tl!7;1o0Y?m1~+I63~ zLrudG+o*De17HB{Mv$TgbH!DhQ=&@wo_QmUB1Us^v8BSQ{0D4pz~6GQbzLSl8hlqK zmeE+7n|0fJd=38J*@rdIR0dbeSB7=~dP)7C$M3_7_$Wv-E8;M=ZCAaIPuuXrGRR#8 zVit)D3v~UxzBq8OTsJGDSC>MG5sqOaG6s|dBSW9e->tilE%7*vl1h}c0V`T&0RXWV z?|rsE#@Lyz*+&*y^*X6omjJN+{@CQ*GC*3&zepSP_V0h=^x@>fk21uZqi6$B>1%v% z{CqLpQ^6q_pTWaWl({{9J23NFp=1N|iUhGH+mHzZ;nM~Oc0k}!*hdbujx8RN6vXCd zBcSHY!b+N{q6-g{5mg)ul1rwqs@wJ7p!UT^BL*tFF*_98-`n*5>^KyNUeVWUVbELicia3I#~u;}>-Kt|DQpxAcO6MRx9x;3rE=(G zTvJiQHEUS_AXuqJ+!Sq!x41n;``ZxWDRs@tcincI@{mTxRL$_?!@M<~$eE6DnNQ?V z%+7MSqGp`2Qddl$Q_K9)ZVuM9$T$Y2CkDfa$^;11`Ky|^%3kpf1=E{?arlwruBoja zNN9*-95)OU5J4r{&Lq*rcWM2$qIQ3jK9x%S_DUPK_=x(d%MT0qz_U~Run zg!>D0>DS*4EgGi>K!CJBw*AM$-w%}3rr`?XMPE$em|9dSMelIHivhjQLGd{3W(0Sq zxWjCxHyU%FH|5xmE`g(@hNsM!)EI-x>T@&4lBY;m{drCNk9sPC6!`X2dmTpBKF=$W#N*ZjY}Ga zL@Ys2SQ|4N17M(8feK$$>^fkYc%1Um(r0MjWEDzpai4TIl>TLE(D1cC8`ss->YM1a zGQ^W4WkSfUYf9|RtFk8jo!T3k?hoBU648!D@Op4l+%p!es2cdBMTi9}iSh znkQluGP1y+yrc^&jX)}k?th}c5-fRXYoJB^Lb#<;Ez0Ll1jS|bGE@YOm&!6aF|jvz zK}S%bt#0g~n|il5u@*tWj?OFtbX;vB(m^H&uv>ZU6W2)OIF&+bd5mqZODWQ zw4KXpDo6(cNz|PQJ@Tx&yI9->p_O^OGcc$y$sJ5`Q^`!Cb%=wjQj}J+-%8mSTT5v- zJr5oOuRGljn8*N*c3Tl-l^NudOB|3CM0sW|Jzkj=Z7B`8GDx9a{=JJ5cL$wdreFz) z@s#S71)6mvU1{oiSDIr_9-l8;0wZNx-$+mz*Ei^cTAf~zpyF}^MDYpbphPYs<#I&KKN2wvdGI;A9Qs!_?9RL^Q4s~VXKSaj3?QcEZ- z>bh@YwhS&5^uaV;oN0TN0rb^RI#(PZn6m1Ldc9iIXgON?qDXdV)>Cy;@O`oLTZ zj)SF*i0jt_*LH9wCor-yg)>fXvIcU~%bIG?j(06(L!@7@_QlmQvzV5+?31(7`2>Op zBd8<32=|p)k;#3htA6(WHul7#$`a{cZw`*feeZ7FvB^wdhR^(dtRPo3Te*D+uazML zUDzlj*>1!DNj(R-!+^|Jb3!P&=OD9QN@eh7X_&o4v9bwjlTtN0xC&0?^`f1%kPVNi zY<3d99r$NwZMg*{EVZmV;He$qZ;QBxi76`EIhAT+Nr0rOAO?|rLBnYP5~L0P0J63& zaCQ3eF4+lQmsaa&gwKc?2qNOF-6?;W6_V+&+g0wsTd-n&SOP$v^j&SIa3vUT4Lo3+ z#^Fi{Z(}mgpLJ6Z^9SGCQ7Odo;LKu8&pWQ zA6`SHKIIMf;-?h(bZ}NQgf@7n+u^IjnehWtRS~RONa>?iXDQ}0Gn*=f)V3QEPWI{F z5!0q>X|iE>ZmL1>W<|!lh^}n0YG?W!GnA*MTQpTp$8Rh(*df#l*lc#VJBZtj>W)Vf zI|x#oAU&a`rs@0fp}5l1G|qhX>HkUu4p@OCT2ma&b&(0z;0YbV;ZMg@6gJXnd`W zzWfysg>$8SMHzE$yX-q(wmJgj%GsxPppZ)$(&%oabp#%x5xt?ngP~6b6Pp(>;jgZ2 z$1|lh6FVzPkw_qpYjyJisUqYlAuJC24X_h1#+!05z~HP-H#r63NE)P%7ja!@8`d>- zb6!KTfC8#7Wl%O%9e}|UD2iHH15&XPI@7D#w9GPEiT?ln0U3Ua^2dE&7G0g>W zrWbaXi!&NX=8ieuF;f|epr*|%WrGxvg^MX=Qb9YAF%xMunh(Frf+5&~cS+dc^2WyG z>~SO^ocUYSIa}Ad#TeA@Z~*FlSbW0hxl?PA)Rg}Kis5q7Gx_ceA~e!L3ZyGF#;YH$ zRM;C^d{X0_Tl?ci_AoyG!8i06CP_@s2lw%eY^hvIM|7{N7ZZ;>-cTslfZmWm(|=X zZ77CaM#DtDh9vs}Lwjw4s6HLugQz4zus@n3yB?O^{ebVc+lymm2)&hldC$x#IM0c5 z48SW$_ z{lxjcM-LHG%S%@n0fA*904nF|vXTJ;_7)zNzkbz3JTaj=_u>=v+5JoSs*rwJJ4w|T|Vaq^v$3l`yg4_4+gG*%zDp2qjAJTF3 zYm{gAmB0y4Dcj7jvZRl2!NshP>MU#xy5l#D!Wvz=cH)ksP%uHVX!!pCmvuY`Fb<^C z%c#RIX3}gy?g%>@fqWT(#tPOx@YF8VU?y9omj3`OIgb1bQKn^SXrAIk02WI1J{)2(jG!{{UZXP7EQOC3!4OQbMKfNZ1RT`*pqkSm15ssZBy#l}Vlk@b>jIk=AD5 z#?usfcmXRTqwXERRqW{em9Fm-ge_nUjcXeV?Q^l*-rHNP@H?@O&=tpG z13lm*E}-QeM9~P|8Hw`-hZ42)k!xxCx4*A)PT_BX&MK_?!obau)N8c1OIL*-B=UIG zo=B<~E|X&+QUNv~fDP~4a6!a%F?C6?0@t#Vl}747grlqEjzt`W5;?Uy4G1M;rB3}w z^?MdJ+t}LSoMloZUMFRJOw*_V0AFdk*QVsIOsgPum9(8I%PR{r5HyjeuEgJ=QQFvy zV{6DD>!^>tO;PxE+bzuRaSO${H<7Y9RjAZHr{xJ(+}`_bw&K_6ix%{SR>YBUeoC&R z>Ca`WQ~Zc>YNos}%45^MsmTMU=KwbDdi|#b`)l_qxz!kN8=@KD7lUc|U9(1kPvrzB zsVCCTED7u`Mf#jtV5*vqGqfVGwL^&mK%-}j?q!Y+;vB}FoBZ1`MeM;8q8(>#oBAWT z-)uu$3D#63=nSfyUKG}-b7^Q&Gw^$OeQp_|elpEHRk?yNrPe)Bz<#{Mox@Aedqyw$ z*s!9>Gq%e~LXe`^;-60)WIr$SLI@Z7J&Ec3`dbA$!E&yM8FHtVrmX%qttL8HEb-pK zUO?WBMYaeCCAK#Jj8)<*z{)i%FIzP@%dDDU|zgu-?01>!)sMfFXajSZ85_%`f# z>N9PPC0X3u{Z6;!3uvDRka{JL_$sb?k{8aZ;1^)zRXblx~h@3_^}*^hEM{4mi<7e`3QxISSuncp&_&Y_AbhFLt8X4VnB=emVeXJ$85 z1JX7MNju*h>fojveqcap^h{X=POIuRxhDNjP;cMkiB(gIbGSaF0V0ZS#HYZ+4H&rY$EO@DL!Pm^F)>i?Jo0DL7_}_bc2kgdE6-YJ2M+NAe8(q() z2{%(mkiH~alvI<@PfBW{cQZs)#B8iU1zPGsQ($k@dmJ6n*!JNYl#6mk)?t0rbmngr zOUIS=+$a_SK|OSU1^(L(m;(^;h^d&3NKHSnw8sSsc%L|)x6F!01yP#a z4T!kw)Qo6pFfzaZywbKQ)l9gPebYH!;(0YHDkxPJ1lW<)x9(2ow_q`T%LO=oWWV8_ zFNY+KoZIrSxo=tt5c;(Xso0NoAcKxQnU$6s7;yH9p5@f5;`k~gGKrjO>b_RS{e{?z zb_1XtFoiDVH_k-te8PMwyjgv*qfX8B%Bh)1_%NIrKwQ5=JK`fBj`cf_!yQDg=$8-@BTb7 zJ#-|H)RNBECc}SWu>AuGaHU5D=koAfFpkd2CL50AbVr(>dzG9$1n1?}< z04>7mhZN79o56mMAG|6ps*^Jp-oYR?Kiz+iY)RD)DZe`o3vxdnJR}z8n>6X&Ap5_b z>yC;eis9*gOU=Is2MP2vd8qj5r~d$!;dLX4P5In#S`+yE;Rf8%W~crq{{WU@bt8)B z&jW(@%lv-ud~6Tm{M&DhKlk|Iq~0ZW=Z;IUn*I^q6sZ~pU7D%^+3CSQKUO3Z+s!fE zFU!>@)9`|DUHy4(Y(={3gZtr*^=;;v^ReI)NzWf18D|${6<{G(zTmCw`s1@e#VGmGBS?>g%!F^?!#PT$x_0 zBB53Q_xnx~dYb+o{A_0Yfy(B|>FH_e;C6W-GPA2gD*y@f{be=;p|<}3o!1?u6wL8Q zds~BYjtQaVmp-#@Sn&wrN@B8AG?KilApD%7Voa()BEa8bH&bFdW3M^gn|Vy3h%}dX z#FvRG&k@;-HPukZOC3C3R21_vf62-qFFk4$7F@zqaH8dx&$Dfi|t;V#U0r!1+< z=<=8;B&vm?^NmBwQDg;Jg(!fV6Jkw;?_+El+PQBDwefCviwQ#JL*?u(sA3a!Qlz(s z4-{FShU%H-qk<}^YLQlWWh@nnT%!hGQm`cZf(?(pIM$5PtSDm*F#$7t)8<8QHM5Gm z=D$d&tdm=W1RwwfRZw@bs0uH>*XxODKRJ{W-JHoyxwli_z9U>)RIo`};yA{l#8@u> z0HX~qjdoJgZfhx(z}zjD@{*KhS%~v0Bm^Q^eGGQeo8QdtO}z_#y0MhTRdy}Kl+A{v zYw(zM;ozG(%D`D)5JAD5H%*vSdLe>}o=I)_s!=r_+W>BVRy6oFxM|W`7_2+73;;U@>C|F} z5mVUSL$r0m8MM068_p#c-VDp~ZwNdWPaS-MIBAwYq{sj*B}~i%*moeC4&f8wi)OKAuK1ioB_;xNMptIEFY34I0_1ZZs%);GtZ6f~wVp|5a z-D7Ru6?QU~>K6Dl;e4~iWVJaT5wV}>l=`4edSsPD0Cc|POk&3Cxdz8zZ$a#VwPr}0 z_@>~R*EF5PTklRU6Zjgd;9TEEMwyh6yn(6ahDK2sBoHK(Kni2r7ClCmw&xP!y2d&i zc9E|%O*$l=3T1f3t)$907D^oMP~S*2)axNWx0y&{>$S-mS&6sK*Tuac=2JV6-&8I+ z(F1Z*9r!-EMU>I~FNtUen9F)N-Hr6I3i2}CdPxOT+p!}QxJJ6G&V3uFc~&$#6yyjl z`&r_-l?rxW7wHCkexk#idU2r-6z zTe>4*Kbb?Pi}^*6^5Ue?XAxA>RD~=SR+2Uf*U(B8v0b++#CG2luXZKSL;=0FP&t_l z9g&^ndsA~Om(Wn<^Ol6s%SGk}V8N6W8|=ek4f@#P{{W*NLm}26xOtfh+Y&n4@dMC8 z=6FZseNQAZ>d2;zq54ed-doA6gA$c3eSlWKr@2)G+V;eFCREPi{mqk{1vUX|U-uBg z1*%kZA}A00XCUzg@auUXy)N=K-ao!3ELoV@Ni+KBW{7 z7QDKv@d?4^9HQ+}=0R5kLBffa-%|GqPL|ZSHq@gRbgBnak-J29r{XAejstMx>bpOR zKgd6p5>jSvh3ImLQfsx>%ye(bsqQr}L2jp}Bk0;?zV{MOa(_wG4PlL%1o1i{hxk7E ztt~efM?siWGo^Jq!5DLLC9riD_~A-92d#bLGcT(_(6Eq z9$oNaP}8_dn#tgX0r}osHfJ{W0aO$HmJg*yDUfsk4-^MT)`9@kl6(-Z@YCYPr;>Tg zS7tG_bu6*8PZ(uKTaZJ>NVcJCSlAFqxWzERv9AvROJ~(bLmiM1cMym-`;=}LVSmMW zkw596{`}(KJSTg28+xI6&3=4Vb2~k)&0q$ODyenH3b1c11qwY@7be=6fG$bf0pe-0 zshHUdLc693-|t7?D9LK`u3WJr1qI=Ls4DrE5%=eCXha#$y zlD280se)Y+#_OTclhRd(UAla+!GdFch*i(UIhK@dzXw+zKOFGAMI}?~sG+JLlm2%y z>Pvh93^67U3T|^pdcAA<)->;@l5T$qmo#PJ3OuVRqLjxh^2t*!n_omgDt(%mpR*RV zDTmV`*A5_gT~lIl2Ax0}ZUH`a3Kw{F;Yj>bRh*A8kzkrQTZE{pJXhXr60PcuCj@tTszn58>*0?U~PaI@zs&6$2u=@*J+ZI?rKr^#% z_aM4bpaZ?aJ}1!tE?e^oUJsi?dZ}Fcy&;)B$}!v@a0tFLc+3#3eZq#0B0DESeNpM= zEpt|KuAynt=1i4*wNM51Ag}|V#n(l47}9oYy(JmatS$r%Wxo)s%KQVHO!oRD*CSWe z?eBnnv7AP#q~TquL}!A(2aLR1!jNYa*_aunOg?OMtr!s7f5|+T)Fj(;Z7Oynz9zzx zToa&@0=BDzZ6E-WU=nt^mYxFnO~l-vr_5r{m8q$vWDrubhdyGTRG=v;s>9QJ-H%bQ z$7xe`dDEU3X(O{Q#0`ks5(5es0PR(M zykBw)`3=PqQsj+OUri)SGc&iHEONEOM)F?n!ojY6Ry*{*0>$DPMkUu(28USV0>MQL z$Cvq@R~edWNzyA5sHWR|FZysBG4$MEI40D| z*lZ*chlp|*@<9v7RTV&*Fy&JEqbKtm5n$gUMmpPxQoI0QUN>A>Py`RC62yxO+yUJ7 zvF(l&z!uF5fWubX>~PX0NYe_)o(MR~kBYb^f|{CTdFYklsEXrSHnwFYdg)+62ch4+ z@IDA+%n#Nl%&n{Hih-mbq6d=O@wLTtoHM}Lq%~5ca@IrSQ=I)f%zxg+B$x|vpPa%qIA@?p?2o}m8z$9z+fD!4qf$l|B>Bm7ei6{lRg zF@bY@v;ZTfmGo3ni`@Ayzo!2Hn_{Q0rsNvqLCrm#FCzN!TC6WhwZpY%aSCqM`0(Mn z3Lh#QntG-xxAT$;bQ*rDmR)3>V{|25)U8i!n;qM>ZJgNViETUSm>t`8$LydxwryMQ z&3Etp_x-O?qxKk8yVlxk&S#3Gw*3WAEjJA1$g@Opd93I~UKQCsL_zCbGS_|Aq=~J! zV0&c6*_=m>!>YZ>i6h`^@NV1-n(x1B1A&n{jt$`b9NafL3Yx4dq5hA2$}}r9`Sf8B z-hd%L|=tGH*3fI#n`=f*oB3ps$aL^ymio1rtfK?K`zC z57k&3Q?3N&1kQ*ZT04)n7n!Sipo3)~cVxo|Iz+7+PUfJ@mjg_!X^BO&B@bjqw!Y!x zA`}kmDpFO>-|gNw;z2in5Y4u5JyY4x%kH?S&M`p|0GIrF zr0btv^dDfOvgyj*2DUzq;^ zE|g$~eG}f9Ua036Ji|!u$F&(e06A`g zGtGT%NH+?7Uif?!3({wVZU+m|OCMs1`RThPG+lr#jg6P+c-}NhcQyRHPVjm#Fik#f; zCVN}_P;+iACVp+FZ76COsBnm9E+`9f%IrW3f;3Mqx!8tPVoBn&Xf-$fE*a>JY}sH1K@$r@j6IP@hq0 z&?@b=cQ)(4+Xq_d^Yg%!Bg@Rx1 zPEfdOs=<^@m{RTJ(a^j8{A$*0F@y69RCFjy#O=1n@Z0h}V@~Z`Z#fs}!3V^n z1eIbb>iUo-#`lP#=v~j4@C@$Tq%^&F5tDHuQJ1~zZN2D}%BQ0--AHOMLTOOAU10WD zW`(rXTAOj7&N4x7#@9IsSyw@RVpvQ#lJ-lL7$viF44(~50vBq-pN8Pmq_+h8IsZ8Z z^&$7*R@u?J!1B>{Fue zNt!crrCDCG0k<4v$Uge`!JhITfbHg?C!GEAKfsUmvKPbgdtVx#BiB2_z$+o!V!OKU z-m*)*uP;y&bx=4;!Ci`&_HZ%~8sx4|8sVvU*nwoC%ou$kv&6me;j?4gvVo{O{^sT5 zfI)S>lz(HQHJ?(=UE&+UuyB`9w7eRrRNx!`H0*6aKr4k68graK;F`H@e-!tjDDW+O zZyFOP>T+yikG|8@*baH-(O|^3lVpftiZV;%Oj^mk3=GJ5H%maarl3Y7AhO{Wr;fSH z>aZ-6!Yc?~vZ`{mo#|!32qw536zz>bfJGQ~o_;lZBEbZa58`?jY}K zTOxVJb(eyHrQznTZTj^JgFBQbDzBv?6lXh{Qa=|epOdRVNVj#YQO5vd7B{WWzhVF` zg3kS*^IMUcO+J|Q(ZMeRAyf9{AJY_OQ3UF$S$x_;B9ImvacbB>X~^z!hK|9N+&7wn z?2Q5a=-^p~HyGOY_X1}1q*b8`Mf`mEk{Z{$b1AgOWTsU0iQ+4Ni0FxQ-|aR=PKDUs z;!?8xcWOX2q9hA=_Xah@B5)MY4WkA}f?Rx`Fm&8-nx=51=zcE2@Tk6<7HTYBiY4 zxk&}f9Na@XUl8OfTqCma4)>Lo8@~f~-u+pwoCB%0F79yx+Bib8Of z+htEIXB$p0&xGa9^wh=)_h)|%F+x3`p2hXAML5;LStt*ubBc7fw8m73rdaLXBvUac z#qn-MwKf$PB-veG=@AL-V~^lN!i!GK6xH9#SU?Kgp^&e5DNDO5b}W!8I4r_r4FJ-} zxr%dG-jv!@uD*QEZ5tV)|0-fdg>#pl z&m|Ol5@D!N?0;qfu#s9v$A`0 z^@A;0sB{s43QV!;nrPJ$!gs12N3b74+K^tnpIqK3O0wKNOpI`H(!8SVE3L^4L<7SV ztc$-0lm+*72b9zkH5!m|ti?QE6rP(0%#IiiEZ6m%WA7&uUlwN(HcfX>J3!CFtlVzh zF0X9eEY3Jf#MGIIk(4_{!=EA@bbZT1hV4e}n&|zcI^?IAzI2?FM=I;iORwDw07I@wHL6 zSvqnG#G*#?HMYQu@%9k0rL!qY$`EgJp$v-EXp@Rj8OST7Xh`Tzh+eiwqD%-h?t}WZ z9U}fK>JahUpio9_^J*alVcDn@a&Qj2ZG)iJpmydq;o4Ep(RiB%H~BRGDmAwk zGlN{Y-#T;b)C^1w!n(%g>7}okQFs}E9ly%Y-|yBX^g0fibNuB#3Q9`4G|3cB;G|GZ zN0eIa0uIa`;YaP0St~wRTTJ1jE5aEj5VNj;Al4D|AHb3~8D!bfmATI!k-EBT%TT7k@M4*+cq zyZa+liUVS0DXcMax>mCcmOLN*6bPlGX&bg+_CERqk0Vdu^=cb+Zd+pIwW7mQ`sW*2 zb%Mt|FnC9c8h5H3)$HvV^|E}JHc_aetr5qH@&EKS_Oj206HNo)Mq>w1K{kXL>KVP% zFkqHwOJmYbQRK#u*1Bw>WM@U64_oi+ey&goyPNE0*N|H3nP@N>KBRa!aRJa(2pW_U zv;RyL9ObUb44+jdaP`*Z_3(w-vUI$<3q zUYNV%G_;L(uN~z6U3{#H2`9wW zAu!8Ifnp!<6_+#Po+H@t-7Z)B;(8tvA5Sh;16Lw-Xc0oF zTa2$cNv@8Z5%nZdRTO+wnPZ1|c?Y58bwePj;XS^3ySOk9t@N!kmifVjNVN^UzHina zyimoBBss@zWjgRCMnUS&aH|gn&6CaUE zKc?h(-x86}e}JD@!2_r^9T(;QBI>bEC+SF2tmHXTo!cJ;Zi6o2+b-h5LdKO9sm?Dv zMoJOoO^KSIR;*%!N{ukQ_ILH0e(kdf`4DW=z?3n%EIg)ZF<|PfNXB4OD}6H)<39kV|51JP{w( zkZ2x-Hbr_nMu?%&|H!DQ0s+>=cU9B#v;RHR6nSOQq|vmYJsG5n=fEK|OB8&5ec3T! zAqJmJ2sYZHuOYU|<-sW|XOpm=KW+IV{}V@0av?ACpXrT5*~P>20=+(`9d_=x;!l>& zKw$td%<`Tj(Kbf3k^GH8n6qcBHHwQz7$FubB2hAVc-I9FG`M}Z%xbaIDdC_~v9XzA z3j7ZsD-H^D-d;x@-jy_b_`qh^{YTMqq{Rq7>RQvmkm~BQLYYa*)hEC1+I0ckwsWf+ z+#6wE>{cx~`#HQDL1ws~;tCfbf=fWu=u6L~m*$`z=4uH7&^ESS_1g>0x4t24xADUj zq%{)?IZ=SSt^Efe_zytTIBt-vIqW{jG6CQV5C0Fq9kBj*5de7^ju3pV?(GS&-TR|T zYLZk$1`-_(e5mpTC4qQJPDJk4Z=~^;S35>*n_n$1Kt+^%B=}FS=r8jrTr4DGin|@L8om?4cv6h zaZXlxma?5&CL$B7o0EAHxXZ2+jR(%)MdGd{n4J*5M1Qt6{kwhl#aI+s`APr?gT%g; z6%*SjAo4D^%XX7z_aTGX3zr>h0>+Nc=bRDCSuhK#;jx~m(?+6CqtnRN0mJ^kwyq_N z)W>=5G;`*0C?N1n;n4eSbYBcuMe9GtT^RTGJeiCip`~&Vhx#j_&c4q?Z%Nw_>_scn zR3Ed5HIgrkc%8G^8l;-m9I=2RP9m@kz4hT`~_U~tF`CCx;81_nAFTQ)6aNZ%w(?xq?6@U7b+G5H!A0^6ID}s*z z<^hXbOYyvp_6v1Gujj`FNeimNIlSB#((Ant)lcrJ>NL%)-k*pHjVGE(?(E+&^|S^O zZWIu`$b<8Uf~gl=1XU@WixR!|7T(J2o&N-}EmTM*x4L+&lA=_-iZo~|F1j}T8k7~a zhOf;t_d1RN@5M=ZH-}7t>9T3q);5+w1J;C6lXwPvW+whZ`7-1M1K_`4cM{IQdVbGo zWo`VPd@W4*lXOIET#{slXy%U$DS_2Yu(l?7#o#$ONrs`n+Z)r_OY%}hr!ZPhK5>X{ z@!-+|M`A2d2nRkQf)Ca^Wa{AHY#@)6n0%h4;Zo83q!4-jG{E1vq7CZl*f<>uAJirD_s`I&_uf#48P_BQK}f0^*^qA(Z>F$Yqn zg!}hN5ga3VhHvudckfPd?V{Z0;`2Bf1egUMP-Kh3*?H8B>yF=X#jv@f)-pxPP}9d~ zTZVA--Gkw8;Z1W6wU<@TzX@}$^@O$Pv|k7go#Z&JZ%T|26|7%YeBWK^GA+PplwL4# znp2UkNw4R%;6$Mqao297h*!|5y{9_fj|%PIsoswCZKGFhS{BNsdUxyPUqU8DbW+~& z%Q&<6%fQiiIrnnpJj@hF@KtF<&fx4%w~Y|$$FLvc+1PiMPkHmIw{ju!vW-v!Pi?&2 zU%HJvXC0;&&{0`uUKO)2&I)gJx6YK@l+07CPL2uy=r{5MVDui_*m27ve#bAp7tyB3 z7RsJ6Bfl=0;3g&0$OFV$Lbcb8MIezkKW_#?D;&V*9z`57zqoeZ63~+>tM+MjFwAB? zM6Xafh8ZDwz)2=*hnr~xCs2=7nic83x5d8gwRJPCLPP27FIt45#W|->dyT{~!fA*I zw2AEfzzZGUO6al2Z5+}eci)JTeUH#X`lqgB-D6J~ze0N3yIEYaD;bU6U1^hm^^`~} z*yb?rH|jsub&7m-iS->{p+B0-H^Vcj-pOCEUSE>kH%8wBO#?mne^Fl4?`U>Rl=Wm& z1Kc0-wk=UBcp2_QPm*E&DPw$~5-Bij| zAk>jYdV2lgo}R``+wRuEyvS*V za@K6h4C?E#$l>W^Ixv=e1PFbX;XL_8Z=DdT*SG8Y3Dk1;I`bV=D=vP_g<3V*0eM5a zmkDCK^h>%Pp>xAKh z7B&r#8(;O^$ohu0Oi6vJGiH^5-)n};1c+{R!}VQ0R<%O9j9*5@h|ZH$dAV%&7W6M> zVJ=r{vt^D(R9&GiNdWsgU{G$f-J`Gu7fvV7lqoCo2!FLj?jVqMg~|`tG3&h-^{r;7kk;hi9}}FNg0Y2InA6@ zBw|>UQZb<^fOc1|BMNGbZlK7?%WNL{8Yb6nV`n(#Z^nECyI)r83HVXy~Fp%=#QZ+jnHR%5Z&fVC68(8 zTE)`nA3CKa+Um%C`kvELGvZEz__zNTyxMTQGws(JbR zwW!U8t}d;N{ZkReqeX{YV*O`bEop0~lHANJ`c9u1z8xju?0_I7b;Ez}t~iG0N{Fqb z1WghqF;?!fLY9ysk=gNOb0m~>Mq+V@rTs4 zzXdB`OP-5K5Q-W^a-~;OmmUY0`8WRiteuQWKnr&r;Nn69KM<~R6Rj9o#F1O2nQ0kO zP`osX07fcL3wP`6D4h?N`ear1$1~k3xW9c~_eDiy{btCwBnWJ15yc35i_Y|xF>`KV zNcMrxmM5EMaYLX+KV)PD(6(ZuI`8U#bKIp1Q&m%|z2M=X%dCvh%VhV#r_4rSSs++0zISR^T%(;W_A;pj&4sN-UmT zK39o(TI%7Cf-AiAnAl(bAWEFlz(o$mJBCaFhd@<75@-({u0LqvC znHXaS)LHSQxTr%YV@%14=nfwB=YTEbn_M}u$5D)CSf}zHqiEe!!&Z}|XO)PYG|?(r z`=ABZ@Hwz#UJQyQ*J3CMC|G481-4LMOzeE`dP- zl6(J~rat-)fU0HHEJbSj$NkmT0ZjzH+VwFCU<;~quxDMX{|g$hYEJ&PUHi?C?W&JZ zN=MX;>IQ>V7gfbkN(wcEt!xtE55pK-I*CGtt_|S(7wm5C)HZZnRiH{t$a8t=q;I;l@$LQ{~r8~U>^*it<{wV;VRl@T}aLy0s%H}8_M*9G~+j= z^&rqE+B)juNLN%x(y(nkjn=ta^ijccY@WBXG>`3&r8Viq>H1qXE(9)^Npo|4EoFSP zo$eDnbNA^(tZiHo4C74ZFY>ErSqT4-*dLR4>&yzxbF_1oIR%}jjGb37Ceb24vGi?o zTqKl9Z-+bo13=0TrB!1wS}>B0AW5aCF4Cc*lj7p5UO=~d%oh+a)p#Pafe#fT_mS1w znMqnE+gr5l2TCHK2(z2_Oi_J4=q|VRNFvnAe4stXF-Vn=!4=gC3S32zYY?Nqm zruIDE>JFEdw~;@N3_1A$ZJ(L{x{I|X@Ysjzno9_$0eV^7g6C#c3A!UOl9~($jG339 zZhfTi0U{P6he#V6#6;lKDY`ko`|`e_fvU5FaNON4Fp9W5-xDdiw6)_2(RrDWMq>IIqd}op#*;0kqH3kC639tT?puw; z^;#R>Ft@4PbvG#vi;yjjto!p>C~=;eAb>8G|#oAO*xeEa2ro^~Vcnou_JmZV^ej=Z zHMWE%b19eWLzD)A)?4Zy3>msK zw(A-mjLa!w=VVC8!5<^@Jwde`x;CR5%}eC--B* z_~3s?1%G4hRqkHGEFuK4(EmTFVBvqwgqitB)D>hK?($u~Qg{5MJFc6qLtHtDPL!R+ z7}=_F(ihuX+dH4%g*Lp>sqn9%oE%Vm;{4`QPU;c#G^e^;>Q!f4Q_lD9ZieWHpr(C}<+(Pp*M=@9ZQY61?;SHM~5lqgCKL>(p@3F1XEH zHkt6={`)x3Whb*_tC|y8ma~@1OiNfxxcCcdE2kPNz~N~xXYNjq+b4V)4Q5RQ)-IYp zO!ZACg2%^?{B+$q_I;Dq#~suOfb}aX2`!-=N&Q0IqM4{vqqVlK%HxEe=SjmG$L@wS zZs*$SN7zPRsLj5KDpqN*$Ysb9vbt9nx)oPf<}ythP*jQ|DG$a)XvE)E$EMZ@Vj5k- zR*mUO_^82ORtq}$pl;T~%JM73EPQ2c#%uF+@6vlia2;6YS2!YQCUNy&Jm z9?$k4K-wk#o(On(*Vl$<;rgwYelT9F; z1;CvEATH0NkARS3PgD0g=V;{KxMR5V=*=mGjxN~8CcYOems;$oq{Qr?`HK7Re2ZfZS( zf5#l>pG>+|J*IwBN@Lx*v~zkLi-Ti_Q>5tExMQonik0X{?IM3lJ@xW9gD3T2Wz;4( zm|>9QrXJl~4rDn(9mP3iJ)gber~#0S%+t?M>S7C@tf zM5jUg8l

=&3_5YGe%F(AB?DV`WLFzKn zT&W9Stz~s3#IRk)920`axi=dXvKx9tUjQD6n(0B)YgN!v^>gm4FSkY$U52o1QY#e% zbMIlP=h5>GD4CrbR=bqUJ%a z0-^Fvf^m#R{};lJ6m=ftr15zSLv!8{8s*AYmW(M|ZA%2^fA#l?h(W0GJnj(DOq=W} zKL(+sx@D1l+y^qa?%?5rTf)}%oOX!?RzjMMpH;&cIS~uEQG2D-XbRYdeix|#3DM^g zK6UV%LwWM3$Jtp!u}kf$GpnUe(kEBDXs>XUGS;>>&|xJEqlM5ty%#E{I(4!i{N~Bv z(yG)AuSr@>J(o{YR3k+JL4p_LruP#VIb_;(A8|;llOTXmQt;e0R@0<*Lp$>A1ec&{ zH9fT(3-OpC&1gS?&xl#z1;LmFw!dsc(?OQ(R;jCq7{u~G)TVo0PyH!SMfK1EO%k}oi`=wO%t%n7#t_1e7$v!J zC>2^=!_z78)o}MUoIqs<+SmXaQ?dk;cFEKli^)($f@ycvfa4t)^*bUZDsXjj zHdim#5F>sxad^11Dj8VhiGtkdcfOQ{4kBkbXDaaaL6a~XUfQ2b&B$QY=^1R%1;~si zDhk;IYN^zwT^X)7J@Jj?62vpJdUGXU=`T!AN{CH{JaGkb_#AeQxR6*$K^yBHkT&0I zkr`ej)`QXWCez>OBFJ&+x~=;=qX0g9OEU*GzOW{(vV9@bILEz#2!4!%T4u%u`!S(u zxteQ*J2?M$B7%lAhNO{@X+^_|)F|{hT8WRw}H^idm0B%Fc(EINnT9%&+?#M%-Cf$BNClT&}Dl%Xj=mlssx zlWMQnlG4cy{U*A#DcVloTpd0k0#vM19aNwFhrSplb6{LeD^}{*`Vb%0iPJI6P)TEN zh>x#cn|VjTQtpdKJErFL$Jx=j^};8<&ybYosBKhJ8)z4N&@-QimwreQm1JiS)#(sq zAlbW)pyz)xKZm&e3}hT;Nu)ZEftPiGNSV6{#IP`u$;QdniZ3#d_-<7DqvZiWx@vSF z=ekHwGpP zm4EmxnwJw9J9ZSF@oCkZP>D6kcn8KG31;*KympksrjbmkTM={A*MjpIsU-txjT%nM zi0?|EZU390ytSJ?I{b6%QIlcn52R$`@t#&RnR|Jlf7sQ#`f73%fxy9!HY&?Hx3}%G8M1y?fkqNJS{r0SMNW}0j`7}G~q8;qS|EWQVvW_UcwI32HHCZ?E zqsDJp(#w~OA72gw)Rz_M`c&V>W6fb->OhnGskN{QEsmb@U<;-3A3!YR{XanM>1Bj3 zyX*|*a!S|ohkRmbP+1|r`(5GJbNj8mfQlnz0>!7J#>K0WxKqGS@rrLwNOF){yJGJL zX(SMH(Mo62Dr_`^{N>+e>zwXb0r7ld{_K)8@5W2 zl6mq1svWYK2;{wGGo*&KS+udDJ6Az=-%B$IPpj=oF^EELbeeY`OwOlNkx-r88a>n` zNc*@7y$~+uvJ6hcM*O$M=7UsOlfDISM(@4ms@Rq zIC!;jx}Hf6-1#T8V*la~>1;{MMhp7BvL~1$dk21^#_AzcpVx!Vr~=vn7~rq+d8Q)m z5C))LWnZA3`*mnkDzvqle1?F#^hirN=|2&7e`19(D<}u>jYJ6THy`~VI0(ECORQ;6 z9c5#4XDu&#rGP(M;I=RC!4^Am#TeiZ)2@hH$#?;LIzh&w*u77^2>pBE9&t-<`mXX= zp&WHgI7aEervqS8hd2)ka%@Z&A7xL;;z!1uJd8mXPK}wYhWn~rM;N%)#ImAOhPvbf zFWYovx;nB}Kpa@4zok#uZxf#CSA1H#tc3|@o2Jn3)r6WjYXnQ&1`5_$GN<@F!`bP} z?VZHSPkk4oAr@AAMd)pGP{osZRwS|%Nl^|mmMVnZV4I?JoNm2CzWW7orxz`uRnkLn zjILiO?dZ@Gm{>D1h`I3B&QG5mqE%|8V>G;KJThb1N3*2KQ<`}O5AocZ&X1ler=7-N zP@?2S0VF04{+HR31N++p96bdC$>a{gx#~Hi0&1yl|1vd%vumDhaKZI$8(`rUD{`ZV zpt=#v=+}pV6jM1bb?V;E6O6A%1%O&Bjnu=Cwk*sR%L4Vrwn|y*&ySDYjdL;kq^MZ(cKb@OXIt)C60krmRZ67Vklb~y;dm*VtH6{{NYyZMY|eY z`}C^8eC_$-B+js=??oS8$VN23z+tvpO7;Q}lVmv9m|N50Yq+SFnEeNEdY=32c~tAT zVeU5~+#U3;9YgMO;&^zoZ)aqnx_lcOC^p-$H*}AEO*Ln#yEEt(DLjm^T0dHMFXNZcLoGrUM>2sb_Zo}{~S+II{~T4jY|l9b|w z*84E7gy{?#vfO&$*a!BEu?jR!sOQBeT7PU(@9(X~lwrT8k{la?>QEXA!M{sO5@rUK zj-*$ypSj`N8GKfZGY~_Y%4%a;<5DS_4cW%oWxvwYA)A!>Ip*a_xD&h4W$?qV96h#< zOS?>KAM(y8N~hJ#GZAd_GqV`^p@Erz;fkeUC?ZT&e-V#%dEec^oV|l0_GAmxKulu# z7pwReBPt9rG}$f&9NcEkBj=$~8vnn4E12r1?={6KI4Ec#(`SrbtSo z50bj*VuNq{M1-i;_h`Y7P3US8kru9Ze??@+WEn?m*kT1TlUgFF?3>CHiIA*7Hicfm zbxxbITKPr;TlGI~c4YX#nn0cL)7m^z%p(tSLdgg)#+XqPQTdregNQvc~c_4zScFL5FTbNg-M7hFGTB@l+L*_)8noQaKy{Aw}nDPp`6ZpW$w(SDb^AoruqYWX7F z>V>;j_whD+XdW{nK(OCP;d~@_7;%bLvrSTpb|9%M4V4XpkKv>LQE%!1AMq;xaZ|zt z-cE}{pzC9F-7q9sZrpuoRMV$S=+u2h?~)Sm<<9-Qat+)9W48VN;nnf1M_^yjyY$q$ z9;^H3-fort1~(r5YOlI6lV)~B}!`i=_MN&7kPQlS$Z`^g^PhvO? zN}ypycJQ^vHo}U>o6H%+EV%Jar?MeTy9=5tLcnmWJ8WfHuUki(d-*$Cp4S|`E_ot| zdcC6S70wsB_km?o71K+{wsO|==G}%~5?6f%tQ~*VH*F^Dm$+Ba>>y7@YHi4}wYc=O zN6RMls@_}|Jl>0q4Ef^Sxx(7bJf)*5Dw#@`MKYS>pr4ROPpI2p$aV_?dr0&kQar+J z+`AQ6T1SYtwgwDlgyw9J^{;bGfabRRg|A04%eKI@eXZXnr(cH##REsPw1(b_P_`2u z&4Lu4`j#FI*<3Ti_`WzN{>Np_66ilqggFMtXdRn-G*ojf4t@R+?~-!;sS0yF=2O_u z6lZkKoPX!Cv+^HcyB8=#R)p{s8u5KA`L(en1?A{`^bJ}RxTBVq)ly0g4Fie>aVUXm z`sVR2QBnsi$*bYDeovlM5z*yg*nPU+8tmKdf*#Lb!U2#70&<7)%<0@iWjIiC0XngM z4W;-?gLiTfs_hOh@^$8QsaWAbub-KFcn`}q$bI>3=!j8dT(C+f`e~d`(hl|9%^uR20tvyWpQV}bWJbMwefuCc+=c{~j&A%F^1&Z6ix@#*S+=e;?0;5-PcZYai*GaQT?o1`*2?X}1z= zrhy?;`;V((`T@oyQ<+m-e(y((gS{0z^vRoygEomNF!Z@(e2>$9xbb_oG@VM+wx`_a808AFxJwhyMj5E*jXshnPBkqw~o zHPN`rU@pIzA^Y=A2O(=RsF!#sFBfvF1$8qR@BVPLAJjAH8>eGy}>NqJjv` zoMP|!lYNo{3>ahmh*3>)QjMa4E5Br`>((grz}vRAtFck5|LAIHfTYh1+phcUdC_F# zcC*_+*I}{aJ$vyFuthvl8sp- zbB_8jyz!;FyN$?pL@;(0MYSfkZ5#uZ@F&u0Zwz`4B}Skw(9LB+#e|AeAJ)Q6yxQV? z?&wtHSy$e-#eu-s=K;zD3QerBM7_g%RTAi~C`OTT9}CGJ+OF~9`Lb8DgB(1=OP(78 zY2CJeoL~eIO016s^@$Vr47qW#p{@74o6pS?#gv9SEOup~lOQ{Na!||y@6*jLQ7qT4 z_oj`&8D7^0c_p=D>=RcRPBIntvAewGkV#CnYvaXwSXLdKE&nCuv?LEZEOf4UTAHwqu&oBR{WYD%O^gNizBYIkI$c zW5b;~&|YdQj`2+r=ROor9B9x=PYF28BqKqsEd%cpzj?P;VrkYTCzO~~NS@7kv`@m3;H((<`mgNm-B4|VBcr=)B{&u(-Zmn`k|Zph zIL)zZSK@y}NL2xyezNWzaT!s`XHJ-UYt*ep>7c0C!$Q?T&0K&2itz-L5O?#JxGic* zfAT~e@SpKi?2i&+2t&(|XQkCC#%$R05uozLzpocw#$Hg-XrW^XF~cpKm}4d~ftLMr zpfo$&H7I2vV2f!RZSa$kH=TzSnBtkNPnM7z6VSt_W5arPnJ6+M>56K~jVU7}li+Y3 zNjrp#%SftafRIEB!0K!!H?EKL_qBh$o2>M{ zj1bO!6waq)2K-J(1?^G9KAK)Ze-HlJ7A7F6QmBfBHI3mL4yiU;QY4QK9y~x`^p<&n z_tWYAq{txB&t$Xr>?Ki{>ctD@x{PExQbJ+ksVb+a(I!{tP|FA6KSYo{kt9PGE`WQU zZewNsrm3Q^#VW%<6%EPwJ-B1JSFy}CVWuf2OcPb0*Jn^~M^O0~{}vomgH6BsjA+>*e^NBmxI9&zrQRu6C~3hh|<%wduD5& zHm+Ot4e)8#TR%=fj~NGlh^Z_Z15;#x^0c9c?D5%e3a%PA;L%PALp}86m@X;(o3pi9 zKaWI)L+KX{{xoQSFuj4Y_afg_zm&Yc4aaFS0qR9hW#;tP^Au!Gz<{?@V`9N^WSzhc z;SA*B#oI^j)r**4Iwv`+ZCBd#F@<+;zSG2V^4HuM&vBK}jp@!Y4qH+)q^0fCrz9=I zOg~h#$J4;Glw?!*DyR3*z=4Ne2Y0L*b6zeOk)jMg?$k0P0w<_@R{KYtwKPp?z<^v0 zR^d*zM3Qt*-q%LEDKQfEb@rF5vA`{tgr@s%fLUGO*LmgNiaA9!S^um^i+A4-z-4R= zF-~>oqBWj+Ent`mecNfINYaxnE#aw#xwze!l9yxgXJn)wAWVKM!wV z#}Iw^(gOA?|F3&<@qbO~FrtyO`9ggIqzwK8ED^%RvMT-uFxdwGMvHsB6eu>z9iJXQSNgtji2_=`K8jJLT};mDOGUtS z#6mk%T?n=|1FZ0MQNn+IL##aPGUM&z7)pak5Zt&K?|V0;L5x0(c>r<1o zO$jw%e9-T~4P?*nEaWd?Dh}p5=D&;gh)K(J*Yum}WJDi2!dr5#;3FVZwkx_moUr`N zSe^rn0q8Crv6)M-N)Q|a=v)*iJ!H+l>gvNS(H4go`IFoeRDh?8c0nTKPDhGag!A20WzVgC?fg?e&rhKzT-G{DiJdGVODC6wL8~~QS#iTL_cN`4 zww0gZpN(dctD1HtHwAG6(qMS;K|N1Bm-D-^lt10m<8JVjKI@-MrWK>r`vxf z?kra0t`+g6%nj&0jEgQf0~|rU6ieMl>)RzlYjWsXc#{?sWd^7y$u;=_L^Z?2qw5e0hA&O0@MSrW4#u_d zKDip){6X)#NK^SC^c~5xP#lb$3cA$E=xsJ;{(OeikcnD~S>c&m2P^AWZxVDQP5+@{J z-C{m|W~83}iHwrya)zlr)oCCNrCJt75trDRw(ntqT(Ch)LBF=1W{UjO*?J+KEg5~Q znNT(-&C;S3e3wPLUl~JXsWxiPux}Snb+n5~!&vdgB=#27$kH_5K%x+@OmPOX=Dtoa z>guKMpl7P{ciWLvx=?T!$uZ@GqVV?+fu)4XiuqLO-%ks&=uK5>Q}MkMiTL|AIG>X7 z;NFj`uEP3SV%JOu&Lq=G#3U$z>M`fh4dInDR<%3Hhnt5mb9d#VgIS`5uA=FXS`H^2C4XgZv#{s zjyR9h#;?`MNzZ^VLbbvCDaQS=wj>a}Eat=iFb-*uQ_bYZz4$^l-4kTXkB%gXRaz#E z<0%kF9F6g0<+=K?&t1N)reBI{}nX=gMG)M!o#GskcELmes&RaIF*aiCOq z$_%LW*?1f245Q@OKrZ}+v$FL-Ux4rtC?}*F5531OY$sc-=Vlxy2=gpK|u^kE93Zl26J76EMLoJMI`OZkf#D`jn0 z2K{b++xIwecyUhZOGKUpHXK1HsH>UpeR4U!y~(#s5WxXt>NZN@fXLpVx6AcnK%mh( zd^g6UhJbXorA;gkUB$M(-S!5ey60um zP%}dH8j%o}vf1_Ag$fku(PBv@iv|Gf2?P$v1`hrZjW=D_;fAM@c%wA#qD7~39IEW( zqaAVTEqFmwu2mpgNdRlGeIC(+taxh)j%bM{K+$k0MGYN423vq;`qHr~uMmQqO@gVdZsiJFa#51N^kn@QSfs7Q`B+{%0mziiZ_kV{_5cFp8(Q}^-25;D zun%#Ww5&ZEPGyesd0v@+Kk|5E0!5bM!*Qu}Ev&ZGY}X%HTS)jDVYNCiz0)?^jHv|{ zv`(%%9l}s?A3Eu?c_^#$lP{T0$}K9bwZ>S02X#8HW85Cr!<&NY#2wYwL($4hhmVSz zJO}tdWsGUB%Hd-mUonelxE~S-BjDXS4Z2TFok;9}wSy7hM+Dz^zwr%0&dh>5vYL?~ zs}n;cO&fWoqdLJCRb2#~K8Cwk-opX5nJ*52GJ-qhPTKvFB$ujwlK7UlisCFschxQGY&Q0G3ULw(J{k*@__Z8mn5gz&a$*;!4%rRL)ON z=brxkZ;thj;#4^Y4@K-ainxKQs&YuN{ImS}UmWXC71GdlTOY~_`9I#7^!EI#?QX-> zge#M|Lma#pqj3#b+*Hq~jsE~B{{Uxw@P$`#L%G1{2a^3%h6<6K5&NSNk?!@tUqoYoy(?!cn=`GS0T-3 z-KA?-o#k)8uYTRm9$fBzWi{A#od8<{hjS@c%=5`0UfyGtw?Se0vBR5*Ol=-R$$X54 zxP@3W2r$#dk(YS-&Z zOIxWg)A;L!ncP=8fV@ssQiKT|z$9GR_Wc{_49w^_W{j;DoO20Ktdkgk zR0h{UsFT{{i(C?xw)ld+V_~N;e>GoM4&x(E7u0j$m%K5UK1(cB5JKx_EWod)dwTD? zk{AuWfgstosINe{5bZebgKh<<-oD51N&YzIqU#;jm4^)Gh*hFbaq@IkdT)zF>A2V5@fDb6_qkK4)Ry7N()%k8Kga zc_oZoE11a_n9RX#{W4F(q3C-YJGI35uJtWE7Ey5iX6^)LTONdJ>+s)ueDHyH6vKvZ z0J?E-u4^gKR-;|^P_9Y&9^3W8CEQnioDK`g_(M31k|U_N7Cx8j_ICK=ptx>Q_HcF{ zi(qgzeN<6a3abU&h~?;g{rhe{7-%lyq!dP$^j#L^ethfzhNPXf100`e*jnEchh}l& zx$NL@^j=NNZ1rRTA*yXa5OliSd;#h|W;xm$mENFo^j{M4$B1H(A*Y__q4it+DERz3 z;X}Bk)VG(Snw}UlE-K|SOXeRkhE}uFO6SvW>xjnsJ%|9Scd-EA$~7D;ZiTBsyI83< zdGnBSno0OuKZ#-bK{2J|5=6&)FqX^ZxH~6Xw!rqpj}59LblPFmZ>Tz^_O^%*#MB@WQdc-7R9s=Jk9 zE=n7M0Ji-xm+2Nmw6PIm#aC1r4`s8(G5#ap&CVjyngOMb!{7@ACt z<76bURKKP}e@iD+xadyC;~8F(!@7wT8LZuD@Rf6d-EE?ad_zr7l~vW#M1-tzvPR6r zl?cRp3+niRZrHlQz0Y7S)-I}XU^UhdI3@2Zc=`A;MS}f)$2uq%I166B0*Yp2;h{%z6anc3`tp#E0o{xeFo&jYw@ekxyOL;5EQL_=ltG z8lZ!+vB%}4L2mk{I=?%gJd@0w%gJCa-E2D!zz)D{J+XVD%{=D0PG=~%0r{_-LzemR z(*_LEO5|B1MJ(pm1&CGILAg5&MowFk0X>DfxWJI4)I6HWGPw1uZ&2~NkuIjv0pECl zwXQeT*Cc^pF`lc^hdeM4BYkX+>f9uOAaAl50Ck#}stKv2K?L0d|=?sHHs5 zQszksXKUQIbNlgm00qgqT5vB0=6pj^ua~c?FUmBA!Z^VE=Vk>!=mq+c2YhkP47pO} zAWz(v*}sR(?c@_5A%vxyQoVrO+>jJ$UcELQFakzkdt69br#$nm-pLjJamf* zi~JK!MzqBGO7c!c=Gse!r)2<}thcqT*23NG^V<>}b6a8#szL-zuhrx>bv@V`b|?v8 zvfjXZ?eM?L4Ee>UKvWK@*1#uTOI0~DoK_T#-Yt~r*CDVQog(7Hxzo2>;o~0E!-!$r z+S)JFu#A9cW zJM!j)dFvp=!QbMNeA4E$ydq5n8)>T^nF`zTAgLNd56<-;k=wQ(g~B$kY}_M-5Fy$g z3LrRohGt?}n37nNa!*okzuSxO(9vZppDA;|e7~QLr&v%Gh#$&Hrr{J@^zX2^z6Y;d zQv{uYD-DP1U2_3QUNz!s4ph?&yC>E3oeCM7OBl_KL;G&qUne}U8bvC1NP21d$ea`sOwXtQJbIDt2B97NTXM8DLYoR;* zv*g};&DmZomWE2B5C%q26%Zbpovfgq!uK}VVlw!((e!9KrN^q`@oz6PUI&=Ln$b^5 zDZPZz6ur9Gkz?~xaMJYZn0K>LXqX}t%iwxfc6%}ajQSRf^)MjggJfKDN;|i=WmeT<%s&kz|wS6pToZv zHvmTqOs(X|g=A1SweAhJ-FkuZ9q~#rp3hXfP;9+(qA8xCqjfgn=AKbHd5cgF_90le z-E3(7aHSKOTLuuKw>UVr`EMxl{{WheSVWt5>h1CD2Vso9Go?j$2DeGoHmf10i3o7j zWlu{h`HEGwH&NFYy=>^bQneQ`#zb7~wY;*1-MivJQ9=wZ9cE1&``CN*!L;!OsK!<; zNG#7NmXwkKDi78lyB5^30qVw`K=s53&D!jK8~*?w53>el19VebU8JYugnTb?j~r6H zWfoOX(4y+p>LM;b(8PsWL+lV7G(L2ajupbI=k<7BjPQG3nsfo$f(I?VR0J~ z*Xoij`?1nO>nRkFmoJk408zT{vBPsCA!1QFpHelu>_#0cjZ~8FO;HDIbTc3;P%i%G` zvKb|}m_jfCN9KmM_dB(=*bVo?0hEgiY!{Us6CW-qVUx%b2Xc{~QEqlMC=L>)zjXI6~k zbS;#pBc?b6sEd+=FU#hX=?KRC!jt?AI9pULOWZEBl+8`CA7!n3d*Wz8o3AHO;^(ih z!%Ao{wMyF@g(!0Pai@GSIi+hN8G3Ekt`e1fDvMw9iM79N@x>rsr5+|I-jY8z`2IWN zp>Wx0)UMnA0D~PNKAE~s%@x_K0N)$8M%x~}N6QHg9KRfbPkeMP%JYhqst%pWJwD#U zQdp zA;{^1f(d)*u)klP9-AwHUJGHR8%oPSMq6Xk3Q+~?WhqN+yZi~=@|rm*a;ng( z+N2ULh6Jfo5gQJgi9ZY5V{w2h(CZuzG~rV#Y?*3|zG@@+SlT^+7ec!Z`{Kj}R`V;z z%LEcXkCr;3mHE0@jCNOLX%({;!xYnH=&=|5*pMcZ3(_gtnbG1U9Y`R8ZV2uU*khU< zW1@Rq3Q=&+6T!{OGnLnETGq6i65ES10ykh!sYSI9YhyWA3~2I$hc3$3)KhFVJ$|L+7CpLL7Xn>Ub;7N0-086fWwrIT=p1*skF8 zAp89B$22;{O2?KN3RC4AQBTf#F-4lN74fg+xVF$Z`7oPSk%`rGXW`nsxL!z{FZ7C=ef=;%Dz>04)GldKEsl_)1%9&K zamZW+dALN5_hTlba6r?h-EVv9ziV3E@S#i>h;h^l3k&a#E|uXUZ-kuCrhg}SZAC*A zQq{{(6qPD9R7`CgDEq4tdMMbrP)||Y860LQ)g284!O&0KwHRzEJ&-`OlPkB(Ze7rE zJQJ-cf}o?can`|NjOtFCGK&>(IyU|7Y;uKXP#y@ko?zf1MTs62V-il)rsM$4L!7HuQ+Z;54ah*E=uGAXEX`bwFjXrgv)5*W^x z3jVSiaN2q`w-*57xRU9M!Bkk@v5$;|g3?3@{pBKMrcA>so#|dSogkQ%R=Zr^(- zMYQfk_S+EQ+T!brDw@=@5iN9xydBgGnx0xyqGc-H%m_cH7Uf+AN1{?@+$C9+7=b}3 znNXud!c}79Ql-}5I3HOLsCO8TtPv$n`USn*c2cX9ya@*h2;Qb4Fi4(_yHntGtoo7O#cMZEcjYj8id-TSe5@o9miE5DbQW?cFf;5_S zQ*U%68~kl!_TxWPc1L*%rnkM|KLkiT6R{cITk%SZ@BW1NuD}oGTRWZniC&%2hH(A^ z;*5~TrJ`5v5=$_WU;oxWm`NlFTHMe~Q1%6;|u?d4Jd(1CKF9{{YT! z{zRwK)OU}H6*;mQVn!AM=V9BY-H!{zyR_bI`<2l5NamwYHx#qQuoegH-x?l{vwT;c z{Gk_ba$)O^l{$@w!)^ZnXKZRIlCf=V)N{?p(PwsT?noCO#l8m{3^5s1>ji?~wB=ZV zt4Y1?M*jdkhwu2}V>&4s)4F~6J4lcNJ*+~rTzB5Ud_C{;z&M+Z<)!F~If*_A=VB4` z_X@x_ZH0yJ-{LV-R^e=bL>s}!An7w0TZnU5M4(A(Gm9!M!RNSR1zH)`hh) zc8p$P;0YYg`wu;pT}p-SEgA$vGYCY|T$R5>-v0nGdk{Vr7|qxHo~&jL1kO|10nHFw zH@)ow>%Ch@k`1@uIHsSNye&b-baPNNd9bHoh{LikX~P z(mK}3YlBNNx()?Xp_Nf0^sW0+=cEv z{{VrF0|_d-5WwLI6lavbMyinp!CipgwefRI&DWe(ZdVb_c>NShC5Zy!;PngkcRPX9 zZ-?_ywV9O8@T11nJS|&SK3w2VU{D`xC#vKS-<)k_u?MeFd{2-TncgBftIRBh<=jrZ zH`PwL`TN8)F11xNktAB9{%rwZz=NQV&A7hyy8&+47M&&&lxw`3d818tf;dF!0Y$$T z9OTb)+@hW=#-ce=8JkK3RJNsEC7E|7$9{`*VhINo^{6vAH<2Rd*F{jp8jT}k9p)4z zrRR2em%F_cV2N5qeK5xw0P3J!MxCu~t@Fg5%*P9f*VSh+cy77boD6`Z_k^Bf=Nv`G zSxq%nQ#3ME4QXWngpJr-fDXq8VQ`FNi34JN5P}*+Zs3aFjar#J$}tGn{#xXds%RWrkS*nc^0ZX|Yl1wbtMghi13K zd1<$+($jwxoWq7PWDD$6{$ChJjp*vKF`NAytPGL8O8FvDpn{%hQ+7X^t)yRK#`eLj z8TJ6kGL7roPw5zu8foAq4qhOFREJakOzJSQE2CdXEQO8js5e#~p7sXd%>EI)!jh9^ z+(#AnS(kq)p@v8!Xypp5^%A*QEP(3NG?2~pY;N6mu{e#4xs$2l6Wi}_VxzwYS5m9Jet#YKd#fZSNlr3QTfuIpB$&LB+Kb)YPlHQv{RC zf}tX2A>?Va=`VBTf^Tc7^&4P3OM26qtS`b&L0t+BgWLn zE8*OZ3KdXT&STXfuplZ3y&~ZKW^0UB3IWDI%i}1@og`Y=agnEol7}^%@9V&s|gPU$Ywqr2dlO5SJYTv{P)#<gQY?=ImPUx_3c#ps&Zc4kKUfPJ?l!~~ zsIj#5Iz;nCI~wrjIizYi?twQF<`HIc1&s7|I&XbDZ@qx)dkyS6;wmFAkWpjYYR$bD zJ_IRkBH-=Qdz=|7BT<}Fp~E0GB!Fzet_NO(evX&NDjgM{MhGPxuLB%CmZuHU31E$$ zsU75E5Ss_pVcm4L;C0ht4%P|yaQ2e|1+*acwZ7`&gUf0X4zX@wKYVk_%)2tW=qn)e z7&01+yMI@}SC%ozs!)HBIBZI{+&KSGhEK5RvlhXT?Oa5{9qZT{Rs z>|4O>#gC%P;+q0M-sv4#%bde5tokZLB%R}AQgwmfp|STHTiX{DaRJvhu6Q zHujVusnMv{+twK zkVI*`uL`W8vv`b^GP}tFxsXbRF&o%uj03Z?GKD2j1>X1S8fkzhfV!I~4z}qcBB+$d zI>Hhdz(VW~l-hUMt<>D=)JeG)u*G;2w4#Mvww1q>@T{h;A?G28$WY34nByLj>~w}= z6;DJ3m?;A1V=EZ|9wy!i?i$2cN%3CU#}7?J=US`!h+xBTMx`2~He|R`bg))IxdW-5 zvs?&&5y=fj;msq$c&-I)6F>!As85-+DP>T>EFFmI6dMh|FK~AR;f$O2Bt$?4!&I~3 zEQ+H$fTJu1SXb2%a2T!i2Gq@@C?!UeI+%;;VXFmGcU0L826Y7t_U3%gIoKpeHn>uJ z(t#(Wq@F}cm7Z;2F$GSh5sykktLn%{QWTr*fGWEz6JT^kGMRZJTWbk|$oOh(!l__b zq)ASz17;0iNf%oS*dK-%+K4<=2n(rS!&RAVMrk0FL|1zM>EkCu>? zuZypq{{Amox^FX?hWTp=oZGzoMw_6+iZMyfu z>Y*ldkaghOm`!Ih`Gx$msFuD)*H=?fB=a)Ip##V_2)(zxt*{+8-wKrhOj$P(jyRKX z9x0KH7_nP*z6c5^pCw`5T-3!ylI5!-g=r|EAx_r`5|%#;4eoKGd!%?EX)`Gi#YFx? zcuN9G?DL4|+DbZ>mMTO#EDWu*?psxYqiVjBqh=!D3|8Uk52da4ot3R;5iSu5Dsw-T zbUYznJT&zY%?dx{*+DI4zLjeOxB%SP1{;fB*l$%9Ph-VcjYybHO?FKM7}KC!DLR>3 z(|)(;ZT<{ETn&*epi1t?!U#O2krwizxM1L2PeuUlNBUcv-{X!ops0%n1?e{dMW)PF zISUbgFli&~=ze&VQcxDOY_{Kpsc2&^)lfuKdRWLe2iPb-Bepw|HBN6JzH*$aD0BI0 z)49j#$+mwYVE;->1Kq+NAjlZB#9 zYYj_~+D@c48+STE`HySg92FXOP3md`qERM!kWr{HLdwViK8t`)LaJEYp1@q;`Pw&J z6kbyo&FE-2ia#=#veYW-4Wmxm-ANY&{oO5ro(Zm?wi*Gaef0AVR3Q*GdboBJ9R*m*D zM|Kjvrebw4BwWTU=s^X=&MX|r;qHs*tJv`39NFz_x*d{nv=r*c%ao#!JqV)qHz!dY z?cT=Sw!kK!3gwg>@ga9|?sdS3qXLtUIo5=|mFY*r{PwHk2&9&ljyGs-@}Eu06YBJ}iMSi-y}H{Gy0~uI zshfgxw_S{*nmL_p(L)siR0kT)lnliPzLRZ~{LS#aq;SzD;9PVtysLSw66%s1o*`u} z;ENEw?gvG`b8K+d2W8J=cI9g1ywjGV2_+N|ES5z;Bo-cF*pSi#zhk%?bi)l`Z3zqk z7bQlHZt(QAvz*3?oFJ2Gn9!+TH8y4d5^d7$(2__v6da}kFk$7l*?#iZDUUanKP7y& zkJM!#G4lbss150{BE%4Hd$6_%BsS$%R+gznOT<;`vPDe~(`tZu>IRTRDn-k^f}-5? z764oTHv-=yO3&=Ci6sV`bJ^_FDxfS9^WFNxIpj@q3w}4oA~Y#wP2!I+&EYd7F^dukmLt?V z?g$5ed^@k?o9w(w>r(S$JA(T^*Qey4fEc=Uhn1 zQj^fe008-x8m<8IwA$wazDY zAiP7i(4ilK$VnTGHcr2XI&UW@GIB+dqzQE`#-CehPyW z?x%aj!e~55Ld%_lxV#8QZlvrw+zx>E7Q^~7%{}SWTprLz0p^&Ba94Ke@Wsjig|Y`< zZr=Dyu%s7fSR zz5f8JB;T?19r|DOVB8pn4XlR7nR#0IBYD*k8-H9tI{yGm++f(vZYU~!lRPe;9}{xx z%xRP^lo2+a?%j9PLAPDSt@6F`LD8+to4l+3kaln##YWAB_`eG}ZkJpM#Xrd0#ww=z zg6k&TQNdRBCu8>X#8{RWu(WOy=|D21uM%@-h%2QzT@6J40799OsG+B~mh!sV?1~61 zeyxwy7u%`Ea}9@KX%C#h_|Fx&aC1XNI4vMa{nUi<8Jw7;hbfUvb#&(SQECh#kSJD< zVnxFO2<>jCv7H}H!tU_29aeK1GB~tEa#6sL13sFL@9coI%KsSv~hF50AP=v&{Z z1HFxb>UQdIJ!kb|QIwfCH{_q5HR8$+S7p)VnP!7p2_{7ZJ&6`+e>9)yuTj+Pwknv@ zh;*6C6UAo{1OP1@d{j!FSF4evm5VzaOvkAw7cFck9Az!I6M!pufmpPZN?6!4vDr~? zW@jeADC>W0a;-qYB{Dt=d}J9LaNb=eSCQgW#>#W6gw@cpO6K_tzO^)}r2pAW%L=QC)rq*e3tQ{wk)^2>| zbb;yMl20ME6+TG}E&`hX+iC!Y>JPQ|$3AXzh;h~s)S0`tv~3IFdE1jzC@y8znrwnK z0Pl9cTZ?Qjxwhup362f?r0Px7Vw7qpg7j{8WLou+DMP-E1OP$lu{YSA`hrhmw0}3e zZV>*;3$tmg^IX{Zzmhp2UUk$!3*`nDCsyY7Ha!5|#@9XG+!aQhh>sNNlu3Xh2K%q7 zzH#I%WL5LkNerqKGT&>4)B(QM)H?030@x$i-C_)JNK!2_V63L+K2dnis+2L3ScD7! z{Ly23`<4p>Q|R*NOUQw+MpF7dPq9b;nDgF_iNdPE8jnGuf=kme;lSHl>&E`j~{&ACRosfqw*Q{{RQS2v+ci z4ArfDJhZY;T+XR1Z5y&IXrZjY8(!cao+qGWlC0TG(`*$s^PHB4ilb^e*rBP6i@Y+b z$Sisiq#Jzy08R>XL$wQ`@%Sit$36qNdp^}BQty|_O~Mb#L390y^)LF?`!Q39z~|7R z>riEFM0Y4t$37J}i+~xO>5WA?k!hgygMRvcy8Lwu4}4~8;c8OUDoXtr;qKihvK~^I zEY&Sxx1oC5;@`U&>iF?bOO$>SpbPHv3E;~3Hk&LSh}Bxw)osZ3zBBc4?|%LZXNKt7 zbhHb(E>*2fg6(a){?E4+{c%>!8CI(0#aiP302^Ff`KcxK^FQmB@Of-_Kp=B}dBNq8jY(>5Y2L!!lx9W<%x&^n{SO z89J1mQsAz&9{1nnhd7|yswTXH@X1l)8jiMAuSzKB^oB1HeMN&d7rZNNH-8q^zwNk!jr{)S#Og7=_hfNKkG-*d4&NsYEou zbn&vN0z{U;Ces%SoBl^I)DawYkuhKk7KxW`w^q3}=m8*J{Vj;gAnK+xL$12{4-WJQ+ zXwc_t44T+Cn@-B1N9SK({BGEV!-77Z7!z>|uRdEGxijX}Ig-`=9zY7u014daMMZP6Su0FjANt$pqWtbjhMB4Lv40`-7jx!9K&F+75($xxYYC}Re4A( zs4l>7*WTR+$DqTCOl*nZvO2i$aS9IR?8N=MjB*o;#|8T?VJ4p}wUD*SjhF2MuiJcf z6nWPQu>|A=A0hm8$4Omdl7lPZfl;NL5-s(5T>D<%ZrFyUKr}~EqUwp&$oYFcVunb> zOJjZPO}g#7efSjDSFGsS7YS>Z^76ATysF!&u^@fDOKvd{sVZ@R1#IS()e$YKk~x>U zmAKn$o8I4H8}EsmP=qC3j|mr;@O2(>9=cQH%0{54c-!C!-F|+d?`#sv`xQrs==`^8 zXe()@%bdkBm37#HKaIX4V}d197Q*IR-=-R+3+WUUH|lzR+#sDWyk6;WJNLp;0=^>} zet7ERitv@TH|lz0iOpVA`AgQDDD;k|-^KA8pdy(r(L5Xt%4*&(aTNt5wGFD;)o!5L z_AY$`q4zi()g-|9Nmf+wwwGzgWb!{DDzZ6NEYhl)h{>x$JL_TrwZK!*5x-A-PAEKy zKZ2A~U>3T_IBpz_A1^USYZJ6GX)HQ=?O+eN01o(Rq(ULI+Wl;kIf7EwB)?99CAiX) zLR1xfS0$UN7QMRfZr8_L9L>c>-MbL!zTkOt)R9{6LC6pHInaPR;$r*mz)ef>|i-+my@tQkbPIs7-l z)p^L%OAn^v?P35OJyz}0uY5#atyzQsOa&r1W;(73%BtaxqBMb7MMIVXK=Ub4p-I%s zq!nVIfDO-MgYcEIOMbZce)^f%TTI$Tg8a*=tElKCrlOu2iduphgouT#ma$nJHM-e? z*c?D<<(M*}eGu_+0W?|v00+}oJ4GUW4yyLpen_4EvWwgOGM}>y>kDjjRW-0PaGD(7 zC7UCV!#df3x{yIqI~5~l9})WSNV=kPOH38Ob+Na6aXc00V7KjuCu+P|Hw1OUPX%mK z&n*k2(K$&GgDVY)BcTVe#~i@%1v!t(RF28B>Rxid*?o41Yc$>|Eu}R9)LQoVi*(-m zVvW?jnC24*hgFpubB+TRDh`_HLn$7Y8B>#DM^wpq-tUTl@<>+}UbvD_pxtSKW52c#Qx&_I=5JRVQ8J~WQmV?KSb}fsVfBdY zPT+0R9V%S;wOsgVcRvq&C|r=8{$FPG{5K?xNgVYw1?-&(~RDRHdx&LRy_8hM84%J=yK*Z0c^il`dgmX9sU^UWNM3LA+Szm7cZ** zj%x^zLlXs&iZTShLd1&zNdvE3L1~0|!oZT0u$i*;T{8!Wj*<(h_ZIg14mtrED5_zD zwFxzvaQzl`wkj!V1+2@wZSg8PeAeITP9<$vvGY{5`aUk*I3~w9$Y#hS5!5Y-0hEJv zWjz^4*pJk9JK&LfswV=|1#ww#&|ymGE5(;q9G(jBe!y5`so`zH?1VC#I3R+%5Jy4} zzB*bQAg+hyG8B^G9P*Evaegk%u4@QyEi&=)SPs~rt3ct5w8M8+O{yLz zbsV^lfvR|Rt*Poohg4ue4!78gAHMxMV$4(z5;t9>()|~;B_wfK5Lv$a{@>Z~!wk7u zQo~B$chGd-VoOzd7P9bnH-Oh+Sk7S0KmgKwZ||ELRLe>tC+?$N{?a^(ovQn_@gQV&jzR z69c5js%fZl$m172qw@V<;lV}5U@HbtomXulU@veFx9Y>gc%rfZx|wRrE!-FMo}l+Q zSwenNmk{TbIckUVdf3_bx7+?b@XU#h976b>o`Z?@kwg_8q(SLp{gRE3*<?uNexND51&T{y&fjEMAXGy7@_l?;J(v5q7Mb47mp4gzThcs$+phzO%Ej||(9s^B? z-Ag_itBRi>o{D;6nSrW#RiR~CawWF4C_!RH{R{{IU)&rpS7YRnu7Ou{y;BWiWZ&ME z6*$j;mo!?5b~Q@YAXq8678@S7zrDNS!i16pTaM^HjLswvbeWQys$O4a5Q7}B6t((V z#>U3R_CKfJ2V^Ts+@UyG2bk|mm$dQvn#!fVp<)2l)kfyz{BCgCt?I{qyi~NvsOcJ| z?>I7d;rilf-W8L{Lf=QYLuJxfT-<80CjCjc7C4HH+6tPpZc`san@%Dy$bx8Za*j5? zxxVA(2*-3NSJD*(6(f01!}&xAS~m|;z?Da1`4Vlqor4p)->r?iT-ReMyHI=~P;`S| zNB{)4h(8ph<~Iw?#B^y-44NiaSt4K<4N6JBz@GLOy^m{Rs+D0#%p*EA!VI4t9Fr@Y z@uP6n6-5g-otafi&r>LJ%7E-tjn$m}V%r@JYX$f}!JNh9sBKdTwqrBH{>kvQ;D-|q7)7wDB6Z~k`uF*9k5>06>zpbz^zC!L=HDUT@5Z)~SbfxT&0;c2 z_aF<9JM`bDwl(xU(6lt~ZV59``VQR%@AJU~sen>5l}sh2(_wo82KPRzTHF1*ZHoL; zWcV#tLb}9rPV90SlS_S4w7QfmU6bjZSQ7-7MUJJQ#PbbaXxoXGofud&fx4UKFmc_xR&R2!?emK zNS5pkpn!bS+HL!#B{MYK$g0Q7Vr;s#5?81zYg+q;2X5l{&tht}lV0qSY)5Ri3=5Yu zc4KiC7nDOyh|)&GpEM!-UQ`J@OB~@O z0vb%X$pYqGayT8rwyfNsL;z&jM%r0gc%)Q7%u$%y*3zKf>UP_xw@hr?bOlW=q0bVj zHPVC|vu(daU_Q^;i^_iL^ZZL?=e82$`7HM;vy7HRuILcT*?s1bn`Ey z@9NWgcg3w12RCW^kklWNrSy+gBe}a#l;+h4*+Hf{VIo0EPDc9PQ;zS zIG8i5GS~otBVQQ%)uk8bGpUnvu!PwGG*ZV4qbMPen~OHJ1cJ&5CwtoD0(JnLR99$d zA^~*Cc;%JTNj^5x7#4N2$DuC)O?utawkX7ru?{{T2`h-&~{8xcM| z$xv})j@l{B!cy=Ac~rklT#aE?l(=z9Jp=wbr%P|r+u_2P>hH}G{8#Lnj}$R{G}PoH zm~<_dEkj1tldUjqD;#LTLalI1H>YJ%2V0YIY!`uHA~(ua4luVov|BJm2ggL>9staH zF1k^1+w#f21jP$C?GJ*#q-^i75=xmq{d)#=0&+k3LA&I0F%TNfrxku21Sjk)&j%E@DDiN2OFdhEmrG3kOkeEtu_c zSYwQ)Nizm}ktZ&Lmdk29yk<29IsC<{k=dk4)nk!d8!gZh3l-CL=n2xI%X0-+fHIAf zDK|Wb5G7@PNlevpOFdDB5LqMF3pkr?S8IY5U9Y)T`n!vj!>G|=WRoX`=(ilUXwW80 zoioENHeC|a3QCyaB5@${%bkii)gx+FQVNi$4&(v|u+KyrYHW%5~r{9JG2B=I$0`#G3Y3QUe)Tvnj`lo)okGyompvtBVg9^(2A1PX+fUWDa6lv+dvhg@D( zX65rbNhe^1))^yRI*SBEviiCawTak^SPdlLXHsrca~lJF)HGR;hW_$=`AnQ4=4HZR zldts}nG1?r>oI~uYW(Zo_uuWnv}uVpv@43W;et-;1^DNF7_8zL2MY>PRs;0bq|zbt zgBx2RlOX@F@=g_1S~HE^ohnN5fQ5O29)2`1wEz;O<$;KFR*C44Zg65*)= zBh5NhJbOmeGEvViVG5}&XuPKmdM%`pi7LPiL#lycwXobqA?_dtND?FfEx|g2?pqT& z6R7T9RD5y6+&dW}mob*1Ve-}HXjodrfWuajq_HO8iwsrO4j{wGGr)Wm)h9RVg9;4z zg5w#h=%CCbi5tn86;$tay_IzkSO9KL-A zwyR%abXal$gE9DtS9lCdYE!;QbvL$Mbqd~qe)x+X6nR~U@x~! z5Z23y@j7oOhcNtFR!3ExT}|o1ZNiW&+lvB4 z?l-dAa4;rk0SKI+oitw*S*k3IfkR6o=@H$P4cJ_`2F&fa)wZ8W18i6_yb&Cb@5A+* z+jzI+F11t%n^Z>8%qb#{H7%{Y!urkMTdIe5C5X8m>PQyECYziLneSXBl;AXKQf|JT z>n8F9!ev6?G%?Uc$!1IaP*f6b1;y{aAY;o64&+nvuo9J-U38oVNC(;Dd>K!&?5@7Y#Q{)t<5=IlbGVx@n=+@5EN?myEYY$kcM1fHXVn#e zFLI{j*eZn}>_9arjYhS_v(KH!?MVp|3W%V0c+w;EEa6r$d*hiDQyKQnr+4YdwJ)OD?50xg*;U)5Nx>n(#!s4rzj! zD!@s&{{R*Z22j-Om0Z52rKqh>r%NOh<$}v3N#;nX-&vIjP)HkE8}tBmpa(QO!*Hx{)ZV zE1pVt!342k7#(zz-rWWLhhdKUL3Naa2+?qxJj;()F{DZ-hs=%&nIB6b%1Ps=B-?QoZ(p0=RLalMV^k#A_UXUC4Yt@}fI-m~7ywN7 z5$1I)$*x&l)oo_D&;dS>J6QG`VbcX5&^%IpT+U|znHZk;KXwsuTol6mY3FfwEJ1F+ zve@LO291)3hVyyhsJw1Ojp$uM`yG#7hql;LI&rm-vWH5zT z(KMSVZ5C8zAQ5Bri7kHQaw9}gVYK)zPZ04XPDfQy1SO>NA(AN^1k);#319;4&~)5@ zcl8`9Vnn96WhoyPaZ#EH9(2;h;4vnE6U(s#YaXQn$^itBJKuaeEz<#o)S%B2?Ee5m zr3ewDEv`+T#0f2^ooYz2-AS=BlqS+BHnYM~IWx4#>oMM$K#5DC1hH6y-P>^=L#@$F=?rmeR7=heT zLd#z{;#p;ivGT-pCKdRL=Dv|-B>jW!_V>dIcnVZ}?H3~I=BR%TLcrHBLu7v36-kt#|~Ep#a@S(s)NbW%}3hKfwOBPB$tq)bZ6v4vtu z*a9rS*%7$IL%<>w?`~<3kQ_l$X_hD+2BvzLnaridriAV3lYOqLRZWmKqDCZiT#qC< zq(-R+mE@_I4RXj>k8)=Qm zTf)t!Nzz8`f6dy(x^0d;7?k$j$yJm~mE{GhGWdc>(Ke{1kiLmWsh%|g!E7uw9bX-> z5vM!Z2~vkxZ^uB92bdy>qOg3-3g$l<Mw@5pcNx7KXo$P$%?MF13gvR@8$<_ z`9|{v-sE~us_Z+P-yLB|&4nH{85oxOzaNezQ7()W01K02V`H)0eY+3h*yy@b3(kvN zat~dF#`r~WK~r~^mGMuPO#(>wlt%9aaEEExZ+kgbu(<&0DxfhS6Nuc*=*GA~0WYe! zv;2`3fhsA_(xZ{#bc#4>)-__rJ1|u`km@YWaMufRaR6v-`6n*I=* zgk}1v5h0XDp_Rs^QdkRWHz40~E^Z#!)kN)9Wa2u-k|`!|hDhJc5Uq;Gs^xc9U95B{ z8i}zx40IVj8m79*MaJ2VNu5U0QBqW+Lr#X(GWmg}*clChB)!Se=~N4C$u?jb$&k5I zwHAbg;9eoB<@C=aC4qNRVUbr!7O*-^_BZHkY<9(VA(N`4#^BpqT~}|3GTNUI97UJq zc{DE7brGy;vNz{li=9^^%TNnns8Dve-E?~k0p@g7RB*0qLtPr3I4w?j_;StsJXgg- zoERL`MdrgS3|=-+Y(OL_x}At4*!0D=W1+H>5rXQV%z~fpSN;!PDdvt>O`D|jbd>c< zB!wn-*2sRVkchwr>MnI#at0dg$3Tgtf@u;;eRyNRR%ghFC(P^Qj@ z=%;H18c*jEeISEb(sZ8sU`F>u3^=7Fw=r#y>E_P@&5+i;Qbxv_rJ6wFbp?qfO}bm4 zzfdkd4Zvb;k;da>79x*YGdFE}(wmUHfzG-2m>N8lQA{c&mT6e5Q7Ar=%mX#a7F7U} zegKhiJT*~a@SSHN#XUYCc~M(6RJmnBB4*TDS&>+}6mh5(atEQWbz%nolVj3_wGJ85 z?KdjZ5Zh!tEL0Glm8lt$P*y}FG98FL#l7*MW5E(P3pY`jOfM#pTxr_Ff4^_zwjNY) zwrI1eth8u`c_UKSvN2J9m)q{dW|*0a_bJ;|%@7HW6159xV#M5=?svKP-w#o0mmCYg zb-c%^@+lCNQL0%D^djx*Bm#YffFzUCz9W4=!6b#up}MIR#`)%3$v941l=(B%N{eAC*t~Fj@Y9^B+eyxMX4c#$Pn#U!OtuD~%iH>*L#Am!+A-Z# z?oXI{GcQHhVmuxLJF`1LY^JIOCgs%eQ3~aa&wcNWP-qraCFHNkUfccHX%LZe_M)cx zV}#U-Sp8;Tsy6OVOf0F*GPUH=>K9F&NxNUD+S^;G-@g9<3t=Qm)0ORQ?}VERW>}0W zw*u|z4Sw5?*Y@E=E-w;2_Wmz~xV~azeY*SMBv;m*0NVKME{mnl18_x098KjwmJ8~4 zZGxya>(b`-1G%ukn2bwkHe8RPhwBd|BzX5ekCJi6mF3}5x64LyEbO}1P-#g5?n45r z(LU?vDL3a5Uhi{YcUJWpFvBR`ZNWterFxb!yB207vlF=|xyF%<4G=a|Pkfc~V*r3| zHohK^QbWSWFmk?D@l6hCoJZ!;M9duM)?zHBR#3glA5gaaNZ%aEnkR}g4_m4-j|lN| zz|uytemu@(PvaV&*n#`iED##Uz86zZs-~v?K z0uJEeNZCELGl>cw^S6-vO~<@ixt>ig(PCOyQ|VrNMWvLr)CBdOpx6s<*A)1=bsYZ0 z`PmOw4b~Z$_^F4>ZW+w-3Mi>_I_AtOSL;m3srGHTxAdFTd*JF>m^(&FC5(#+J?VX% z=lOpI!e*_RB3_qcyB}p8N%#y$Ul7`2UO*hmHH?iAzt5^-&9e%L>C~iB%dO} zhfkMmcy2zpd)!-KO_qj>Ep@FNK$~ta_WuBf_Tqy*?u-F?`6f-2U5~ZT@%H17ep||! zw9A)XpNHxuun|3vdtxRyzd%oLDpO81UNzY)nOOzLf4laKEc0#&g`5{PscbFU_x?NL zT4HoVmB^^1SL!Z*kJEyKxuKjCkMQ%xxnB(>MICIOM3XwSb04Ze7AQ~V+o-uVlSy9(%@KyD&-EHLeY@Gi94SWk(%dQus%PZm#)I{^@ zK*4|wAtJ;QHwOD+Tq8?c4%ShPZcqW(E;keSv*6AMteS$eG+63jc8*xYQ!!Uws!E|7 zdL6OBx&K%>~t`*618X9@t80MBKDuOWvShTu% zUEq#mH(9H)33 zQ4S&!f0z8e%rbrs%ri-+i|DgU6iX0b(p_eZf(P_$dV+TZ5IW$KRNb+l)eBI81RL<= zqkk5gH?EC3JdTJnTzS>7qwi;TrbaJswUK!;?S$!f-lNl=AL;g`o5r-RUJwY4T+Q8|( zu&L49{1oQA+k#otvnwZ2c8to*9Vbe$=!%g~wW7l(HF(YZ-PMi>~adEYTBqSU? zlT_=Op$jP>TI_Fqh+7e)d)V!JZ?G?OMCl8xs&r9%nq0kp7*wgIztLFPtXjz4-&763 z7CRDlBe`j8Cwtnao_JG>VKmZhJbS|@zxF5KdVgZpBVuvJyD3z7+$4z!o06TW*_D9| zq=Khn2-^k9&Pwtl$v&am?!&-HU28Rl=e{^pp=>H`exn>wl9v>`8xCaD{#8QCuMe41 zr3f%Kre7$Q7G^e52;0?e*oeLaqUqBPAOk#8slt4;{AUccB!#7kw1gMd$F0Zq1EV$W zPS_cwzUO75qd~OA^(pPkIGV1W7_#^(9x5pA79=GEdWb%tHYVU5S0vbPVQd)6nJobj z>^n^J@>;UyQOP3`9JFG`TWe<3V08D=ZF_be;9!^HaM+Dhb$4ysJI}~bpBPAtE0uhI%?6w0-Y(s%_ zea0O6xsc!%cO|zas_1w_GlsUdB%YOvB*3n>jZgK+hgh zkGSBePz8~w0>|fvgo%~ua%?@nkK*|1;`J~YdSW*wW`yQbaB!igj!AZ&QY;Aifb{s^ zyB@=Dd<^$yS9n}5gr(;W@Z5Cj&ocv{dYGS;f`i)}%avc!XbJb>4l0@>8&lIn=>P@5 zP};zCKCx>ZhMlZ*l5c(R(N1*T68>ptN6VUyEy?OKrj28UuDz=StVXm3GNBbOx+1=! zZ+nqoP9N1clJUFx9#jxUBv+3LZIy8?!nW%O!ZF7IY;@_>bA` z@WDDP(^n*oulj#x9V%eF_Xi47md}%^Z}GLgzCUg_N@T)SaJLT0UdE>Ekw8?L06$*- zlvW!DHYa|76Waq+a0rStjY6fR24zLejH(J*GRkzQqq@CVc}vNtf>^Gr79dz{U;#Gi zhT*W_$(wL76UO>2KpA2H0wUVa6uqtB3SJVXSz(c7Q=u9#bh>H)`qbEMeISplLEMe- z*3^-tcOzeiXwb^xQSi)A&l**^S&yqg2u6Xv_BR_`*q#0(4=x(65pK?@L!0uZ2UKO0 zln?n$IsgHf5Lw9{fDYsU0T!`4;F8JH$pk9FNj&$1Y4YHv2?L~nn250iZ|N#eQ`*<< z?}41o2+DP3G!Fyfncl$aqQN<-TGn`+SEhBY&g>-Kt7jFEfb$wfwHmg zJ%f98HytgB>ANuQSiDURsoGek=~BZJwF@iY#u#A0D&IRvf zByZE8?}(g6tAWQwBDe=NZe2+i(p1i@%OsJrT>}-m+o&XLUibcq#e2YehW`M9xxli; z$8bQE$;>6CrfB8N9Uh`hLPm)+UgVY100Q6}UceuFbg&Iqanx_Mfxg$cBMy5rCx*1+Q>Y76p#$Uq6K$oNd=V9Tn<>XC1%dX4Yb;rH7FMWszm z@SeU4;Cf8TR)%O*-AS-AX)Xrl?#9E|bp4oy45`C_xl-eoyeq@G23u1k`Fbp&+geNm z*+}$-X1~9r9m@Y%pBd+{_8yf2isOwGVO**Z?{zHRD#9AG)*{dM6SR2={9_dI1#dYHlNnY7AANz_ zw&vjW!)jQ3(pFexzAQ45jve~W5sr86-Yi1U0NTd)! zxv(Rl1P^|=DVEn%Gt8z}G2zt8FCwsb^;nB(W%%2#-`5X)AVh>aZFSJBh-PsLS=!`+ z(MWpyzrG&Z^}qn+?kYT1AFUDv!f7gCYIbPcS(Mn|ofcGk;X>2SPpz3jynB!v)6ncj!u>HzR}Bvlq}^Im!<Y$L;j+A;(CR);6)C(C$~E|zHj0I5Z7J3NJN=~o5DowXYS z*8Mt+L5m^u$mEH`(}Ox2sWswAK~cuJm(gVs(>lP>wXC{iTYv!u_6FN*I$s=+#dF>I1d5J@&*@Yju`HVkoti@JJqD^F~Sxt|g%h=gbPrP%KPf?Pb_$X1CTJ z-s1RcFpVxQK1&&i!}SISy6gVpiICXua!DkfH2HL?9PxTYJ)<%_+& zh3(YrPj0*53{a8z(LnbACD)C(r@5;k%qm(~AX;dRv_zz^P(8~X$?AJwrZc#LCI+0= zWV>7I|Lv3lh1# zl!0;B6Jfsp0B3En;rOSMlyGQP%@7ZIjy%+l>8}didO5RLUf#@XI&>G@^aSGPqlB~g z;=KFi8J9P>{8VnuAiu{s6yZGFtj`4-7{50AdabcNcJ?CMdyVl8W@Zz?T=Zpbt?|V_t0G7? zX1FIvJJ=tN-G^a{XEt?PK;{`JEPd8r4(El@XhTmM0F4zh+WJ!P{fDDRHzg?0$Nn zmt0J^`^CHLbdCa43?%59u66TLla(1%m30#&Qa|%?0+adI}B6e8cj2h z0oS|?hbinvQcm!9k=~8N;rinSKxnyxuMYkQ9cP?NQ_LFab2{JWr(nlOw!>u??i33F z(0oQN`ZomJ9WhOtYu-_=;F4MWSfGLCViigaz_q}={vO{mi`qhL6>ci0R??yr)jv;_ z<&==jS&e|T$+#Uwh0gZv+ZT)wy!^gR*S=wzEqjL$q*fLo6||Bzzp0xJ4a#*J++6kf?YIQs!-)(LzN;&X#4)ZTf}1`SxqnT|yy}ixp%qnp^-7UC zmT2kJUhb|KDUq$F#ahTsh`tWOf-GVSTAe;|@c&Iy4BaQqPd!w5Ax!t%ny`fDU&y9Ia!ue374=Jj2 zC-M=aNLocZ>eBI|oAx$dn~{qW0U$KnZtoSO4zMH{9~3gP@s>`jG!U?y4GR*os(Hwu zJLtO~D6Z!Bc9B}(2eO$=7hG70n@G#2n(NMEZpj`y_$0J+64f<5EE2%6i}|v(!j+QB z_qjJ43zbq&Zp(-Z!(1duJHbtR;1j@sw!01~%Wx$V)s>zh=RLsK;F=961A9_pYc@AR@B-1qwO2sT^T#W|3G1-YJ zakr=qOnpY@Ya3!$*JDS#B0Ya~K}m!;tss{Ju_I3eBRGpxOsM^JlVi2E>AnP_Zj0#w zWV7XuEc2diRt&!-rjnwkOF0X&q+_c)k`)(wU5EsbI*>4J$g!0D`77Tv9GSh z3kD#q!x4MzMYMys#(x^nNI9~^%F|O2!S^NhW`;5fonlDTmMB)n;8@&j%0VXGwI_1E~>#0;v4&vAAs}?M* z39u@rzekWY7v`9}{{V%mcv7lbD%jpCh+L$RIMV9MY|26Ca8q6$EVCGjPN3|iePvlC zMqn7zf`9|5%LP&1#GSf!#F?Q%OlM2JSi;m*l1o=2U1HS|uvbTzIP%rh4bbje+--4u zFMIQ{xT4IPSvqv}Vko1QFl7PIP8cy#Q+w!lcLa@0 zTVB@%tOdm8kZeWwJf%e>kRZ%zE#iL!pUg7Y#3#y;)+sa?(AmY^$WJrW3h5e%ATe{) z3^dF8^aGMq+~My<#MyjN(aV*2Y?COE-PP0rh*bJtZ~zTsqTmvCHnt#jGQelaO6P|T zGqTvLsFJoZ9bUZ%t?FBrDnWCkxm}jbR2Dy3fVIvHrA^skr@+AVD00?Ny=#149Kwg5vnJ!Q6dU!F3HKGm#UY%SHK;DB9ciu^=~5e{4lt4%(s*f#9mCRy5r^ z#CR$7!BrVw9LF6^I~GSOzFv}KQ*ag{2BJ>)VQnM}i-Wd97U$u~90bgIqk6pErk%vf z>mCe_X(V>0gxyitlu#iuITw>kod)0#8srAK78?%iWq`~?n^@c;oX*%FTS!LJ6ia%p-K41bz<@)$~a(|O|Gd^Ouz`=Zv>9x z3IR<;m{8{Q-bB=qh~$n&1Mv$9rFE`+RY+V#yXkDPh1F4NXhfC*&jyOO+i~_5s?!ZY)4QW$;Zq>Wss&z{s+c zsMOUFM?4TMIZ>p+8|q0O<5jh9q~BrKh9vK7I?Bf{73-hTQod7A#lpyLWo;m-k=bn+ zB#W5TZWIr#*K7p$831W0$}zf()%gSzQ1nCS$Yo7iu$2Lw1mn3SH5 zIFWqCXL*1ECnnni{T}DxeX$^XT{M7fxSU;-ylT%YhmiEx4&6t;x5Er^zk28p6JHPX zNWR;AJ~%-zh3Jy`Osq%%8{4+u3~;3owkRji<*k2J$G=|KYDE*@57}INK^ltMSZe72 z5=l_kk>HLIac!5z5HSJyVz%kT&9wyx!s5qb;jXE>o;!(#dTs zk@@QWkb!`>E4t|<1Ki;=H!CK(tlW)BOg9lc87)lIh0*lIQ+_W8OA zQD=)SgU0!2f`TDLfg+t{s5+bS%cvb1Zc44L4&{krZUumAm?n6qii8NV@6~a9Ijv1p z`D`ms3dZ6y=M*|DRFD{wz=LfAaj^F|>qbS)&VH&Y@qJ0Eou2nw=~8=vur~fJheRe! zq@0lmlywEVdwlWL$(8#Y8h`@jy9-|T$02r9g7Dv4Jtloi1u>0hmOvGywv=vwi|uet z#>CtU4M1WyiA|h`HSMrPQn~WFY_Bte=z8j=rjyccgiQ&L&GQu7MTq*#yM0?-t}7hd z+hZ#6gDuI_XnUm&qnN`LG*p#Q=#n*+6oKfwIP}STorhD=cH0(tLAWZWc3i3o`b^67 z^FNfbCXnRq=c)XgGY!Dpf=$3vewdOcy+nIATXSSNj|sa|%^12wvZ|A*R7tQpfCFCO zh2MMi7$i`0hY$pqLv_sr9wrw9{n}&|lFuuedetu!jNwteNMmKv+YP-ZVPU?<0^|gE zqQkcfuf&`>`5s*y(MRS-<)S@AY+f?kyDqC~4SO9UdjfA`Y;@}jjlI$ng>%L;1ulrD z#kB*Wk7X;|Uwii72!x4UEY_#-l`OGEQW`j9*=*-k*K^X^R@Ury8yjPd2UW?ua!e&g zrqnO#C%5)Ee9B9IFQbn`$t0S4Mz+4DxC9->fB`M5ewMcQK{3u=CM6OTx6~cCxd-)q z_}~k&r)P$4I;2`wrmv)o!WgWTZ7__+r0RVmN%aWBA`a!xdkjYVc&CQC#2pN$2bvtm zmX)aHk~k%&ia^VtMQ2$W;7+N%x&wAQ_tks~+F5PI5b|nvr|wb7IH91w(W650nL%YY zEF7I{V{oi*aOB(p{gw^M0S_b}iup|U>F|bCaETORL!=GV8vqAe08RUnNjPBu1=%N5 zU?pLpa^|n!6=gtR6af{au(D7R3G7wQXGfN-S+0qB){> z!Ms;D26D2OG^Nw$Qsi;X9<{paNPz^c#l`zvU#0L-IYg-0?)WdC4E)%io0PR@H}Yf= z1hd4uI?BBY4Z7TI`!F>eN3;SXOBEgzlXqPX{{R-eAy2@vXA#DXl=}!kvLY7HQ9%6T zW-Du7X84?C`mB}1Mu7MJ@|zF^03+#2m_LKMqlcm47@*4JLzxz7GbqUhVI|6`E7!^k zVHx_nw)ZC+7BVlof`w4wlx(0!;RVmCIB%E_Q%c1oT1s2)Y{N+z?54v9TDfr-iD?0Kl6LN~zTh?H$s# zW6k&z$U<^UlF#JA+Ecupk`sIoA6xkr*LD4*UCNh8%c4v zwyn+Wztz)!Y)DyL9Z@;PtLbZaCQE)z*JT8ikb}S0>TE{khvy3crw(f?L6fS0)H7`t zrfJPwtA{CGpCOIokjbqNt3vf%WHC2uTHec6?$zAT#9u+buAcQ|8(Dmc1zr+|H8eMb6J4yC<->+-^LgEi4aL1>-|cyN~j({N2U z(c*|1CstoDh8ma)BNKg;omK?z)C^{^c&@d?HO3lyzkL;jsn&i8uI8UNABG}qqb!y) zGpPDaOm`;QNb>%%8imTWwiX@n1{VR>yR4}&J=T%86=hk*hVprAqAHC;a8CaKuNt<6 zO!rEZyqe{02NY3Hm{;qPPdX@RspHscAhSy$wTcB&x&cC2wU)Bj#f`-?4@{DKdkq9} zMd7f{cWdbGo=za-wFN@SPc0l&!BQ<6Tq_ok4J=$a1Pg{#ZOWTpzA*zEQI5HiNhWlF zv{fhu0hmnb3Lj?Nn9ef#*QAODp2X>NAcg?-8~%gS8fsl8$2MGJy;D@d7Vw3q7b&yK zmeU;^uHK*k({rb-h40_ydSHQ$r^Q0-83I>@A1iaY?WT%C%q|AkAax^tpSK-$I-7S% zxJMCX^GVA*;ISr(X92V?r_vnsx#@9Ybl-b#wmNb2+pD%*I8)2bduBYb&jYhbNhxdk znA+DpE^lBxvCnR%bSbXGq(iEIv6)&+n z$#(L7Oy(<-iJSAXB{8f${6w)%2;-)7Vc#h%z6=s4d z{+m<*v{crR1AAHI2SRVwIl;tBOG#Buoa%HOceV z!q@o$Y+*6KD4yvI5INkfB@8SM*P>|ZGYPYK8fu4mWowpqVo4|5oAvhF7<^7C)r`2w z=B=r-E{VwCPFYv+)Sy#GWbYxkMeJ^E`a1kD%pMA^IoVhzi->>+dLiUf664{znS34L zzbnYbs_*K_disWx9`;aff7c(g9+QFjEk=#%cF%}!lBlVTCe63SJ~W&+MM8o&sp3=u zzzEa}ec02tqv*6iV?Sy?1R1L1x$`Td4HQ({Mv9>S07L=$uu~|tp6CO(0GM1(r6;R6 z8!XHuX=SB}q;IUnMbFOw)abeu2_=mr>R>6`iF<4b^GPhrl34|EI22Jv?g-X`%%t}l z^~Gi@AI8rkf_L-UQP`8_$p_73aI)fw)^<@jS{g_tGw?KP;A$8ROFj7N}c!H*Cc%w-s z(vhn>B!L2gE(vbLk}fPPM&Rv*m2dzJ(n~Fxvoy=#tf|)|>m%K+mRQh@4Du;bHE*$B zS6h>a+)Q0a&;fzLIJsvP&shw$GRq1lm3=3x-N8`0M&6|Pz};AnG|*M$v#^an9Q)V#Kni@1Wl<>Uf#27 zg%>y23loUIEGUNnLgZCd(&YRsxivjG5(&hzxzbr%SZ!AX*{xyOLYrH;VQ^_PsZrGg ziP=LgGK5E%P5}nYHytiOzwz&jCK-<9=haVW_oSIy?TJ$*bfl_k%?L%^Muj%&J1MtP z4@1|s8=`r3V3qtcko_vOMJ0%g>V3goyIg$0`|$-w zoOZp5zf*?0CP8olll8h^Y)Z*Do0Z3n zBTbJq_GH|Dm*j9rDg3GC5!iW(bSQ7GcVJvwYlCfD*xLnaBXFW^Snnyc&iLVEUn!jf zjhTS3^>1Tq-os#b_uCNU5{ortCjI!nCY;75Z*ULvhf}fLZ( zr3MTy5n^rI4kbNwV5iWkM)y51(x7wZQ~SdiVr8^(p&q%2cDG%@w*LSD>gnG2t*GqA z7L%iCK%ObHx4u2c?aei|#vL5@pPWsC<)2#dU`&s8g#^ay+uOD`U7;J9G!;Eo)*|u&2|i z09y4WY7yCikSDC~rFB}w^gE8<3^O49OLxsv$ho z(R!)nXA0$Xg2TP9wU4L^?YP6(V1jp4-62D)X^#aNC5`FJBWyt+{K2*jh$|qphqfG~ zTU5)a{)3K!qj`kja6V8g>XbU&BL&zV;d&3W*kEd=0`481W5ReLKa8qw-mB_VBpt8y zn07z1*n*gWD{D=bPAxA3{yn=>%&^BAt0KrXd7v9H+V;15o0GM+xSgjkQn}emb`v*X`Lei#;8YjdVXk(d-xIv%FR z2Vk#ri3fl?Jt!XV7-Q3ceYVr)YV@EeB(V$w)W9$%cTR82`3 zEDJF~_3aoJQF3k=9>&B2-wC5*q$uk4yV4(zvY|1Gq!J5$PDX3&KpJoI9-sBNbt{PA zRzDp}t8|SDX{C(D);+r7aDr)H9$8{B*p%sA7?h^nFVuVd@Yh*D;-1WdDSCPs>D7s8 zpIKe38HIsACGYV#uBiOZg`>bz?8)6a6ZzaDPfsis&cPbD(Ho<& z)De8;T{^UqY|eJlM{cD>h_)R8afdC}7d+=7hg4oj`YSG{%r8#h*8os^&n^sYP`Q z>EB^*V`0|>zk;5L-AbMu%cOD)j8~CR7sg;?Eu9YWcxiE&=VZa=*=l9AOs4Chj-U;| zADTzrF!wb8b-H)5M}s(xkOmRY8BbXRi2xdiupR8ccJ59AE-Y|91x1ObDzNg=Gj$Zk zncZIZR=2j}-v%2Mj|FmO+~P?@HGXKZ>5i18I2;X@elV#Heyl#C4@>@T-#iIb@D#XO zU1O4lr6iI^(rqM{0gqijoI&?D{W#_ww*_h4qy*t|>xHDDzF^I#M4@7gF0ugKn%aQv z)Sl;KwhxZ5kT!+ZH>wvt^r;J5RUSs3Tw+71nb+#D-u8PEZUxBFceSn$WZ}B&0oPRA zRAzKpBT1+;qmT-ZQ5#*fRTEQ6%1Y=X% zuCrpt@38p{138M<;plZt=2ERZ{OYA?;|(k~0ZF+Ey>}`uSAOSim^sVdPEr^_xPj1%R9)myfqa7FEVj4r2&EZ4oZ)a#cSBCDZa~@$5n}#(I97LV7g>h8(*Tbk76zM zjh?zl|hr}9~V7b5rV z`tc&q8>L4Ju=8*ZFWpu3v?=k*hSo`IQ|{`V-(x0gPighkqbf ztMowrWBGhSF~c65ieI=%EW$~D|It5~Ni4!iW)e#vcC7^#uWE1+N=^&9!RH-M9I>f3*Z+0YDK zbaCwXuRZxks8Q!LHYa0iec05|aMPN^v|q(W%>MxS<`(G0kJF8gA4R2Uw}MVPT%VU= zzu&jp)ci1OZo0N8a|4z-$iG=h?`wnG;q&c=o(aIk_Zlff!W=}Iyi5611%cA6I*<>3 z#CIEe^f<1qN2=1`t&Rg8Du_04*(mG8l@25%3)ll|FHW0narX7!6^;Nc5OUj~t*gmm z4NE+**xf`^I$M6DNdo@lZ+t;h51d}>f;G32;nb|zl%9ODJF&gXv19SMB%RIvXB=i6 zH$e(hQdY#W47dik-oP#Qx#|1w_u!^7O^}spfG%`QcQQDfOL{~fl@Do{5u|DUv;er+ z?pzxaUDt9lr*<-j?EWNMP{hR)rJSbdI7Vxvp z5y`CI>GeiLr=9?g%D2?W-rt>IcOR(O;3}0Mk$dj6xO_N!7-lk}jyzZJl~|R`)ggO+ zL)6==YYlsa>NM?eEn~I;>w2+iBx$0$X$O_Bbq&09b3-WO9G-@viRTU&*(Hp`UO59Q zNQ(9@gekMADm$B;RnY`g$^WKf9&%;eLB#n@B@<8PPdG^oh%D^a zEN*&t18dv8#^&^971Z8IBY2I3Cex!3X7WBNe|Xr}L%=zcz|9-0Q(2UiBl5})<9>$6 z+#DB;?PdExY$-GrxF4uhd?n)C$}30b$s}uH7Ej73Bc_n|u=ooMJ+RTBSz-%G%Wmb# zJXM*^D}Gp@lFD?A19Q`0HXjX!C1a$b0o=`#`$3X5MMPB!RlJ!1+sjqgV!^Cg1IOrc z(%V4%<7QG%YnmJbNt@^`>a04#<{8|29tl;NaBO@pC()^^M3d$;^0hS3LFO%03ouc3 zvnV$v-7q~{n?Qv-1Ph(kv=wzy$UcL2sE=EQCN*U{iz$%lBrV04Qyc6FTy>6XCw+(t z7u3vSnrHcyo;MGOR*rElv3HwGD>D>LOK0CmK9FoR5Lj$Lz;C4ncG*blZfD?|ETf4k zYGo|s6K<@4{;+WRa5yU5NinHPX(}GRLo1M2*c*#l!o#4j9>WqFs;lm-m*JQGoXm>d zK7j}7#hp!j2f2zA8hDi_N?rZ~;FA0lmZF#D z_WOr#ow3^6CDDzX!;(21rSXH6)@1M{VW^5oqe#e(6@7kM3zN_dM{S0{cI%4T^^Sg9 z*clrIeMAak36td>TBTnb^XG;*>nxI)nyv_)S$x?d5W=p?SQe4lRZ8d}dbhoRZkQ6a zNoH1qH#dZK9*c8_odFk<+^c)V)k86zr4}k?HY2#)0zMu7+*{$M)4^2qq&8yrDq46o z@fSnk_cW<|$_IKn=#nRvFd9gTF=aYUwJE;pEP4@pTNiZR0E6bTOsr|R5GRTu98vf{ zcp9aY$2DWwNH(Qm_uS%YZ)JaiarnmOd6FZ#Y_<3|ifznw%N(UGc%~04)TDZ%Qs&COt18&2W2WRiz_o^wY+BeE zjd7V85#*am#NE?9V1%+DUPG* zI}5M_e{*bE;n|VWtMNF%=EP`*cZg1Fr#U!|w>*j;B08x(5uMl~s;cTEV%8TVZF9CH zrB5%3m7K@odh#=Iv;^gmzX) z)SIVoocuYIaIR_OQ9`urvB2n4On|A}m9^D$kUb<4!S}s@#Pna5;TnWu>ly|lVU@vxY%!~?oM^h!Q{T;dF7stc*~jE86>Bc zHg7UlXJBTsFe>48T`Hu22_BPyO(OFG^QcDU8Dxl#_2ZOMu6m?3N<|fdon2Xzb}6NQ zt*9a2(q!2IyI7Xj18DE=ADynNt{gY!HsrmtnzW%Ji&vOkL3a*LmT;0Nh;&^5lQQlZ zy0ZbW!LHG!4C(h2ajMe<%nkHf6d7;h8ltZuP*PPaszA6>Y*IC8HC$beohx+)!sid9 zJ}ZU5U*UOP)f_ibK^>}LA>>45ca%Pe)R^@+x1vGqXmVg?8VO#x zg$&u0o<#EqQdvxFra2rbPzhlji*9yefDi@GTValT%$@9Z3O6{5k)X5?CVutj#k?~0 zH20DiBu7~!aEbtmMP*imvu)-8rL+r#)Bt09U@G_SnAt z^4X=P{$<@aA61I)@3GwWz8Y8`Vx^}1s>mFpRdgjnoNf!&Sq%D7r!TnlT4P_>0Un;PXsww?d zN2?I>#uh>V0aXo^6a`Q)Q|U6L;2A-;5?W$Nk0k_rb@*D#xkwW~$)i~4YUD9bOZl+M zMx|)j+=Xi!FGVA*jfHVNirhux1mh{|!S;++2{W=cg zgo37Q>UUgDZSd^{R$6LO1`{bJcWptn5CANy2-t&SKCwQlZFtVe*1Kg1s4G^2VJwR7 z%68J)O|5RW#NdIdA4-;09k=8jjClt^_3 zRtEaC+%1n!w!`hjZZ=Z}$V}Ilp-)ThE!M-n90DAhu2NIAL9%atgB^t8_+2^(S=B(X z3fh})mfNq#9E7KILwq@9JVV79YDtyKpVae6NJ5I~acxpus48_?HD2doy~YH@xHjcj zVX5a9J5I2h?tEssm2PIT=7u+@iXj4&Rg7p<{N+uxZ@KDC?r(=sXaMd&5x)box`L=I zIZnxishCL&Wr-jqfb2KFU(O!`(+6dQD0G$0u7TiX3d9!|?Q0&Ew&QNcafo3^zaE}y zSs7$v1B(_Ql1E74r6NR#Ia-U)+2F{yngh zmuDVHa0fi_BK7S z%~wd2M|h7Ts;;CNjXh$`O0LnYtx>6@ZHv1rsy2pw(MICnk!u_Z9s{5VwutPK$=OB? zQPfP6R7rV+jAV|)0G6Pcj!IwIS_y)Y3KPnEUuXePxXP+{&JyqAbL=iYwm4(U_8)Kc|&w7Dd}X*LS(Rc@l(ws zNe-!WfKH?(kx5N$r$zLGVl{eq#E*Fch)@=Zl+E$a47UbW{T8uaDu8sl0;I;m!0QND z5#GvfN6cfaaB!5h(Q&?*$x2ZGK4BwLi!t`St#8zCV~H%dn+J%=DN<}l=)y?ZbEQu$ zh&MRm;9E2oHv{V%TYr!1?T0ln<}Rf7A~9LtBuq5&zy=b5~ro0j+-!wW(QJK`D~&RR!D>^A7D$dXJ##MY&r&0xCIkj z34o)0H5_uzqe>}xwqH`EtVvz=QDJsHE-ivbYRCtiqIBlJGK|Kip;@FwFh&Xjwhh<| z1J__LeSkObh{zkMbX}~Du1F@1Gb0FwwE#N}MXpMzUBLd3I~yJCh6{z(yNW^2nN?*K z6%;~GEy|WpBmhX$eK%WdL9rLyY;h6oF1qRG@Zq0FpJ(}b7g+?pr0sI8)7)*pweenQ z7L+v>!;`t=c7|H&%)OM{$4@5T4>PtNDrG(BoLm?M7hen%aq~Bq6j^3vcc+^#N@)OX zEMsKTV8d~?!1l$nUDh@b*+U9k&*wF*x#_qT7=Te|CEJxn zh{P9|*-VeYb#LVsVs1zKuj%jkRqOi332G+?s%`%O2@T}qnYxfEDSz0UL`}+eKW^kb zr;J;@{y)XBBq|l*=~L}|G^Kc7r7U+_ci(Nl!`ArfYW?zp0)4uR`~JLjDPKVX_P1bt z@xZ$&eapTMuj6X3B8`5skt?wDA`$^&ZLk*`?0#5doo?WXXd06OVq#S2$T%K{gp|dX z#!O@&dDOEPVmD#|C0Fx`-q-1I*Ds01nu7L;w{mlwLCQ2AnN0^bIk-4|-!01}W{{~# zrW!P@$R$@mu{(WWcI{)+xEv|0GrUIti)n2!ZAyo7I|l2hoyk3K@xC;mMvA%4;=RP~ zMv=X}u^_Txx{$n;&*~DEu8=p7t~CmR%BdWRq$aY~01`kJ-u;gFrpI9oatur>{*SIH zgFEPXrPnGV90^lBeq~g&l&Mbh1dxd&sHh}pSk)TrH3o3aWl?dfae(o7aftz~7aHt) zm5v&(R?=$02Eq)ck2&Y2X;m?LMo42&RV^B7Bl=88KB7AAZO~w>s|N_Oe85y(H&`bt2hbHE-!GU@!*z0&YO> zVTPWKVKPUpmp!bl2Im%eASh}{1a361!u#6yxZmyfH+RkS=3~`FYL)EV;?Ct=A4nQ+ zeL5D`7VW0i+ow&j!O`@=u-l5C+6fW&FB8qI<*llt-+c@FzR#9B>@>#7?Zr8}t<%n| z@+jUFr~zak{{S|Z1b;=e++V%6HV3f8e>c;RV@_$l(%@t!(q?+LXK35i?x8o8H|$hi{1|6ul#fErxiNx#(Oh z4zTKUPTWWu!G5R*wlj5VEqelexjo7``H3-q=ILT#=16b8_bR^R{*Qbg(LEIYk#(%l zMq|sDH8o12h?A+&63mw-gCKz^sgOq$V#=fV-20Qc$FkxQ z3}o+P-AVTyy~oJo$U1YOP6xKjv(;WuuhxhT8fGfPOM7?5Tdb7lwhBSBYl)j%_*9hnFzBfx804cH(hx(Kw4m?(~4-s zv1+%e4e#E^-xq8dysj%L%e)cfUkk6 zY9y$R#iLC?AGb;0VlQp5HVxA~>(HJdqqrw?hxqn<)+u1Gu&t4m*w_WLujK(%vVbpe zy$1a*Zf{w27FdMdW-T-{{{TjTT2y3t2vDJ}EvQ`D;bpgu6g@X3f*4Z{6 z0fv}KyuDL{!IMkFHK|Els)dTS?2J&gz|>Tbw*>4+_=AYYWf3x^o_ot|vx>6sqFJKT zDX3Xh%M>E|MXX64rW*isf$6sRWk+SOQnvOgA?Lg$S-@*8HB*LWbSA`&Lt-!T>+D7b zcW6p&M}Q$lE;AZ)3D{Wrf%hE;@oZUv0Cx|9^U8;`d(Xurt*55vZ}DPe(RJvn<(m*e z=yvFE*Cvvm9t))oYNZ~cG+f(ntEq?IfUyQ3D^8CR;#14O8F0+y0f=onf`wa`U@Q}{ zwa&x~Ud6T;f{J1AMeGzjB8Nf^A?rZMOJ?yeNPo>K*Y$ zTl7j6jmh&NkPBP2?05S|&+Nx&k_j7GX8K+TY36#!g$ z=Hw52J+j3UU7A8gX7J`&l`zaCq?xI8Ff!>Zfq}6Nr|R#v_P*V)=RQ=uSqUdfDr!}w zf+^Z1xMoP5lytqwQU~9LhrvzDy60tC`({pJv6egPD{F2I&#~w?wi@!EPqd^T18_vV zL0b(i7O4z}N~qevor`w#n{IzMd<6P|x}sVxoX&4@PQR8EYWav@?;<=R!p@8=SddM( z+qt;kwc`)YJSBG!EgX~v;+k)x*3O`HYuo)W4f}Co!ytDrJ*#IP@{;8O;DEU@lm{?c zs%UA#Dzq&j);hkj4T$(!!{LNrPk^rL{8yabR^^T;JN_z~iiZh!X=+7R{8%DT>T<3hol6k!RHu7G?ul zu`Q?}P`A39D7brd8*h9T(YDAsu;;}pE=Xd5fU{T~uede?r?B6)C2d#` zxYkNoQu4buij`}Uk>-ua0!U<9i=T;!-pBnlkK2ZIh>*?;gPrj9VU>cjC(%o6Qb`pV zf#3PPTF(2}t~;GDup2JV3GpRY2Q*7Eyr@*&B4BQ$*l8b~(S?Dy`*9E|S;(F~4CGB; z6uHl7#7$3Un2QDS&;ey+xNrr)EI{9(ClETP56mWYnN0p}m@aZ~*~wnA1|XDp?-HoGPJyWp&c@y2Ha2rOL+^uFL);@bcRzsD6U zGJICo49IBY6pP{>O+^JXlGaS|#1)!R8VLr31vV>f9;;o6A6sttyK{@k9ttXs4Y}W7 zqQ+6gw6r;dvDK+)DfF!}f^XN<#Djfg9-x8Sdkk=p3~*6`PkQXuC0x=hIdpLW=9RL| z8(o-O*p?T!=C~aR1m7JsS~NwrncSxPi)eES2uzi6ff|vk){F;1*qiU$d^N6}7fqIA z`DSU7ps5kOcLT~URY6mG*lN^%Rv-?x7#}cqf|xL+dT|KODiTPw2bD@nI-ybAfNX4f zoOQ{B?4-vLa>{(ehAOIodD4j>m=YOTHOZxoj@m#zqwxg%1AD~5+!N%VQj4(^-23FM~i;LrhMNp@sm$3v|i7Y;- zU%uz|V!sr0Ms#a45?60G;flP{7)&u40425;xKcsB-ur#S1k&t?;IuVbNnNfK;o+4d z%_srM)2iTT*oWAQZPTIOwj^oIbmB6F#jMOH&Q#MYBvD470><8+fRYB}gLB`m!w9=6 zvYCUd)hKhWQ|G)YfXt}T6%4YaRBnu1TVy}bDv^8E+RBWe9>oCdnwB1gfe&XiWu@|+SF{*2Y zpd}Y8Ic1q~6<3i?fsC&jp(Rvnb7VJS6aq;Xy|D#X#r5t@qS#-2%i($WW9d{?Ddo*g zEu=z4)x&9EZE>+D?BAvxPqN|;;#C()!ww73uM^(BF+yG3#kU;Nf_!el2CU$61Khly};>&_d>9yBueh(d@)l|Khb5Wrj3CMcae(U z;6ncZgAH*ZNZ2-A?jz*0aJi1AuP~>KJ6I}*k%<2QOJyVjj$J%zk@OrL7HM{v{U*}7 zF#}D3I&|-1MTlTJt0lSFOohR>I!^3B`6JWoOZXNhx)daM8>u%<8|CLuIhP zrAD?k{ePmX8r|Rc2i&qmUy_u?rl6OX}!DEy2IGBrbrW4V0+h zPFeY~3VB&$k;*tEYDQ8>ebkbta6#xw+YlPrFU45X!^&0hK18`&3V6M4u2_;Udx5a& zwZ}ug_up(z_#p=SXqf&huab^}dPv(!Nir8+%ogN)tWRqkK}cNp1wqmeFgw#6<3Pbd zQj4#E*S*JWu;n0KETWIksEl^hxA|XuHTDW>H*oI>^IW;Js)-d%u2n(zB=r3@#cd-C zd0CbapB@*cmx1HVWT`SqG%>_MTWD1T5f!%v`n5N}99aXM);0lEJKf90$)e%@I%>MA zve2WNqzrcUwmh$XZ76K~9Z?PvrI=_VaQlJ?+TW=BaC6<9PjarONU~e4h)f1*o>f$-w$e7= zX~7P;SmxW+b~s+<3k1ukUI^6r3WspVUJ4)mHUpQvk4{t(m$9{^W_MV-sAC1&0awrBwl4)>WtUX5?WS2*)^C1Q`+X|u8=XP zrr3#D7PJj`sE3|Fg04WU88sVqTm79!wl-8uw0A4EizL*`qkf}n_OSTi&>>6&ua^XE z*V}*NY;`o1vyx`dmPj5mdwpThFbAjZBE(~k61Xg6Sn*FDQO%P}WqW~gK;3{o_v>-f zaeH^b55k9Nujq7>seldPE$MlYO6q-4bg&wL*k0XiLk)+s4Ckuh*K0up|*k=5|pECTE0i!K&UgW!9YYu@y_Fc{QCfGfc zKvY$+Ppzp)KPjdw5`(FT0d@ybHn-2WY)fE)ErqF49aw|8zV{}?c=A3#-?Ra^}QvmFJPykBd4|pbtojOdU(u?%Ld#OxuoUJ zYmg*p)fBB@s>~f+18$ADAd`Czy>UvyD;sZcxOz>k0C7yGh&nc>nku})xhiCxe5F(k zXw?*H3f8ue2nvf}yWsXygAxjt57HsSe$U>b3N@RlugPx+zvQ1sE^(OE zg`kEPW-lR)m(!>?jZUUZSoKiXus9~I13{tAw22%MtfnexFTq8*YGb1g$tRuWMu=e` zmsL7QWLpuW5p@goZZ3>>GEt}?%44Y*zQn@a%-R{`0tXC_3~KsS9$3ehc@VOM0Zp|l zfC{M~vmIC^(%=a5-^D`OfQU13-d`ufbjy-!m}3goI@BoA4csUSdo|&V$9mKkgUvp0}d<{!60y$ z?$^4?CjmF(K5Ltta3*ccnIl>_AI^m&X%-bFkx_ylFgYbcn+}%TfGjazRl%S-Z0L{9 z%Ep@kIe&dQT&(cznn_+@&Ba{;kP#%BnNfVwMv%eElBWCV^$Y5;wTo!IubbWp9ukSI zf_NYX%#aU_lzrk$eL0kXzf_O_we7vE2E_gNmYI<&Ba3HN@;DRmRw?kt;rK5iBfSJ4 ztJ@b9b?_eqP6&29PsIZt6zhI9&Vkqp>2LS;?TQ>eo+Wpr{r>;}o8B1C;LG7ImG_R`CAHY*v{c5mKS7(-!g?lCY`a|vO?{63X% zGdc!x#2bNq?W7Cz{{RjnxgZTqhkCZa(iJ9lgh{z`!c|hV_+l% zGOwDNwzCbA;4n9_J5^&_l*DPTaa%Uh%Gd-O18dl&zI#{;ddjxs1`OImU)9&IQP=^} z-LVj9xD%j`U?|w!mL(-~Du~%g)CPqMu(0&>BjQfvo~GLiTOAb7yd_9l8(&3$sTDFBL5=~xRng&UOw7O>xJ zNk$mySGaszpJJD}eMVVYl0a)=fU2y?b<))U5L%s>Hk4uNu_24Kt<(Y5xb1HqbD}oG z-qT$q%Gb*?*(hi8viV|$qMZ55AgGSBd`N$2BcA__0y+bB+MGz&e!9~HTZ^^ zn}f3G=9#n%>0b_lf>H@~)2K5Gw$1@0>Qc+31hKX6i0Qb~k@ba-4&^J~nYOTK(Q@eX z+E|GtsU-6vU{=WTTsD}GxB$0C#g1c1a?2~Tg-jb$18=7caFp3Ju?i9s1mPt&= z7Fb?Ibp|UECEm_IHNd_ZBU3XPE;^=HWp8RA=>Qm9Jl~8cGY5`3KP${M-b|7fjxRC8 z7kL<8LjpYss>Cg}Bw)7EXl%@qbSF;aiOnNU3#=Qi6(|!&FpAu?U`3 zv`elqNtQ-dSYq9XEK1nicM!(qv_^xCms;Ua$8t)~#cPyhamFI1(M~6{aygJmB;bi! zSoIO+Ez`?%u~2}L#BD`b22W_2h!Y@pDJV-EZ#TDcgwSX5d4tMbJM|=!?S1?FcO&6~ z8iB5L@mqHU?Z35+@iZzTx=>4?j-+?}U%|o#7eY4v6uflg)wxwZPsHATpNO!Jcp4y56PN|Gq`CfD5O%&^ogbP!+YP$^IJ@N5-fllY(20$X$opb z)epIZEj)bqq|14*Ajxt$4MhzkhG}X*Atsi^KtMnx5M1lEn4N`%%uel|_!Qu=r`R2*AZ}tzYuWtTbQm~*=1|X4OXVT zT6s>77L{ond5y7WI!OS5(YH8hrv?F6Vy*>jGV#~k;a_Vi#UNO=_84uL^`J`Ri$g+%w)OJ*0K?M5I#6)r6oz8 z02?TmQ=1}8%onZhEw{%A7*V;hxJIXY^v5MS7fypWYHvy%i3OLj)6(OzAGyX3g~j()d|(@UGsOBEeDIskTqOyi-Y6o$1*zNG?*x}GqR;^7Wl@S@dxjdla zJy*KwwxWRhkz?`02MyP9?z&!8Q^24`&ANl3bicxs7w z&z3K1Ip627=yAl7zah(_%x0!DxpZaIBB)^Ts5=YT{cgo?VAmtII}le5^jiFR!BsgO zJg$+bjuN`rTToKO*>B%&q=EY}5UKfvCPc_oRZUiltO5ZxHp7WghQjClFvqpA$xd6a zOkT#@W1ys`M=!azneoLX9#2>k@ogFvX&C|XqiYri(g`l%bnZzz4X=oWFy~H_x^bvl z*z`!;=Q5!4jI>&pLj;dnlI8S;cd_mL6}SLcTYCZ>4CZA*$$0GmkTrR9(I|idiX4~J z4zkA8pAso zZt9@Og^tc{I}Ya!4vVB|`le>5FZxmQBh@=NZIEQF4h424fgi|zjizCCL{+DW(Kr0zzb0t;QPSh4GCU#29Y(7>Z`BSpdCOzuc)T1rZn^I3^Q zbEsb8z!PJ2BoKOD-uU3UbAXGjucW;kqA?%@Y`TY~!}Vi(fI43GxcFfb3V3Sj$DJ&J zV?`_#i6vQW<&VveS5t6wjr!WhrZ_pJ3uwbb3qdQ=sgh#CJ+&Den<_QfX#(Qsud%?j z6wDSx2gB!u%1&L>N5mD3MN31fI2yqq)Tdh(zpG=hHVO}ZxB=A(vOTn#(JCWQUTw&VKCS@W200^HUYU}6zSJBigs@_F3l%Z`R z*buIU{;+>JKm~f-i`$hYhE9b`ieP(YV1y+n;VjK=O)S2avAP>vo}WA}ym48l3&iNW zn}RcGt!gRvZ6oq$>vgxp_xHp{63CeejR5FJn)Loa=Ptl~4suSz(r?oL0IRoQjto-{ zg*X812rJ0&E@-5KOE5Mk>K=pRhxR%0jPmbJSQ|*bF0G zr*y0}*-wrc;g#U1#5rVV%8@DLnGWqFnnJaKP)f1XLNbL1dmpWeOkOFoX}84-TDhri zZ~|aCx6L)m!{xbrhs*?|js~48VX(L718`4U_p!%t*f}|lnyWEkCxVLbNc+iis`t71 z_20fPK!h`SDh9cJb%YR!aJuVYfy9{?P6zt7zf>#2wIg+w53mqs8!YLsy()xN6{gJu&;mQJ6 zW`dS{@|H;VA^;vSZSL-=rF+=k`hCUi*AeAYgP1|vM3N(*>~Qol`EOCTZrDkrFP1C_ z>4douy~)1d0DIvl71rUro?a-bijpfVlCVWAY_}(=J%H*@VThh`iQtH&*|#+z>AX9k zj@31VWLxS8fTE})a7zt)5Kl{9-Eo|+(xh3S82n*gj0hq{`SVQ&8S?6*f{bRNm6ld* z03T5cZP|LSQE+T-cDUaL;W5JyNE)|Z^#&&e)PPR=B%Wa;GE7V;(ZqK|EvSKR=G&Y8 zyjp^)->sIcQH8lZNb7`}y$##1*@U|b(#Ao(&Hnr_l;E~u^#1%Xq!Y`**>{&0m_Y@P z>c(pyR!neJn1?5~g>tc(PaIp>jmh{7XWZz&0V!cA^{x%#RJ^37S~%5u-ooE-wlbCL z6LYCvwMLkx0N|Hg1;Mj%j$;iJI~g0)*1nYj>`wgvA8sJRVI7R9)(@n8H%7={o(Qk- zw+vJ8jU;q*sunoMZs)rni96%nFjzL>7#P7}@#3iJ)HMv0qrvJWm`N zlFTHR4~V#a4kxC1T7h(N*Se9>hp`87fpIu?;u=`(3VR+{WFdFWE*Y01s(N|Fq>Z$j zesc8$e8%JFW78fF(tR9obx7M~e%gYdl+DXdtPO|Wdw%}-4Yef<@S`^~vOl5Jm+c@Y z=WK7fKB%co9l?UUtEVvCO-UL!Pl{r*YIc$~jexQKI^z0^;DwYG2uyVJ-cvHO0c-uF zcK-klH7zm~yAEKLxeB+L0kO8FEAbkw_U(U?Yj^XfKjN64Kqn-(vGDyyh;4oqf5;|?|ay#v7VAmbgP?T?$wLMid zMM@t!FvNrE*88v?*0qPY>%Jkz7-3RS_s4qWajyr_RcEw0Y{)+^RU9uU-SjgAK9SXj zRUhkbfx0}JfQ{u`Rbb2laJ)eBT9cFuEV)enWg<=VSs!&~A#NGKV!BDXfz01*ex!nzz)bKpS7!bOYu) zZnF%91zovJ9tsR`k@9P&j^O&m&AWg)99S^O9m~&a4$<#O$i>^^()Ym$3$E=2N0U-y zxL~YEBz8O%~hxV&|k0%WIeGfYbys!7|_qUw9v<+tAW zou({>BZm~3;e3(v<04Y;B86{jE}h6dy9;+X9;2?TC>ROeQQtPAX|p<-DVWUh%u`fi<6;Ne~|p~-UL^vT3hE{1yzLq?_4 zbdG>6hjLGEV8f1(igQ386$$y5nL}9}WVFOIGF1SCi(O61k=$&%-0gzsp3yv(L!}+r z1DR3R$}*Cp>uqJXQcq8Z+k+aZ8+Ff#2q$}3;!PB;DMLm}6$)-{E%U@qC?^;)c0|LF z`Gp-deL$*_W0k0wQ~8P>BQpI}a7Z=;>f3vaXWm@bFHPvW&2u}&yjQmqoVt!LI#O+= zLlwIXZE{VRjXD1l9rr6n};d0}+6l#_qT zBNCvE_FIM|5ps9LVCtc$+<R9cvsE)#je2z7V{JR{?X|t@-z_r} z$w*o%z$Q6`*YP>Vl^KMxQ%{>NVi6-zB019bkR6iBHrn70-Ed1@OGq)KDC<*eZV55P z4Q?!zX04rpz1rV!1NLCx+^{(>Jgn@_Cz(lldKoLVnAEm}F4iAa2Ub4;aetmAQUJYZ zM)$%{Rnb2@w5SZT#oUD>^pD$${8q@`iF7!P%AH)8=G`X=QvDvPrbxdaTSx~+t5~Qv z7PuBWi~ZP%q_?=OyE2_MNIrAa%f(Y)$^s!CwvkfI;jClSdawf4E$^|x;;?>*BTU?t z6EliUB}8{2fo_|N8(RMWB0k$;jvyi^Hc9SNm%-Nr!N#!GB<48Uo)avRGX78i)&ufy z8EcyhvlGyF#8ioWK+(UzD;}a4V^tn`xk6}jI;wc-)&~=+%6rSNU715(K%l1QQ5Rqj zQFE&HP(qwtC8@HrEUXmWqh%n2>RmRu9fhyG`**;G%cN#R1BLjWE&$4L-000VGsgDIZX z{;JJ%vw#3`GvuOci}%4TDugdMbcP!5ru*BiyW8XYaPm}(sT-;>WCShlp|>{edu@C| z^gFdu+lBasEQYQMsT)e#;E(Ar+WzbA2W(U|&`RInh#*ekOT5#GX!whVC8{pV5dW6m=T{$z{v@y)tG36gt~dN=UltJDZYvk=tu*aSNWf%0SzalH7C7M&W#- zY@Kd~q86r=!Sv{Jb{&aspI{>Wan?I1C%k#6F<`_4WHI=faZ^_SdG9jE<0{;{ zK%KkaXCa z?ruoAH#iN?o1tpciw%NZ$IWPbqZ}(7B}gBW1btqeKJM2$o12_FHc<#l6y)DPnl3To zDC^*yhy0|Br0U&?Cgktersu99Wlqta3Ztc<00$XNp>F#hpL`n9sM;3(dyz}S)0iq1 z(m<%pu7wzDP{0s-Sb`5i2qP1LH&fJUVTJih0s!5?z6Wv$m?`q&HC9OF>aMCTJ(|}2 zc&Vge(6+4ok)8oBV~#c9Mll329YXtgiDm`wz3hE9+ok^7YBC8bI-o#~36IGUXj!B; zZH2+w&)X!xgx^E}F` zI+v)TtEGxIiR^|ajiixC(${lq1|aDqYbtQ`D?PiAZ^JIb(qBGxs)YF#Ig{1Z*V znb0cpNRiYOYKX#5>(Gh;Ry%{L#^CHOE!z)$-5YG6tJrO~3%9|QFlEr*SG;m4Ad&h1 z09cS4e@Hz>#Pq~2l5C@U_JfuaOY)`shlBe2mVmh zEN>%)aKVZl$3jTIYn{iiIIpPr9xBTW*mQDFFCjQaZXx12Ots63d8E@Qjl^uq5t_>9 zWG34)4w&Oen~((RRgsp0a^`?-F|zmO2M4bU@d3%em1SyYmE)DtNfuPb~J0eZ*0Y`<3!!Qv5NZ@x|jtO<8si*??MTl*C-MXrge#ZXYcEm3i@I}xzD@Tp9 zmEq*_)m~ z04DA1-#iwng_n}uh3|WI$5e~8LQN#dlZy=D3jIlqA0m{1TnIl#-cZ>6^BfR$y0qG>{F_bu{$aqp|wGzj&4b9EKCc}H)-LcHMjG>QY091P8%NdD*38wC$uI*1d_B*p4pDnO#sgA44 zLFU@mAZ>5ghbEA|AW+S=-vjXW{g~if1{a&1C*kk>bjJ#inO?=L0ddoBh5k5^M848E z)ZX_U0UZhZZMVJfz#%xUd&bfoKpl?u=r{Ou$8%m+7TUtf+6wxvdi;C-j7je#zRf$v z52ORok`CWLzqT8qY%Mg6%K@;ndx7@+zg9Zc*h=08sCq2Unsfn#bHwBmu?p%<#`g#0 zen%111hmDhZ{V8nNP%fYR=popLXteDk%FrmlXntaX&$j+yZQx%w47oXZ-06$Mw+M| z=yOmG~#D~yz`PHjS^LMb8DS~og=$gTweGVqjM2D0txV1jQInjc94a?FnL+Sd4OEI zAxR>E3}NbAlQl!7)!3FgQ5e~Tb_5VMBoHWSbf7mP045CTHdM^T8ZJ3R!$32~ieWgT zn1AF;dJMY0qDdx=;;UHMbZBBVM(j6mZF093ur~zY>NQ=6_opf?n9|T3L>?T9K_yK^ zJIb*$8LAPGs7n@50nh@HPQgl&1)sI7ac?pp4oYfe)hbf zF{xC!05-DZ5Rr%7fgl2);JGx#;^HJr|I8c4Oti$?|fEPsqVkD^BL#~Dz}VJVsi(8V(9JklF5!zeJqkPAAN`ZWO7fCY)22iKLC09zaUjo76!a zEnqhW_ag&Ufdp{Vm{MHHj(nF#CGmB|QpstvTFI0#ky2JkR7f@q1ghW>*xKOlh}eD+ z;DvS})UMlKiP<$drf4&Yk2nGe02Li*uRg;Y~phbt%rLY@!8~pJ@Lc_$TbfB7^0X2Lh%Z%5L zq-e65Dw@Vi2J#|lG-^8+DhL4fy|04Hm3=HbpQ0eVx!}y(m-$^SCSgxKG}dFHc*+|I zNo_29X*#YwOMGSeVw+y;Y%FiAF4e*oh|6=nqtPLGnF{6kW@QQ})8)9kNU}EI05zdE z^;m(p+pk;+hH-gp{;Wl>yvpVq2wQWlr-y=t98=27@0YPdK5LiM(nnA)6VDSyA8YNX zb+xWK*p0ET6$HW6KCTh%Zf%lsg_cvOi`v&;(c88s(Eu%E^6+&!Egbi?^&g*Owgakk zR{a#%F6I$I@N1UkS?x5l=9KGGEY%EGQVkI;>@C!r_E0w82DX@}0gz3}xI5rF)ddrn=^!|bytPx*sgb>( zdM)|lqCYUWQdyyz3iO3)329s`Yi%sI(;nM0?W#b`q>*7@P65PYSROLZ>j)#_i1tc` z37B+2ojA-W9nanvrlHB!mK|n$G?J~x$ahw-x$14|wZ~zx$4yqc!_8S1z%Yh&FAUdL zR;+1HD?7*nfP|7s+Scpv!A-2usR3sz3nw-nRA*CLMI5JKZEnW<{I)%MVZ#!`^n#`| zhPF;$3HW8=E;Fl!ii(|HNv=Z|P>PB@OznM@eiymuH?|#15Zb01WT#W29iiS7sHOZE z+)pUGS7od4*D?P9-gd;fUBOy62GR7r3fHLo72HKFism_W{&RoI@WRIpk!+&Y*pj?| z+)?o$Kl3G)lm7ref6DOOui@&Z6xqdURelQoB#dvF<)+uR^MA_m(AV(wODhKci`OIk z8+=8PIc2G!k^4B2_v#1$pxfU2llNjelwRQwy3)bFp-ODW@Nn@j5Yu^oO3UM7Mawgg zH|=CMz^ud6X_WTm+nKLcQDYQE>upGhDR% zG|oTwo;#KN2byVPIG-itpMwvHDE|N__|k;LSTicL!sK*1N?5SI#PkH?hpdQ;cZC^a z0g!Lq32i^%_s^?Ema>TIBpbAr0^LoMufThC?TX)KsXBV8>A9d?HN08)F*u)u=w+{h zu8OsxR*|XV^A<)`1f2!cD-bu+a8GUUdCg2o9z-bLhHX&*-7RjwNdYK=fV45;l*TO}DP7^lgK{@ge`m0sbLsX^h}!)|NhVp^q& zu_@rA39ebo%j=T*6;VykLQ7l#2XbwMTIUHKsLU`AbP}3Qbn;_215{Ei z%yUO_1IBx)w?k%Au>j&KbtcBdY^KFwjT?)Dp;78!Xq+GMeYU{>$`z#%{DX?G>TL*G;CX8`L|(bv9Rxn>NZuT9mYG?oo0VWUquMbX@g4%`nD(1Nw%gt zUi~d$`f(Oq#^|{%(M4W#Wo2ZJVLVMt$#^2Qo-{XLq;=S_7c6>O;Vnj-sb9iI`*#2ofMmXUKjj1UuNx@%7l}?dy?l1R@GE?O{TnEYe zPA#OZYKqut8Wmq8JaRFW&Gu4?boAV{uY5sS?rwrq)WC6rVZ}GKTstmF7tu^kYN2Ll zlUOmBcGIP8a6u7DHA@kvNoGFoXDxUUJy}MlBufYAYPX!qZnI=V9PUaQ4H#*}7 zaBcvXz|IWhc7(5%R-8Tzrd!;AR{N9!)j0hhC~kxFZn4=rR_+ z;w7nTsw(=48b?ou%i)9qdUo@!8mg|#;F_LYGQ#BfTLmtn*DKuE?0ar8$%NoW=^>TZ z(+FepAp)W{Vi~0)SO9um0xwF$ZDx3;TD((;B9cD~~mN zxr8rG93Yt*F?(FEtJ7kBsU+Lt4Y2l!He5ZG!{f}DaK%u77V~0N^Fg zORmv#v9CeH1ro3khZQTSp!{FvpO};66X;+6DhFc zjzH&}3rF<2%5@MxrR0@l46%;-$`48pt$TdWTtiZwN@C$bQ=;72aEEdL{pp3M<6N}J z`l{*KZy5!o1dzQ&!6x?kUe>>Ca-A1tzWXYcVX}w-$=1-FZd-D*Fy^woPD>e<1~MtC zauyqtdn=vwDeLu)_S<|WP;-E?v!~Inc#Q;${&rp7U~+3R6Gg^0spD$^QVo4v?_&NByHdT%~jItVZ07nALA>XFC8_V^`d$HTHfHubfc-z$)>=S;s zp-~^7-W9X&535f$Xd#lGrHUl*xn$O(QA2Q~8*VnS9S$UEIuQ!96@nCoU}Z)LRBkRk z{qZ8FA$Dn`5YR{nI-Y3m-L!>b2fgq5u#}+7d{Tp#ytl6ArFA}AmIacorePFtmeOsc zxpGdy6a%P}e}Tgu?5b=%4z$Fj?>#&|X8s@RWWDou9De+*JF#usP8!>`ttO3T;-s2#PooU!u{hayUyY9MYo%1KmFEj6X?Y*DJs(3a-e7Y!w$O>o&E*~2k_#d?@ znY?v4*{FKV;G+K^Ay3qhgf$%8L3%hh^ z|7~883q?Ilg!kKr^GM2Vk4C9aI2vW1!hseSUfVCeXVbBijultOJv8@;%@3U1kePG( zZJo9Ky}`2)6AZn!=4DOw6A(6o%SiHicOk6T?l`p7hUN?s-ppYj`3sqK`GH9%_>3LIWr%Q#XHFmmIK5PLmW&RE2mQqHl{SWC(9kiqv z(oy2js{J866uCJatFs~%FT^bEnIhX6<5cGkTwgaD4j4l?-&CKoAXFb+Oimwh)78ptS2bv)%mM+iW;1XCd`>uNzfew9r+4Vvrg(jHM{IvEx%73uyh_Z>d7)cW$np4 zVW`wd8Olc)HXr5^kU)xB?Y%T%QRmG3DYmzfP^n*^RPyqVEi&yTeKjEoPOcMZeTA@| z=r4CNO6g{#PLB&ffg>C}%x7oLX-X;OU_Ku&m{XhT+x-s^$jJ4L1%n&9_gu)QS{+bI zks&uUta!2JZ(AcoP}a)ScEBGFU8m->5Au)h!+(U_A+5wdoc}2v&*Fr6Ixp$_x8ajS@mKxunFS38R^3Rv zw+KYy{LHqiw|;XIA7drnL7wgP!h8F$U?e>Xix&!Ke@9;mtQ*d2+V6OgugY=;WCN9D z*<-3VZFhC0`dK2TTpuz~zZcJJdi$3A9bD#SRvaZ43FO0>Rour**J~l%Y%dzr`gId` zKZ`1?+$oFvEcTMJ0AaK?bH}TIUmuMK^4kLUWH67&NrA;WA{GraJpV9o9)Tk6qBxyB3MfnNZM{i$uc9M?a9^Ni5UC6>3 z6?`yhn|VnUOcb29*V8MlythgoG{_Eq%j6^A@9cs#dZ8#`M*f;Y8}LU0{FO)zK}djt z|I`#ul-Em^l)DJwf^*sNrxLW3k8ik`A;XHRYd}KXY>lg61%J+u1tDmfaH`i)A~Bn! zwO8yB%|bC{xj&9}Eom0sS3!w=FKUz#df=}@J{$@yNcK6H5&jpnQp$0-zkK^(0TR^X zTY*EpCYmVE(I*)xKZaS&bY`_{T&+uVlY?P5-#yk3M5L9f>X&lB{CBNCR+|6ptytd7 zTaBd;T$=?Lc>VTOWIT7#`Z{ze8nj5*!u-eDZNT^%dP;0CTsGA~nA_Y%&6XQn5J zAJA$6)@};D33SEhzbYninZzYzTy}MY?(nAQCd)GgrvB#i)EVj87iSbX@DhnSToh8U z^IB;@k5>wN4E=6R?OlE}qygb;CNdRzr5`tgW9{OzOt9xb#5?ibAHY4bS?033MBdFK zbjZHifl;J0Tq5n8hPNi<+q_d_r=yF^iSq!BsFMo3!k#?JDOl&7=U*n4+;7_O{`UEj zh^&G$Qk$u`jP8L3kzi`lKee+F;@LId*|hJ0Xjc@{IJ3ScaA7wlG2JU#=q40PYFg+o zSxELy^uAjmw4oVP+(+;b%v2>y+h>gulC zspEMZDB67r#dz7xrd147(Vtm68IsS%jyyfG{8Y}!QJ4~Tpd?AYJ9nX-)M_u#DE-Fb zl)=@OC~@f}G5kL%+;m~hCfgjW%btwR-{{>Is_Lmf-?bW9Xyb5g ztC3i1yPcZxmoqLU0>j)~dF7)%t%=?A09e1Atpvhp1ZxJg+zu&57l-LsqO_7HSQVTT z_O}XYtRyd%(ClM$1#=$E>V8jFS5z)!_i1RR8z-vzJ4dnQnTeLgZG>85=ieB-nKs$ ztdVQ)qVWL}c;tj&*o>%QS^cOEC#JD1rh}$JeM91CY2gXgX*#Za8E{L6V2kN#{M5~k zJ@xYk_+?5lr-1N5C!59)lMGQ8w)}7eYz%D6_SzhW({`~*@rxTtTer!s%@$QrF&Wpu zUt2`(q5o$|o9hWZG!D^`B-BU$;E4peM1PA&AUrff@ZoVlt++taiF4OdK`TueC}gc3 zZ08qhO+pLVBOBI*R-KHKjp7B_r@#*M?6`l(xlOgiyUWcUO)_)8ViAGq@Bbx{g3;W6 zF>kI>3H|&<=03Qn<;jo59_OOL5XHj>5hee~%v_H0;u3tczt{iO%%9A%3oQz}$e;;C@Sm#-p&aNKl$T}op+aU^icMs8M_!A;(3_{H3p&sG=6^=@Qm z`78GJtC7iU7ceYX3Q3iFdmGZ7I&)sd;a5Lx`23Vx_h+xqr_HGsPRNQl_YK9l8d_1# zp8vvHJy%o6^)_kkGJFK=*t#0!HDBM!wW&O>m9stBW`N1y#aa;6g|lWYE~ZOdR&p>V zS>JY^zX{9|jbv|_ZmH{erb(o(OF@MBVpsgd7XBHfX{$lgO3WG?Chdhv*+#I3YC!7_ z6?1WzG$oA^4H-$bUO8?XTeX%Q*c%COPd|a;#9}D@?ZDkrt&;GIF|r*?nUr&CLPwYx z<4jYhvxyItZK?j9N}EFf|3;6)!mkBg+zp>}{^U!xNh6=B`WKC9;w zLG`G6cEquS?DL++k*$Y}Z~LrYipvZrq)iDQx(m)8_n9wea9kd{E5h0@cAT1+j`HG6 z#~K0r>zLkq&mb3aqAeG*&~b$D%tVHa=u!U4p{MnmLe9o5Kj*!(Fq9-uVo6i59lW8a zK$Z#X>K1Ua?T&~WE6r)Y0)eTEKl@ucOYYYVhp8mBS%f9Si~=b>I;HiLjvm`hX@Kfg zbd_#D;eP!rwalF1Q7yxCPEXZgpXJFA@nsx`Z$wtgtB58_kKa{49e&+8?=gb1{9H47~87I1$ zGm1Iiywj4w?$!G!ppo6f0jR~@)sd9$BhllAYDHcXQke(g&4Pc#RVIrun)OCB<$LHg z?|m~`t3;+OstdX;9ZZ}0Y0HcA@|t*Ul58Py_whGivU((hqpPrNeV5v|>?FMmNo4K| z%F;m{(f1U#I(2ou@v|7|Gzq7If4avgqj+($(kl1Muw2opUKvt*#xBr+QheGAD8;@P z7|e4auwE1#q{O}-qt7O2JpR#Kgu5Jm$*a>nTK7K23V9`DdmhSCHXS&s?+pRIW`paT z4{3g30k@Ksrf|b{5d=EKh?W5L3RvM61iBAxQ(fG_#qt6V#pF*qLzqZghCJ{kW!c zm}Vj|E+04?pro?mWNT|$K3NT|vT1et#Y7iPfBN|=F;Rsvd_Nzxphpo~0dW~BJ}vGF z81s5q-#BIC*e%xR=XRZkY%;|hy_+LQFMR^W>^Py)t}?J|0inRuXf(pY1k4GnspZya zZ77pI)5aRv)D&P)yKFMVpr@y5f%T0uNI;^Z(OcyxrLg9j#pq3xTP_(rVJ8JPvlm)~ z#T=+AA{RM>)>1;ko6q7o#g~$u0IT*g1usqaLF0o9TRYdr)0hz7L1VT`3{A1UnIO;r(K8enkj)XiEd#w)2+{*x& zKhWa}!UyrII?ke5hlNqZ-!v!YCZKcMu9h@~x9W!r|Fku(;b`tm>yiq_ z59STnKmByLR1#ddQZWBGD8SsY#2;OEChkS^Me^l;U2_W4lyvE13 zFZktpj4Vb{1%emZ+4cR*1Nv1PB9n4aXj~~fNzC2ENREOTWZ377S(B$C$y6DcX#iyF z|Ln+AZyeDpKrdHMJCt+;Vw(RjQ2QLd|LH7N>`VV*IcE-ocof_S%Q6YJbrEZ>ONU(D zX|R!Luj{iFi;vB)-A5SqUy7$I8D?G_3^AAF7L}ES9at-otVuKaYEUkKiB>`uN`>=R zD&ZEx+`Yk5UP9qt1i#6{*rwg8nJp?5dBi`4dEz(qPT+U=E9#z6v8`xC!gs2rx^5>_ zpugywoU!8Zx+!F*pxGnxE7TWHoDMVrS*p(cvpGc!>;O+;lRLO!%kOz_vZ~&SX2i`zS(N`N>r>iFbu& zkkJMp+TT36o5}xf^3V4c>VlLEzKn0*fy9r@r1%|homs1@rvECflGx*__EquuFT^Q}=v){ySBtACeMe5Il+0qNwDGyu8bTwwxGV(0u?vC@RdC)J6xht7s zri1Mrg?q)Xx27{Ysuip?UHsN(qt;K^{Np5=vx_?aehVk^t|ktyeW@(Fx16p{k7M}{ zkVEa*{J`d;R?POg_TD(4)>M5k90d|t<8B!2-~ogF+N^}P5b#wd{=so`qH7fAXdzA6 zL11C`NWI1>&{Kk8)Cu7gn9o)ln9l@r=sn6uXiHA( zTmF#QFA_CKGG}N#?$6VIs&^c4PXepE}+Qfb$AuAws@;6bhLpm4H_9Tg%rKPVT<+v`V zdsGCWj!dw1IHOLdw$lOo1npE&}$yT7aM{O#_fTX*koHNxI;-lImLgsiQ!J$H{kdjA8M`ztj^ zi1Z*3en~a=WOI%o|Jk~C;Dn-Mz+x8LlD}R4lTxq-fulGhp-QQRw?xRZiuM_m6%nph z_Hw|_dC;78v{X_g{ZCbFC?bMiiWsuDOG9)Y`S;?=nrbdRnZhDxO$OZj_* zwwR{cU=AM!$qHHSpB292PK<@R|Om^pCK)?>H^|x6gE2D3Axz9A$F>|`9rFS zR(yy-_3$ zYO1Q#e+-Ja(^-hK5SvK#xntadEwXFqzIbf4++V{{YSyH-ki>VVkeM>BoEFN3+4k0}{;p(xlOzxh38}L3J;;KAG7=5RNoJ7kA2Z|syKA> zv;1K2FG)84?7`1hVe^TTGx3IF8dttB{RenaY!(u#$1ktT87fC!QBVX=Gq*PdwnIt# zkHL@dw<4a_Z|~bD`N;c1`6M;bbz5HQNaCP>bxBu8w=s0aKB?VPaO$H?ni^Vs)f~4Z zF99!9I?56(fvML7nyZ)8RY%hF&<3vnn@^f+48MzKm{QV@3~KWK12978Uchp9ZdZXN zp?p!c^AIJdSLGUWK-^!Q(*1#w4EVK7h!Jo?%ry2Nx$SFgE*=w#ErHI%n34`$ksQq8 zcTpJVP&FCIu~}v55OycLjh?HQ>Hwf}{jq(Of1HqE7W06ZRyRN?eHA7)(mF_~)|Zv7 z@jQ@>t>1+3OerKR)@D>#6w=YJ`0T_|(OEfQgfk_PIouBczF7wsEc+ozc?=c5lu4VO*6Bs;E2tNpjyvy*U?AQ15QGc z zKW$x&SUs!eXY^x9Srj_6c}g5DjyvMD*L4T>cx;H6^7Syl$9M+Wyf==na8)-y{;o`8 zY}s16rL>Htq@rw2z^&l{>|daAIGXKT1-@vbbfs3h36{t(*S!vnKVS!nUd`{-6XKNd zk=hSw$t(Sx#E#lqEgrAC-aP{4T9*kXs6L~AdwhhVjFt10xH_psJ+DTxrK}4E4}^6X zhjMMvluWp!5{gN}`^;MDsVV=5S=L1D_Un;GZBb?gPU{{dwVUkF!sTaQ_E z2$oH4Z$<1PwJ>IrHzLTfRv0?s1kfh!7P+iiZkuDBrc+@RyS*AugFmhrGLm@_wJtpw z8TJd44D0%m-7TZZUla>T=>Ay`r>udZRBDXU%L@&!#?8;SLwRw*bi#^s zUk#hC40ZXJA%z=d?sKiLFp6Fek*a;YxeBhO!3G|L`>WM@{>%4qmO{!_de<+*)~}4>%+D{F?eDHsAVt zv*=wj2JRPS-l-KBWjdA~z*7#@sl0O=@abTM1a$I!ccrts<2UrsS^4u8mP+k?j?wvP z?a@qvc;yKKfX`hfxmT9lSYd&+FpYH@J9w2c87w;Pgq6#vA9^%M+e794uVExoQ(rSj zOB%QJKAK`0VJh2{mqIz?B7l`$@*NnZP>I7NI&=bmS&@`{_aA_}TEHl&vWzHRs-;5V zqQvbl-j%1i62$W5gb1}Db!uCwHZk%Nd}gM*{~mQ=-wd|P@g~=WBNEbJHV~T+stjVy9!0W{kLQL0=mAftjIWpP8aLQ z1w?^};2zMJx9`JTou2oH{U+)LpHy}-uedpmBZbM{e(E=>wrrhdnM(?pWO`_BnBz~q zPmb`Zq+Ho+Q1pVkb{?+@(LnQ^EVqn9Ml8~T34WqZw@|Por2`+qB^S>RQ{$^ysK9`i zir=rF$FjMKn4wvzxSaAQV`LF=Ny^wGPDp$(7F;+#v$b?9&kQP;t2%AT^W*z=e}ag^ zCel1NT74o=J*Glza3*Pz09xI;+I`2q4UJ%-gHox?uf50oJJNWk%frf)7bmD10+I+rk`3qIxx$Aaqfz!zW zFH-2HE`qZTh~^a{ZEjpwsFcN@8FJ2#>oz2lXGq#0xuMts4pI+5G<72%0`yXM&KTNP8isEbD{>Dqh?lS1JoAjb9vR zkh(5C4Ix+^4O$Y}Y6x|1&6pg5huG*xC#Rj-QnSn`o@p+w;!s&#W|z0v90UVsiiYnD zyjDV`_ywl{_lo_4{a@1kdCXboW^S^YY^~jZsNYjjw+n~xQ>P;qe}{+|28tf}{==AI zIt-gGP_V0}aq}NfI42+Ru_`>PTdeSz8MLS8bKGlFP(vCqIs zvVgn&cn_A$@J)AW3hq#~ioUHN3R3e2{abbD=6tb@VjrofHe6=|AR+x=b{~{C!!i6B zNh~Ph*wEcB>zX~B=pP^9^8Rdc>kqimgYh>y9hrjq!jF1R8@UO&R$H206$i$D1^24Fq_ojrAYoek@yaal0b z?AsNn)7DBSKhShpC_ zU~*n^?LM4x;-j;%d>egH>~<2zHgspgl9{t zou#xA^wYZZQKJ?)WUq(6RhO~`_Jp~)eP>(UxwXNv-0peCT=%(A z^jtT7u=0d5n}%u|{U8B z`R8vRyJD~8X~-^sy!Xf%jR&(&uDLR9s`d%)l`85DX)SH4Nwsrj*=Q|+WnlS^ z>fP#CHSmY*oKfR3{-L(BD;Sgv4-$(GAP!HvD3)eg(&eLrLjb-gc8_ zRK%ufCXeD&YY2cmg82YpV{~{NOXnr^6B4f>mvlI}Dbw3_54V_MoO9sM2K9i3*zSjx zXw~7hFJx50j~;iY$n`~r>Q%;7Bp&^i{bmI(*zs1o(;xWY)La8whrP+>7t&qLItl*x z812q;h4;aW#w*tt3ZW9BSv7Kl@2S(T#%Y7;Hm}N*@scUpyt{^MzkZ=$W7xb^vjT>V zZs+d1c^^qF5QA~jG2)4}dt@a@TH9QfCU&4whz7R20Sc|HSTh+Y9eD3@+aQp+$ z&Q%n~lVU7B)0eiYeL+$B?-G65vC1${k8({U^bk3P!;wt6blbe4qv=VY-J%(;ZmOR_ zCQ&_Ov%av2dKGkZq!yn!Bd;b@?2^7a?cjOoCEfzlL+QxP{&N@Y5MxaFM6k8G zz5gNVro+4+Qd}`Ga)&EA@A>{C@s`Pa`067QN3t}F-X@3_1wJOYLlUUqT((x%0POD6 zK^F`$(%_C>FB50K|4|d#;_E-nQ=Z6`02@>yTfgA*V<3!v&83}B3+|~?0I2BItx~a2 z%a}K;T_i)EJGNx&H>?a@pKLWIAzJ6^+Oe^P{Vr#kcGwhnA)ocVE|axF(X1d=mS0$D z>KBxYa&TF#?7&`70xi&Ki=pjWc&iHyUF;CGg>&W}xtcIAq0L4v-1@6pEvA714>r(Q zVI3ImC#PKwwX5THXvXxN(%~zmeEple`+JZE87B+aGZH?x+Qf{d28&nOp zpGZb&CgaS^t)qH)ubp*`d+6hyHQ+YY(vv%gsX~$`ExHkX-+|Jb(pw<@P&Sj2OzS9$ z$9%L6T2?GlNI`V@{iAyydHFt?(L~fe%0K+Il~GBjxOS6BVQ80>#0uH!um=BD<#PL$tCL~wHZ_R`sC19fr!D<&cZvXZ zx|u5NEKgjd+m-+`B8f409k}>Bz(%B!TSFDo2t5g*xSU;^So8k4Esn!yp@H6mW~5IC9 zA>yyjzo!`~Ih%;{hDcX?0oPj&ZNb}C2zt1qZPIHs*ytfL=u|U(IU_*J<&R(fjjPbS z%e7wA{U+DaGWmugTW}q}32;YXk(?YFQOKS~?vZM&MCW$k1+)=Om6$l1u0p`DbdpGy z``gF1c1&f?Mv02gW=DIVe@7>=IkT*Sp?&AIF7^{Quq`vpNAdVbcp4;3V5ko1z&(Z7{nEhp5nV-UJ~a#QoL!bo zRD{2Ut>MwAG8`El;X`o^*kGv<#t~{AvI-Ru(5Ie-`I;Zi&VA;qv~#panEpWmWXsf3 z0-?GPv9fJsr=kBc!P)aC1Sf!+4m=TBCnHn*snFSj+zoy9%<(*0F&9=wNn}}*g~f9_ z7i#q7RF|>VpCCloI>*;CH&BV1dp0rSLuzHmI0Ui+m9;Dq3PThJ9zj!bvaM`ExmFyF z;9?OXHudZbp0p@6d3u7}SZ5gB8iY63Zo+d>cKI0Bk3uc6^T>&3)wCItLGp5B?BYS~ zfEsnq(1;R~gKbir-aHNV0EB#%Gf4ti5>D~45v#H`G@P`$PgI2w)LDg@T)GS&4}1uf zLz-+QqC>y8?i#~7>}~!SoK}7~<@90+i78)6UzcA!*~FKs36aWDPQA`yT~j>HJG+)_ zX>VLZ;@&!J`5AwRx)~=C;sn~2EZF*d>Y4Hem~bdukX_#uYKhT`4!m5vJPdv*cq_Ns zwlvUn)>%~Sj`p9s%QPGsSQ=MUHnWjago$ini%t3y`18BidU_j*>WYoh$q@XxhV2S; zDbEzh*;DqPlfj#QV3FK(U>KC%$SEg*B(5<#zbd|u@xv)?euJBzr8beCIgY`a$16J- zY_GzepT}q~7ou<4-@2$&!$C%il?U{9C)bfh0<#>|U{N`QN=#kifyVZX!#W|n4l5#2pGk4UrO9%Q#pnjg$v5Nd9+&+T-jP+J@Hjv*34Zybm8 zeEc09@xio=Z2{z2i4HZxcpv-HB2tZbnvs?1;G3wIvIDH}R=y@sFZ>T+9peair*TPF zR&>#h3-Rg7y_@~EaQc^V09|>KTE3L=k|f{_mz3{~O{AB4feqI0ovM6qvZ zcdF|yzpY$6*>oj=8HWRp1dHqvv>#F0eHaT8BrFo&Q)MsjUGj0Bg!uNr0a?dw6|^tBbXe$B3QV z)Q?j`*5$66rOM7Xk-586TIuCN9Lp+2M%f!%nk^hH5A3+_u&~!|{XbgRVT+AS+EseF zU$VpCf0V?aU`vxR7(Q9AqX2{Bs1|wCtWDWMfspQ=`xaMO+)POdo4f^N)3F6y3(P>d zR2<$`DQ~5vAb5$I6_{oy2(27gzK0=AsRf9{v^|(6{QGSQDok|}O=MH8=5hWiBA7aM z!Sp67oXE^lxu_N_CV!vk2Yfz&v^ZrPg6qs{u>EnB=USvq-UBq3p7(Q{rtl~;iG=DC zOu`vog9rwZ`zpI#1qAyNwQGP;F36wb?JRfok=5WghOw{@feBsa{9-KnTdnG@Ycus# zE*+!2+x9;no+Ab@A2StCrXMk8B?~`igE*q)XswrZ;+~Npcq5MgR{pQBlt$dDH8puz zny$Z10EYZB&Fs6j&tH3WixdQG%)Uc=7(p@xE5%QK@v(R7vkyxd+8G{Rl#P-s;4Y4rB3Lp?{}jUW)1^ngIjgu{Vz=-6XDGb z8DfU7hl1+V_)7kN7=@V{DLTD|6yR=;g4{4}xggLv&+~M8Vq#%1EK^^u*wFhE8KP)M z=SVm$DpScmN2cc8HzL{<#1!caX*x;xnQ~2th;eLYGh`dO@ZHK^fZimJgahq}VS*|7 z=&WF+2L+E$F@0HCRaDC75ocu6e0o{a8Wp{)3w1^|27t1jhy0u=1|Mej=wn1Ud2}mX zua56;(WR12VymU7%nBrFDfF2E1}HIz{le-n4rv?M!qM?^h1240s&G-Vd$k^O95mcP zceyQ-p9jKzS_9FI4MM% zfsS0`Yc#UuNx9`{-C2}e`j^h!;^*2Revc2Hv6_uLd`p&RWN|I49nlY$vX9nfkP~4F zNL@>r{0#R+gvJhuxcj)!wJk2s@7kNG!45T}37_Oc?NjV~W4eyc-EGy3uSsZga*egE zgW+(Ka;9CvNchJU|0M_jK)W*mhvr*6lgRi=@dd+5#QgkX+j%{BahlrwAaQ`-CQ z$O2QcaxhWmJftN7+n^aR#%63-ORd&|5ZmuFgLF{sSS8|oi`?)r*$7j2Q9|8P zQL{jOcO$8PM#HPe3tVjw3DxfEU)z3T&=-$!>A@YIdQyy(&$zK~Pzo@Ce3F4K+<2H)1Oeh@doUpaGka?5*#pcI5}=CYEVC4;G}OY&vs(P1s&oQMLm~;--?cru5%M-qp-$EO%yaGj zX0#U_FDw@~t@|tBL);t>xk=wY&z*E#4VNYf_mFq#-PFFhJP^@)i(sANJ{&@zo`!lt zS!sBvWfS_{A*UP~f!6qKge)=lH_C87zzX)QI0$z8h5u}R#|EKo+vrmuPqg`vy8BXL z<6xl|&XTITAz}oeI$QOv^|BLXlbZPT@W~%JqAu=?j4gFD_Q3t##k_-qMQy`f)EOHb z(rxgxR*3b-97#qM#%w)x*=&nv{S+iqYx1rXv%v#b<=Gg?w4nGrV$U3K{m-9$R+nNox%X5#^LN>obik)Bj;HxEA(H* zqRHQpi>4*(-=v0fIN4*nkIN#x-eziE`8|c#vAdmj*YjWG1+%|h@5zFu3I#gj^5-k= z5GFpJ(};eRd*SO>f6HLbx;jzg05kI~IRICxl181;6+Vc%~`b9mniSu*+UbX$6sIuRl)_zJ4QC9OBeDPM?qM=~HCA ztGTdACV8EpUG&HeTd#rX8`&qA$156|BMC>WcA0a*l!V3W9W^;o`q6mYB}!CSn*6vt zasTC!m)5CRku?@e0zh3!Vv_0}Ln4uhATS9nfMz4DO+*cV;%cVi6CGO}4XtJANI6l@ z*O67lxNi}X3;rK8BlGsV$#p=}SPW+#?(^AmXqAZgapPIzsa(0iaSSuT)ZYh%{{Xth zKovu26cE(MO)&m1WfhXp26U{l6kcep#Gx~~st@(wnh?KTw4D)NhAcaNZEi;Ck@Gl-y0NX{fa z39c40!n6AuD@TtBhD{Y*pE|0(vZ(4yc2k`Vo)i30$fBPNCCOjmH2hbyz587jQuKT^s{?IGQ&v<~2oABdvJo~e@(OhNZ|wQXNtvZRTcLog{7nx;<=KLt zgoz;Cdx_zC6^&DHu2mDNnGRi$N&L&}+GV~dVCmo^_2YXXbLbvaco9m8>U5Rc)3Ofu z)zTq92mg;tUh}7APzw?dx3y2)BtMtv*RO0L{f_^BNS#S>r?xN-4lnB1{KcXfNZPPU znyHqM7%mG596AY*F)^>F1>o^Stoh27)J81pNX@E2OHoHxr7PoGDQXzkkJhf@w>9qn zRcOA}gukGHV@+w<0z<2vuC~pF2%Eg4cP7hVPe*r#MhPcC$I_$}kg*T4esxp(^lpSy z)=IFw>4Uu$iO7X!S=j+v#z8aqFo&w|9?~`gs!1oym`^+uxJC__mGrNL|y% z+VLCEN`3#yZ2O~AhURmZ?x9bK^6^s$f4=N9C1`z%?DFITB34Se zu7Q4ej-w<^*XQ96LFAC6CLAUoze8j_0<}XW`%PR*QSmSYn;^%CW3%q|F8sIPt^Od_ z+nY#)%i653^v2YOzenr%%lQ&Cq?vSyz2X0S!sBo5K+zNps<=6Zm+5+AYE5G7uDAxx^__HYzIaq&duW#lb1Ue!#_OS3 zAo6wGw0({VG8GB?ryUYT#G<&*mUKiRzbwSYC-(Ho4Nhi|jl1I|5AD>m~08&r8g_F@V zk|CJ(b|X(sY^sKQ6{z})tmM;H>Uz=E0lT|1wH*><9lM}A;80b)#4aN~&}Ji!e){Nn zrAS<>@k*^@ER>yvEhd(J(FD0ZwhpfK+jAG-f(7j2o}_bs=OQt~)TYhpPXI6n;98=d z>FVX5L|t8kO-H@irNY$t1U1udBR!23=~$WZeTcs-in{in;0D9j8113W9l8DpqVP?4P^46PZ(CqPG<^KnV`g#TdF(jFg8 zS#4EfuC}bUW=t5(kwgDi^X})jg}Y8FS))bkt%RPgb!N)iBUNsSKw|UgFRhX;=MKtH}d zk9uJpudwCwX}}BMh=-<`44v|$+3O&XwO-M35r*MOdg)Sn@skN{t~HM7mHFSNuioh1{B|eE zHPSa{Iq(%S7odX^`ootW3x;@wC{o*|QUGmrZUD+dc>S0w3h#u!U23WMRb9ud(fxdt z5#H=0*v69%N|bU*dTR-5+HH|rz@@gP%Id$2bFWf7RN>sk`+0?Iiv`)O;+hmyneG;< zZRST-d@yARs_U6cak+2I^Q32fWdZU-t?pe+>Z;$DFM`M2JaXFxJGV)4x4PD^qVgPD zvk&#rZFli!w$U=3@MH6)rhTHrt4DBeAQ){h0D_r0q=|Pg4Yl$}-_)rlASWHEGkBq4 zFAq?aM=#r*=}vF&Ty|pRCm?Td4Nah1B5K!O1=ckHuw?d`bx-%;P`h1dwN;iu4PfF! z7)5@BcbGjVy9?c?967XyzcTYFTj18$O`MDmqL=b4SZrB5RM$diu>1*tj8#YUKfsc8 z+upL)D%dEVeL?O9vCA$hMyr4@gR!LKrzIHhrc*Z>ycZyx8-J&|(7;PUJJ}6nmU+q( zRI(FCyjMoRS<(uHW#EXIAaMY?C2HO{Itk<4jb7;mUmSNCNxj$kc*3hZGC&Ixs52X^{ zWRWsrJq^`}f0y67Wp|Rq4L}$butDAzZ%=zf#Puoqg`CtsCuxy}mnqqk zPuik7>Y8r$7{V>>KU43Re-eFQK7URwkHx@4Tvh)E_8F3iX3qu2n+WT-J;yn}K5{89 zi4RchJXf9j4WeGG&d9bSJXoK}FHarq1~PvRQVBfK<%CLF!KeBiNiXmMDurXGJlF%Tc$Isk`1BVPk>NdC}P0 zm>)yrCsbp?Wvwt<%9MM^5Z>jOe7lhu;jo|k1zVy_lK$I|w)N|{@~sxK$W65;LZ)~- z=iaRRSY0mY(sjf|%%6uY#O*+Ng|2gs-u5T36UJU#WHgjd0sRd_jxwX@`@w`(`##*8 z$(Flwl)sm@Li&7#-%s_n=NFLcIG@I~QyICb**r-Rb0ER%=Q`YMI97&T-gdum`5XXG zJT=+Nkihw8O~;$I=cw^T29f2hkqCi`4UvOSnXPF?5A4JbGKI!uwa`GXPUq;(K58%4 zj$P!(@_fhExGN@AWkpgY&61Z4y_SHefIh;T*4E+;1vKkfSDv0e0fl7PcuKf7vweRM z!u3di|2dLs)yh&A<@fS9;n_`kYqvQ+$Yi|Y;~NSK*wJ!OOaFq8d$#LPTQ_@VPFZyF zpOD2Vk3}_1yfmHL#esKx8J&{}qw!m}+0)ZiP(YKtVwWaIIRNF7$}|B98L~J(a;U=R zZTv=wk_3O&#Ru?LjTF$D>Zes@l@tyGCTs=vxN>fI*;R)+d5^F=6;^Af?Yo>|DyEb5 zx)#&~ty~6~vHa1-SaFNwdeNpCVbF`L&g5CVojeg&W$2g){AKpikh$1iC zbNOdCy9^9F&Vz!k-)~P_IJjFLU8At-qF}f5Cq^zL6kjdgbY3bnOOYo+`0y{{sJ^a2 zvDkRQ{x7!PF*=ey?Dp>1=EM`*wr$&(*c02fF|lnM6Hc5?(y@(+G56_bt@r(K)^k4A zT2#Klw(m^P^?o5ht`>I3Qb*%67?D^#_D>$h5n1~Zu(5)fh!26N<_pOxx z;a8U`_|(cD*pK9&OZI|)$>VEV--2{Q0nksq#4)>|d>3I47}59Z%3EHaiYS2t@tG2V zJkL&*#a*K5(~mVG$oj^mp^ZY@o;f;tOs+!70JK^O{o%GR(?RA}`U1X1toagxd&Sxwn~F%H=_ci7)-a)I;LPiSQDp}#y|x3k8>o+Qf_O=B&JD)gSDV~A z$w$>z)<00{qvuQm7W`A-unE0z-@=0rj4l7jkLN_?!zN3`sC~sU4}GdAvW?$vLgF?m zHs^j5O!xlND)(1>)>C)qs9^r3#SVLQmkrJ{!WlBrwmo!aPPr6#|}>dIH?;4Ij)g*2mxV;_3% zE;`b<_X)@7+m1}`Xi}30dUo!(>sq`3THF<1H1o;IJD4WWi2%hMnnoGzQa8mgm(PGVS&w+H zU()XGqghE>ftL?G;x-ev^+&}-e_1kUJA3b0J;Ow4<3?Sq#LGq3d@6pr?g-Fp#|hEj z_`DYm(es3B=%yiS+}u!W0$(B7(9bxap#MQ6dQT6xIGY6$`lXQ8^dn*A%Ss6CMubRb z&D1+ItkMYRPBF!|XoLOj^(l#3#Z5-#m&$7FK>5noR=qK4rS6CDOsP_arp$S$CmSZ0 ztyFiXiYlSM1o@A&v z&F7)n?#kTYL?0DlfoPeI;1=&COClKh1i&y8G zvVJ~xa z@#)!-1(`}RxF`+`aDtLBtJ*XT)ahgj1UKk4#WK}Om_tQIho%l_ZiBiI3(dH%`$f|Q zD=pj9C1&5$4^@f9xVxSQh>9UE4}So0@CNo!t_LOVCo#V>vhPr2#vaiwFNU1|?Q{;g z3@K37ob@rwtdwCmgs+U9en%PB3|K!wQyzF8GLj=;f)P%gxuqdxK6y4vpFq#dmaYpf z>r`TNwvO}s(taKdQ;=_R;>v)nE!Fum$S%T6z-yalFI?QL3iHz5==foRhW$n@Ks14( z!7xEIlEu~K(~frbo%?({=}*zXch0z%2m_sRh!>d{#Y8JLZcFj{&}X&Jz_ifw#p&92 z(1ejk;is-xH*YTX*AIC_a|;zlLL`(ZKfnEQ)W39|!)$M@AvY|seL+37_8=TGx3h>z z*LX+q>SIh5*n_tlL($Hoi=fTCif@Y*u5};G|0Elg&qhBdA6~;vq=n4n2VMRL74qNO z|LUqi#RkoCncT$$0g1FdD(?_~&1;Dy)9V}G-I8Lnx&uR}{hqpsQRKX^LbbL0Lc7YQ8;W|`?bd{HoHWau)v& zW%h($nX<0Z53Z`ny!0OqIU6K64&M)T6wd5s9rZT$5W!K~<@@Lap7UL@OMxWc9I7Qo zg5YBb(@&rr7Ei@jVkR^ySaRW&-%cz5^2bdBBdaQwgyX~RRl`Exu*Up{0s0C;qVDYpETPp!Ts#^z=ct+K8xwNu9cMD#yE`V+5b9%qqNvlXZ{ zqyO-u3TEQl10K$Yq5cJsR>B;9jXrC2O6d!44bb=L<%Z6!n=60u|C@!8{V85Q9$j_Y z7RZo5838l#^1((A-%yTfuZGo-W!1UbOjgzZ+&MA%ctF#H;fZUtQ**$dKa9&q`BQ6W z_9$3I!=n99kiRq?3}2OUZFGi{RE$8tU?mnrh6lfz^d~_+l~lo>eC^fcNzR3GPM5Z- z26S0VnX?f^GOuVsTQ?28m1QOB^#!$#3tQme^Fv$U-^Wm_)X6 z&FLxwSyD~IG_$2wD8vm{r;U_tYIEXl%Qtzd9Y#KjG_^Zo{ZT0uEY(r0xtDZf^iwm`_q0RIaObFhDl_x*WR=q)bm*V;n!gr8*||1% z^Vi`A#v6eL`kH@9j%8=MP-%N!tBxaOGF*t=)E6|wX~`3+zT99DMX@hdD3YBr~BK+DF^t3j#vR97;ZNp!?U;m|Oyx2m` zAk3`GV8(~)y1WB@Sj6RIon@Rth(X_8abLKc8{(PJp=FCgxvvlKdN)*8PTxZHiOmT{ z-GkJZMN=7ss04fZrX%p0p;2h@L$P#>d!hV)wi-^H;C(!hqsA2(4Kfg)=X23bSTfQww7(C?|@KZL+kJi|Zg0XuxE7G=I!# z%TTHGE|>Kl;$aFw=?5&XBW+W<+WbE%>}d*`5XEmqF^gj?rB_LykUh4DH}F zOlxe7Z+SPrqzK9l5(jlE$IN{)B%ivotXIFOG9br?baD$AdeF2_5E=bYh27C{ zokfxJmto4glrv}f z#%pMUDUI98^)vamZ>%N1xxq7ZWb#KQ^dmkqfAd}=D+Skzz)53LAa(fx-_cY>0{gV> z4zF!4m1Pck#yUfQoBleCo<}H5|6aL)dxCGW^VPf@{;Bgj|6p0~=vsgAuS^m#zM}ybaC53?YMr54)}AiwEd61Uv4t}F zzKx*=U&{!aRDll3&54;CE`Z62TL(K2c|q*2+ItpR9&_>+LJf{7635Gfn1O*TAXozT z1~C~P+U$mH%606AXlmEfQ_~zHGI)asN+vYb`)2%1J;r?@DwObkKt2?05sjX=%#@K}%dX>j;2S-jMg*0Qz1|7$3Ku%y19lXZ8eMu^4mm44E%qXlTUbBEO|<(1 zZUD|bAEu!lw^e+QqlHsf_Q-F_bFM)-EoD__cP%PV%;FE0Q5t{z@#5Sr}U(R|+eQ3yq294*U<0 z2u#KyV!CQ4&x!ZMgf`fADsONelxXf8Sf4a=Nv{tn&s=%DFN&2-5+f9#PoZliOn2;P z&t_T=jM#tEkZn;|A-6_0k3`^4l) zk^SyT?khhUBMQP!mDeSci?S^dk&d7&J<4gw?q=sC0%@n(>JGBa(=wHN=vXmoqk6fIL6=O?lQREL|#dq)|C$E_mf_tI9<*2}z?yiCAW1tQe~l z+zCojd-*)8w9R)?DrF%W*H_K#Jn`1@-H=aMD_?bX z(dUwjGqz%<3}Us%OI`Cb5~fjL;?{4oxSa2rfOgdd;56f)51nZl&Wu9^k{4+h(Vr9|9;BJ1rN%K1fMss z#BO?nDwg4oj)9t^QkkBX)=vOL1*btXO*gM6B6;$ykkTv zLC(t<+9OYqrrxES`q1C*BA^8&U$qZ}r7aKnD*h03z#k{_Q7o9dEVF7d{4^bE4xT>20U$T zauCc=F}5OV@X-!rIo4brrKxAH;g(}r9i%cxAXahqCwe}yBtcx~j1i>{w6LBI!EI0y zK!;u9c?$}I@MEAhrMHL?)UH=yZ;e^iT%EF7ErWy!;o$~bF7F~S!p_2Z8)RZ1lCph< z$ye1c7-jJ;=9)z?eF^vd7f+?38($r;J)md-v|#gvPsBdl*iVmN}Pk>M6g#+`%HLia>}5X^5mPJy&D3l zw^fW^V-s+jo+X*hYED*41m>KP^kjIwW0OQ?%hT&A<-V00__&?9UDnsvtlP6E)p2+2 zLTi@iUs_o%EmjRoMSPNh-YWO~GrG(Zt+<}vVdR-l2uz(M&;uBcU=Y0)t2W1o6#orr z0b@UW8iR%#^+_Y_wGS?{`zKv%XnzlGcYH4)*GxBJf{;Z;yxT~3@xs!%*Y%-ktn(qp zRq*lnXZn==+-=Ux#r7B~3e~`O^>8(I-oh$W54(92$+8c3y2``KLF*=uAlc?)n>>Bt zl%zf?hbW^)5ZZbE)1J8LVm^*OcGX?iA#$rR$MN|B%qOZm4zU90?7M-a{;S~1}Fm+2;)^-H(%JcN^Us`aR%#B3NDI=TLZ?7inRXgDd4 zet<-Uz0D;VlX>P)RlushWJ8|eGcg(mq zBTYQnoVuWv!8=zBtj-dt+XMe~#7?eM$K#B=Mr|52Qvv4o@{BA!-I?qk$daVbRFJy+&ZyRRc?eLf(EgP8)=wOI5AarXPuK?txk0L|R_kA}1tQ783ngmN=yQ0?&LS zvQ!NRk(E~T3yC~u@y2n-E%D=>%F=(dWJ30$HuI=kyjYqtTn$S&c^(U5|hPIXP z%np#dfNXV5eR{y$nK8A4Mggj3O!xZbvH8*;1HMUzOBKrR)-Q;-soY)aRvtNtUC!D>O$`)g4)GrV<{t?0PCu$LifsF5Yaw%0U3$wLrR$>< z>Y660mhVSk!Ts;O91V_bYQKim485dW5!&Mc%Y1$!jXcS28hQ>^Cv5+Fjvu9T>J(ak zYU^{`wYjb7vz9u6CiPL114ut2EQ$=XVkiA&HN;E?w0rX~MH23(XLjl5uT$52jY6jBl;iCH0i;U z3`gTf5=C6dvJTX=c4PyV*#KT{F2QcMn)3U9a!PiUU%kr=<13?ByIQsSO6E+32JorU zA8O@2!gNTq@LuC{uNU@9rfz)5ar4z)2L1uBs)`*WEPi%(O>(K>%BxxC#NwY|@oHmiL#QjT znw>*?aCdia*_-O8A622i4j;DYTJuHEl1Hk2?ujOCZgOipCk`?qWHJ_ytIffA_niFW zHD|OG!EjGJrXQ?k$kWKBIVH^JtE1v;Lp=Cna&lENvO9jyTUJkJcBnN{lizCS`ReGL ziHs{1DSn@s&wgq<=}^G%u#6AA^;0*6Zt?&#u$6Lpjw=LrIr}s_) zjIP&x`F#UCXq%v#E<2Er zBkYyqFTD*BFn;Y!VnG|ni7mG`Jq-E1dK<|-1=jOLq^zf-Y( zl&AYXC^Z;ox|2lwixlSc7rjjH;k_b?7EjL7R^Z^_E`h!$FN+W?Vl0uI5~n9e6(y`2 z_s+*|P#enq1wKviFsSWu8-j8tRBoWku|5v94Tm}I^en#Awf$u)Xzyj@5>kE8YzAml z?^n2GfO?6U@y3LNWy&ogasYJJ#gjF0&cbJoa)UElKlBU28=3F@?lUa9=e!Iuar_V9 zL_`m>pgor32ha)-Q!#LIzWaFJ_bm3nK6qG;ac9;$X?yF3tMC=Kf{0jMTC;S%G9;$n zW(fpRXVR*(du&VSb&uCc4WEec$k>GNt&SK|u(u@^E%mn4YFa({a!DTUJtITBH$=HQ z6|fqdca%R7x@vbhB?Eys+;TgPuZjD=(D-Z?+1DyF8)&DOtRBndrN=Pqsl$FOeRLcH zpLu8?v}+cSWFRp@dv)Dq*BnAcAk-I5yRXUSLE~aV`ngk5!3B3cMV;Y2D4K-J#mSVbW>S6=<%;ox$|gy*2~`y4ENPfVFF@*Mm0BykhTSMN$s=NKUP{n?(OUbVt6Z~LikI`UyT(E z%65W5jAb`(wyME@a$Y)i7n{DSDWN7!l4q{c}=xy!1DR|(ET19(3F3FA8Zm-z51&99e z2As_jNB!OSCk2(L{Fj0I7}qH$Q^zVjP+lsbxcv zy0z6!*ogCc)gLC0^)a&|CTWY(GUUB^)(3@n9!VzL)z2ml;ZbX^FlDt9@Tm-gBR(fM zYzREdCsoh$XT52nl{0QtZQ5Ml+Il2S%+EySS$nu^12B2SL@FaO z>XW2p=zmI9T~d%*!iQ;PPHcz{CVmgRybRFi=wetI#Fm|O%~sRxosEPjM%GgAO0}J%j1Qn+KzEz2^8l zGe%WD509m(KuOa-JTBKS1Arpf?wpgWI$yZ^P}cz$eQ!GoY8lFRvvnFah zPrR2H`wah$g6(6zvLMHpprFRD7(+O?4v`E&Hdw1P414g*4gFyXMYnE6g)5xROhEW6 zDzvZ=`BVJV|B^5M+j{zv27XzrZ0SQ}3=(8Glw<6+%3Ax4iXj-YRJ8#jr`2-xArvPe z5$F9WGBW=bOU1oEOx&>?xPwjV``g2PvTOsk{=wfWL&F(FlVq;+j> znL9Nx-m~^Cvsh6qi3xp}c@{Y(e`kKPmz^rG8t>3*D5tSUJ57DfjZifdSe`G0zj{3? zwrfDBZ!*U$$@!(CKJWuB;OpOL;vo@qKx4N(-FCx=NKYyW#F^Wse`WCQTwpNz4DwF$-D;L&Ab2kS93~ZEwhJiNHasq5E39xhkTM(j2@3NKZm6yCS;D(bEM0Ry&F|)wI7HbQ4DpTsi4dvyV9! zN*Vg5$W$GRSl3VwRfU)a2Z}8cr^V#2D$@-mMslpUEu)o$tq3K>zd<-NkE_k+p-5i= zsFV`sCWZ;FnP*1M79%rHQjnBhRRpJP5Y#0xXmUJV`&_Ggo4cSB%ZTTb9q%(G(orpc zXr>+eiR0U*SmAA?T{Qhm?oUWk^K;xn@zF}M&0y!nXKjp57B^|&Q?AepHzG6e zmuu-<(ea*380P6%BYAC{FjRhMpcUae3RQ`*<0+jvK`uk$YTQLBJ2&z(gOf97zWPZV zxSP@JfY`t=&7=sAx8#8Edf1ZNVS6E*zzU5ZUBoE2v?hcS%`IZhuh@V@B_z2UQz2|O z(L#rtAZvvOxYVO-XKi`CN7coO_ z;I5Hh2%y}F7-W|KFzmNHdsD5J5q;>7^5%aQ-dahUOk?8gsIIS!u`!qf$X-UL2C1;B z=icaYhPadr0B}lIa1}>^dHYFPZ<^Of*o&5zwy3FcT>_p$E_>(j9SRQlr063Apt73e zhYJEt;@>we!ephidOAVlM~j!5>4bKGswNS$mr+_6E+cHLxQNs`0m|tfIVhva3*5oZ z?%`H=-i&g&QJjq8&yq40wK_=YBOq3PaQE|vD`+MKN#2+wlS_|8vK4ppC}Y{FWXq)U z_r8s6%QD?Y>EZg+!)?(7IXfTOJnTh%1vs3u;$6BD^2iai7y70e>(X`7{=*tMf^Y#~ z-mmnfLkQaK1|5b@P0j8Nkj4rAut+r{(8MH>Q;<=?qH~^R6CFDz#%8*4fEXo9gWwhI zF**iOsVEU;EPvC_DDyV0L-n}OT0u*F{;AGwZ4c3(SX>rwH`6k=C>D$m{(P6{taLI_ z%?V2hVL^t&vTTp($x1yc3xIGR)B$*juMuHCPqAms?Iq0+N4|#KhI3KNY4m1pjMJd1 zZdz0*5MI0c!#mYIoFfu>C(odvYtEP`eZx3wukR-F9BSK??o*TeO{7Y4NVKC*v{W~a ztd< z)vlqTsj)hmq+_`Ys{N0OpE*qrq~tMXPsT|rM~&SYro7ry68D3igs+5M|D>2xK@*Sh z4t@Lzf=$|AlNff!mQw2S>P&jX693;?Qgsto81*%*yZPwBqZTXso^uCSz7IS~Kc*|F z-wAIfeV^|UIW%3B>kOw3WTr*HP)oV&fF8WwrV) zCKA%bS{kd&@09Ko4CCafb@3H@an3FdV%H9en|n?*HS2KW0-rOda2S81&aMl!=CmF) z>EK<8P>c-Z=|iuZYGervn38B88QtqVeJkX{~i-k-^GHe|JOO%NAj;+%8H7(jc5yWTlBL42SqhR#C#@U z{agq4Bw?(*hp=tJxY!z&Nsg3GzUGvg`Yq!UZmOPcD~Etl3=KGL_T?2>)IO1pzivmP zbVk|5RfJxSkIGYto~>7^RdQDPxYT7T2pl)+63K}2xhxfMf;-9Kvo)T-vrOV;BqS*> zrprhXj?vLb2U+cW6-|S}TjZq@NZYHP@;P|Wcbf5Zo^z}|qohSV(t}#`q^;3PcT)#1 zVvXerk(FHH1jb3Ep}!uSuo=~+qrALR^85!d8@w9Z0rAoG*L|7i?TFkHP6*B=^0w-C z)5Owg|2Tke9-~yLnT;;uBh9OM_f43`cQw5;;vJEiPDD#zVPn)vGRSl89=zPe@RRT^ z?pg3s{%JM4Lp$H%-9jIGQO({Jn_j_m1`$}Zvra#lTXwFofGl`$BpJRR9VhRIsvuZ^ z&J^*|)t@AG6EqtARb1WwL!jPlv{io-KOpM$)$HHG%1#@L$e0FevvlY0!@`9fdNy6A z=m$9sGeXCJ>vf{%ka0n6`F{w1S{wA%sm(GJxTw@2ab@QclC&sX#5TdHk08gyPE3eZ zS+;K;Ci(WitUrhAv)E^kGiw^mkyE?6?U9f+hX9NK001U<)F=kd<8Sm_&Bk8eE!Xjv zrB9*;kE;Q82zXdO0sBKHHl@8(;v(OP~Yq`t?Ogb)NPLGr2H~j zy^hQ`@NV!7@pvfwR#DdEEWCxhg24EG7z{0RaT_?wWenNj|4KS3%~Zr+jS?uFO?6s?m^`c+yqR|58TBC!`*jkn>> z@ZU@@qN7M2+H=%75=;7#v$mGE{0$$u)GtE4NkeD+i!q|SLSwZ!NxYmF6Rv3*(Oncp zNL*&0mf;eRm{WR^oc$f%dGOb`kM;I<0HJx2dlwl`@V}fRSzx*H)u@X$0;NVhf2=Qz zc5MY^&0v05@D}Hb8F1VkgV{LIiJe=GudciHrrWF#1ofmxbDB|aCBpv!4nMt(*XHT2 zy^ViO*|m|*k+!1nX1c1Q^G3XUX4Z86br6R0ci+^-lh)enqtN-@nYsI8GN8W3^ls1u zoXY$x(LdY-KJHUzhVtCw6>oAb?)}R49&e4+o5VT&Ot0rLBLO;h@WkGj4#>c-LK;jv zC!fFH-P${2FKfb_@v2uy^htm0!kcf6Gxxa~_ynP?EDC)VedxlfN`GDX#Y2fqlX27m#ij?kIL|>EK8{w@CjW0dY-s9@{~?kYjN>ZJ14X# z+}xoj>0|kI^Mh-Lv&NCEv8#muMs=}TH>foPGvO0A!psY>bIhqF4S>LB zxEM6wSs7;akQVO#~Y=bwrqzJKkQgdvhv2P@ud}yCv=WVfPD%VebyVC;h_X*x_(=>mgT^}nh~y2vmVYNA*3HZQw-wK` zr8iE!2IYCr0<%Wk7MSm~1uVnS_tIa!6B4h2Ak==r!+kaI`@DU&C@sV1QO`{{=)()O z8`_5d7!1TX$8}ZnER9`PhOfQQa1OyY;pK>Rt=nPHSB9%esl$8*2bYZgbFsleYvXK; z+KVj`U0m?$!0YUcz18Qfuj-<%i%Z|S+S)?e+RUZriQV`oxf&$X3AT4WpEQ8i*#U#l znuuYOCDvVM8|h1{ZA8rpgaux!vJK zzrZf0pSyQ8xKq16yw_9M6QqpS$tF;5_tzM%y1(tSb26a6+H>E35Nc(@vC`h}Wi(Q# zx}sM4Qmc!-hMgPtx{LR=vt8OgkwGLS1Fy?uIpX?SVH0wEZ!_hsbSvo4f%7p;{Kr^Z zWAQMKQb)?*B#IE(NWpp{!-h9OZA((0S!J1SFZj@n8NS-g9&M25Z8!$8{$OA?ls>Nr zYS*77AHT>RDf>09?R;2`10FAmv z{Efq|A8TW>^(GH83-bF15;(=J$d}NGdf+bShv9)XXfzrC2bDW`ox(PF9>(4|Aym6B zS56|G!dB$&2hc!kO$2Zcj>Ut&H*Y<--(oK}oTrKAbn9m1`MKgl)c`7#9{=aZ{hxe- z-fKW3@RhoVxIWxhth(R(pf?>vr$aolbxmOwt;|);93XHVmIcWY}`gh>9|^eKQ!C9vt7~^z?8lh zBMVF{U;#f1CH!QajlGQ|Bc9QK{&Kl%2;WM0MWykO%ZTe?mLImplcD)91f5+2qnkkR z#R83XUrtClzwu1^zJRBlZOoq6CKe&b&hw;BgxA+9IGY1Wuyc;T0cL)F>b#i|hcqyM z$l%oTf=Gb3^C(8oO*(sfwkE|UnXfMAunh#mfvB)~#q`pzr}HSX=8z9WC_GB_&xgNw zxx6;TpNSBd$1nnWA3y37>kMBU$w_V?F@b}>vCBd4ImA-(k9usA7xs_YMC_xT#5G@|AVK1z})mkT8tW?|tBt=M??dHH3d9 zlt7@{NM%9K-7W4Bjsjy$#X9QnF|r&n7zH8+tW=Wt*Kd%N=6kUI`*; zkinG0Zi9K51ip&YAF2w%g!?{cRDVg76zl;kWSy*a|}(%?-%Q62-`&^j9dox zPZLHrV!@TCVQ2C-IX@@gdJ4V z-@^Z@vcttJ%t)x0x-G~u{ndzV$Z&EHQk%$7IYP|L>Jyk8M~S~J%Fb`wS(SuzQ6(H*q>TJ$0h+YWW@rF_ho{{wyDKl)U5N* zfL%esgXnEeErxuz2slrJdU&~AZ-r^?u;Pwf!j;mg^fLCrp_tUI2_s=-^?lg1vr#_{R4`AyO@H zt@F<1K1NV8z*eAMBCE_Kdtj|;(jZ1qgIKqb?qRqKTF_WTPjC~E9+ zgg2B&qyKL)gSKG1*vgQ{mQ$BmgYsobv82UaP@l&WUp-q#H+f~cUvm7#&sRIrK&}8R z10i-1T_5p*v)DA%`RmU7`d#$=;JL2B_~N>m+M6bJk>!1pmT5n zP3*fYGpv7RSXmW+hx!h>X5PJq8V(%%dRYNA##q>P&iJ63h&A$gY_m%LF0{=ZzfBs& zjaU@*e#I<3MJ#^Y@A+ai9Sy9&AxLG8F8%QS<}pSMqyjTHacZ+goS^~S;*A}`-FT8QXIHR{~rLCZKT;HS^N7f zBPCAdYq1~gn*kBm?`>o|ob%TL*=1fstiT=6zd$(N7$)LRLsS&7}E9&}Kh z;6b~k=FD+EqJIaC$`zNnZ_W@;eaJ%X5(knO5-EnS9{`zU?<5q)Yy_!KwIt;T-ZZga z*d)F9^UQC7?ZJ>+5KV&`=@S-8I#4&GlzH$vA{5J9kT7i0h^IC27T*gnu9_oGkW7BfXP2 zKE0SQXH;h?DcRTrcJ?>m%9DaD)+S+*vGlLj2ez1Em})nhPfA1*Zmf>GKhcjgXESgx zlui`rlV|YC8Z+y-8J&MZT>zm5<%Ltn+Pb9cd9;YXC!&~{ao>rnvX3Akp zNuMujdZE~xC%*ferNl^| zt~vIWCV!)MWGX~{-Ahue=2uvtOu)Wx@KG~#Tw~xdH1wn6mXIhFC2l^UVeWQZeA&(Ms##lqTk3V~k5n{agmu=3yjtHaJu$vSEG8pKz&b zR1&#T53bwRRcn;)HxEDAu|^ibB=b>gFRryfsvIco#xLL8D25_-Vx`8=vOs6aQntSt zek@~HwSL;6oCjLhxxewg>FsfJg7RLO3-MGggPw46+b=MlV-pPrqef?FI^s%t=b8A! zT*X#U2S&Voi*EJ2V{88qTRH|YQZs7)t*uWj>$sVVyuu>$d)ztsn5K9YBtudizAaR> zfk~yJ18YCyW;jw9x;GP#%wa2p639UVA>)(|N6Io;l0-b|I4(oXMFB-1&GAe8VDvBn zN%GuV-=I*v^tZgRV3n;MgITH9cgqB=?O)R4tJxWA+oDuDjmi=2Ajfn%$~JLHEw^X? zM)r4hl_GL`_KqPG{yGO;yU#6?OI^oE#0X{E^A{@c<8)(gsA%4^{qCvzdCSRlV6|!= z10J1Alhx0JdtL_;Qdbq6=4d!%Hq-8XJ2>T;b~&Naa}b&07U8=~J4M*ETITQoLPM}K z7|q_s9aM%wCbsR-%1jX3hHrAtE&;>4K#9@&R%UM+#21F{0O(;(TgaQrV>Lo`fWJ?q z8$K3v(Oh4yFiv80woLq`1Ok);Qvs`P%Bf!5+_+H4j_ik!A4T~bkV?fWo62+*Gv^7W z3Hq4~Z>;5~B;EFRj38&nf5i8^ zN3`8%urFXv2<^9)oD9?m7 zigPj?O5M1ZuC3c)ELcSQtM*@^mu_8~KVh(yj*WNowGU=?Ew;)hN0Hdi>_9VbW_pyx z^7b|f`SclZGXxDzTXc`$Lh3fes6w>S)GSjj2|`_cMFyGfv=lvM<-!;TYYwkXM4r82J;|u+moXuzvK@Ej#zrHLJ_%gv2o&;NR2VZv?C{00 ze1uB~s^fVE_!$VMoS^oE;Kb&RV`4?FGzzt&9)*!_lq$>$s^^L;^P4p!W)w}<_ThMl z%~w%6r}c^D{Y}q3QnMsS!vWBtT#VD*)P}XtZc^CmxjN8I=Hd|#FEA;F zM#;51v#8`&H0W}wnjx5E4?VZ1g;eZ@QExGV+gaX+Zk?{xnoYQ?P9+~0j$jE?!Bq~8 z<%&pxe@k07$RvRKdt+c`r=iONg3WgnQY?R9>4}kT(~N{Q~lp~LQmF8pqG0R?j>c-;R0utZ(G8`OSG&~Y`hq0cga`siJA z_}8RFV!9lx)r>XyAI0g-tgW)mwBKdT-ZrH+*SWia1p_M7isf`eB_}XeN>p6Di~KOn zGSN+LLv}=)T&o0^Fl)`9n(K3)Qj2%knze-W8uQ8M&2&wF(bH zkXc)llS=>&mM`Lt?j}U-tQvI($#vA~xld3%!Lm)))`TzDF)(CZHk#`;{{-kcfV zW$|FQMFmVMX1ef%=9)((DXGv3$quSGx=*SysaWU)oR|i-!kiaeij^2f@}gJJoD+L*_$1-#Qb46AxdvpJ73tp8Ou&YM}bX-g}GctTHl{y^dd$jurFuWXbCV8^xC9y z=?`R9{|>pkKk54f8fz?{j(PQG8;wy)8xKIvPU&hAx{mgJ{AgU84i7?dUM7By7?EFd zC>gtUeZQw|D4p*Y!9hm_H(Z;Sjq#+!`=r&;LC79D8MEN4Yfc-!?s}dU9{PXL!I?dK zjrtxhof|8p6N12hvJHC>7_O|WKORRemxmb^j@Jc{U4ao~88pjuG<&_Wy^h?+$CKY5E2c0jY`zN{LF78bG8&1f=&OAiao64ZV|~Gy&;dKu~%w zw^V^pML?7yMJXZlUP34dN&F7p&+}g2^}hd}IXgQu`#W=XXLn~J4m2^^O@tl+lyK)LxQ==hhFdfAQy*wi@MVo8FmxV zbk$K^IZ1c>HI~o7B~BCpoL4b7q)kNH(5IHAYPj6T@5AF04?OQz6thhgu6m|+mXPGN z*_;NS_I>;q(;rk~_Q4(M=~mY^c}J}M*>DeaP&DGlEbT*6yTH&ZvSCo9Cr-g^-@Irn zL?^w#J%XvDI>C3aWP3zx@l(MU7do0v9gdaJjK*Alyn{KTR zJ5-!oBL{xeNKXyfAK}eepi#cD+Le1Ux7@yNM^4{gGOY?!r})sUh3arES+P(SB*E~q zZ5s|RG%pW7l6P~!9WK<1Cme5i9h_`?M;#|+RPM1g53w$sob+rP^+j%Yt)A=kURzI> z)kNb+TqSD^{lWD8-Q)+~Te;CcWlozdy{oO9r^K0gXFKZ0419);EX(L}Y--r}%h2Ga z;o3vwEZsIUrHzY<+h@D^mzJ;F;~6e3A^kgLr-YRb@it|sU(;Ucm~8!R&CZuQ#q-Re8t>Zbh&ekGKXwO%H6 z9b*rg$aa9lEx&w>ji_ z`A+`~3^bakZDKZWqq6Z@b>TUgW#3MnDqV`pF`rSpMqSs5U~D)cu&jULCJ&J?b+MyS za(HL@V`*1;1vaPY@>PG;A}$>n?ANP$k1;L)T!!{?yUl4hzb-28DP`n3a10i|SGgsw2?yD}v6{bE4$7K+@-Q7mW;?g1$lqQ)Sa$ zM;(UC2_Ff-nai6z+Y@M}8mt@ct$#JQ_r2*WTTOyzF8&UbfxcAodOaPB|CLJ)5J7vSbY~gOY_iFi_$_M9bqK_ zy7p#xNHMNlFPZ*_FFTHPwuFOQwX+3EOf(t>l#_9lV^LSdvPUSOgFpX}G&Wl@qy0+U zlik)&fQ{OFrWLm38FrV^XCFU_?!SEapOVdE|0l{OAnT~<6z6kI{@kr-Q4o5-ONfTw zGx}AwPx)*;1#Zkl7VLXcKMUf;eBrzg_4;M#m?97>Wff5Lp);Q3q+@@Y)CaDqIHdZS z-#u+3Ii5sYN%;>+^1~k`p)th7ke4qpsX7JNs!F2fD@+G-zaIa?xa|1Ot0DoL3?qr} zBJdd?i!mojUJUMW$!RO5*5F*kA?PuwNJvj2#xm4#sBGo<_nOiEvD!X?C9i{LqD&#C zbY*}d2y8GoFH05wwF84Wv;6_Rt=eV<9;=3S0^6rEP$lt&1&P+cTcF{mr{ZuS}S8Wz~)+u{b=Q%N{h7+b3 z$5>DeGDMW-_8RWj&H1uy%jipWQH=OsnC%#JuRn5CHh&|rX_1W=6AgZX9DBaEuX2V@ zRjE6&I5%)r+DjWQ<5xsmA)bb64PIWDxJLZv>^#U0DdVLF;bA@QxQ@uo6?i%<*dy2z zTU1p)$0XqE12LB*AsC&$7M8KyVb;riP(;oCxSSOYy-Vj(5KqH*lzI00Lkh!-*B=~7 ztgb!jmdDiFHGiXV$wAjd*oYq_c3A#%X|pZ19la#8;Mu0cGBKv4q3-7O4KAY``g@i{ z!V0(iFai5Wl*fMdem(omt&cpHP-r#2xU}rH=SJ3!=%Tk_h2}2J<B^)r`lQoVwCjqa|^hIh!7<1{`JA&orLoVC zcpW|-XHmm?l*3-H9+I6{ywRDNIwbJ`C=*zHfO)GbWA)DmT>=XM zsk3uehZv$N{K7ARNdBkUXQ1*heeotOKuC@}B14t}Z*xs{MbgXs+bJ)A&0 z!%{X3(ZvnFdP7R{ zf7pp;tJXP8@|z0$%4nbbqDIp+=PQK*<|nw%gy}xvO~3fqH~4q?MV-v4<-vk|=RqF( zc{97Q5%?^E<4&OT?YK|7`wfYoLmmei6x!!1Xu}!~Q&W?|Km8cx2xn+6ey1C$4%2>x z$^0o-fn&XrP&^sEUR#tvP`nJQTpS90kUF2dl&hdaU)8jQb>w-etYaz|jnma)4Vg(1 z`Q0JK2IZE+=KxYMr&YXO@I1CSQiC?T((Ps2&6E(|5*zSwY4vr)Ktv-;Oo!OWD=Wfn zf@3?r_F@0y^y~7G8K(e@T4zG8aLY^ZvqpoMkYlYltvz9bK1i(y5_e}7vvha-n=DAY z7wvMKS+FV|6uIiARsg{09(Jr|l!+6NyfQHbr)%0r-ad^i>6v+Pfi7JFD|AYLHGR}9 zBSY2BB}k?E0;D6|X-L2cKP9S&AT-#(jnTiN6H*)L032-@{CrxJbLiUr>~wG9Na6k5>BL|n%hRVlc`v#PiSYt zNAdK(;#H|v4P3(fA}z+d-UX$E+_*UsU|-5z=2sPy^kqecoZ5>#JhEd5(->LnKERO= zgaAKD-O4F*{`5^{ddjh1NdKUxL4(WXs=1hWq{pSUbgS4I>fl)q^}Z;Y5(y=bfCup) z&ZH;PRX^AvC6_hyE9%-~f%I;7WojNZWX##vOTYAu&2FR{QmL_0{=y%h^CaV)@7u0= zxwov}8z-|q_H`PTnV7)dY251j_jJXPVPrIHu9;WE1g@K`$gux`pv%cvX5K^?Ug3JR=$+F&8TCf>6lTTVlI8tq~t}i(g#JM zV}4pS57N6j??+s3rOBD~wnW?&E^+0KeoDocr`i7E$rBCM;+qziqAD_+%d`2dx2`?h zaJWV`E+L_>@%*yA%xl5i-v_~GzzLcWcdpVQ?vkkY?@2+)hFtG%6=nW-N?&RgoF?G* zxp_*~g1y9DBg?Xaih=UL;GYL&Wj`Dk`%EMiZ)m=0qvqd<-@M6X=4`LX(>Is19C0Pv z>Ls^F3PO~}aT=U0P&p*5k^G8hCum_ElXuvwPhG^9E)-}m#K+&>R`u=kDAmJiws*-z zn4FuAGOyL6u-VI4tWt|~WXz#WKGhZXzMfh$y>~Mud&}z&iS#N>bBy0@^1;p4 z&BIwTjOkFu5_|H=TDm0-)m7<(c*>_dVu49T{NvJe6)QDEWvsd%&BD5M3z}_<-a>I* zDCk4Ory2c0Yj{&(zuT1M_g+)y$vnyKZixF-^K>SugKC`mdWFd7BRyIm2H6CCD3(8{ zc8`muLDM)YhDs(`OT@~Z(tvkLx3Z=4rfu6V_t*G|-M+cup|iFcDqBz-aQOK>eW78z zf`1bIs`>1LjN_XwxcC8W-|CmTTse70A2NZU5v8hy#?@Zcj08T8t53Nh7RKZ1quVd; z?3U%Q=AkA2o=) zO?iD{KP0c(3tV_;wj{G)8B(%*wEy@4-Eu>=rR>*t3b!LsPpx(QX-=p;?S;ul5>A^9 zCOE9(u}{Etr@H-YK!$=V4k->;#NfMcF$QIFzcwEIG!ENokeq&gm9$>=!?BsGo_Fm= ze%g)0cfaPQ1#E{ON3xd(C^a7E7wYg7_%Nx;(@)L#ojDlo3NA50_4laxq$EXdI%x6f z`%ki(6X!*c&M&5@7QXLYeRSZi45C$P0Ft{tP`~|!{yxhji!?Ha|-V)Z` zM|*eu%e?&zL1F*kDESMkt4k408NA&? zxf_RL<=3>|CJJinGtKYbOe&Hx{%OXVe(4O=KLJvQ{qoTF{Yq6|wR+Rhs6s_Ue8{Sb zGdRrMSVW@nhue;z|A2yLX~}bJkx0VSwh`ZI1}r&HLklaU6RLk{X=!BZnUIT5vvNy) zpYXsqNTbtE&d$jeyDuhwxxR}D z&nbU0Hw3`He!n6s`}0hEMzm=W*l(=)mj)aKZ6s1f~OTZraKT>7Ujq;dFOFANsX zy43>Ho{&u|`mkEfNc}+L5LoM6;R5kV4707$z_cco3>$AB18=hRo#*7CR#-Eg~yh#&0mAqs|IvQE9l zA_(`!dj*#weBlTECMUX{mE`G;jzd!HBh}&Xl}65ik$`62%_}${MoWP-%sII1>Tjp&fKy}&PweZ zOvtgzFESJyErt%7D;t_tdIi0Sb9Oo3J#X&KM#o7E0vfksBM$;)Rk*ueP*m?h+$sEr zw4)U(Y(DzvfkY6jshIG}j<5S}b&6Q^+qMzNF-hG5Jy8Q0qXkbDhF+B4T10}l5ZRE8SASSeQE4ngpi zRk{B7Nry!BD!?I6v~WUNF*euG32&}#d(H-jbkudD-_n}5;)DRUzpF&XVag_WD@)G4q)_IDz9m z$aGR&L3<)z^OUWmICd5hydUB_FGY^Vl_aLR%BG(ZRd1A;_Y;)9^wGPj9i1imPs)X4 z+s@VMp}`;B-#ZRiefdN3{Px~^*Qb?*Dq zprZSYV6%?u77B+L3f*W|xgq#QzXI!h8`CLxU$(#?6;CX^Quq8}<-<*bC^#LWN`x^Rlo7_1mJTW+=-cnSh zuQDX*%_(POi&r@DCUR#MWEPUuNtZcuJ~Xh5crA8+H1gs6j2tHL@oLE--9Jq!H=mk{!dMypFxg=%-& z>Y9hiQ1vh97EiXMKGaM3E!{TTy{gqrIwl^(B2C&zS_`#TKS%V&hl0~DOHeDypFA}U z$tsk^*0`YeA{I8Lx!lT@AmgSxqjJ*_)%)&Lhvr^hJ+G>LJs=adP525A8Tu#GL@j+; z(8Hp+ngXLx8ov#*#Wpx!t{+DQc+8p8VXb{OL;P~6DslF7aSZ)x>3|ucx^bZ3qK*D6 zY;YAfB zMyPIbD7dKM<2PhBTu3KO=ifvFNZ_ci!#96{%#BG7@=%Vaqd7q=Hs=CMCinu`SKFsN#CQWX(-ib97?P!j zC_5Pdp~I33jIp@UTB_nj?$Yf!s5yfDI=F#G3e%Uhl{bnW zY?FOmkM+*{f*f&$G(g+|oWmA_YKRG=i>7Jq9mD-yN)+D+GcGU#276LV0_#UV0Tk9Z zv$*>$p$ZP;f)6p1-BQ8U5aY+zI`xDbu#oEf`b1bJlFnbZ6^Prn!E_B|K~GNFn}*N7 zz>aW|1MCxeQxxx%S9Uo-Ob7V3;WokN^Ir<5kL^lJW5yW~YA+AYs{W90y0%!L-Qbu3 zyp#>3wXFw*eW?7(f@)as;_=kE=zanyjn1#z8{-}}Lkuyk%55A@`a>e2$XQaDke^2P zydVfz_>9Uj?duI|x2<5G4;1`_?L5G|`aVkjV=R`;VXKKk2)%7HjDS`JEjplMMy@*; zFQcDF)5p%~S~~XPej)nf|BzVofbLT*CSe~ub_3vwUI&x>RzNVGI7F{fH-@z-=op|5 ztzCxSwn9WMaI|ECIh13DtKB~Uer6S91Sm8(S2ZZ4+4eI|`teSuMP{}WQrUmcEee*z zVXe{o*8*$Op_$fe;BgNWI_y2w9u}hZn&58jI1C>Qk;!E`It(qi4_7NYFl#5zB>Tj< z?)}DU;=5Y`u(tjg0EVtyF-J^g^e(|+44dm7RItP`T&1<{rQzSmWSfc3+d-b+Md}s9}N$X|HuNgs_eSukL^J&0V!yN4*51Sy{y>D)V6A`tge}tEIi`f zC7iwZA)JO#+W>uR|F|gFNU87$Yk7SBheVb2Xtq@tmN*Jh36dmrBotWq?)d}H)wDh5 zB@VmQWiCZeJ_V6F9%3RGD74<6+e`XP0O5+eqc;>PX523i-!d5`-EWm7Ae-5tx~-#E z%0s#zhce-$D1>CQ$cac~G#<035z?!?Ra?AzVm*Jyx?^z8fB%imM52t6|2J`kic>by z%xmpe!SLl885y_3=9HHkS3$t7^{!SIvXVT%-{%-WnuM8`o6(=u34Mu*2B616>5DY& z^lQvO!B+5l(BR!sV_2C@ZI`siTo0nO78(6M+v9d3ckie;tjt=}L&CC|SZWiwpFo!r zoVX_((hJzdv+I@@z`#9fo!(y?k(uJLApPhF_80qBuXDJqulMqyuu1W!+2a^pxF0U;;lGtoEiIZykT z!0-u(*!o;$d1JIUpx|46yC!5rZ7Z^(omSE9gHD@U42725{zmdf5X4x>e-YnKTcF$F z15~^}c0kDeWW{kG2?3%XSu+%*7R!L@h;Je5nRx`pXrSN6;AWI2gDc+ztl9GMUV53o zr93^r8J)|mloLqlzth~?>02hL$Ihs=6`4P1ep8YGIEga8do)0=iHP+dG43q0(CA5f zLxSc+p0lYP0YyClKVXRa5X?(8_SM}scKQ6;1T8zyVcT*We+=$!P&1{0#1duq8Mv2C zp8v4TMtmIiArJ`Dy_~TaOoZ>K)OGp>jMm^M)Cmj-NQ$_i@Liom9Wq9a3?Jv#Bb~b# zr5QHR;~|RVTlKtKn9eKm0{Z(K%rK|oVDuil`zVfQYDw16uY$zCd$wnLyIgpP2BfD)Pa3OO)ufj#f zi#lI?4EG@@;ra*26ere#9{c+Pa#T2E0L56dnw%@^_yrBCX*ai?pM!W;8(k75D0l>v8z zv2QosCdHv8wjqC#`Z*nQA(wFGnB8!qOt_XeB>0Eq# z=}=BmJ&dR-Mt?%aXtc@OKbL>x2CiUELdE*GGJJ8Rf5MW4iPxy-3qk5_MIHp$r?>U8 z6Zs*2Yzt|@05Wa?qta;+QH~1~jf2|*k7djYtJn`Lu{BA!yCJo-6K+`XS(is4evdSU?bb7Fnl^jo?Fd313zdmE2fX?u;ILD;-@Mzmv*}#w)wYmOqVNW7Zsb&V9<-U1<7~)f zgA}p=iaLnS*&>E7D-6O5c_f7Y^<{WP$GVwq+;&D5Z)_>hIv3N@5KZmWy z|IaoMSuAMyL%G7JI(%7IKt_jPbu|I^X(8mDW=d8Hh3KKOtW=to;c4BB%{p`uUw?S2 zHOXWf`MKyTwZ62Wd?&kTe#uUW(N}9)uoA`QN&lNZ={l9xGA(9Q#WQ8M+UYAseR7hC zOt)+Wta4jubiSWk0Fg%rtDf_8%98el52>|Q``%E*<$rD~#-qf?ZAA47LNkE-7`j5Y z;%INri_{0}Jq@NN^+dK{QOBZrX7!UIhSrisTh01GZg?BA@qw5a_Q82WOyD&Ntq`26 z!VZfkna=oDW|!@*13&hQPSEO$gGtT)#1U;4_w6VOgUY%3=B$x99!SFe_S)cXv z2&XD@pYscON>G2@zLW5n$AoplhZ}s)$=plf>4Z8|O#I&GNzG}$h0{~S55(-z_M48P z_R?5=gy|?{=W_X(FJWd|^nv5|>VR!#W+ZZl5Rg+lzMW@&oT1`=y6qB27(m5 zWYk~v@2CZ~!R}YEJ+u_EZo6bw-q^W6O_cMmC42n6sgi0aXT@wLK-jNb^(bmAu4s{% zJ8mUJpPh@y9vT#{`d3)CrR+)C5SQ(9d`1AzAl^e zSwS`!V9**$^ns2EpmIZWPzeV*p9WB^6GVu`MYIj`qyZ zm^NYKT*UATMaHVPuNs}4Sa6*aogkd)8#%dDRq}S`7udT>W;y*KbiL&dZr7s)jys~|88$4u9 zHdJ_ro9#|~*x3FQ5m>o|4GhufO>xViEV}(>qc$8KMZIIae}`VkkHSmHJ)b^Alpy;C zq+UuRWo_Kb&Q6W3SG+FyXegE*32eA#^~ouEzRvsE{CXqsU1CSQ?n&#kSTSj6VF*w_ z$l>i}tNWI1-`NYkv08o_ZQav$)+NAu_v8F9{Xt4(Lk?x(W!8U4V($A;5)*H}8Vf0I zo(BRNlirx2b;FybXcyr}U>ypJ*&!_(U^QG^nO|ZW6eeLIy5i&MnxsT|60i27iT$Y2 z6O;H3sxKwvT=nst7j^HgX04CAIFV?bC53w3E!OVP+=d*xRaG^8abcum{k2W=_04>7 z)FTh;q}4RcxuEE);GHn&sa@#>f1{3E+Kll}3#l-2a`GnT0BBx%4b} zNzVz+xb}Gx+*rm}$3-JKYb~kZC_Z8H@lntDqUll|kllTuHsvfZB2<^5Uv4jC$jbc8 zxy!Fr%ClyV_gfKPt*9~B9ykY`Ae%3im%?26aKO4la^OLW=aG((%_WOt#F^;86VJJt zsM>5U<9eyrt5S{LE0xCbusL-WQ)TW@HRIZ8T0y?sjy{EstkyFTpUQ?!W(4XtC$1$K zM%HmXx${0Nkx!F(v*L-A)l(fItEy%hG`&^5yi85$+(+?ZFrPDL#fHDaJZ$eQRqiDE zjs8ug-OKz6@PR{D-w{u?8;X@Xb)2S~Kv3PFhIz4w#`@Z1MM6%ptH+a((pY~JgkgcY z3@eZe^SO*-NY*d^dz;uR`d6zv>c-UbGR3MnOJ;YEAd9`^(&XO!=X4}n3O|0ma?y(T zl6z?f+?TP!Km{7V17t;9?sIdBxgIis7K*v|%tqgqZu-tX-j5}_pw7(7`>q-HBlqAF z;1W|7Q&^^@@QO`!krfcZ|1vM)u$d%2t;M5sW_TmMN!|^)`eth)*tUDk^pUk-x1Vgt zms{C~h-9mwPVHBk=esd%M=q}1f^l=RDA;E>$dB9aWqzw9Kh}6kXm(*15QF`Y*%%jP z7?}zl*FYfArvuI+Ewdx{?uN+nyUHvq%!VASHBL=i)Fd%SWSH0ohltW@3V&0~O8-{r z=<~8Me!m`6F(VGCct*-^7F3(MohR+J zK^K0$byBVbLlz77u-*M&B!~@PSg;jP+9YYQ^WYzG4y}YnN~pt0$YGK=o5cN8-y^P% zvd%MhAG0m*25cGpeCs2g*2BDz6hw;yQuw&DPs+Zs9Qw5S)^$Fn*}Wyd!h^A2q|up5 zP*9dI>)ZXIR_0Q6asb&HE4YcvQ6_$-B%>Bys1B{aJrBEw^6qXs2{DkE*e>1J^1`5~M9d=h=o znhN^Rq6R1g4C+4^Fe+RApmmP`O#PICmS8o7ZyA)HGS_`f{@oo!2*V@7-#DWdCO+)z z-dJ@V%ox6o3nL*Isv{dar8p~tBOce>_GsrM4@#nF9nj_YFv3+{v&{j=(m5LcafY*LRn$Qyr}EO5{QE z7Unk)c6NgJZw#o&B)U4vZ)cmVr?1=>g6ji${|2@Pf}%fNQf!Zyt3=~!bk~-5yMEbx zxLmqwbvx*(BZVeTB?j2@;NaNuz0+k$YeQEgsl~BqacoObzlY-r;1lZ^$P3PY(B4;~q zzm#IXy68-J8DG`)YEPvNWmItfdIF}^Y8TkA%VkSmdql|6?rHtftMWCy-bhi9%!rdT z*j?zvj-aE@^=Z4?Td+n$f5hz~zx?fi)DP)%bsbkNMe7@P;m{~9N8WbUYiyZfwOLLJ z1(7xevS#LIF`q1HyRB%_8x1mt)s8dOcUvF>O;nD|vX35fZ3UXVrU#eLEhg*iPLHXj zyUM}UWaE6#)eyb(G=Vc%;S8m7QzuG&Fsyeqnq(~}e5LKTVf$JP3TiSCN=RVYnfQR_ zTT25=EsJ5873MvtKIm^;AEqAic580gjc!ZLuUD5~t}+nm%=^)`Ph`^+sl9}5x!vh= zgViz=0h_Vym2={IW>YRPwFm9^Y!@uMcjx_0z?oeyhL)V11=~s(lxeFD_E$DD37AlS zxkXflF1y|qNt|K2A@r2*_uEZhe!uPMz20)WEiw*=cMrSSjn=w7 zt1Z^@(t_GR4q=`wLG_|5rL@%AJ-)6Y@`6J8j`zAubGDQo`pOFj`}Wrrn?~GMW^OQ) zSK1;cQP?3pbK8w-On)x+{&-BCslQ6KR5lsu0mXUbDSi0mU1|Q+eEN6a4Aky)$z-DZ z*r=a7N|hoMA^>D0^MzG-eq{Db6iTVObX%6x+n;$rg}-oPXt9WH`->)&%R(O_fclct z!p^M2GXGNg4o32HH*#m+L!0I)_u8O!`n~$t zw<4o8k27W`eI}>}eJ}GXcI?p+gm!VTZ%lf02jgN3zIkaXuT9&=HP6G;8}}p$HeFro zR3@(Srefr{?#LL5`VF`rrTgtdU#eE68Jt{3$Cu@T?NbZ9S#(*&@?Pl`@{;$C-Hwff z{Rk1?@1w~tsn?3y;@qJ#G#Wd;SvhIonTSYOC86N0-}{^8%+#%y25o~cG2pA9Q3z`N z9NO`(%iqi|@qSkWbzEIc&hqpfSLQ`uTvg`{F?)-RSB}|T&@v0xXc_$?fTGOeWJ!Kk^xx79OtDeD+E*E~dN$f*aZ%pSIrs2+lVm>bM zyA+Zb24623K)+~ZS-`*Tkx6(M5$9NrkFD8c*5O=GxF7#El&yaFKlx=R{&z4YqvHv` z39vEuGvR8TJf$oHEFiY}?vvu0>ui*FHWcfr3%F9}k?6s879ykG$p`P>TNka=xC1;`iNd7_oXx>9qA&}x zBo5S#yw1`Vz6pAWnae^=-IVr~M~pA$7Y2ypdGdFaQ2lZQ&NT#M3SK5pYzw{%?~Q=z zEdDrn2XCYbHX_y^nayRQre{`xgUdi=`)Fzmlmf2`w6g{tRE13UptYhI<{W=60tGLC z%ZV~DUEBY!txa@_rPM52TmCs94$viJNsv&c^*jGK7Ne_mfbnaxA!*jrAe`A;khBRE zf0>#4ixPjqP!KtnuC#t;W^RJEAYq%gZ_Z- z_7|%As&j&0FP97etrBbp4oU*KccHlcp!d2S=hUH>sNuyB1SLMV2ZYi_YyCzZ#~#2m z4t63^GrKgl>j4mAr6WK+*(bJ#DnjR3|Oji}E2{q7F7A;>AO%$w>*rnY$5I ziTk|+gHgd<&uoL5w)69>T4QVfe2oK|coP zUKh=m*&%1H;YeAOSH9E0ul|=9s5Y1Ydh`1Jy_>T~2$&R1+cpsxSx`UNwfs01?XKam z&H9eA^OSggojx^75zhzoxZa2W1~)hN>ouiVmMR%{B+{-F;Q5E-f@!X3I>opEsukS3 z=l;?jf!p7&M~+p24ta1yfoNuZ!o3CsZ4W%eBuexI0X?4a-&kWjOAUoH{cwR_2R?D5 z=r_%y_RS-INIC}~Y9jf4IooG7=N4z_b-C}q8u(njk2$wOboRnkJ>dV6-1MqKZ^n5^ zMsu7NB*HpNiRY9d+1-gKz}QB*LhD`~sVVL9Ed2K!NPeGyX9<363c7aWkr&c*P8(eu z?of-icT=gO!c&`!u_K zR=k@om9KIFjAAbV1#GC~pYMp{bAYc85Y|+_8n1bhlNw0=X#A(>wIk?${g1IK-a{Vj z=R@6-p0Q&AKaI`=a6P8QMFYE(XJpk-OU_G>y8jAMb=$uVyS6C!dxkYx)OFXCj z4+b#Mi=php30i9&;CwzNp1&ZT)A$d%GBy?=H;}O&Uw(qraWHDulsN^$%hYoxNN1nJ zO2%a;6~q{V2??z{PApw)SL1B)VI^FVO?Y1p>Ffp-n&~Ymk04{A0AdHmLNx|CIMU|E zcu&x9ctT=ofFfQn@bjV8taB(ddJzHtsuf+sx}mO4Lj5VK%V%rGfv9b}75wvEh!wtJ z`wVx#B@;-5jF z@DfaO5r8@NGuCk|5h%5R!87;av%d1fI&OoG0WG5GQ_e*3_X7cXZ+sanv-iN-Wl({` z$n$YHAtWE^fGA{<5BjjSznXHgm2ZJM1K*Nn>P=yNcY~K8mybjtFAU@`f*j`FZKMMd zU3a^84}OcvV)*ou^fs(L8tOJ%asfk%gL;5PrhG#wD0=ZzesYL3ulWtg_6iWLqxm~} zj2!O7r~b1Q7k2D0O_7tUEHdCmOaKZnZ+vGw%R2H$4+j6MU-=3zttes?$m+g%(ViW| zQx|?_2D~s_$gUp>Ry+e=MbPJpT0(iOR9@2-<;l48X3y(Hn$8$T~d#?JEd_C zBZxe5^Y{k;?@#`Avd$az{`%WF$NKR7H7x>B2AP_rI%jlY3UN%N5vGG;5y5>h)?*aL zD)jc%1Ulsp$*{<2$ornp=Kq@f7}i{XXRd}s?cFGn)NXqy(R}pxoi)srTWtUz;8SZW zojQY22f-eBj2K1)UA_aq(8dWX9|`FJzV8fOY)|c!g7SeS3RM{q`G;iQHTd{=2!0yk zjq#_(YhS?PM=n3XVFf~dM!YShfm^NrL*kQ3d>Tbeu!F=T0w(v8z6hB@CycW;6u?1>|wtE*M>l^2@1EQ9s~a1CvjU6{}1(lL_E}5zm2dM zg;7sIXhV1z8dkqT)_);Zvz)M!fRDS-(u$qi_%AY~@ee%Gb;4JN+$PZfz=toUP<>7D^{an{c@Cz{6`~yfB*8Ao`wqFAwXs1Xf+GVEW+OF;TL?ce9{_TO zQ8rt)`u`zO$vMX><0Sv0#c|z`a(HUFbk*@M(0N4)FMz(3`Q7*L zz-MAj&XiV&!WQol=>P{#wD(nbWTGUD?>`)Z;pvG$q0hCj6Jii_{~&Sj8{fYYNI$ud zz}jZj3UvpHp#`ooO#sH|MZcf~+Rw@YI`IG1Vdm^P%1{Wy35Ci4?GdWLPYN(66Eb&; z098B_@N@+WXgJ4Hqz0)33pg71?U8T zE|4!ZxeSn3I&TK(C|-IK7DP0+*+2LJbpDh0cE4YCU8X&hVzC}CF($bFBPKO_M;=j}-3#e~JCd~3fS{I6EvLX=iSPBrTv5`RD|Z;T7| zF{JRqBr<PagzV?F2OIGCIaZ#$36+rD;?wLEj@mWM4}R~ zqLF@87m|T^F|7aF(tq^`-QFmsKiVeO|l4KED!SmO- zGIkU6HumvGdg{iqIQniMwP+YSXo_o==mWH8!16|b9uwz*ua)QAR~O)5lM>*M!ny@K zpZ!;USPV*`obVa=60A;exqxNc6Qk+aAydx*`Ng#eCx1CSy5JDI|D8z+=pm#uYL7j! z=mAg#?|U|&g4&>^fR7Vm8*%YvlvrR> zjzUK3hhzLDcAlpto|q+K)Toa2c9Fn*;#dkt9^Lzco)LaeZx0O*jex16=*J+<@TJV= zpUWG}J!ihCpPQG%ga42~z^60YFbz9a+X;X(A5zMANe=j}z=yC&d2hY1O?~-j1Qb1W zh+auxATYx&WMBVR_CR?0Y&7D#Bj^Z^K-z_`_|b$i!<8Vw4}QxNLw(pT<8gEx1+;|> z6HcUHYPR32{V|+?Z7ff=0L{8l6VYnNF)~Oj76MG^ls({2kfVvjr(kIL0N|Z?4z_!b zLFRmV_&+3ofcqPMj9Z^^*DfsA{PRLU1aogGeW`je&^z$s&HvCM@AWTz{X#(qIXXnz zCOhDK*=+@bi@e24_(OpdYa=_O91udG7x_Z#cmITvo3n$^T{6G zR88HOHStcTT9g7iGsc+p{HMnG0phRJ%@;20p;Y3X4#d!8?-bD;p8x(22{6xxP<11l zy=45 z+@Jd>Ue{VN2pk0iS;rXwGzC^1YXqz8$(|K<2xx@>^@8a&j#Ipk^6GlgU`jtYhBFi9 zT|WF(C>BbKB^SBF)yJS#@mwArUq1%WCH!}XY@{zV3>N#=A(q8int;2fqSD&h5J&x< zAo{6L-QcC|SOMwWW&QzK(bTquzQIb7i*o1xJL$qf9E$55-QATaqrOQ)6TNjR$2(2g zg2E)M)F4;;`g|^rnYM(ACH!|Wy93@frg*{N&9@)#cv-$USWiJ184+gjEL6z5bu~YK z5+exx;P1ZDfnZY9Kb))!C8vlZB%$UW;S#V0iidoFcmh7m3;mR6(w^uYl=HrHWDclE zf`WxD9urb=jHlPJ-%G*VYatI-o|zv*<`L(X{upYiFQpjXJ?^7CU2829J?d;*dZLw+r}5KoBRvx%tC`0r}L@ z^q8#yJRZ{C7rvr<18M}gi1Q~ua&3kOUGlF6-rAJ+;OOy$epcm%ew};Z3it=;c}z6h zQSV?NBw{XdCuD03igElyl8&NJZm~Q5OGZjTGE>grRJShYWV^sWm;E7G2w2~6XN}jJ zB`)oM*IQjZuROw+-y-QKsbL0=Gg@`pq|VY2=z9;#&*6j$8!1F)=3XrX{c%MSk#7Ts z%fnX#P*~O|S;U2K#z-g}%)KGU{mk|nCYAs!d8dh{(e%k@c7VfkhooC!yYcM^U)zK9 zJLf5D9?Z?gM)&OLqmW@u9 zvBsUAa8jW8u^iVkbs@~#-t)G6!g@3@8bxf>o26ehf_eO5+hpmmIPxT)F4W?%Q zQSoS!VH@Ch@M-;#9nvwO`>_G2Uh`u;f-{wmwog@efP(e__FK2bCi+K!!fBuCv7e!a ze-(&3Pd5TB4&DUBYgz%L+^Ft`t>|KPCu4~M6y z-;ZKAAX6&aI4PED6RU&6aNVMSHkQ-AS^=<3vvq(XFUflj(8Y!3o!ta2bvjAp>R?pt z3#et`l`f*C62u)&3>eThaAQf^v83t7zdX17+*G zRrv>}uR#2>8Pt_k59Gp!Q_sd1v8im`UVzKUh2*+5J`bo_*?ZGk|5EXl z@oI)!K&v#%KUwAo;~G%Sy5HVe9Zq39nR6pD0O#>zdizNm4qkoKy#+}W0a{BdhLBGJ zh@%sVZF2#4na^yISAwuyuTnq_0GbW?ZGhLUcJR8rzX%aMPiO1pQNW<J4ru?4uKsPO z!_fP*E}(TI1&j#6c=o%|R+~OIwj$&H8Px;|gnZ(sL{U!$d|Hhd@T)61>RctHJDG6j z9c1))OQ*YJJtHt|=zj0%2kgJ9&V)>U8v&RfL}I}*aoPwi58LGBlf*3{<;E-nFQmh} zx+)i3|BSvYS?vXF?$&nhj1R|x0HD9m_-Fi9tvO5^TNIQqg4UByVt=<#d2dAVp4|WI zdw=^@bP?0kCPLVD`|Y#)DCzNBTxgxY);2X@g@ID_RTa#^+3zuh@C5R&g{u8_f}|E} zX}?-&rW<;b!LI10D3Mb4}h+|b_+wW1xe`_S*Zez#UwIU;KR3b5w`Fm(r$<^V<}@!UG~pSwPGQd|A-lZb z=wEdc0zL@-ySE~L>f)D)cSyi1+0@@DB`m}?ktz|iLprb}yjpSDs`ZhaN=(Kn_uSVd z07B87mFE_`em8a9yT187v-PngZ2v)g@wx`4{K6D!K10zpP&UaAz*jSrTtD^0*+1!i z1JVG}@hj=yxV47$i@0{~L7j;!f9L`^RQ0gl84Y#~EI@Bb-zW6T%AE_8ANkJ3vUWM? z4^DYP9UPYUY7g&;PX9ZLTDq$PxRb* zxzTre_8UG}AENts?+0`gVh&$GwQu(8I(Wo@5!OzpfXNfM&jU$u@mojmTnnb3)HGnM z(tizTR&Hz@5b^2w@MP}WC2VTJi6{x&JCfU#TDizi)0jp+W}n(!DVs6zt|LBxXp zOoZ;O47>{i-sH!?%q#*fZ(8In3Z-0*`_OIfHeg!D%Q@ZixYgFdEw&eyxE7X>LF(ig z1C_LaS5vwx4pn+<6%6|rM|?)lQ-m+7;SRnC0OP?%9)!RW<~(wIcyQk1V}1Z1`lDg| z_2oNOdSWE0;T$K(cXYqKOH!cGzfgoZ=1gC@UsCyYy?3T|03IzHnC(CH&!eS5-2t1( zkYD{CtY?r*J z^|zY)tpJ>3B7jlj;w=S5IYE+o_?A<(_j^AG|7P2Pd=e@?UAo8A06nbpQ%JegFmFT3 z!&&l$wGNP<8z>M$!o0JT^Ly_zo4^r<1AgVg3tCz|d#V9~b$RP%TMR(OW1f@wJIm*0 zp1<^-$k(t0PZtSAPt9LAWv%ONt^x_kIF&g*+D~k(_fc&K`hUD-hu<6ILWQo{IQU}s z%ASn?-qrjTdq%(gDLZ$v8%UDd=WueV*u~Sri#F{Yj{OOwUtI#={jp9<75n_U>b6Sq zhHFY|AF+g$w@NYcMK|jbx8)N~AaN0bP*S zC(S9n@IgXW2DyC}!?_M$E@@fQqRp)Cz}q-XlpB79CdsCTXdCvDMYD7)@r zj+YGwGW|pseZ-^i!>z9Jy(0aw1@EDqJ30js8J6IcCLEtG9>b4O`-*1%E3sS^V<;{qJxsEq@>g^_u$SP4rmys(x;VNfiY`ph90qE+UAyf9Th~qu;6S23kcT#pRG&cQvp$rg>k?n6L zetrfq4;Kk#7ekl76p4Q*?Ee&(P-X(;;`n!(nUINz_1`7L0TTaZ!>kYB^RK%MDk^_@ z{*wcc{#){2La3-P6LK*Bulp6mc#TisgJzN;1 zYz@s#MRfmC988^DEdS9$R)mp|^WQ>f$QxOi8vm6;+?El*>R*CN0n%Lm$^l@jWdBz$ zLPiE<7bjO^mw(ldGa(y;ij$@7e_M?zo(`rA3V_G+^Z&0G{a5CHzi4qw8y8b225}nz zXHipQdlOTJ@1}OGqF{3?Fd*JbH;Y9_Z` zn@%^78#9`f4zehwMK(!Mjr5Au)<2YT)wX^Pct4^#m5h4g>j02 z`J#`hj8%LXoU0EWUN~CYR`#O{=FcTh)e8}B4l)x~opF%O$7P<|lJO2aFm93<{vA2~{^r;waE9{C zcNL9e0AehDw=H10EPU?J)LQS`LRbyI!_p0v6PLRM<||r}d?jhO#2)jg%ouCffR^om ztLddk43UnA1Jtpc(DPz0>^Gva+VckFwkX)r2CJ54aR}5Z%s~(Xt~$Pr3l> z(xoyFTIqU^5WB_~UT?u$41$N9L*cwWe+q)CNs*X4n;{rYQfzwyVfrZlbCXIx*I$gl zIOMppMnwWPaH7xLh%fx{irh^xOz=3iSEU=%8?Gj~{6e?j&+pF78j-lZZNz2c3Pgv* z^fM}`QtT*x`Wyp7Qvh&vnu#nkvI2V@3~AJ zBLzOxC-G^!HuX9wf{MlKr-`;wFFZnZ@!VAq2}L(PY~TQVaJKpJ*fU*ZVOD$H9^dh| zB1&^$x8K}|-t43O8>P0voXR0|?cZk$#?>7&Oe}%*VP!PD1L+RH_BJSapVv865;js1 z6zK_@i2?3K2H6vyVi!Rl3egXc3AGVaCxa6%u%sBDhX>o2=@~%;KwOl z%eI11nZs_6b;kL-LBoou+9?L0z(flM7$)w|~R6yUim#tfS|*Doh2F zhvhcg%E{rfZVN7D1!hYL^`7P&RJ8rlOfgOE7ABCFsNFZM*=IrHsKg2<*BX_hpceT8h2LlFsI{za(BjYyfEfmkybrl0vbH8(o)-00G#bAJLk9h{K}bzE_<&c>W0b13 z3~gzPb}GZ96Je52N<#BKz_VzZ1ol|W>kxU7gyo#qUEoFv-Tc8p13!zp5v9eFN!%+f_8Qohs4~=w z0UcGNFCm8E-Gv)X~El4xmrtna&Si-K%YS9`ich8p0 zaw@@gOIwvy6}EvZ-}cjTzFI+nMo-H)wsqA{+|Hb_TYHjb27jwnPN-iMfgyS{o-?oz zQe-uVSj~zuQdym988-2=z+yS#6F|3)E)mJQkHvsK7js-x8`GO8L)G#?f=kI!+7TEf zxof1U9|(Q7WhYgL2SzQK5{%J=faUF)lGFH(Pg6zpfw5WTd?18z20G~hh&EEQ(Gm}O{2Msf%|BT|)J6Tc{r;pT-uT^&>+*aNtjqTuD0!~w8-3WyB zmRlzL6%pwgNu8A|WWVcm6@;t|Ug9^C3h5PmS^*C2YTcx(aOBrwP7f`p1L=T$i(U-( zleti8rmAfMK3%GG_z&?L6o&nsO+5i^sJpjtp4&28QTKz-@b|*fqA?_kUafL!15gy0 zdk4t=r3SJSuXU3T7fi0`3_t~U}>#&U>W!=Oj72Bi*$!-RE0h#F`*1jm;jIK|T68aHQtF^M$_@0y;u zf=>UjC=&>z5@CAlF3r~Thp+SD3Zul;tDL;6o@&xM%lFWtIGwZK!#<70DJ*%g>j%F) zX;VrfXXM5V@|gwQwcLhv-@5@FGVYdA$we)mya5hy2`N#jG0(P9xT)wW$*Ygn$l6x>YuO;5ZO{b9ThLRXrD#Z+~g^atKhE5zZa zFl)mPku69oN>>NUCBEUA5tB|bi7@z!H+Yx6X;?n~m!rF|)CFj7C=Ox&Gkv(wI_#Ya zgHOU>qVGWW;7)`v90T-kY}h`WtS!YNydE^018#~blb#_CKAwWHKrpt@;cf-D`3jV@ z^r0@~`mL0Z!BoE;Y__lcYV|;-zz+R5ablD+-=!8uf0?c&TdxS;wfF?Q=U|BKHV#jV?fQbZ6<4eScYp`#GyECe$tQucB zz!4CjEO>lMGDE;84QnI_qtC$7fBa;7<8WMtujUVCg7Wgl2@5Iw&~@vGis0f5XiDdV zed%Bb2=-)TO``C@Kl#9UFPy)L;Mw8=;7(9glog5 zk5t9Xo)2XZYU;@IrZ_X=Ks>ef5NAZE27z>h!`KclDV~_u!b<)}lIUR8{Z8g7#sKk4 z2t3tnU=`Pw0`uq_#x`LvHA$Ltb_a?T3L*0TYSmAzTX>dS{l-I3+rO z!j^rUlL2ueDqC1jQ@BFEdllL5t@94fdg064$vL7f27~Z7I-_3P^}nyeqyO`iM^{;^V~WF7AmF62f2$QHZH4(IP?`CP|3u~3ryV=jFV^tTkaujq~S ziKxCp&ZZvxTK~@HCN()sNglG?pR#2nv6PPW_eKNgQ5L-FZ=)WY^nHPx@4HY}#^aY= zk2DDC=TDSX&pH}rXmw;~Ww`UoL1NJgzn5Ms75IgEm?y^;43M)nm=iBt8aIN!!K2Gt zD14`Ec#8Y;aD}j8^SOIrf4MaANR)64va-G__uK!IZGN2t8HcXkPyv*^uSXk{zLeGj z(`;3A#}eZU9200`V@LYGnCJeT%KaawKb*{5EdQPgF%hz`uyL^bKPN-$>eenQ3uvE{ zU3a5Dh7mzUA$Q=@GQ=`}5Hc{uFq)YO3yY#4FLsDn@+6HIXhd0{7o%D(xU|zc1}vtc zL)!{z6Eq(sv;Jpl5VEVxOBe!IRaXwtNXE#(e6C;2=6=z-BOOjB2ljg9MI~{pW`~ za?bo>x0CAv3Ovs?0Th@SZ4VpLReGBhoB{#JAV=$vx_fkE%nMkZ4a%dx4NY{`Z z;d|R~{@&tL^|(h0Lcn9uG>;l75BZbHC7}-o<12qz%gdJzhv6l#xVeLAaNJP*RCdVV^YQYhR9|oiYgavKt^c`s3JujS3TWq-7kj z@OMGZWvY?)4J8P@XcMtmRGn8-&x*T+i8N;AxB1oucX~sE#>>6X8$;1gS%~1I_FLk0 z>a*^gjj@)`qx#P&J@%@+E2e9OCp^Td8PV@U4=NitGm+YL%t;Au;MY4=BMkBH(7T$4 zw5|^RhbQAS8Bg_T?eQ1hJMmmPhy258tL<>dTbz<#%w0WE1ba;9{ZltI@90;|97b(8 z0{!|o=#$$b5Qip(E1YV#J=$Jhx{q$CWnE2|^@4{whoWC387M$}IH2R=!p63Nz~sv5 zCpeQ2_UBiZ0_VeF60cs#!2>yFz8TJ=I)N;WU}}qz_4k|?>x(_V{NLhTxXVy-hpg5^ z*`A5*hVa@@+;?a+qUhH}n%j~F&+71Tu2p^Do_5ckA=r1bp3&J2S-NBBmLsne@Or{* zm+dS;>ANW5 zkiho7rt}l$V{t@xEyVD?;oR^p%M$qG#~Vmd9@jO$Qc6mZPW$O0bN6P+8*F|9!yBf* z3v>}3?13NVfXVQ#KHA77o`-Q`{T}+bD&v9G(@*mZ`WY^;GsPKc+;k?VoA;GNt4Ef# zL|X|6WPHXcl`BxeKi(Dn zV_8rbWI@@cH*)rSd*6;ZN?8eL8!H_Kzmp?(72Ts5hyVh$IgZ4!{1{w`=yzKFt^3OuvQ6ZQV|ZXM%xjau*_=Y|q?H zg6&*R3B31jN09k<{mFOt###AukxU`w#{`&gb+-*nJkHY@hen>`6XJCsZdf=YyaTYR zx6Y$wFv&<5Gq3i=FSN*>hwK_}Lxf-NfW(BxhDg4_ljEY(>@P*L#4IFMN?A9P{ES@bz&H};hC%HKpC zt4Kjw^S@=tGrY|2merTFTN)bo%W}}S<@@>RUUX(ka;o_HI$UO-Rq$(iUYuK-O;n{T z>9KQd=N8FlE9kI}vNRBG{XBBwKEF3d5YZH^jj-fJ+-nKY)7GZ1!>1tT4-a>YDa*`f z*NKv1u`M%=r%i)zgw0It%O<c#n6#uZwvhmiw#&#gydNy%JjqWk^%M5JSIL9mK!hWzrzL>eJ%qJs^G7qdi&(XN^; zF-J@2@dlI5D1%a@u#e^*Uav?!3qsVQ0CFr7_Qa_DrU0?@i<_1~4+$!&vq_Q~ogD?W z{$9Kga*jn}j)nEAaE=L7F#(bQrJw|in5xi~Di`stW%g620!xnoLA=~YaYIsEEX@Uh zflGSsWj}g0YLR`M+nQGG^8OdA$W4Xf3>|S3_UmkArR253FH%&z>Y7>DL$SqZvwGSA z3rSp%3IP=wQq{IltFG>{DIZ;8vf7lSjVaw=BhT>R1Czr*TljTr2!Y{%noi#M1I-NYoQX%QIf(RE< zgri@40|c<2pwAYHGlTnS=OwGN#H%Gsz4`ORMyfctPep=sQH{#z*tMFfYozRUR0jjQ z)q5$t99nVeG^=uK?D)J~?yRbk3C~1VHYx`HRa@=Miv5xDJJg?w!V{??EIw?+?3yZ`T%3aNwCql2{TNzFZt6P55{DGLFDQ?`l%$0Y$8$cuR!oT`H zAcn7D@=d?AhaRceHVaOY+?6+ucwZA~xIp|h{6bO=>J*Mm;nf{^`==s49a<9hSb-Cd zH>cHuwAC-HIebJERZ-=TSJoGs*~0C|TC`T)Q8?Mq5f7+Y6U9c!Nu*>?VG1gwxw2Ju z(oBoWEc5a;Yi22KWZS@^&UHPR9~!BrQw$Dwom8mt{6^UVV!VlNJ$L#|>e|sLOISH9 z>fZD@SX+bc-sb1^OO21umu$bz;YWlYGfmw!i?tgN^XL={*rl}Dh@ew$L8!rr$+`{# zkGfT;X<<0YgsC+ZRiS(Z%T#fSmC%ZURAi9i0+#rOjP1WgsIV2M`W_1O9A$F>;&6R^ zO;uGbM_oO}KG_9KrA|6Ih`oxaJVFS%ZR;yr&|Q1u(xYv>db3UlqiE0T1!sWMb?~5o ze(}>3mB9Dz+s7T{N#I-}xaHyYJsd9Ab-@Zxifl&A=a?NWttJG9@wXGfp+S;=wzCzAH>ljZuKi8pcn2UR3WA*DwK)B&c&-F@kqtaaqj`QM${$7Ujd5m`^>bB zBa;`da$t>Gjm~S4L^OY3v;=$Kdk>bl)RZ-8g{%Y@?^RGts?+}%@;adK=Ejn<(G5cT zeOCsJ=IJ=rD@m>IeSU7!wuBus#bSnut2>wm#Oe$>>|RjV_A2+ee;$PRW^=CHJYfXP z5`B%4<_L`SH8vLs-mf9`^6}VR905EiW>HbIblST~8!{6Pe2Xjp78Z3N9!-(E0!x=D z++?*+qn8P3Ka~Uhid{+3aloY7p;?{w*+Jx;EZe<7w?C43y`U~!G zTNzV4`^V^yBaW;!=X@6w|25>FIX@@@x#|@J1KNapK!eja+kF**oIB(ov-r0A$cMG% z42kdryI9YwHSh7iA%ZXuYD4@mZ-O<*ycF+eB?DvjxpDliNn2GE?*^&TMXhdi06ZL5 zdlpZ?M8vD+(&En=KL)owlue&nppoX>2o}pDW)d|yL9z|efFJ_pd_r{llFy3seJC%! zeF9D{aCQ;R?GBGVeh|_4r}sTge7)j%ExR!~1uAr;Wy6ag;ru{Pa}Mn-FHDS#MnenY zetWNJd@vUA){Bkq?DdLK<6TCIU@CFGTAlVETGTri-bO_IO=Kvg2w(w8{NhJ}v=ul=#TP0+J^}VV#HAzihe*nS^dl+!1uS%(;G;AFxniDT65w1sDD!M}aXh@Q-FVSeH88^pIr33%30-gH z#bQfKcQJYXx*+ExKy!ooM}~I1i{&TJfW*AJ;i0X8K&z(S-tU;PXMT;R@9%HuXIRUB z*k%o9Q!a+=TcAp4%?&zcW455rtF>#mtk9*Z34Tk1HbBd$l>@&tW$U}ghNWm-qyP>a z_cM)R62401%jF0yq}HI|Hv$c=7bPFQct2>|;%ZK$BJlD48b2$P?53jJ@@^Js-c=5r z;^S0=LK|!TF&aTD)j;fS;pOIP%hHi%zG<)}Rb*pV^&uZ(P`8rLS z$0flaoAzo<_+I%Z&$Dj0AM~#|fv)r3JB-9)lL!Qk8z`AS9?S^0t*5v!%l@OTFt+x5 zibD|{I%yxfl$c^CC+j`(s64ue9c~f0_^qh;vL~Rx(q!zNy2N zH$(SG3T%L^3-6>p3kX~|ua11y&+-E%s(QJPd`)zW%$DzVdoOb`#a&6@0`lA*6y_RZ zY|WXEB`s5Q2KU+h2;S&ilH+SZ7SiqZ;N@Xz-w;dw(4b1+}h<*zV1qEJTP|$Orgzo-q;W`Gn!QQ>MC)6{gzKU zsLfg~?G+dJM? zwo7A|K2Bajv%Ng~ZZyQWQaN3!hPS4kpwN|ra7(f&s$gajTcSt6%ttJ7c2`RmB#`-FAr9ECe1`*W&BU7gy~)n5 zMoyMVF7$?sNLwJdbg(fw=-{n+2{}9X%dF>eFRNmbGaxr9t4M0DMAmXP`JPNe>l>AC zaYzd^RWY4?bydo!c2u$KT6Ah^Qyo$F1XoMFYgSg0txfC;Jmqh2t9>y=U@;+2WneT# zqM zi2|c6{E*KbQv?@FpUymYkfWYuhsU?X6PJs?9%4~C$nAygqBsK8rG$bqP7$d^5qGjd z!@$K&&%{qk{t#OBOqs;S)R)P1Gr1#TXRpue>nGV1Jh`Fag@2mo%n^G;(}Ub$gs(FI zhr%tt3YK%JZ0i(~no5zABVE)ntzmTG2eqQC3uEQh9wnpIqAul3KYC|9+%KXrmc@|wH&6!1|pQ_**%k#$NaT^;Y=Y8Kv|4#F}^cT_Rib%G}0s7$rbyBqIVHxAa5oNT2IV-fd`SateHJ@*4l`UlJf-4fyu`9$2700#u==uw_ zY17?RqNhSP?UAN<4(B)px3%8;iiTJ;9Fa&^)cuDQKaWH8kwaIA3q#i50=}@#34DUN zj`vvJ)knm_KfWRTE9?K3=vKc$Oic3`<8^RVZkEg>z2zQZSu(G<`b77{FJF1gEw@Fa zQYsq0a)Pi7ems+lLIqq&CJ>87{=X9H6jX->X?#yLa2C)M zM#i*2wiZg^U(AIL_F8 zxF=X$*YGw~m0r2DY*t@e>s)BQEU~&$@pk^%Ro%B?3h}Uch(-5#nt51~dTNR9Zaw;C z@)4y8PGdr%iIkH4<;MQnm_M&i(tJmtE?>Ed$%&%wHojovDqDkm(37lN*T8koT>qWn zMMZzivHpgC0gG!=%7<)-45hS>>YD7)H?VrDPs#zr2O_rcfXM+hMWWZx;hPUMd=Th1 zN}Yb}914N)8Oe1%E{@Q7l5t@K!v3;~5rYRoC*-DB65sMFoLiuK@7gw99m+Y-S|58I zS|`+IFKJ7_#J1}-cId{B)Y>5RUhz8MDoBRlwB9HKJP%-BeuTvWCpZl_wZNkQ1YQ{K zUgkfS-k zIt_;1r*bW_4GfouKM%}N2F_*xT?Ww_IFbjg5+r3noDMWNHUk>rxUHrDJQ$=c$VCY% z0A$=dk%yWbFk^s<2_+t|lZS~KD9i|p8jw#&(AJB{h`A1C2j)qLu|K?sYlMjTtw9JK z6Np&|LK4yvn3P^y*@6Zwg(^=#5<+eofm2X_8j>_nl#4p<7!G-#@(bKI7&k@cUbq-< zAea*o#wY;p9&Dx;x*z~Nok^Hf{5Wv*n*qoUAuOg4jsAUH2V~{<9;5d^p!d;O%`4&_}g5gxd0ljN}55NWT)o3cQ5yCQ@TmBiq zCXUbPTw4BG#movjZtGvW0MhO?yXKDdhSRkNsWrN-4T!=(7uT-DX2igr77~JW$y1Vz!I4q9e{r`xUkR8f#w=y~pV{rHf^oq@%3V6mpLn zVDtdg8swDsL-I$&hUd=9b#^ah z-87U+V5R33wVd8a0=OE^dSx6Vw~wK5t9kSds~5Dbh<4);4*Y7kQW+dyIKJRhA!!G~ zM%1N{d*QF?pBA7Upc}DvBGqN!)l(I0i+KwcXj&0!c?T9)9pD?$cEU0&)-h&HV07Xf z1%?@?Tc>{SX!d3PeOiAIW8@H?mK?j2&itBArPgnL5maMlNS#V1j}y*~9PBeYQDF%~ z@Nm(aC$bEm?6W#ibA@Domm{X&?s((E6NO0B*`?qTrQqLnt)sg5Ez%%`K0>-7#W8ed z=c--_{Tc2jxK(&H-Fl2;b3}g+^@{2HgI|;&@2Q^dD&Ku3=@{ys(+id_a?y~+PVAx} zf@Wj;c_Z!}mwwWQIZ8*!#t9y4(iKyjmN_|Fc5+vHk_33R-!!Vkvceb(Cud&8lw~!R zRd&ZZLuSx%Y3Md3B@tQa`(XUcz7H}{;jky^ckx6-ETKs8)diCW4O$xKGfJSU-`T0^ehd^V6wgihHzIWZ%HYyr~)UW99S9 z%44*9W-q9&a6Q4P!lUU%3mz|s=0b_OJ%qxrX|pD%YFBrF^NdX{-g6&$C{7?mlrCe? zv^`x%v?P&gU)TvaAi#eNS2dkwB@N6qGwrl}! z6-F={T|PxWFJKnJjG1$yN1~TUm|U;B)0gp3PJ7cSBV=RC(^pZ?oNb1G@{=t#5+^V#lzHYZqu2>S7m8C^o~HSD;PYhnoE;9n+l)xoyi-bDCynyRgul z8JZ7{RN$TuR@2f61#d%zag5-ST_i6ZiJB+}Z17j%`qQbPXCRl#c1WuEGn!* z6I7lOE0Q&mPkAQ!w2D*8Yoe4QtE#BCCT5sTQ>{$ic?QAZq$A3LOh0`(IeMxibLC&F~lF zF8miFPRGW?MfjIS$i&PF0GD$x>Hb3$H?+01@uUfGbbbGe_%FP znK)V5{&&oVHUP7M^*PCvF-~e|5-r(?nVNIMNL58TK!%!7!br~29q(gTI}z$`>+<-63E1yJy_}m$RI={JY1PXsx9Rakm=qjxwY4(k2p_%GdAaz$bQVzIK^zmmJ zhodC0iG`8C%|QXaM}}K_=Fpg6>&5CR@R*feJ`U-Z?bXdb|4*I=h!@fg`9MXL;)_s7 z{;B>PuJK)Jb5^-sKRo{9B)*Os3@opiU(?P=yeWkknh3Nftg@n#Rdj>jnou@Dy^5hO z@{+NJc+*B!G?>u;%s?I`Cc~pzU(xJW(O`A4M!JffwA~+QVMoP;(bt%C+Gn#*V)}D@ z8fLTcGfrX=U>{MGq2AC*fR{MG(^e>s)x*OeiNRJsGq)GF!SUfP8S=5m-E|iujyK7G zb=>us+l4#2IDx8RIUZFyYvlSR9&v>$XUK2{ccWX&x=^N>ZJoO zPc=S@#&E8U>0l4y93ADM$rtu5HNvj>{r!=tmSibxPQUb*vG@H5iy)ACAYHzh+&+L=NzG=Gc5pb)a^6r&0;{n+Ye0 z7-H{XT}6{v;&#Eyaj^68;T#O|WB*G{}<7U-5O%$FFy89?L0;p3_r!s6 z4zrP%dPm@@Bqw)=FWvCw^fhu;SY;S3WJm6w&6Zkm4rAMxhOP~Yp3mDG=WDsMxMygu zPPm}lcn@jVIGj%4OW9AGxx>k0(rHm!2yp|c^hx4EO6NehV=K(CR2mJ!BcPMp7eZ_n0 zY{bxh3>CulUg;6jIq%fAbVHM)V86@pOy?J;pZNCk^)qu_3UC(gP0Jz01LS+m77XW! zCqmi{Sm+V>qNjlT@EWl!U+b3J*{!L}-s6PsPrM6T4qwX=3~h{7Rt~no0=Nebadf(O z;f*7Vb;hq(&)mwNy2^Zw%)I>_ho_NLX^`Y^W70A{n??x!LKbDQnlVtyD&(Sy#S7~? zB%U-~B`dg3qoT2`!}gM~u1KJwBheI> z9&dtRSsf3_B)-q62gmyKyB)I(L5d~w7S;ZR7X=}b-}ZYt&nIFRVn?-mJcZfpoRMXL zq14?B^jJP zs}*h2C`z|ZdQJxuO3cY=sN*PVk}cG!4!Lk2XhvmgRpn+63n+&o?NrgDqV5&?u_K#J zXffQbXANSc(!_}_&M~Gn)y00yeWYrm%uQ<6=64L?!HXR4MgM8*>yja$w$V{BPfR zFp(2D$1qyYH`~q=(GVLq4_t`Y3LA{1`%>AZVTgk@2GQ89=;@+T?bgTc3YQbV#xfH3b zBa*8@V2s#euvb<!smJ69yib-Y+o}@9oM+*jJ@F9b#|VLM+?~23C3^i80-%a5rlU z*Jf6sYwdG4;oicA%rkX;pytK#-A6o)D*1MVZY2}?WT5kgh5nLH4@(LE7yC5|Ej?)j z5nvPPx2bP-J1zxkQEkRh$V$;Swrs{s{K%RMmEvkVT$b@d3{5)4Tr-z2vkvY@m` z4%WpurFCcmM~kFHKeJ}AG#1bu#|~s|;P4$YI-Lg5n@T5}DjX1g7sRd~)VW9I(kyw5 za+}G1^Qk_PE*e?S`%<0xX)}cyEpVVcCW1%I@i@s+I_+rtW2le$Zjz2C)k^XhNdxbv zegmGll&5WSJlc?f=I8k-?KXIUGj>!FyIzc-~2=QdTTDiPp{!8Li=}BrGf?5TJ zUfO;BQIuYI|7Ew+fQ(chneP;SSh%)D?N&<-@57er7m;i4om+!iA>+%1GEaH`Fwi`zRpZ|s-nCTAPY&OYw2MK zs3YX4h*0n9fQaDODhHavbri=d2u4|^o5R?Jw1W}J#qv}?f(;&iuyzMYQK5n zFRihLP~Xyn(P%%l-6#?e*)JlNod7>7<*Uf%PYA@TFdE$2oBXock7c6@mdB*cU`Lc0 z{^=my^O*yFcX3|`skoG{n}x+V)!*}U2h6G#S{$F5g)j81Nant^s(*$|N3x4U_i(=K z>KJgdVyo{k^2}z`&GBY! zif!4cKm47&)$*Dg!2!l6VmC#vBOg^b29amv&!BmA{nC-)xh=oOa=qM{OA%U;{&UKA z-cU>h?W2*I1EH*1Y?(W%Eu5lej``$tm!q^arB3eknV56HZ=+gh_XVk?shIjFTRZgg zZN3+5O}DDOLi8H)Fh-L2^4=wT2F$%lUZ z-o9cpYcyLo5_u4r52{#l`a8VB4Cb?r^`s27V^kn7)2*l}!hzZi9II(CZ`2Q5RwWzN zey)G{)y8B#io76$0xX9~HJ|HdXJgOoL@XoqcVAdg7JIjNUvZbMcuKlH zV5!a0MGOUSoH-_^>EUWXv8-=&gIV&utI%OOE4>O{OD+w%G^7M|f;6TaB?5F}&}M(P zQh?%m{J}2{f3c#ofw>(v4RsFWC_!St8yq8Q0#WFweF69{c$P(zP<}6vB1ny>d=y1} z8!>g3(XK;escx|fSyvF!VSZFHm-uFT!S{qJs;?Kp%is8g~FEjbM* zofBOu{ppq7y6{pIk6hX#(iOMp`t~h+u;-qJ44J%Rz~LwbcWA@JpZE@VhLOeX`re5+ z)uM^-aiiaAcF}#0fm=l%b26`X>DH9DT#1^^*yy?oHpDB#suslfb4OJ@(}_nsKk_}c zPIUj}D@KfYn*&3&ljCyK$|(`5qanYq^eF{5~0{f?i#(H#(`)TcCpn<_5M8x1Db;njXD~tx5NAbut-bobq^X zP#-# zjI;6%_9i|fBy%JxrlH!b8&;bWK3UjK&z^kJbjOlT#^q2=Sk6}|63jp*^IL<8zaJ|g zdGKK`Mf6mEWNPf!%`PP1zMt~B-<^Kes89;|N!<3#?$0i*fiZq(>8F%iF#ZBhe*D>5uxM^UV}9 z5Z~&ryOfo#98`6@sfLK%%1+2SvJ<0B%UxmXTu8VW93>R4Nhe8bFM;WEmjVKJ#fLmL z7{RWQeG(dp4O+P(+Cg%q1c`KVCoH^4$e~bp!i+8qq<1Nn*QYckv)|agCXi(8W%U|3 zI9>JEns{GA#R3C+QZ8(_2Q1=W;xBAs?T?sy_O1lldj}d#h1%EskMDb{w-@8GqBY2b z5bRIy!aba8cV+6uR@gN$IWl;# zSKN$p=l5np&bb0<(H}Xp-Fx7CsA)5McHQZztcvsno)(~ItV^pQk$UZN5`hS%SZ4%+ z^_JF^EYJCfrifLlfcv@eK}sxeS30)KwojvQE!^e^Za3$2PkV_D38lD0g#V5qMYwuf z=xv(18x)?ZO|yW|&GF8pqkA<{_><*Mn`}^AXcUZoNo!Q_}P1r<2JUt%5H`o1QFz=;lg=j^lL^2 zb7G;nu%N~KXl;CDMKwbWYaE$3`DIveDF6A&qPcwb%$_XVy4L%dRRF2!fZ`<}b}+ba zHpF@~l3_TqWF)edmad5L9gzEcli!g&?}dLNs5Yk7Ie9hYtbQB9Vd3DMXvJgz^xfzS z_a;NT9iC>-VU3nSEa)Z*_bsE=HEb!>G`Vu9@R-*${n!lSEZU7ODB0_a#dHh<)aL*i z%P%gbMU-38#F7Z7oH}!#dSF)HlQ_)D623Kh+2RON#|he^j7*u!Gl)vxSJAQ=~rB%1^cZcz8S2U9TYF-?1!XHtO z_HpM2$^2@m**AqyAEs@^1jI9V?Y+6E!{98dut7W+Dz}l157=nR_S{>_Fx%|-nLc5X zXT8H+aQp#?nka9XFO+em_uIJ6%Ui7Fo1`H?k;AJEmYUyCgV#pa~aZ7Fs*P+|A8w* zL-%$WTaN5f;Kw5&B8jwBwkAMswLD$3$tpHP8vNxSjQfz;f-1F6J6uO@&AmZsb%s+6 zNp9S!cnpDwgFvdp#nz*&ADzP{fLcG8@2d>p;(wS?4qraJ;K|#rRV=qd$4Gij7_7!1BiA z=R!WS2n3&%atT(6fR8a|u^byNUa>sXThxQ{!^i=}BUMtxRtIn$c}vOPzu4GWWPZiJz82}K>hq#oh-jim)Ki^|IaH*VCU=-s z;Tl&fQYe=)!u`kuh-YK^L~q3(!4+GYw+fGucvf2IGrnhRYhCJAd~I1$n&R#qs#ijI zLf=;M`3Mjtk)$53I7qKW=%?&SB2}V*$D3xS$54`MOTDv12#>5@TAxO3`ni0lvQSQ- zt^=yAOm!s8r>YI*qCC(*Py*u!S5nF99end8*(`eST$dwzx>?8;D;LC@{nSq+F@_Tf z9kU#TV)r7f9TR&H0n1QH_eHZ()R8Q`L$=aviXyezoabh2h=Hup9+qmBxHPp9V|jLJ z(?djBsGkm-E$Sv?9@EwIxe)pCE$+95MIpV(yZUZ~@~nN&7@trupv3eHIwqJlLrd*N zaFbx8Bbv+>=e4Bk@d~*@GQm?~ngBDks`t?e_WaYyo{Rb6Wkqy1_jSN8t>t-MJ9%3a zj@u(z%t=Ri}MBFY4Av7}a{3M*Y-gSp8et|fG?uS| z*G|jFGP1@mXEHMP;joHxP7rQb+pl{Ls`->jGDc>tZtC=GC-?0I!@{So$wc`I-F53K zTH7DZmKC$LGFBh=CMIM}s9HOvG4d0Zk2+ma4SDnNDltlK0uB2Ml0uvrVp4YVyrvBV zmR!encTvo1^nQhmOOc(O$DbMo_0I=;^??)A0+7 z!bEa(wSH#B(>|5i95Z)g(EqqzhrJLuvLHAm9_^Ix72#;t%z6Or@childYPZ+=)^<| zXRP&QVPsJbw7Ka;^Ahie{K9SIz3&J5dbK6B=Um32K&oH8i)w8))>7eXpRX&96j{eO zd1CqzDY{aDee0B>drPb0^NdgEvyNq{jAqHJIX#99(QYsZ}+-~ zKO_^Y5z!NS92Flpt?(E1yc^UkJ(?`Wilut{v-jF7eqddQgDS1$EK1i?dDh)RZ*_>R z!e4CZ%h7oYAIO)`gZUUD(;?Wfg@r$s{X*0cgEsrWDBEIm;&Wz?}L+X$W_!ZH*JB7z30#+ zz4hh6LWIdu3JAeOFoEia!zk5^N5$<-zV_@4pDaytPGQu{${9ZPw?zxl zPWXH6&Y^|fSPB`wK1nYLK3(cZ4+Q!*#hzq}I$`%Ocn6(Wm!w5t25#%lhw0FnkPJG? zmZMZ_Co=I<-1)?#<|9#RZMzMi2Wz}_%H!PX{pj+?zWWiw!5LMbGIk`yv1al<%R-vC zi*X|g!&%e4oly@?PGrS7Tq0(3{rH`|oyG!z=w86%)uW-12eFWc1M$Fv(^fC4ayotp z1p&7>y*xbW*b5sY zU+Wz{C~H#wVts9Mtx#voJ24##ah4jYEw+Qj@&@~(oOErR%W7E*&gDoutIqM3TaHyN zBIJ&-PRlkU&}&d=tSP-#piQ~z5ZfV@nrr>^aww1fmw|GQiA5Djqtoq&uUpCX-730q zJ(*TGMR933WRd*>3AeVnGZp)wy0%gb+0Z7&AS#K>>`W9X>thPN<0;|zPZ2{5tzl#*K zLHsr(_jU~zzIbR3@kJ7iBU}>EwOwjfeRjdCwnsP%xPqEk&u^z;G{!9yos^MMv40k^ zEX^cMy0)Waznby5K+gsit$09NYRAWh;K8WK!G_HygpZhX;baRt zxO6VjDx5=g=B6rU+cH&1o<;JkM8OOgI04h_gz~kY*9){%Q7d9Xk^^d{9lw>6Q3paK z0tu`MI2Ietz7?5SwMwIo!wirYPCI2NBz>7J{9e=&j(CJYURZ#wLIrHGek-doW~7;X zMqZfgURhV#I>$Vr^=_l($Su(E{!tR2jw-5F;A{`Yk|Lpi&d7wr+!fW#!K_-!O$s{I ziX_nVtn|5!1vRNt!NawNZn@p(y9oBlgUlWUKa<*bh27^eE)tw}^Y=q3FDM8|#LOe` zwC_feeqJ}6*;Q%jHx@3{WY{=6996F>or*_N<3O)$n$#Ph)QhHB9V&h56ke&M{DiOI zXkU74#mrE~yiF1ipRbx|;51%61~*?h=*FW@z|kCeAMm+80AAOY=f1GS?X3WHMSW8q z>?bBC{*aMq=ic}cZaA&eK9K5&ms4*n6%0S6DgPFGd=GKlWA$!DW=mt~jn~(03guB# zVoK}v;Myzf0h7y}w$=l{XhP(}kTjJU!Ah!PNCa~z>fo8A^}AsH)pyS5fQSd@-Y4es z)a}C~#wU)Gpk}KQW_^WL7N0_B?FA>ML~@QGV&l-wTP%eht%@=IjXiOfrJ7$zy|kHk zTBP(O3O~`1GO>yv>j%qPdJl+re~xp7yy+!7ul%6&otqR$hkZB7U|}Kj!a>RsJNlFc z)FOWRpqmhC`0o3+$#Fp?UiymMrXRneId_u|a7~=0J~-2PAqdY;%-lxN8cfOMIewfI zo`17y%tK&U5^2Vxt$I^5LSCYP?oSh=joJDQm@Q|9H9@l|9TdSQ-b*xz%qot|>Xc?3 zv~$>!Xz+XtDPK9wy5A->P4i_0DO@9|VXkgPTZ$ih%eLhQlA`4Ri;1AS8grnT&S)}8 z$9$|T9&4h*n%?zfIv*;%E2aFKGU5n(af+||TByDzV^@2!De-m=Zt%iTg;7=|h8f;J z?CY4cr`)|pqSoZ98>u_X*#(&Wf?a7<=hbpVvh4fm?w;6}^RT^XGPYb91Nto8`~u2eUYOpAVSG~Hf}1ljCk@Oy6D54MsaPheJ%YZ)_1m-{7&X+;wJ5 z#=jx&)iueZ?}B1h?99LI#l#*TggjPCS<2+UFS9`u*U?U@cX{I}^*XgiNc(U(p7^Cf zo4Wla-(Z37*EG&>^Wc(9KnO389X~Z`2g|fG!@pU?qD?y>V?lu5(0C_ddW3U6~Bxm!AYo(f`&+^^5Y<#0SJX*XlZcQ^Q%h>nGLQ~4|B zHBD!I{>z&I$Glpkb}#?U=g`jO$=hFRo_rnIn=j9vV-;1i3*UusjhOsm#xLih#kTo! zgW0YtR9{34&h~cBvFbdkd5*~vx1;?`yYAw06Y!;(PKl}jLWQ|Hl1?L&;!qF^7}rlEczXfb1>}>aTp8U*156F0ma%?~|_> z7f=NU*k0>W8)r=I<(_dpLS8e)wnwNpVOW@qE3QS}5&6eNT{y;wLS2dRJTk|ub3GC> zT0F@Z1qCEceR^gW*+*74X1k$}`#gChO~4)GLLc3~u@u{HT#n)+z+L)$+am|-qmqv= ztQ#g1#CRB9ZCnSJ9c%3=HHq4F9C|JU&$awv6@K(5j445C;?(X<4^L&AEBfw9DDS7+ zZu|#U?;1E6y!EN?9)W;cZXsX5lE2eBn$U>VdVH1|*3Cu1QX0Xa`9MwUz+8i!19!#| z*@Rh6TuGUX%uEz=k8$*iewY1M(4`YCE^ z9=7-vp+oH58_0R^Y#yF`j2;7vVFi?VgZTU8w|L-;-GlWP!s6au4S%&ySt2GefT)XRnthFTWPXK*d!fPG17AREj;3 zR*nVT{C$kH-kHIhvzw#SL~GzfB|$;z^4IDr(sGSNFT@@h+C^z%UZv+mam zuAZ(+s6nVks7|PQsBx%vsD7wwqhX_Fqi&-b!Z61$N3X>o0b~RY0~LT#Kt$jr&;?in zx3G4<+0z-h1z$c&|@CQ&BxB@f)W&(+U$3Q!vc$5S}?!88h z`V+k_eHueMP!XFfD%PaXtiVfy8JjJNjs~hv@gg^s{uFo(bOY7_xq-7lO>Dj>rZ;K^ zFSs{Jmv|sJkPwIn!~{YDae=TvR3Ipj2#5s40zv`tfN(%GAQ+GUhycU@LI81qFhCR_ z2#_d<6vPTb1@VG#L9`%PkRXT<#0WwJae^>GlpsiuFo+n$3_=ERgRnu=AZQRj2p>cb zf(NmK&_U!NfVr4CnK_#|nmM02o;jU4oVk!WkvWq&k~x<-mN}I{T zaYA22(pQ&XTJ;t~eoQd8h9XTDZV@`Pny>(B80qtOLU`&!09r6s8H9KsImCYPa~a~J z&Ff)^sJLTiaGO`T4}`PT?d;1Ov`COnu25VrU^U1pt3EuJX%Z#^k}?=Ri$@1NP75C$ z(G6BINLgGq7wWWrpp;}1*9xi*Ru6(D7&nN-3Y8lw9a1T%Uz{qJViNETZ5Ha#rb949 zP~86H-8)sJZza!%2sTz7k0Bp&1yxaewVc`DzlHcF2P=rb$t(u|2X0)%b#swb3F*U( zX@W6R)rsk0vHQMLiW{#mL1RNB0v>|>#0|;OWcFZPj4hPO;SxylYaxQ0ECCQfVhK3s zP&NC^C@^UdMp=c4tJp{S5pa_ ztma5^oQ?1zCT&Eg2bdeI`=bSn8>q6gPQDGM+x8DP1ozN>(4toByCHW=jcFSs+K$0| zowmnq-G?+_G(%jQWv2#r4j?{Qwo{7OJ7~_o{M6VTuL)|Ue~o2>Hk~i%sFP=dG0vdt zkkd)t(uSew8&^HL0bo4e8GL7$wuEwWskq_;%Xe5ElyXRINTwjO z56!oU9Sb%DMa(cM9~?Qp!|T8^19qXVf|@&tkuzc$YJ<`iGawY@Np&P-YvZL!>(+kD#2Y#1eAtjY9&O*6{YBu3?GAg(s2nx1HMPCZ(1oVXE=xN$5<2 z9K^XNarQ%e)x@a{b)hRiK^gNC{L`P-+hB6G<=*qk5`7u%i;3<=+I8snc6Hd!oYlSH+J)@5 zF40h@Gk^Vf9hYGmW4a~V7au{!>&b(f)`|}|rq&PPT2O6tdYa_zTX-u6^Sr`hLg& zrl4GdRwr~4Ii%b=RHCRXW+`GBXjyZW&NV0$`wQS3(iZ3v(w5T}-{$B-_Dg$VlNF<~ zCxmgymrm%ogjielN6$%{6pfJPcPX4_IG!5@gLrUpR4;so*Akb)rb>cE9Fk3NW`@TCiXCV^r3;A%?zm~k%`r)kd6=k5xFShyT zdRFt@%5fTam2NuP7F^c>L=&0UZ-`}b!sT^NT1_mO@WrtzA6vUya8m;GKQHrz`AZR3 z-VQs3FYA8Qo4fQX(`)!pqSp<@+JIa7<|51{crI^uKz~-)wldvxOnXc_-zJK$R9Cyr zCSsvXuuOYw=LwmqKvTHDrrd@{#IS;~0#U0gpczMVW=n!+F1@^{m#$1cC7<^4QZHMm zihRPUm^7C%o^HxdU9jKsSBqb(pLIIh=JF{QY-;Fp=#3=TyJ1GxLLN`8(-woC(Sy;c zlg#eKxU|)@HY#6Bk0o)TR;Rjr@6|QPs!jQ}j7H%dH=}5}<96KGlYj-6v&qf41B{vN zyM3%@(y5x38V_@VZ2ME?Mu&8hba{P!94-Z(O z5=Y{x4#u5S){eLD`YIK^IJ8EM5HIuC#N-pGljlWdL?@2_9NW4O>ep`Iu860tF+_q1 z(VwnA=#uu)k^*BYdTi8d-@nh#G`Q4)IyE90DbaQKxOy~aJ8$(+*d-mH zbRuKg6aF;a@*$7K-En#KZfLfxKe0tzgBlkBI!{SaDbXtTSC4{8i57W%+M{${xkh01 zX+7@Z_M(5xTi1^m&yHLzd#{fjXa@&y`_K7O+n##*pJyi~^{!`}36Vd@#w7D2 z&}~5z$8S3^n?z8C;ON9@Gxw+yhvrv9M_0omRRgZ3LcCL>1yW-zxW8i5P6qkRe#PbD zfI_60{UBJX7N($?OFV<#tEt#!rWg_$nHt0i%!B5Qa>kD9#lVm6amHtk>G_HuE#<_H zDv8m*As*9DA0rKb%SHcX3LS2Wf7_#a9PO_P5DO}>P>kI=>o+_hdJFOsTFtsfy@E-(cz zC=ENWyig~$2!vyc&#ALJx4n?#w=nDmc!gssyx`HcAXdOr#nJAzNbUM*?WEx_n}|F& zl~;oK9R}>1a2hu(Rz4CrLsbsAF9z8x{tK|E38YZ^N&AK(M@z zF!PhEGwQgE(0F&6PDMSi4&edsl5NLmup=Rm;#Kk`>X|6GasE67;fn zvIlD;_p-Nha250tp)>~5j+hIAkAG*gQIh{5;$|yCDe?OPxsH-5xs;=eIXMq2FN-M$ zCl{E3gq4GbUx1IFnVgfIgO`n+lZ}Img`Go?1H1{4|CK035y3~oE@l>j>e4cQRR=y3 zp|o;ya}s1@^Yrv&_2go8bg^XP5D*aft%j461uVhh>h0iW?8V~XO7*9bf9UxKKFQkA zf&8~#V-rVrHxWw8-xd9x{KUn+8NW&OiOR#QiNHt+>D4puI<|5V7#^zX(wxx3i? z(KIttHgh|3doaw)6E8watuilTCvYM5|EZ9Y(*M4gz5RbI2{ys__dx$k zKN?$G+yBwr-`Ch2T-mJ6*#7Q2aMuWf^98}QCuZ)Z<}RX2)}}6wu8tON-Ie5TyiCpQ zz`uWwr10`geI#FsTg~N%n^q z{5?2-xPykd^S|f*Zs70nw6hjfGWN2zC)aW{cM)d$E9;+Xf6M)m`|le5Xv2T6P8d8a zf>M9BLetUF?tf$Uf0g`amH$*?E)6DU5e0V>KMOl}&vf&VKl|0?_MdX$s|r5#P(fBUq&w5Yqg zwV9w4-x~o52~K_%K2AO^7H)1yE*5?PDNYtiFqn~@S4L7sfSd0R3;(_Bf72`P;Ob`V zU~2vky%ro6T-^Me+$_c>++e-@yaFsHro5ai=H^`7-2D7pJp5)R|Iqs{W&cI*Z-)jq z(8<`vO_b{o2mSl>ze|}qI{e|L;1-BV{4M;ixqp@U(~bTmp8OfC{%|gEv;qf8w!b4K z_~h?c3+8ANp>zR9(*JM+`%NPBS1kWWxF-LDK_*)63f@^kZ1~r-;a? z&q=`KlrO1i>3R7Dg+;~RN=j>L>*^aCo0?m?dwTo&2L^|Rr)Os8<`))!E^TgY?|^pq z_74s(F0Za{Ztw0N9)H^f0f73`tiLV$FLq&o?Sh1chJuFsZ5ITjC-?(}0S!aJ0gEZ2 z250PyMadZqk1d(_wYm#|ic9?*$HZj{5to{Kljh>LX@6Mue`i?8|1Zn_Hte5vEdh|B zAi#qMg#i!){CbR{&xLkKzI+ArI^x_%p^?}oNNu6Kr;Jxk=f+qcBkac+L@X`G2Eg(Y zzwq2Z{Hni1>%$D(G_~Dk#J73J{|e}+c-$u2g=I1dF5}rb=NCo zU7+*BwA|Prw*SR2=+R-Ty0V?mPeB6o3h1^iyE%IPN~^Nn+IYW!aY49WddOH)DIEu) z+@?Yvb@kS~y(MX+9&@B_Ie-ggKS)VeAO~YwBzJm*xSM*OharPR#+dB;vAcF5j+if zteqB=gX(6nmnzWv(@#wEUu%nF-s(+@%&%|9_uiVv-0vB9SP;`ZwZ@CUjlF#ZAWdv} z(Y-Kb*?N|Ae3_Z?VCw6St|0!Q-H3`;q9s!O!lS;mt`P#8V(S~RZS-TbzXI@)HO76JNiA2H7N4s&0a9%GrTGq1%IWg}vsrn>Mp+qD2tKLA4QzbL z+lN&8ZLz&8k@oHFg+54+coN%SiHWC=G!uI*Me*B>y+48U7vpus!TtHXDs)v7FHRyy zd;2U7g&&IKVYh<>s9$g@O!E$ffU+b*EHfC{N*3oQA{N&CTk6xbBDF7gfxWc^Qrm5w zRckLZnZ&LGXs>{UlKlIL3n~wyfoyWE0gaN0N58qP6cZ&rtti_Flv!1=vx=-Gk)u^* z0Rm#@SHOJvL$RZ%1nCB!xr`^qKG(Y&IvRH>{Ac@<_t|%R{T-3U4QRyop}DVs)Xbl+ zfXOz-!iD~c$WJ-)2Zi97gS7=Icsu^<|XlT%&WDBw4&W?dnYxPuK>xHoauI->S;Cy zj$p39mZB=wEKg|aTE$i`=6%Wd{%TEqk3AfnE$z7--)KL#`}7}V#7E5jRXZf@b&(gX zTbij8arNJ2*Tj}I03zUtz^{NrLli0l*(X`ix(e1s?;Ka`!8aP04adfj1IDdXBH}mw zIqLq@(x%b2_oH{-qI^$^s*+w;1_wD02nvDf>%%20Pd@kAG3Z`r1_n-nTgGgnuK=GP z;wwTNu(IO-0)Y)DFdes8p=LV5DJv&INcIDddUsP*%zT!x*7M=4D(Ay*aU?d18o>vd-zPL|W3GDW) zxQN+S13C}**J|ko2tTQSRN`*>CY(#a;id20r{T-fer5T`S$3TjgNeI%bteJ-BQ;^Bka@fL zOb#}m(YpvyVLw$aVsNkZPbIh`s1yq~Ha_6Ekj3R-y>;G>wNc~^+@azVU16`{y|>&@ z5_|U}izn9G&6%VwN&F6e*&+dziW(~BVjN*-g9Ad@G2+^qdnA&=wiDS--AhZe<^xpX zl?$qhRGeV?-Y4dwjiS?7n~mzkk2Zqi6Qj2{_a_(h=xDJwA#kdW1%t1EfiOHV;&wV- z_>0PF%pf$pE7BlTd54bK7o3eP?KeWrdkFObcMmUZwz9pAE&l5fQ2X;Ux<4N{3e;A3 z-v@epjpoySXv|tm?IeMdoil$LjhO5$40M<<5+f0%&Ew*W!ccwlYi_HSV2Ka0z_glO}ed6h8AxY-iab^EnU# z^NXBAJE$ICw1(FGcqdteQ6DSgx3k2wJ7Tw`im9=roJpS-`OOfQ#UZ};qAX6VuJ#x% zt%#R{9~q1BnF%s7O-YEr3TIKW=mG4FfSu)6kx1(`zm9HA+@K z#JJ|CkS1$#2XF&ASq08B1UOmj^Df;csP+~uC%a- z7@Vpy$aIb?SWuY-JsnT{+_HbN@D2ESvAv~g5Knxh(CZwl@Bt2Ls`_ECszS5z{^mls zU+af=r7zzt@F&#EuPUrG2Zv3axy!HB2XU@BsmzGhy~saAK`W6)rP)dN!STjF@d(voqkpaW;fqY?FUJBgXZcoctZA zHr>_F>poLv?xMdU_^#TQHt?F2Y2?XhGlF|L^5l9<&7Fx~&;|*skGSA-(^3zkkMqqT zyKP!?xN4Lqrq@UyLci?xbe5p#G@phg_xHsnE$ao#R`7$u(S4jp?fsR(`;ksU;E$VE zfbCL-^To`TWaH3wmR*AHA?FAG0GsoAtT*<^V|F7*&2a(>Sv7+8bV*(0oR%|A6F(G` zMn}j}qb7n#R>7XZtu?=N>|GS= zKl$B%q0S$@*sUp!e+W!K-X>eS<=OHvj9Ci1M5v+)XW-o&V(L0t2#s^$8b=je$Pesp zJAYH|gnb_jCC_c1*<;L|*onq;g$)z0)Pod5)^TT>grSaYk-K{aa;?eMkFG%VTJSKm z46O1qxsNSa_fHIW%Z7=Uft?m~G5VAofj0XFZ&&g%V6Dc%)EI_-Jfh#=?Hn@0g@_?TFW*SS^cc^)yY zCEpE_;pJNeP}p{TdR&#<3^#&$w%y3NT5jaISsk6yUmkK6F2Po#u=M;;S_&&qeGPcm zlY=F!aQAMhy~DMUYlL~(LztEi< zUFP1*CHGl)Y0=wB#LS-^_^$waF~Mv0>RT_@P0pY7T5o^Ejr5R03tq{Wt*wXsGJ6Fy zg-keKnh-1wB=BJ*h3>nCu)7KKoo`FcRg$ie7?fM}_~l9LGA4B=_KQV-8zR3xdiR6J zZ$1$2q+2ray%Lq=%o}{nJsVKt9^5wovZ=N3&UW)XQJI&oR(t*Vc-5x8=$3Ch_Zv^_ z&&xxIQrMqyTp=D_bS^R><@em(c6r(0lY}mVZ z5r=jGVk*HPsdixv+)p&ci_?n;918 z+n#fAUV9?d3-#_u8_z<%P4f;X=HNyCzeeb|2yWKKK^&@3b=VADq@)FI<`BFs3ds}l zjl@+gN6dn6_{&fE`<| zGt>MN^#^pw?Xe#|NnOs4j_$O-zHR+v8-*W0h94k4rHeP}uMag?B9#$?FZp$0Sj=D6 zV&6o7-(&jO2XWtxgb};mcDc`yMi~ckV|aZPZl+oImgK&x-gvh}7}C2+s&=Npo=>(8 zsh#MPhG1Bixe4(ei7Zu)@pRp{wE-MLheFL3?CUHv-S!#1LyB8pnj|~LPc~Sg{Su(o zY}d;d9O@;~{37lzyl1{`s$!#Grv~slH4;URM6K$FLy2+2Fb606vQyN zT{3p^?eo22mB6C|pR*l| zpfuga&uiOsVv!XLzbXeWE*9OD6|Aj>)o6DY^Q$zL8q3|9^U?FAsB4F1Pe1xb?R{nH z-;yPF6L#V1xA;V8uqJC^s(yz>8R^a3OpOkwEKlMO%Q5b&pGy1QnpD$>qWxe|9Ho_< zp{olXqMu{Zvsh!zuZUmIaJq19@Tjf>VOf6j>F~wF;gaQ~qT*8)E9WrmN@jwD06B8Z zB|@c<`gz4^^5*WmajIm-E5H*rK$1O^V`6ZTZNbxca<`4B`*E#!vkc!)#o4;ZW^#yG z)Bvv~Q}KMD1Dm7U}4sVuL4CSb^!SdH;V4R062!00M_^FF6@(os%f966N>>RenK@sW~+-OUB47P500qqCLp zLW@UNeoiT!?@q~1l=g8CYYqlS&~s%r(h+~SCI;i$2%qDcH-`#7sfRfnFMgz!qk$0n z#Q%h5!cS0TeGjv(BP>**zjTO;J9FTZ+>MaVdw_njoM{tT{*~l}9 zF1;*kaG^Kt!|kP2;>#GvWU3)C)BaO*x|@IQ?eLDJNnA16Uef)7%H}?KM@w8cQ+-2S zOf9+vS*xOXK|)SThg&9iF@8SM%O(9K47rs=K|K_9T&lvXU3IBl;;Lk zhwYC8e5obXT_ZAIpOSpvkF81=+E#s`gl z(Q!+x>cT0s`7CDLB$q3AvL(vOx$^|O+dIb!RY1Vo#{`*COO z$NB#NIIXF>#QECLbZ01bH+(mxi7e&5oHN9qC`9|xHZ!z)W3ShW>a093B-(Ag-lZMf z7PnCuBam&1B*PqqVV^MVL(p(?y|Y$)E1_OqX%L+e}!wGiaUcFMSq z3>O3klX$!b@}qax#WOP*PQ-hq|oge=37>g;#lXO%D|C#@wA+$ zTw#U|Fne@0qQ5Eg&QgkNsjvN?Z*69mGyJy`AaNSR%pz0JkiE$x9fn6vm8;>e2Hxs+ zu-xjGB_WWLlEGUXV1V6MlhdIDV>G@d(=Kir8;>_+F3!s*ARUXjRB`f>NMBAl_UJr2 z;yc|3#8$eLDW%Vk#gUu>|to~w4YNa#9Bqi zhvXM}E~zpu@xCGVK{@A)f-&qmfu3<#8iX1`gpj;`40=|QZA9@S%_gF{ zjnplE&oQ>OpAx!Zq>q<;c27ck40Hp(UZ+OzI*Tadnpb(HAhP+UNfVwhpf=)q{qDyn zjMp+DTm4z5)NO7yTdrABJmi-+EXN^7%&Ylx#w*+Y3HaCk5iK2V7fgcrFYW?GY^fiZ zNI1f|1=ktCCo6*76Vo|Uiap#dKX;PHnClkz-X6R*7h!K~z3ag{+rt*5Fvri)N&YtUub;jft}Z5x2H?)B2n6mq z>HMqc9{{?qgzZ67{C~yI-)_At=W__BifrY|WZp7Q$o&A^Dl5yYdrN1yx}G>8jAi7N z!m9mA;8)EN{B!Y)aP69VcV~|X>jDfMV{sVu=jw6OisAktd{ox84=`)`?4Dk8R39_0R1e z%g$`!HwbV_{POHU)kfpDfs2ugTC#A)8;F#^C zk6{oATI848W|`gdAOR1|R2<}u!_%6&4uy56UobZ_>GN62(!qASL#yM=K)j8lhe5kM zD-*SbMJ2C{bX^gy?QL%6zq7uJGP>GHgCd|KCDt-Pmn^G;@~Q?Ww?Ap)nRNRZ>~!lT zHt!fk`$9D98;%3~>Pv7Kk-+)5zyU@sH(DO-YEy&V5_scD)O0q~we4q8n(E@_c_lI< zd7$q?&d)QSnaY5s z9oKfTS;~a&ak~VrM1ZIvfdGtykj@N$7rYOkufvnC_(M^(zlO$h9Pmbu4A$sEuwxXU zJ1T&8Fbp!?PSR*rPu?-aIMk-xUqi=KpkNdb**`HqDId?*zj0oP@HfPbqi2Ra@mHw{d~;pTM4L&GUdk1a9q$v14;IHv)KMWM$}7pYg7Wkmrq$29(_@k*K;J z>G5+&y4AI-y=Hrb{?<#yj^e>wu%CA2MuBom3~W-MvE8_ipjS0)w-@@fHg_H%w6}I; zfJZi-`=blBSThnbaxy}k6N8M^&xQX05wu95hQq|xu*+*2yD>|3SwSFz5=?`VFariw z8;Ilqj+b8XtO=xxtvkfCc{A=2#i(h*c@z~1wdTUdCR2d2EQ&cf1PpU3ZE0iaXyYl# zb8{Jb#G2-ftq9XnyPo1lhTmyI%M3f3Nn~P1Ks*)60gJI4tI9qgYcT5GEWEh4IE#K0 zJnjGhI{Jmr{{UXQIldujdR*5wmik0mgg4?ybu)D;%{9n%E4WIy0I4Ld-jyW)@+)$(2XlanG^A$3g;vwM3<%=i{*us|OfsV;Ni)2R#0Q zy%)pYB)p5lu*VA*Hv5#qLE3Txk$^^cR5|PN@#uWdabBOED@#Y!rLblxlCl7$GJi08 zA5To0>YQ(>iy{17jSO45JwbApEM?&!NL( zqFs1i9a-(>SQ1rKkLG)ZR?c>YMqWYzfJO*XF@s)2bqyy`ji#DUBILH`eToY=DmNkE zkTcVak@()b)osR`4U6h7En-sZZjXTIS2!f#Ze!Pj+o|HSlDY3u#nVeip=ZV#^j5mU z$D*w9LkmkK%+spB+8msKxXwUs2Y!7sk2G7aI!T;kYd%g!bNK!>-FSar@ax#!cyn5@ zSv1?;Bfbhuwo-Goz|I(Qa#=|kI2>(mN5t9{?}xQUv%8FJU>+G%hDng*hE^O9M>RCv zswlnBKN(e4jp3>y(f+i5w7E|@-bE|7jIMd(JaxhPjQWGL8`yxZKn!_RjAUeOFN^?2d;b86Uk7xL3wS=?#JYcrMxk$W z6W%H%gfPh4hf+|5i~@e{s($K;R_5YX$gf4X(>>kxl{84g#h}o&lSqTa|)7q`ev=`GDUYWF~_zz_>6SX z&y$jBs^@B`$IF}yn%)p;-0?9Ll~KaUz zF}2LiJhC;sicE1tg&kOtft}3ZvUycrgyy(k26%q+UA&Sg3R~M-uKTOGj@Y12_L%X{ zVV-b%0&A<)d|#k9+4QYDPu*o^tBbpZ-z@SDcjFul+2DdmCnN*3M5Mi?mgV6lsc9{l z=>8kY;_H4=EY|*J*>f3$c_vW`~1PVxgha|P@InIlkqdcejo6Tu=aBJl0860 zIf`40HC8!OmKeg2+yS)Y<@&0&YdYh`&|WW{b*S32I|5bh(`;jDPymZ)01m(moD=VX z<8KsL>Kc@n(=-=SFa->7gpA{bJAR|1AAAx{X3fa`^B3d zHZ#D${JRR)5#>jPh^g&lM`q&!-G=mk>BcCqdm3ok~fV@ zD#!@lMp;e{F_kP20=bJThK6N$n5&NC{6D99+lt{Qxcf_7i&mH9k`6q#z#N~%_deO{ zP~g+LM@|mCILFykc0Cv2R+Hh2*(Z<1+RU0;%WK1gl3>`*&ygd1eBnkyj!4dV#xKR6 z5U#bX+sCIts6y6r$V}7E<|{@cMfp%D3dhSOj&Q)Bz#)rf&1V#vGFLw2)K;;Iig!FZ()O})?t3S}e;sPtSBGwNYb)^`wx)>< z>`X@4JhDt+j-+9L;EtxIyS2EN!s}tFxYPAGE}XC18|?W|ssIH1xGXp%fu8CI&CGZ@ z?mvefPPwRA+3H%I#Cd~EpXZ7w$iZnwLk1wQ8%Sgzl`6w4YS+hc>Q|p@)*-dHpXFfl zM60xe{n9p((||bx9@WhYJGiY6TMb$&5vNvGX!I`x=>8Yed^pi-ULEl>4XXA~#d8D- z6K~Gj%ts)ql3NGvf}@Ey|9)zCWu@R?s$x`+m<6AHry((Jd$?1 zSoo8m-RLl_%A}VNg)*(QVlyIwayL2oSmc62HgnIVd2frg<yli~l1*&a8{JY*E!{~3fb1J-BRr6BPH=mr zoX=@+vM1h+gMfWT2;=ptsuUb3$J2wKt#!W+d?R<_Yo)lAWRFjXfh3_z7aWWz8RNOf zVZ~`V#+5tEW5989cRB{t^=r=vi1e8mW0jq`$Pz1HFd%SnN_wA{+O~Wz;gNL_n&(rF z(FLP!-86BM{4iB4K*`&+M^@mdI3~I;h!?&Z@b|;WZ8bPr&h|DxVzq-JQbMTthw!H( z<_9D%Af2`6tEgz&%x&haq%uaBGd#Niz#N4v3CI8r5B08E6%}Y*CXwvY#6eq`>)7ag zZFi(-I-+UPyWPr&k&$d+a7uv6QG`VGSnxwP2RR_~!uXrS*Q3JnY1c{ia_pfX+;)Xr z;BC(bEPk2%u3cT|mP;GjP98c(_JjR6=V8`9%zNh+h zADKN$7e;uDY;}rvxlY`cjd<##f@^ES`i0f4;k#y#rqzL^IU#uY6rSJez{jGWO2~#e z3bV%x6ohVf3V0af*C> zTS*w>anP@LM^^hCH^b5kOx@Xs%6cBP^cR6<2g267mnY^OKiWRxzJB<6GSUsW;2-n) zdRM-94cq<^YhX5TU%r2c*M*oz{h^$BV&%ol(BhZlZj~mq)=j0{eU02A-o3!w2ltF* zf9%~o_^a*V{X@n702Cqc=8*8U_Ny=uGsqS(xi_d*pfesjC45=~zA<>8U%b~f-x&C6_ffok^W4jOa#@Zr2l|cx0{{*H7yu3{=6@4u zm!2Q-9p;azLa^UhT*+;54)u^nA~{A}f;C`?JT0JKYsNS<$fUTtnaV5?Mp>Hx zbOR*yC#M*%L)Sh7_@Be=41OcMhQY6+CehIhi1KgR5<+(QV37Rt_YOcD)H;!l?B!Tm zvX44i9$3L*X2OHFjFL0@*58HoJN*ts*R(BFqrS7;^2UIs$crM6m=IfWd|`P5oQ|WW z(S8kU_wq1JM%}i;^M$sB<(Fy(EX8m(s0)%s1_iZq@1Z=um&3HGjjeaC+pR8slc zaHn@q$MG~xbqg-t-!3v2Bd_`Y04Bbm@h9y60Py2d)f)28SJNzEm^NWqTLHF!ar@u~ zFjxQwQZhyWrzh;+;2p9fc)v`IgOaQ_@T7ELXXFk!I{tJ ze(+}pE7xKqZD_h8(u^*w`zF*u)hCb^*WekN9Fy zG}gW`Na6EW3ieFJV<)K~gU1~0@7s*Rn}(9q#lxFp1WIR@G6>V>6h|J6msDSCo2R*kO6E5?VK9fH6?Ps zqc?3)@(sL_Cg)Z$oum?{1cUOC$4r7j&sylbFMn%$ri};0QB3-Kb8mSXG?Q32W>KG- zGtj3U0qfTP0E6^B9xsl%Z-%^0B$|Gbb(?rKBXa&nml2UemVDqS1+e-1zc(E#?w=Y* z@l(LMjCv2jKZD*BZx5tM&ve&uMs8bTkC_aSFmZwlF_UmAcV{A^QH?~`_3}2t;hmdr z$o%i|4vnbjSH{-xFx&&dH#;sPU<$e(>QsaHiqDP6Zd*9%?_X(t+*&t-Eq)aEcTn*! zhjiZ!_@h<2G0$VA&a!=!GRW;d6;wVPL>PbpG7*>BtzR7Yvqin|_O8|!!CNRKf^^T9 zJWa~TgpO5)2tM^NsMAoE{{WeuCk@Fb%c}g1UxgFJ55*4;OD0tlRJSCA4S*$5NM3h+ zJJo+0I-eWsObYG((YV@3Q;*&-9WXy2K9v6eg>uHf6Fffh`F>E;?aZ68jCqTk@(1Q| zP56^5T>MD!6w^qpG}^_>7Do;knV%_h{$)Kj#^+PL7FKB_ z*E2G$y}VJgyza~tB83N&ohb!iY@hJwbyie{T3fA%O%F4Y|tvizj=q42JC-6 zxa(en{{RH}`M+w<43ph2dwPdGf$6&nb?L@jx75Sxf4}x<@ofUe?^@R_G>s+}yS~&XOM7?_ZfRt83aSUG zBmwoUABi3i@fU#nQ8$NtRbloGP5`YfznHIuWeltxGOP%1;U|zm#w*o+F?>6N;CG9( zZx`t>&8WepYWCAd<|v3Wq4Q7{13x#E{ETseI^bej>ULiqKWLjfIrOK~CDwq6S}E4x zMdiYIRb(5pw}m8q?!LNnN7=cvv1PMz&K@Wz>WeR*MXD%{(`Red=q)O?mR&} zI$f2yStXKE%*>qUX24fD803yhft=QU+7VlvwJ@@b`AgT}!|)#0$(U0y$C0fTY-gFX8A_M3`&f8yLDY47m5g3SQI+Ly__TigRiia5pj>XK5kfYkPWbqE_GVCbnNroi!-R=geOZd=;r{x0d&J zRu{48vQF{Ic#yZ0?y0=QuZ(ZnLl6!^o!td{95%OFR*t%UkKx;`S5mr&h%~z!c9up} z8DbR>kht5qjz|L;=V|q8>-{rS{fYh>-C5}o>GttzUP-k{I4=|zu{?pe8FyBY?*91) zcYjFzmh7*G!#nwO$FtM*2{lNg7xO{qLp(@h$|?s^atx|D7-!EU6&x6d`)ouY-@4V! zDdA$Os9&M^->PaC+K#a6zxh%BFtbW;H1oOz?1iO)gDVi`3OejKl%Ojj~li)H+cQU8|;DOJt zAFn^1dVGoxm27ZUhrH7R!WP<2c+aW-03iNU$l;QBrjZ&jAx+L&MN^E0zy#%vSa33N zlZ*mGeA6Rk4=c&R$LaXjsQeE2eS4`-{jqarD>OS^+T5;C@sQ7v#!C!(923_gD%GP( z9MUn2Evp&uhrtRZ8iu)Q(aiqh`e&I!ggz9x9=cy877T?YC` z)fg;t%v=6FV>w_03;@KiAajB;NHp(@UN27!LUaubAk>)y-4;+*({g8MI10>FhZrGo z({*^LXEy}M#hsYs^hNM(>U}_x9t_ za^*r)9QqY}$5{bVprQUH$S1E(hy02YjFO|*1bse~ml3>D?3ox8ZA^I@a&(nzOid_X{FfehUz%t(e3RO zBDg|h`O1abNebk*P*h_XO8H;n*Nc{a5nf2X8PHSrq^SEKR!p7P%8)WSUH};A2NjoZ z@k0K6U`HmIYPPaVg(^zR7(KU>x4$_bl|}Jl+sV0@$ucs?5tnBp8&n*O`(yC!UWN}0 z)Z(VLR!5_oSE(4q$E!LYf!`5yuMGI!FB9MBS`?x?Ni5EuV~RKi9#(p!`|=q&!dJj|EMoTKH?jnlea=F4sx6c+%i3sPPb`iAG267-u*+99Ex? zym#?y<93&C;XQZ2@Wpv)I?rzvwUp3LI|Yy&2_ztr0}L(+Bw$w`XJPRN;RnP^O@4m} zO(wH$b7=+Lgv}h@U}(b)m@W?I0IOk&9=%C5O{?yD7-jpOU$S!g=fpj8RMOK;)a)QI z+mvodq?c6`$WN}U0P^bXMprO8#|Z_+?xo5GPl|7vU0=kxd>0q)tHi3cO21FV=L2N zGnWrdIr}+1IwOMdXYAwRy&_^|(d>3d90cr^<&IfK;#VYqt@4s^GI+oTZ zI)&0`cN0yf%8|<*lygkcw1jzN5tSQ@pj@1i$_FG@-`^6vVWZ8e>Q=T>+T7n;+Q?Sb zBt?SqQ@3<`&mu(HxyT@^$`m^zi2T#xhmAZ5;5&Ksoo~eYYNoMhZ2sN$cWawzSs1D; zskwIipem^OK*8Z_qn^!Koof#jD>Qt4rTAFt9vrsu1?H(Xn;h42T9^{jM+3@^H+NUz zSd#hKymrpe%bJVf%X1Ll;zx-YQKI>F85N~5vtYOY4VgUifIIQjTI$zQ=+`=pgIvR= zY8U?iX1!Zkg9%lnStE?Y@{+lQ+k$sALzN(!&5|8P`$>Z8)*D$-F19RbY`$c&O^5lx zQ;<{~7SBV*2rbj2NtGMwaQ-j1zR+*b+}^bB8x5OxqcU*IB8LE|VUjl}Bpj9JpLqSD z{v&H%DflPwm&6yB7a!V?+TSmp@44hD_GtI9{vzH}G2NW+>TAV*F7FIOC6_iKQAYdxa8NOe0kHYv_FF%4D@?_LfYx$)9z+*9LnqjrWf1*=V5Z{ ztncMT`h<2B0*PZ4SI>-rU{!+8iTs%h~I1tp0x#H08*83U(&xvpP$ zJdN9bulY4+OTD|(FNM{O!^1kCmPI%tBLp8@jDw!M*HdlrBgN)J4aMviR!UWl8~hIVv*SMvuZTQ1;ajV%HtC%sx{`RI zS@xVUUoq6M1QDELAdKV@U4MmtWWS91j-?%~_Je1m#>m;cz0{#GApo2a5s~@eaHsOE zFND53_`Rk4JJd9vgwSd_=7)I;$0dw*lU!Wr63e);h2uNZIA&6K&f~XsSm%5p_^10q z>Aw&z29f^&2}g==G}V$D-6K&;yXfLM+I-l9ZbnweJRFR22~)K>DaQ6X{f#I!blJpN zzJa(XvBp1pT^e}(=Oe%881iw>QlpAuNu#h)tP z+r<&V9D9yfgcINrNhglEuLFlu)nM^3)~#-*wbX^lo-2rC^5l+S!MC9pAco{)p|7Go zD||%w`*rY#PuKiIABns-b!B?68=EUzojNB-{ca*+f_KzE~fRY$wVUFx{HQ4-C@vp`$XW%Z6qj>90)h;|f zH6eLpt?D+j`L{AOa&1pN&K)+qjJe6ia7w5P#rY90zMfDx09^5>&x+G3XUPlD<=Z-25i3Z?&K-ZG)F%`n<_g-oeNmuh& zGL|_fkb09-fA*i3>S6x?E`Dci`y%+$MDPd4-3P?pC7wt$+g6?{nd6Ab-&4c=4(c0luvZ>AV5wc0yC0HUzS(G zlf!(Fh(vyTaj`@o7RL%sNawNnPvmQ`_{Tg?H-_hmS(|o&Zb^{xtTG!1JdMEr0Q#xa zZB~V!b9xop!_F4a_aE5@#@_}!H}FHm+82zxWvJ=emx~fh3-|?$t`ZR&qP!0rZZ{D# z^4#PBw*xJ~e#|=8!l*S*5qN*%Mvpb-ovmAKv(_WEGEb%iEXF{H7^XoCS$A#N0R>sH z zKTEy-(3e-$EaRL$Hkgr>i?#y;^6kh0AC6lcE1wYP)3b5c$hs1(R;!wNq2Cg`Q~v-B z0r8*1dR3;Jnh*Ak)EZ=BNxsq}X=V%N5)m>6;m4xjV~Xf}GvKK{2L9I?4wIyn-;NBL=&h zT~}6JL4GB8*Hyi}ySi2uUTm!M-#A|{1h#*6tH+=i$6(b}#Z%?`j;eWuTltheFZk(v zSK_Pt+Zk^og|B3LXO|JPM9f$gMI;6!la0-c;1i6VHsa>uT#2T6IsP^+&*M>UM{k(- z_3vFrg><_uKTTg0c!DVAw+)Lc267(l#{iN406f75+aPDC4CN?Oifwy>7Y!Oj?6Jdv z#?hWJ$GPfBJevL}*EJn`SCdh{m3K*yksvD^DUx=g{{RZ|cpmjvPtvs;^?5Ae-m&g2 zg=X3m0!a!E0Ngs#g*(1_oBl+~SNAFH`JJD`{{S7y;e&5OTU2Y=L);{)&$nRt3X<6v%X{OgYU8|J{l5DB-2Nqd$u%ivX1bE~ zou!fbmS$s;PDkcFMP`L#fLCw>oC>K^r#rpVF|UfRHOVG@Bl|4=(AK^RxYsT`P4Wq} zrxpUz+_9G7SdflMI1QY310B2Ne$d|+{5|nQ;Gcu-@1nSG8)(;3#dz^s2yILyMpy;; za^+wk1sh7p08m$Vf<_s(uq?-*$26-Az?ed?xh_Bo6p~5kG5C+nQ>RWeqiAS?SelZD zD_S3Aco)aN2X$ZB$HQL_Y&ET1>iSB3t~eeBLN6FfA9R6s7=upu0G8XgsRUG({{Rra z7AM2IZ-;*tt?!|+H>UE&;=oC;G-{-)?D_dJI2p7328co4DX)jP~}YERLzTOc!$PIAfe2aoeBPy({4_!~2O^-&fY4O|vfhYguu* zhfwaPw@taoJ@~If5r~Z_ImqO9sVnsx@PEM1Gg@o9jk~h%4{HQ?^Et`$F`lCt1K5*} z3F|&6c-|ii!umd)0c~nQmT98_Em6=A*xX5S8z3E^WaUja;@6Mi(4aa`ho)_0(xWU?{jFWPBY)wo zcl?LmT)WLYv#$BBn8?iR%tI1MIV6&KJd%2z{MAj$*vvSn*9{~7rJ8eE4O6K3qo<$EBE4Uya6~=qxpHOk=bKGfqCcmg# zwbqlNYIm>}{oK~Jl1Pqoj41=>An}3EH17q)X>sDs8rM}p_A86%673wUYvi+l-!UU^ z%6jpRxftW>%^upyO*R>=w3wrkbx@MVi5XB)Q4lEQFuels0`ZKHzYoM2?Mw@gEN2OYgDqhQFlR>Nc-$dln>!vVzI6<81Od`^wdR_ol)8SQC8W!VAtYlR zdf@&en)=)Jywa@fyj7y=wy?BvYSs+5Oi+U}L{tVOs13t~3<>#BMgSRanEoV{e-J5B zpm__(0B4huK=1tpds!|y<1o>yYh4~5d4s1-^F?cayuI+`f2<4_s(^EXbM0RD;3ZG^ zMd07pFW>#6UIXy!0$G4L0E~h7@tXHP21<+J*azO_xd4B7*B&?h;h#5x*B38CkN;Xv%?=3mmZA{37zioG1kGUWN{|F_ zF_6Ot7{^n92PU?^XdjRI&&0nErG%QqHc;7K3)?s>t;#=`s^L$WuriUqkC={5dsfH8 zKY(5X(Y_VwK0El$;~UKq4SYuWRi>I2N80LL8HkQt?o+z~aJd_sCur;bGk(Y(9<%Um z#-s4}#P_q_+1bf@wi=9)&GzH~AKg4^yM9n{m0(FxmCk7@F>dxYkJjTx*UIn00XEHN>Ey(LkvAwysnV#NHExz$iHj+8$cs|3Lg5d0O3Hh^*oqGQO zT7|QZ?{UaAN-1cOqcuZ?A7H?d3MS zzd>afT>FJwk<%l;y;CgPal5Z42B4Bnx6p2r3y>J^z{eiP`5L5>X4rX2)momL;X6y1 z{tnpP!6a!ElSl2m($?7AO(2A{hA%sMMC>qe zhGC77!~q!LMn(eGkHS9-YJMcv8p2>fV-zVAl5bakP_6rav$rEF(87gPw#EYhFue zyhHIT!un>VEUO*F=^fFM-+z##45(1pY$(n!tXQxh^*-o2 zPp944BA9Mtc@+G=H^>xbJPd#npgW6UTBW69cS1F3d)poXtav=z+|L5Mw%4}oRNGx` z4ZIALAmNmbdaq-gVB?ov=^&0pD;@;v`W>yUY8C^W769abKvXTyK;BOA;uc}PY zTeOXENLZps)wk!aNnws~J@fhZ{{R&{8LV1OqRp#VHjeRBrCL!loB{3bw0hb#dKEeKjA># zqM+P7LX6T9ps4bAP%*obKA$M8@e;a+sxsztt2WlQGd>o1GJHzV1d{n_{hwmUsaH9ldbO$20<-D%?BOhZWvov%)9?wbA;qgC; zW8G;s@nL9^0QWKl%91cZKuVGiI3t3jX5EYr;+u=F7I>oI#iUu4PCit|PTP2fc<6Wo zoF8%-`$TBbSa|l`7hPRb%(jgtWn_@UAS|*)@)AB)M#niIkHtPYcxzDb&WqvgKU2IR zn#rY0QNW1&g9LDTbJIK?25KcrP2T#yz^GB>-E1ZIjx>)lLucV#3ON4kVeOThXk2rQ zWc;dg>z=te#PK$tFeR9gZqlp)4LciTr>^b~NG9rO zM{d`1@f0DPDR(&=RY4@5Z^pj4_;>ppY5M+;B)0zm5)_zD2u&nNHl9JqpO8*}D!O=h zM^v>Yq-s=Lv411v==U>WN8yb1uR{127L{}2eG=baxodqceOJo9nmM*I!p0?bUikp$ z<>!KW16lt79K0Jh!>@`Omx8Ceg7Qr!Whr?SZ5f(3jU6&G!P>)*QhsLO*Qsb4$H5&# z!G0aH@b`iBdp{N`!wuc^vzCHOmGb=70M14T-+|?hSO696N^wfneb-QzMqT0xfs9VIoThDHo5+ad~cB?LP z*Bp*2*TG+k{{RSn9(;Jw^?wRzcUmWhH7yL->n}ah+_Y~jzG}*-lS))B$V0B}z;5|B z3^$)DG^Zu)Iv&khaBej;xsCfud}8=r<39}RIu?cS&f3=TFCzZM*AygEM+&#ky;XC9 zH>g6yVZk6W3f%A~g}eoE{{RH@@h6BZw5xlqdMU!+UR+y-lG;&m7Q~6j%BPgbNK?-D z2ZMoM;QY z8dH4t>~nwcOy3M?dhd_CMSrSkRyvQ^G{s0`y|+mfWO)G$G7b(9joom>cQw87=fL`` zKNh0bd_m!F4`{6okcP}?M`g6@^AjnMs+W)#+I~P(1ngChG5aI@Jn+@shNUNpwb}eX zt4xZv4{&zdELp>ek%&@G7Ygl+;NX#p(EYOhDrmZ1lc0P%@DGS&)UGreCbqrR?dAm( zkst2kbvP~re3jt?Z3MRLa@2CG3Dc(jVC4^Bu6dWnFCUvo?tCqw-igZ0Mb4mwfzD1< z2|O0SB;XOy`VT47Y_7En7|GfHRfGNh^$3Pw`8{(&)NcSmnO)sj))K;dTJeS>M{pX>l8Qa>X=79T;|Cc?bL})jwm;6l?w+ z_{VQ&;X7Xs$*Svdn+s{R&C3i7#1KO%3<)c=0g~IcfJqhXAMjGmI#~3-0_vKsoeOHx zTHIS|7nU)Vh{&r62pNH5f<~2*Smy=%l54IBG}mJ}P)?t;x$-8^PEJla#_qpbMl920 zjo^1D{QG`YEP$aqkZ$A*_NdryW+Y=B!Rzl*bGWn9JRhvu>e@;z!!un*xRFqj&Dgg& z;O9B)PBF;Ow0Jk;R=uEjVRTFVIA56U+Bhx}c7j2ZpWe6391W;A3QCW>4>j^#t;E)| ziQ$>!E76pYf6q0$7mT%$8!W91?a$8XjC){Ues$yHvU(WL;zcv)@HzabQ&5^cL&v@- zzSgx{zY%zf_zWoU%o$}FEsXt5 zc-Y1M(9bgm{`oIM#XsR{>(Y3MuBMI|EF_SVW)xs4^l&$4zovU*ij(6c2)dNgJ0F}! zfD;(~*!1tyKTmq}?K9xMk#*p`Q{s)h)?eA$W$1|7G~@vFe}YI zIBFB$Ym$iV8a0mI1u6oZ5fX-SR1dsCW1RBGB%G7z;T*$k3%?wy_9`V zHN%#KP?g8HuD8KH4U@zX+eEReV6*tMo;z+>GPI%4@ zcCGt7c-}oGEk<7rU%?cJ%u-9FNfAd@b!fp+jGlUqMn^U6^P|d!60DMGZgd~;O}luf z{i74i1NVAe$xMTs{G&PSa7}VQw5E$=ckxrk8m5Q6u59(|*!4R%`{=^q{{VX*Cvq_4 z_T@WAj3|Zh?qDC?fy{&G8HNrSYeSyjvBof!aH5Le1g1m}utNtfGjz zO%=PyL3cZx6edXlCty-CJO2QOKM}qjYaRpe8|l9kB=EFe6owm}V@T8Oq>ek5Uo6QK z+d%!^<&YD~x0#d3sQ&y9IY` z!R1aXSiAMq>2XSu_q4I_w88hbkVN?FPRv}AcI2Z(z)1c!c zII0Z9wsP3@E!MuX{e`su0EV}XHLs7f%NJXXIQ@?2QkoyLgZr)Oiz4I922#ZC<0IuK z29%{^%)-%CYFX@j-*4bec5MZwp6&8 zFpVOhQj9lcfWX`U{cH5A;>W|E0>h`am!vBAd|d5|b+?slk%w@bS9m#N#@uHZ0~y*Y z&b}G^0q|+~L*pGo#M&|m+e?_(2^)M6?2V)otDwo`Zc@s!ZDtrF28~lkrwkk+JEgJm zKZEVBFZ>H;meQ+56we&dXK%_LM<1T^Jbt*)HH?s%Aul_pZAx#!xyXlwm4Ux0oGw6(Ovk@;b6 zgf9wQ`F4<(+K#GrD@<_Tb-cs3I5_037UvMHb%&Eq$DQjR6ufV#_+CE_PvQ&M^vI*~ zzQ-NRzDZr-@#U5)!vNe4pn42)F^%n#Nj*<*&YQ;>`kHdS-{Czwn%zYs=A}7J-c0QN z2kUxYg}h9j)$l zPaI7WCeh{0!O~=O130i5VOdkg37nbp| zSv|jtue^whXA9*OC9oY;fMJq{ZrqBor+(SL5;Yqkrk@XZRYbP(A#bx>6M-530H)*L zn)AJT!Coi4zHM1FYuhNbLiaJw$t-b7qDCfI!l=q9F_s{)1zSCUa*aJ3+?)(ja#Y>X z=DsGslUDI2qp8QI$72llF6nJ=xuLcMk|RRIw$kX#ZT|oYp8o*hCDw(aTj~Bxc2Yc+QX8aLglY%RAyD7D9PHra zj1UOrEM}Ge0B5V%5rTW;Wlpf@j-*n=O+oZ#U&46Z zJ}c-DC?(FP2u-QGCwRsNMtSHmDlZZ=T7ShYS<+W%t+koJm7_7SRhZzEIofbO?>Oe5 z_DI*qKehrVP zK9pUNsVyf!<8kgGDOe=U`H96r@K1aZ)e zj8~D|Y4%d+;pJH;R0LbV$Rq(U`69+kdoM)Br*_zK(xo1gYkr9SAo_N6o z9C66!x21IKWSTT)P?O4sbWW;-kO5XXPyoRPAoGlS*JG91XRn7z(@m|-KMm?ymWQU^ zX_`&-)wQOj9Di+w(aNmKzcEQRFf5?3JhlPJz&woGh@-Q(lIqnG1rf9oi&j|oDMK5^ z3}9{y7=w(0na)OP2+J|rPcN3oPBLo4Jybq3r6bsWgvt1d+ z_$T8oiQ>&tTRj@e6`I*47T8u}42naj>N6~3dFh2G9FfO8wCxyWQF9ta`}SP(xVIkRAZ)pbMX=ai(%XK)&_hW&R-viKNliNzX++w}eyF5N+MyIsueQn~O zir);pb>SU%Nz=R|W1;F7R+5W3q|_l$vanEu`JoUDu^%h5DytANfP9O?elGC(X*Tg{ zo-4O$;Znqwk&YCdv8l%coO9EtI2Gbj>X*)-J>|jb8#1xT{Oh{?mi`#e@n7QQpN0I# zx3XB;>r%KtGYz^CyBY4ql}85!!Nw|TVIw81im8g0DaIVOXKwyH@Z-sE99|+=OgLqc z9oG$zK?H(9#~B206dd-oXXEb;%cuoDFGv-w-V)0k?3-j~pVp z-%^T8&lnjMt*yb8B-4Pn5g3}>V3u$ko$7cfS7`-~KT@SBD5>gaJS3WJJr|khK0o+{ z1UgaCJTHGG(UCrT)eRa&9tbLkaB^1}ZrqMf%sj-iGjO@!9uGMFwdfxR{t$SZ#@`Y& zzYJSj-CHK0>*w7yoQRh3V{@_I6;9R3#&E=76Omqt`(ykQ(0m>7TTjuv9cwn5qrQs_ z*E8HL)zopyWtK9|=#ECigo36eCFQe90^GHpdV|%157_LCT zc@HN9l_NN--?OrOI`F^jQ+s*iZBtd!tbA#4J)P~rvvwY49aHT4BW^aS`JW?rU{4sY zFZiKpHnrfLR^Hm(bPIUID|T;gBpW9)T)bAwJhSE(5JJ1$#)`_s<$iHf#9t8fd*9ga z!@mv}TuAQhZ;Rbqn`r@w4EGW%vxx9U*%63boF1733c|*wp3|kysK%^d`PQvj75@Nb zpA~#8)5nNBE%8T5fo!$dHrwk$)J-m)>aF)MjOb3_Lnd&@xda@p=k0s(Q^FeGg8Vb^ z+u`-Zm);-H5go7RiPh$HjG_e&s(1|KouCrK00rmF?EDdBZQ<*EcTv@%Z7%pqEN|oU zLP;!AMjd`=gQ#CVHYaazI-2A4=wr3kCW7B_fp+1Tf_VVvj-w}oRHXH>oo{PSnvAv+ z_h$|yR{8$v&*puS!pyf6)t#9$v^ z{=Z6eYEz=~j>kWZIhcH{(`f1sUZ?#1Dp_%hTb(C>Lo-TucURcQ4+Qp zxj$O)zW@?snDh$682o#5uWj%nZSdSd-)Y?6&c1&bzuFlXKljOc9+jm0W4+V<6zW<% z#1THdHPX#ta+VM+v@wGjDq`AKBV%LbI4n6EMR-QEpBDZg`0n=8!pBm+y(MO7tYS!F zMJNv908~JD=Zv3HMtshH9(bC4aqoWI%Ca&407XHFHj|ROJC1t&?uR)UudRP&9}!)6 zZG1?#ej4!_X}Zf=TQ0Yx>89Y?P9iE*f~#j~e2gpYBmxfMUXBwC)Z(YIS{~Lnnx`te zTIzTofpt%cI$LTCnqP^H{{Vx--CWNMMj3Ri?3>KrCgOz=vm1QBDRMBZ53YFY_MP~b zYvCOlJ%7M0rNOLO`L2Ix$R%Z;q00u(=;j^|L* zb!`^PYpqHfc-G}CUJIzQ$r2S08X*}}`L+iu^J2X7_RQ8S{3oP*57vAj(P|cYJS{el zCF(Krqlqk97IhmASygf{r<3^xcVo5h+GsSTdFVXt3BVKzQneHfd_Vg}7XJW+{o`>O9IuG4jxmgXq&_i^ zew7oVns#<*%DqlV>aTaH%xSu&tKscwZf(oj-r7lxtUl=-Rg~~S#xQGF#1tg;DyJhm33_pO=hd1KJq;5qM!)4~aZC8nb-6^&z7iukBlWx_+Ie4+D5@r&y!5@+`baV~ofZK=Ps%@3Aw$ zOtAogo-;}{DEB*P)vGC9q>qiXTdRwG80q?pENqhR*)#cWj!ynTLUYevgjSWk)trzy zGFiIDz@kg21W-Bxz(%Zi2crYYJ!|W&H}tvM{7|5%3;^AWPUV2f zKft*<%yeQ}EMPui2mb9`cxMKD_UOT(5rI?7Vs zJy*?=StZ%M*@S2Ug^d6kLE(;0KnvQ_Zuc<6;$b=xgm-6w0>|WyH!&a%GtDe$TPJra zGTecYoNy0Q&q3O|zXSLKN4t_szYzGFPg@wINLlRe8N>|T9$m4s?BgFIu_W>6aegIe z8b^oq%WGXLQn|Udy0TlKW{3t%?g}%WLD~*T7!8aZWVqrXIr5{%bY!8;(AW4A<12py zc)AU8Q4`5)D3Um)vRjmsA(ZfRs$G6!gl8ZXJm)+N8dklg-RMiBc&6I&>e}0z8;e;KPi}HDptl=HABG29 z3{PYK01DMCp)=*IWZ({PSe&Cr-FKtO+sojzw~p3RK4S#F4pMdEs(MC)=EKsNtS8`#gVQM`-ahE~*$Z6UZ%$XFdAl5P4Fx z-!lTpffn6ix3o!NX-u-IP>xrQ2Yg_BzmFq05W{U}{iWp38%Y~WDHA|gVNmQY004S$ z2Tm{mz-6uV&CQbC&1p35vN%^&I94N}#tt}7Y>}Mc10IKSb0wo(n<=aHOOHvMJl_LB?_|w9gdRVTh;l&pkbm$r4iNi5oNOZ|05ONrP9Yu3T z5eUgas~u1C@si%4(ap?LyTxq2NedgC#)IacDUev> zgYsjLweYu({59cKmg47K({v3dn_rl}u@E;T(t{Dr!}$OI66l)g6b#=oFg+s&)NcFlIXmT9c+qG-`~B8^iR3O^ji)N>(2{p_;Nx5!e{8!W*OoFdjGeVeXN#`1uM~U*_yCGO$VX?+B$RrAq6ocydoZ#tmIF8kK&wuWKF^^0o#s&vVwSMhD6_{ywIw#uV)< zyFcCZ`hLGkomk~gIO|<blbav3$Ax?o;@^O}7sh`D_;%OB?KHyMQ?a*HjWAu1oG1&qWLyFOF0Kjb ziiiFQ(XaS_M)5z1Ep^Ri%S(IhJp>JTGQ_fB&fukp1%~0rUcQE}e$gHv@Lz-e1n55n zyeZ;)U2)#dY7(iI6#&gRCE{(Yz{Qm&p9rD~B}&veJ;gg)OfokKZk5r9@)C<;)YhV^Knhf(WK`pht)Dtb_cmM~N z&sN*RZshMQOJvu$=G)<%t5%dSlB#usgYWU^x55_v)zdU!|AiQrYjN7rdSIVh5NcO1d z*bL*G*G>v}j(Bplw!XecwVBtYN|#%=q0@L5#Xl9b{{RvAk55T;E3XiE+S6{SX*IHj zy|*LETq#TJ`SHuqM^r8nBT5 z;V>U|NC0H8-Sh8+{vhhwEzCED`%sqZ-M@7yyO0p1sb$=*8wYBR7#w@xk5$z_Yrlz? zdJMW#+}W%W8A01@Lv40q06X{#xNX1~3cYd9z5B~~oD^{wZbj`kJu~)r_=DpQ8-CN; zEBKdIx7Dn4du>M6-tOAoHHBm6;X@M3b)Z$n2n53iqVunxy z<$^HU#4L*S%74K`ye;9C_^qdDdR?Wam#Vgb1h&Q$SYob8&;k_@DfAdP01E51 zFNQw~Z9W*M#2LOcYt!j+Uk|bCb3*c5!E_mpV`Wh)w&i2fwteg8f7*`D(S9dcu9fi< zR`H~FRxrF;uCDH{8x|wW^JO?>0}Z%gM*M#XH7z-Bqv$MGEMc+b*HOGPv^O!bNf9c_ z;{{0UMMS$#2WMWH;MY>#G`o9s`(}%wYBDMmYm3VfB+{G^8J{^M1N7i^G}wG#QV%cw z5q}HqQVC|$L2fWHo!*)C>-54>sn$P<=6k))WpEDAtJ9ErV-@Y+@K14WKWhH~3-DR? zUUEnSi#v>fJCpL9uDTwLmKEQaxfvPT`XSdunkQZ^_z zHVa5XoSb>@#E*_21@tcf_^RvS;JDPa?;czK0K~$^;>*j9*_=3YIVv|CO)0{(fVXhG z)@Q(i)f`$TE_hL`cP#TL58nQ5oquB|&wYiJlko1D7&dw?YfAgRF0k-+I(TNMBW+n=p^ zU&arMQux=$`o_He8qqPR+-`~Pt$fRbi9krzpFEI(K|jj?4r)Ibu-L=G{u(bIIsVV; zbDWX89@y!D)2?Q7ntDg^JlS$NH*?-T0{kKPS!3`YO8A@cLtoV`q46dASlY*BgA7=A zRWhlK@n;?g(GQVjyxnG)pjY1h1j4C zs-!BZQC?Z$pN@Vs)BYanJ`J)gWw6|+cd2Isb~13ijyWR@^A5Y=7wsSM zsu*p&E8(9F*lX<-zn5jFrkgy{M;c_|7AFiq9AM-HQH%jZ7OL^pou1Z{ijAF-;P(1O z)y?J9c7<44vB)p;9A}^(PwQQm#hor~TJu4Y!YLiCyfGjzPygw z1q~#G?aKum44z5jfNRjST{~2__&2Gveq!H8eI1ljBC|T$x;se{zBoe1kPbd~2ZlAr zcqEAYRSP*?fJvU6HZ^^L;jfE73#>n8m+cgRgv58q0ou|?(h_4Kg7eg? z9lLM=Q7JT^K*3T|Qhe4vK+tr17x8_Dm9Oecq}b1M6nA(2SDr0mW>C@uQrEUo#X3mTUPN_v8zVc z8i2F{=TN`%eC}RAzH7<+MWk`ha((N6;3vh;i60GhrC$l&Nj<#pnY62b(pyY$GQ~0q zwh?}HD%cp#IIWXN#+M{2T2Z>b=c9hwUkUykctgZ(Vc-o}TU@!lf;aHAQOh)zh+)Zb zDPnNM#&)(z-ZqdFit>1T8{wM^@3CthII)jXjnO5uhRR8!1+lq&)H_K~bB|C*2D^XS z6U6%NwRNd{K$F8}-v0nxyN=fLRQEg(CvzfLgUj%m`4mSD6gM1Tzu35vV zPk*OrwzFI;F}>~Al+%)Mb_^0gILXJZ0op}lDY-rEAIz$eUen!)=pPxr9(*?Nm7bS- z;g1>5cdK7ptg>nU0B4Fw+3x;J8l_c#X?F^vuc2c3Y+XaqhWoHqFWAbw4 zoQwhom-l-D4ss4Oz9W1nzVH^MX(YP7n|LnmlHkQ}D=Q}NHAVxIkiJ>TJY~>xlZ+R) zOvr#+vtxU!x|}OE2IeE>BY}`W=Kyj|bVq9*wIy}f)UlK8D|Rg%pjU;=ki@biY+E03 z4<`o%^uP<>nvO}Og4SuSSzpRrLm&a0djP?*cqb)+$x^xca9O3p4fJZTw5vV7Wcx93`=BzRhsp<1r=D~39;UO=of{MBl3S*q zF*iozbYSK=S+*4?Z_02&k(MjL`HABc{T@h?F+0Z$H&ROZL@Zb3<#D{8o5!K`lQW51DSOxTt%tce^#R%D0=1hQu(cZ`1##!s&tQs{C=KB0@5gd#@s3*Zuu(a1#{ zf?19N@HiR4H2W4S3SQp*scs>FVIA0zsg)RRNMXAK0=OLT2NiWI`-peP46kt;ZIU@8 zY%;Dwp*Y44(#_APBpd=NDe8p#lo}~oGnmy`kRXlMZtcv!b0my&^Z=ZCnbyNg@jjvU zYx{^axLg+VeDbRpMJLQYYdAZJB&Z{n2Z6;!9Fh$gl1K9zOtMDvl#c`E2N)R1-;7}3 z9+~-;eF|-JUbM8+sOgRIPsRF0(pu>=T1z;Tt7-SI0KGZn~En;L~*w>y51)yNqX^pmD*gl11X-wl|Mf`PU!;Cm=Qt1Kyvr zf>w-*!c_J*_iuCP>xk^7x0h12nkLh%rYj}raMtl1*&&>-1cIZJlacB((|lvsw446` z*zZV`>XvuW*y`pfOc&94iz~d06_ec*H(q(pF<(9SKjTTzzh~bOd@z3xSlk_M^=_io z9!yPaPnW!4gS#RW+sf_B9(c!|!>Zl7`DuMNGr$uN2kTbpIVA3PRLmlkluw{$_=({i zJ4Ug#OcNBkt(d~8q6cM!-YAa5RkpU8f7o{RFF_VoN}?}yKq;tLi=-Tspw$^p;c z99L}Dy3R;^X!n1PCTI9bb}l7mS9oR$q{t$S%@|N~z&xIGRxvG#w`=V zI)&ucwt9r^=gAlzX2eEz3Qqu#m;~eugM*A$qI`14{3=_>!?620z>-GsZFS7C<0o%Y z0_Ty>cC0VhK&btw{4jUp_m=>lQ}$_p&*NTZT@*~dWCn@-n$ zCSGZmu!S;P-gt(_DN`dODA58k2t1BP4RoF%_+#)k??u!s^*wL_p3m zOQziM*B`Dchxm`A=vue!>3O7ht_!x(^*^#e!Uyu)?z7sAt&#GqfM*_zIXoXw_~Y<1 z;g+l7Z9W-d)U@{3E+@)b%BdBqh}p2IfB+;D!N<#iz%}UBrw1nG6x2@GMYOq6)cN-7 z;cx8i9n`T~{7EkwO3NEIy=DU_VgdgERw?lOHTVRqtUe=;z5HGvwCVUD_WX|nHQM;E z_G0*dZ+SJ1rT)GnjQM_S_c3{x+NU6SKz|c<>^dChH%tAM{vJy(l{MXUnOG79#2Zhx zJgj53I#)GJ+YL?HQ8kWNUQb47ct7CZ?9r;}OFMi>SZ7&$w?pDxT2jk$;*1`(f-F>R&TV{H_% zkgX@km5!>IH%bcon_eII2m3ke8nk+LkK={Z?qe4Gwa)$_K%0oKj9gO5Ock|4_~c# z?}tcjQt5zPd4S*^gDqag;1Q1v#y2nDZ|7e#k6-N!^00SU$$B3@r-{5!{wO!+QM_x( zCEDq{fPQd%vY>&tKf{ag{7; zty@fn+FT)o#zGc(Bno8R^AYllYZDT-2H@W*BPw#E zmBGeFa7d`b<#`+d-n*Rx!8)MUtUNI`gtF@zrPOfRk2)h883|MdQsEao@=sCIu04w9 z_D=DJo2JEie-*Px`7I1q;$@76#@)*J&ImlG{>V7}D$awbwx9c7`2KP5sQgANsp>&Au?du0lX?EUua_v}?(#p6udeUx zTj87CBleB4x=k_Rwz3ztmvU^_Lx_0FG05cO@bw2Af5b~)ihdLQqI_NAuLZTnoi+Zo zsQEKNbqIOkH!e!FWaN*OHr8L^2OJD9YXuv<4v5m7I7M3aZ1nA@ji|l1Xa(M$hn)$cmN5r2C_}j#vVQ+_)z7x_?*X-$MY}<{M(03+e z0T3WNlr&**N|xn`&!hYt@xSdEtb9V!?KOWNohMDXl$rGl*sX3Lg#do3-z5r;MhgyC zIj$S_{qawPyjlB0-QD<1E2W*Jc6aw{9@V5}Mru(i5Zk}QXcNY3B1M0r<3$Qe=(d}gzEoumLiO6a^d;v3CA$LwvTSZYvV zj6(LzPvn+Tq^Rxc0qA)c$;^x5hDi7S0KzHZ)=QWsi(LzG;UTf%BrJk1sDSWkTK2&C%NSENv@XT#xk;^KZU$Bsu&ToN>wBP zMl;t06Pl0y67Xhc{{Vzf!#H5sPwf0JKStx*(vN9V`F{~Lsm=M>UP<=$rG{9?G8Vw( zVMiy^pZ>jc-|(3hj1Txk{4KvH_k&CT^U2^GdUohLWaYo`mu;AX;U5euE^w`i$06+e^>ErQBBrPny6!6rEkT*V` zfH=VD!#sNb09sY?s-pAZ9}5w^Tb(zu_WRuqIr@>n;*NR$04L%csP@0vm-dSA$ACT) z-1tvb@FlIzsce%rm#ACYMn%-lNkMhJm9VTp-lUy?4Zki=NAS1y(30E5QMZe{6{K6~ zQX>hZ&}|CbhR4crB!O6DV1h>nIpYVh{hxddqMzBfL-FR5@NYx$p1rT$NG|N$Tid0q zNi5Otjiy&z4=Hj63mFN{6l1OUlkl&?o(fs6d=L9ST6n)vnHpagAP%;1*9Cg~(vHLV>cRy#t4Q%rTrF%De~QpNg%oej{l& zI=6>>GpE_<7tMEZ<>~7hxC+N>VLHstMF%3xc>lzi@z{%hqg1ZQBzh_DG)tWDX{wR{%ulm&R?2Nn;KKPTKhw{g4SH*q_ zlqZU9UCsy3&UXI*17n_}wS8Zz{73j@H^6DMIQ%GIT!!8#;J6xC%=Ze4rKOW0Sru`& zoz1lHn?si=yV%bQSK39nTSF7#hs7`1yGqjKPYC=cl1~v>%MHbWyt9oheAIPs-(qGi zm{J)E{KG4eox^|P-|csMr)i!alf#}3)1$qA+mmRDe$u0pi_rPs;-|-5KjNqC z-)(KYEAC50AZ6|VImryb09AtzPW?PE|eU>P@TsHTZ{Np+(|tQo~W7LWIT|>vKs3v$}>@*n#q~&paFw2U0gt z^X~1nAuQ4Tg5o7sK-m4#ova8_INS+fSBxCvYi6il_~*rbGw~I@hP|y%ac+eXRTL_- z4clG7A2SWlhHR0z^MY#BCOC|)?+HoSm7`coG-HAo6<`t}BLr^a893u0)oVGT@-I!iQQS**o>+?@vV+v3u;dbR zg#_`z$2D#zv>JJWC6Sd>4=zx-#!hyp-T7IYrvsiy8LaJ687%JRwwVMQQ+JdlB+b24 zmfgUdu#t0x1bCno5`Heox zQxqukTQe%i%W)z?cJ5%sS0fye{5j=8#w!vyH{CtFc2Z53`Fz=q@J3Xf_{ZPRry$^9 zky=`OHxg=1Yo)@sYcX_;go#Vz896xG03eaZ0b$3^ML4DxeG764#5jU|%V)i$DtV1J z1qHBjsg_zocJ5L!52p@Q3fPQLbp=YVx+qIsPGsh!4V8gmLoRD&xlW-$w z2N(cl@<&>_A-0!D^NuB&Nl)4BY6V2oJBk?q>7gv5JOH}iA$t}Uu2icul067-qhRZ1cWw79Z>GTi5EeA{R$HKdK zJW-(N_u8F|uWKdVLrZjqRXfj}8o0;WBl!n;@eGW?ZPrNlTZ#O|`%IY%AWR_wMsu-4?F`sZNoC#adLM%P zPpbHFH5m0vIH8&;Ec3+Qyy1p$gJCe+6#QA1QRmm<-BKizQsm_5=wF0`A<&K^$Y!KEhA31miqE}yqF@k zjL6fz?VuuomL%{18TPK5;zz=-h&}+bx4iLRhHmuOZ!jXAio8t36R^6H74R7CBsT|+ zwbFjfek;*F8~)TutoVeL(`@hV?WUe3c?=>&c)Y+kVxxCFXKwBZ;=X{_{xEn8SMiUF zJ{5dP@mxM4@P@G`+O)qASf+oonei&XN(K$(v0aX#ut5$AmQ?7b?;Eqtcxp=Pn^WX( z6nHDeJ{i$;eOF%5ZftC|>vMIc%QE0x#y^O69aQtq8Cw`&PJf1QJW;3q?PSH=Lt!W8 z`N7A^bCd1OeIx$>1pw7NA#>qxhTa(PcZsy`5Uzoz&1TPKcNN1bgtm@7<}yHL@|%vl zbgmoWPs5?5Ja>BJBx)>EY;W?5P}u=a;lpJ7-z#GS9qSxjXi%r`Jz0;iUe?iiBX8q_ zG=B@2h-A`KLxH}Xk&n+GL0otk z&NzR0S+k-a?+{&4?4J-Kcm2PvUI-d#AbVN%B7)?lhVi#NZs1_I0OKSMYuCOeSzb1^ z;fK;R$+ZbBbpvZGo7&vKf->udE8Q9Kj5b#acPd;Fo2V@gQBMq=G%8tcA!t@{B zVf;rvg=JCBcG;cuXBfgMtx@m(HMchtTwD33-bP<644>VR8Uhc{mNC z_QOrMwA9&i^B~jghDLgQ-YdtR0<4os=y%h{#XIt|>6tIpFRZ+w^F7RJsN8R6I92>f z0B6)6df+r43V6a@C&hQy_bi&s7SP0XIc-u+>>NsBNmbOkykSA)6%q}~4RU`B-}Zur z;pFjuhcyOxU0&8l)5cKX;DHX_Lv1~KbmpvU+Q;opCyTWiG@lROO{Cpm8))H<+TFpA z3<9yNE0_3Z@c#h*7w)Cv>pv9h+WgwKk7EhZZ|1r( zTS7>X`Exr4J6YH;Rl&fJj+j3)W z?6=mwGn#8XKGM=i{PlD!htAyy02N0&_Z_OaVliI9;Jp*WUKstkyh55R!)?cb#nt_( zxe~3MqT&@1DCEYTQbfTzDzMvx+6On|DC79I;7^0GctY8H9Gb4Pa_~W?P9tqTI2Kl! z;bc|A1&PSOA2ST&t$O~=S)8$tDzcL_e`o&yf!;sxSI3)Q8Th96O4MPN+T=}hXLjz( zBcgy@JaP3IhrYobm@i&LHWsd8_ zJ{mJ$#TvwJEvGRF!i)xR2>H6?{sRC79I~=*-7~U{zj+iMaBfgqgtldz0bG*0AvkoQP96+oqNO|4*V@O?d89SHpiuq(>=p9c8JH0a22|Yr^FZd3_;O~pH4+86V+Loo`jal^#N=3J5#1ABj?7N;c{olyI zCK&w83Ff>{;r@Z(?OWmBiM1Vn#L{Vc=A8=3q*{TMyKnB1f?p>K^5bUh?Ue)qr4DA7 zF@;KsTO-qdWSwi_yk8&uGP8I>4*Gr5+fZj42=!mdj(4$>${bU+l|&;oUFe4wLGHe~_NU{o1?fH#_+;8U ze`VU-?OUfz0TZNS2_8@SumEk$n>PXp_<40t0LME9@J-7pU~>AbnH+m#zCV_E&3os^ z?;QLf_?f0jCbRKdUf*YK-`VysK_RtCwwyae&}EpD)VSk}sIQhz*ZGy0Zs-qD?V5Ek z>7<)8uZed}Bh)-Q$8YuP%{2l-GIdIayb4Y&ul4v zG5lMINd&(U{9KYNY;|pFZC%G4^(3Cy&mj8;QNp1TN=lT?(h6FHi;di zHtJVCKDR z!oLmtM|<#YIIRp`$kMOTr_>=KR z;&z zBm6z^&yS_Df9w;c+G(Qb%AC*S?g_Iyg5YC!OoPurbg6!5{KZe1^KutHDEQCC`p?I! zeIH!$7OAM|mU_*UvFX#_OEe20R2!Bu%maMQ&}42RwSQ(`2zU$P2gkj8!`~3KyX$7V zmQ>T?f_05=WfI^-(jDD^+M}re2F?Rf_>tf*5NW@(?t!P>>2g3d>y0{l7=-b$k~d_J z?*_vB=-_VJZ~-{ZYhT9S1bkEA9~8sm9~LJ7jWm zo&`eE(zioeF{|x4nz}nr+VkKSfj$)YN*@t;TGsYy9#FEa-N~G7AAI00M+JH9)O6#) zqq@_~Fu?K2=E);7727n5gzZpHes&qzJZ+jivNf?iSBQR_nlbiJ7^-d(WC5%~e!89;M_*f|4^c{pp@gW73Y z!^e6H-bCftnB;iv*zPQ>2@YgN$mDF?hwrHb>VC{VBk*>GtzKSu{Y~;oWi_?DZ342R z$s4G02>_5-5ONtxrU)4|o$xYEChy|BHrni$cRFm$%CT()f)SIr4j5oCY=e+aMg}W4 zHm`M}8pKhTFTAxWEV0ELe{Az1eH__dhlGGW3 z8Ppi|g5+c><8e^xeq8W9P7EsA9pA+XA&TblbQvyWOJMOSkRc=^VRi;1Nfgb+2pdcTX^P8?xp3zsdgq!f);&^RTwj+l5D8z14As+(E|PK^%+#cRVlQG4Tt>7JeC?WU&6youv~*8ewjm zWSNvJ{MkEpf?IF|XE{0G{3D3GN2iPJYDg@W<})*Wm2Orw47ol_e6nB% zt3TO$!n(btyQch7x|>k92V}Q)_h%)Qm5D;2XAXOHYI2mGkwHfhMti*vm_90aK==#C zm;M%O<-Yq1AX&^?cZNlA^5pUZfz*ok-`JB$8t=kC7-+8Y?QKHBUoJP=Wy)Np)sS-= z7IE`oh5;K+K(8YGrMy9)_>1FSwX1l3?CM%%?F!r7`ArK*5*W~st;iWejER`F}Bm%8*?2>-vnV#!44T?H*A7Z!k-c+vb?aK_U3DZ^OG^#7}?#U-!Y9@Bu2|CuIz?kwf6y5ZSH=-sY7>V4U|ze z%et$=p(M=k(tJ&8@Uz2XOTCU`Wd-Mp?nAcz%?4AV&S>rF=9+QKuXX+nFbr?|F>VvT%5C2 zUx^Y<+AgQBCaGy9fRaR8dvPRDS#-8LLnby1Z~<;nPYfKkN5@av3Ll4FIn=xnC&BLy z$9<*G44dHBE+)6j!y9A|E;3l5L7Wbq`qvZV58CTg@dtujl8><12e}d z$r2GDaQG}p1asnT+Ge(Sj|y$H)$o_p}h4Kh#Kiu&7J@O9^lY#Uj;N%WmQCi7(l zr1_B$kGYNeatFzRK*=9S{8s&vz8gKguD@sE={_IY-7*Pnb%&04qLGvpi5;a}FvH|| zl4SA-0=_=@f8$RRct6KK@R;ZtlUZv1WU_hUB$t^31_fjVTOp57dFQCElHn< zMW;fkRfHvGkEpNz0A-JZSJzj*3(@s|7F+m-PPaD(@_1dM8-Url%qt9v3C`4T$L1N} zORc)QPa}3eN6R0G8aLS#gj?4Sel=X>n_LEw$Wo+uTJVNh6gCm0_Hz zQ^+8U5PD-3>C2auXig$)nMx|hyT|)rd|28B-4Awt@vu4f{x3sB-?kUUmS2^0M8{pW z2cOOB=i;Pfw?9Ll{{USz*-!BRTc>V&bfcM^(ydi3&w78__u~6Tt@hm~=HRO7;GWng z*yp#Qs3iTaJ~9AG>e_sG^wVM^^%dbb5;hw-W7Li->FWVX5| zDKzn;N{ULjMquF^b`?HG-G?Nm5Hsd?O8T;>I`vz$v|E<`4Svx702KUG*QZw3;$^jT zI7>TN#?z2MES-56&wP8*SAg-f}OvQvO|?fGyIjQERH{@w9J`lPWX zy46SXOS^?*~ z*~0{CbHLv0gLgdv&N6Y{v-Qu8{{XZlzlvt?Jl1gAc!6XV#@;P09)B?M3WK>DAc7bI zq+pDWYoXHT@kW9B6ZjU};j+(ft$2%F@@11xP_x`V>xRbR$Ro*(NzOK*2j$4Fo8$bx zGqd=u@QcJ+7KLDZd8pXj+ulPoCE`}{qVo}ownS)Voa8qHamlWW%aeU~J9!?LXV2Qt z!e5Af0<#*gi+njXm9@ly5^-@9>$@Epqh<@7oM##58O3*B4&~Y5c!70Oy|^p~dh{=j z-XpW{m%+VD;l8)yOP>|^zf`)4?@*B7C5~iC0suITF&F|o=jYvktOa?mfY7&xBRJ2_ z-M#C<%pjv%-Fo#nsKPPE%a^h29vk>CW2*cs)-?$=E9cfe)R9|DB6%wmV`CWFAjc=? zA%S8;CO)1JelCOG0hn$18jJt&ql#2e~Skh zmc69-Hs``tULlsw#`1Na?6Eot1FIASw?Zh1OxoLW}*wDdhM;a7m~^{LtW{t*hz?lxxGH^x+&%J#FV>GpA6&!UaK`ATP`ZxA7@ehuCPw@{)*1SvNUl85f zq}NhgS>9@IJSH!*VPs@(t(PD$#~B{A=Klb+2ZOvLsd&rAnw_S;B-W$8)O8z+NW{#_ zJi_}<=+-hY$@d(P7?Ydv+i*zWO=gVZUU2C{{X;wV1nydl;}|Ej}4N{na7$o$fTrXqboaG??JYIoPd@G_qe&Iul!->;A`PU4VHw=V1AygruXjzw zZqGjp2}*p?SFz|mF!0a7og2b>ABcV-TEzEy)tpl`$J#EP<(;BdnKr^y{_T##xqe(I zZhGcuc7L)Sqjhg?k;ydkNF$Ec+S^r@Qsm$&fx#y{0DlVR@BAsNC+%0F={m)QuGnWb zvc|JVG%i>bWh~o3`JV(ZUAY8>$gfZF-@|_iTk2YE%j=Tpw%1-|y0lP750{=0>?^zP z6V4Paa9vx0r5e_ZW6F`}suPy1&tD7v%`@N0ZEfLgULPr*H$kTBOyPjR4TZ>VIT#)P z02`n1uKxgMB7fvp*CiPl38HJKkNe}1*XxSnd{N?%jz7a56KzMt5@?!cgRE;8LJu)5H1sVaF3hW7BQQ`{f3!mkmBni7 z#dI}TDLtjB>^B|-{hT9>mTwK~ap04aqw6Ce_sL&st##p#*-jTLHJp(Uq>(ymaU5iD zJigN)maSoj*W* zY8#kzokMNYkqixXl6j542VvR~!Ok)`8OGj}{k(0k{-Z6MJx=Swzq2*Im;J3ai!#`& z5L?Z?^G{Apd$+3kk}=b*cV7p79e&H+F?)R?!^5V1LgFTwAh|l7sFg+z)M;5(M%(jY z2|GbO6p{1XT33WMk#Th*_=3@7Lo`AwRFF*Xg3G%oW6l|ro!dgN`M&ih#~o`**8UOc zRvJE?CB5tyki4zu{`x{$b)rA|{MZ2*jIJ!?5vQt4r=9HFYz^IwWqI!2lC7hcme z8-=>kEcHu>H2Z-lm1TisWsSFv8!7?F;O8Q#_(Im}Q1LZ|jiu6B++JNp3|A6Kv0-L) z0n7gY2nQKHnXMlT_%`!X(dE=+7f?rR!DML`H8?v)LT}vIF1-Qk^~P_5>;|pk?Kep} zg|412uOfinUOvbzx3FFEH}I&=N$feS`J*)Kc@-#Bqg8XG>fhQg;h(@i3RvCgJ|Mf+ zt-MpG>1%Zj^pHxi>yj}iV(ecmkW?;IC{ZBGHrFfE{5}1Xz8rXy!~P%f8Pg_?!&UIS zGqhiBm&{waQCvya7y-{545;I-dQD?X@xGbxC*l@^@wZgcb*&dp(+~C@wI`OdPTo^0 z5~PeuBoOW0^BEX`&yZ_#_DAv7oS(AigYNZ>RyL8Y7eKX+)sRw$0X#1K^(Fv0olaAdxDX0 zH!&^A{?i`_z89Z@Hoq2r6|L@{rhT*Qa#`LP0^BH(qw}KkNqm-2Pb3vR6srU3zA^Cj zkMURj3IR5cu3N=6pWxjFDQCBkZ(GCiNQyu`H(jYY;DjLXYtO%LNWL-O+5Z4&YdQ*O z-U8AtL>hIirQ|F*4H`=_qZ7H1l>-}8Hqpr?t`Jdf%R?IczRFI=%4hEIIv?}>YwItG z(C+^Lf_L~}5hQ{=V$sPUl7QPkw@;fNk6QUUF}mRs%vI;_oZ)%v$2hN~d=K%_{u%gw z!@?TRgLKal_+wPjZ7!QnzmXMI;9wbdvPc<8MfpfLD7$uGsm7zVNPPbQBa;Ux#Y+87 z&-RMAj?4DQ_+5K*r(NHDk4UnW?d_L*gJs0$aL;AN4sp)#>g|%4iuiojtTkO1XAD7HzP&Z^1!TE4UBOEu4J}>y2{u@Tm#Zg>A3tK(4 zg}h9g;f~f+8_}_X;Q7)v?zwD?U;zj19s5Gf;(rWk9}c`P;LSV2`b^rB%d8tsttO)~ zNIqu7X|r=8Gh`Me6mG^;(x{~LdRXXn>9s9SEcnUi>Hh!}FQbj+Szz)&W5Lgst&za$ zPI3o&=&to2i2nc^%y&K_*X}h4bQ>?TthXC~c8WJ=01fO&8=U3a3zAOJT>k*X+k4Gl z;*itr$e!ZqZEfHif`Y~*P%?N?lhplBdXrbV(>yVwzlLCh-d_oXxAzM-?v_;`g+(B% zs2Nfi9OU~2=W~*zqfg>y6zt~RSMHfQ*Ms~^rfN-ObnC07jYElGws&2J9FdMXj=rGs z2(Nhko4;pY6iZ`c;{9h=)3gh%L(Gpv)Ac7YNqpuu+NDU!gMvl_HWzl>V4okK#`@*v ztsTvk(&?f}3oWg)xVN)Run{V`U`7siF=LDjj2!(7`*i3!?~gtucn-tB_R>qB>KZaG zlPa@|UCklRF~Z_AZ_s3dqXz=Dry7ng+S=CWRXEXY$_oBRiu@+{RpNbj_JDr@#|j(0 zA5fJwOO!j}wpbjixj5;#Z5=saI-1D%v87$;zZo^{7U#*5NHs~V*r)+mhafN~pgbN= z*S&im?Da0A@cZ_k(0(3UL8$5XFyDwZx049htW=P!GC_+3GWGkwf^oUH-`W$xy0?md zYu^!QIyJF-tL<%)HEA{gS-8n310?aBb*hD=r6#Rm%9W|c-C5~h96kYfn%hCV@vIu& zm#Ex$cS?`@S3+6Hn%>nTIgwvIKoT%gF@)g006sP0{S*Ece}Ul8bXAgX8EE1Bi!wf2 z>p-m|lwv?vOk{)90k^mxSo|Te(o^C80K{L0!^5|}AJ^@453*}I&EDs?pEmL=Zp63C zfbQE@f;SanIj@X97nENRT}>jhD%z59Ay!}B$H*h08T@gIpJu(9YfBneN;P8@)ZiA^ zQS&eqf+t1N| z3badoU-o!Se)h^6o82eGJ14mE5vH=Y+43d;$c)J*aLk`DEH@I>ek*M#ERPfrG)ecj zK#ET*!6XlE*y;7GDq}sIt;>6!tsJdYr_FmG8R^)7D8-hU3hw)j;PlPnir zDrtkbP))IoKxNu;KK}q$>5jy4*JV>n*zs|Ac+!=T$6skWy}j4j&6#O2mPL_C9^4+a z>>sk`k*Mna4e^W5;|~yMl4$m^&u12ysreARj+1=yDB-~JP!KRkIL&*f?1yjg=Em;m z-d$VWt<|09_MCc%3*B2Vy>M;<#*8Sr-EFomF{7EpIy|hZZ8@ME}2_>&A^k)+F0XrzE4tmlg?^ets7FZ zyMi0LSt1t-9w#Fz>HXy+h8g3I;}!Hr?Bbe4zq60TTX|bgO-|10)>w)}Mah!wK!B`pOqR}Htxq8o1A967xpKW;QgNbb>kgoId$dKwXlmoNyvj^xmW+I$rk7)zPG?Xsx3>H}=o)t^WXoJ}z5nck+FrPwbebj&(U%Ri&2% z1IQmK7(C>4Jx_Q0FaFcke-3^g>K+@_{4Wi|BF%TF&!)i~K6S%#5_KC!+~99qvZ{f! z@rgg+rIt%4jl3`75_XMKL0Fc?X!gxyBaq4SDCwU#t`2ZjzYh2IQSeraq5jOeZ;fm| z8(4V9Sk|v4`*74Ig+9w_k1S-PN~8q=IaTG1oRR>gKEw*TuVpAJ{{{U91 zrFaj;d^O@PKmHP{<9T43eaG7*^Q@zY#Fo#z?u}6W(5D~{*u_-eyS{~1gp{KhGcMuo zEw15v7m_=e&zKl|yn~QI&)(_SbmN+<;tfY!)pg6QQ&ZgcdW7$Da{f}L=_Dn|+MofF zNx%Sfz!mo=?E&Mcei(c%@Lr*7p+$a@Xx3KC0$h0s81mSL&ei~A0sjC3>t0tU?HQ{) z+;{>PCP26zB!u(Wea^MKXvdW|EyXB1wHV&V%+gBm$q|XzmQYUk!r_llFvt2=+n=*f z$8BH3e+g#OHGNJyk0`Qe)}ByCDZPxjlW7}Jl{g`pjF=lj*Ui7Qm&CnO$9B41y~l;2 z(~`zVksd3xyOoP7@s$H=kO#5g_U5O8JXxn&%MdzduO2O@S!In7SZa4`!oQlv;Qa2RbM4s(0p z{{Y1=5cp2uO(%w|ZteceEmftRV3P_E03>E3_)wJ#$pe9bib+f2F10yI%_FbZIhB0a3GD}FdSiv9&^WAtA5h)Y9Ai7kBDvIJBHKr zy(#Qtu#)$2R&v|sMhS&t8C39c6R6M2ooo9+>O$Y`Gk6*Zt;6hT2E7&(jBev#836Yq zsP;AVH|&S;deh<`hpse>%_i4Nn!zJj&}xZ!_Mb7NJ6mdigkTM&iEZ6FWSk*n#&ldjX~aAB%B;~o1N zzZ$$hqH3CKcX}41Zu2^ah($8_iNGT&4p$$i>t8l}V3*AChM-ZtStSIjo-?!#p0)RP zhkg+FdHxXHO?9VUPpD0E4%s~O9LlZbfQh;=fDr6HWMFg2;Y$3s@q6L#ge|;Ps6jrj zs9Rje8hMttH&CpR%BzM`^6mo*##LLMgmTq{#z&eLPgQf&&nZe&;_b6M6!zPbp0uSH zBx1e)0O22k{04kLG>ucm+KsNCDBo{AqbDjbkn6VbUH<@R#WFe#He!;+tSiEuhs0$$vf#o^M^yfL^zHN-K;GRI|9M{vI zu|?IG@qgJ~-F)ZLH5nPixRA!SUvQz}fh^PJeQs)zPyHY zf(EeCEg_6uT$d^#Nef|ZjFGW%!?wgS7|Mla@~;uYtN5Q<{?obhZLHPgirCxAJfQoW z;yiOAZ{3GH^205FD}Up*t$jb)X14QWO+r_>yPjqNo_UnU4461Z7`oas(TU_>mRj4g zGU>ud);&5G3mmb45ai`qPXzMBV4RVR5Haj-{CRi#Jb3=s#F6Q-X%?3jZDuX)QWLyiz`4X~icZ!UBxL-!>5BNXJ7?Su zanl37YwH_J*`MH_#C<1G7XmpXitOE&^NO?I*=3v#q?awbs{jXOubt%Z?}A0cDtP?5 zak0*cD99v&NX|!YneXY#!_F{;nc`g((E5L_EKEC!2=xq z#3yQ=y$7vSv(+`IZR69#*RfgsrDhR%j3Ze-=#ywT4TIONJ*(3G7Wikvt>O!JgTxTf7_m3^&j}!R+0K^&^r--~ou4=Y0$R$+0zLw%Rm;#}IW*{6n8UFxl z71jJJwmvWT(cpbQ#9Fo8f z5t8Oj;@Dy|VR4KFKXiPjkD5TZV+k5djH~LJ@q&VYN<2Q{J+x9%_+Zzmdm-rm86~L*{>o%=bUE zznvjznr%YOo0F56;yXrp1Ds=yI}Vk__~tVgjy04an{K6Wt>zD#iCdGLb6;QUUk&^v zrhmagJUyl+oU`frwv_su)>jA2jyX~*gk7NI;2U&)N%^ze0CB&y&x^DReJ@z>rN_Vv zKMUX8-!QQ89FT}4xe?9_%^qMv;nW=A$oat};+$zXZuUmA!>(5=^*nFJ1~mBfr^zkI zl0UTAF_A|=7CsIS1avq&9tTS1e0k=7!f~yaW+(eb;IlFXVtm%*j>q{|*PbZ&JEd!% z9ljL!tHYXPHhNaIZym;^Zn3a-iKt4r#&6RI0Jwf000zHr)H&nkrNNC zBLqm*Mp%;Ebl?u;fHFwWv*>@ckBa^q_#J!?;M=m+ zw}ZqQ0k@Z1xloHU`TR(XRANoDJW9FF)dvRzu84Fg$x(BQvEyF_J|p~7@ZZIW zynChECHAMT#VmJMdc~`~y~?u&Vp&R+!zz8!F@QQ9rtj?m@y}cFe~hEltghp=ytG*2 zlTy<`w%j8ms>TB|C=6Y<7bNZ=9172GiEyrisN1dNe`K3Wc;eE{f;7)@BBW$I;06(> z-oS=na2H@M>^!0}iEkuN3O@AOtijd^wZCI+g8(y}iN+uWszEOg9&?;N>JCwt(Nn5m!Av=^*(ghpb%bcVB9@ zWgC}c2JQg|91uq!bgrA>-+?VWNuhsgUu(AZ3nY?76mw4$JKc6;4D16RnEZfbfyFw< z;pd7hHL0W)A8E6OCXp_KqN_%5q4t)@19;C+GJ1iU)9WmGrkyWGyE57-f(jk^o!`SPGez*Pgmv2p zFK*%1^qYI#OU{ln3~wWC;|Y-;L54XejOMUE;Z<3s)KM;>a?0{>+Y{#J1CP81Ut{T6 zOA$^9T=Vf%XHKew<#x^r4;6TV-tb>rYIhdXfN?du`D_6M5E*brK{f5avB$*!00j6y z!hSin@$Jhs#-U*!_EJe|)A_E8IB3*>cC$2zg4i5#Mg@IY;-7{;4>d0kOW>FIJEJC- zbEX*|TD8;Sfvw+jC`FVYVE+Iro*O)jf-_$~e#|x+Cx)$K@Ybd9^TWO?n_AVCEwv3& z%{N84QCdCE8^P(0YbOSiWd3~?K{Vv{MvtB*igk~^UD&zg2 z4s*{;uOOU|InJ&sj3m=~oN}o7tmO0}m*dC9dyk5?zA;^Go5WVOLraOHvxo+ZCo#NX zcD`Aexf~o3j0}2Dz#Uu0J_U~ZSGCuCi`{Zf_sHuRiQjQNs{){_Im_|)urf28UkUgd zPqNf6wTL4}xSdPKAsj;3B%-Lv1n1R(9B>aDf5m?uLve2Y8t~Qp$4>y8i_*SR&UTgZ z#tR*o;GCV_!>=T$jj*Gbcq`oV%586w|Q~3AdQ)=^H{k}_kDD58L58cR7nEZ-3 z3-e>;z-9%%iaIkWYX^Gf6lu#Iu~X zPbBBAeNBB6@v~I;FKgj8m%|?rZoEZy<;I_39*ZTMmaVmlyF8Hvk}y;&s34uehIZim z-)PEKF}1UtyB5yu`g&G0>8L@)KfLe7MoOe4-Os4M;Gk0P_#5C7G8t@aSmc46!sF_3 zliwWI$QnJg6Ty9XbVIZg#)z)&7v>MwAMT8DK8Kq6^Y-%y{{XYU!{!Ds$4k@LWR5_D z^d0y;y({Ex2Hoek)R|#v5J?)gBi)RXfDU*(d*p7fLZ*ryi0i{|KUP~hU6XmC7&hnm zc9twLlG{g3ybrz4Bd!feai!_;x!*L?J8n|mXUqxYJ}^1t@LQz`MYU~}s$OnV%&OLcF9=0;B zY&89{m?a2d1Z;Ej5}*^v$T=)P!Tt=8Gha^s0KqkjjT^*F8y~)l<~$tW^goS!+$^q| z87G3u;x!O5%_9wqkeOf!>&IiyAaZ#>NPodLiT=j%DOJM#qAV*OydJ-WXIEwFYe{eD zd0&EAUSjU2kT*Valg}f!exF+RPloct{{RTAA-7~ZbQ$kn5#V(OX?Fah3yhqe{p;Jl z9KPQR#xnf7AC<9Q4l!Q4K359=0NbT*$9&!o_<u9ruQ5bU`U0Sfq)sA zkSR6px8D_fEWRF+_R``@i-m`J+8CTRalmFH0CF;Uz|T`$U%*W+PY2p3inULP@c2I8 zT-CnR+Fq#E%WbJ!AdEMbvXEnAl03yA;iKSyH+A4?!Bo-TL+En~aI`M8JWIl#3N2#z zQ}HWb(fn0$sN8r*Qx>{px_n9GUq&QQ^EV7xSujS{+6w1$gIXUGJ{b6a!M`57C*Vy> z$5)zWjen!XeW>bpF`)A1CS`FL00`C0DhBPvbIE*Q`X7dVFnDuI&^|ACm*K>=u}dzq zZE>Vq-9mrU3++jzjy#5N&F0C0%Bfw-ql&NbLsIZ3jsE~@j}2>g-YJs8-aiW3&8F)5 zc}9-MQ(LJXA#hn)P$+D&$OZ{e*vYSFm1=q1u;pB)--P@D7lS`)4~H6_lj6;9TF~{& z3;zJH!K@Y&+N^f0*ddh&Qf4G6Mca}OCnx29+EP(*@yo@wjjddSlf^oM%M7KwhzHtV zYh_8?IbuLwF~)OWW3P&Ii{Fla3;Z)bifw#f;w?C8R~I_ntVBU?s#UsYD ztg5a;x&jrzCy*EegU3)kz^|phVK`%t;$)Z8Ewd`=cM*WaOAu^la#Rkd3+ey_gMw@3 zZNn#w5_)F6i{K~4SiT%;);g_?y_MC~t9g>gaMJm50}I5_kcxTREAyOZX~qE!jcB(W z4077Ar8eg6vG&)DEJ(Low)2^+vK5Qa-f0TfZ$^oT<|ep4{BC+x_62iJG8o3 zH2Gx>9N)T;b{sOk!dSCy3IJ{ka6*AzspBu&&&9qdHuf=T8VnZj+=f`~V7T)F5(d^R zSx+T-3J!755)T3K6H~m`{v&HzwVXgT#oWvyP+4J3?5K)31gfskTMS4i8;Y9dg*O=4 zv)rRnGpO7(M;r07*j?#4YsH%4*5Xq0%&i}r8*MQ>N*Il}j401(h6Vv6FT%Q|z2%H3 zki3^r5VJ<$A0?EwOk=L%21om(5J(2SC;K17+P$PpFNZbDrf8Pd+CyN^=50(Ez}iD% zHjHf{vbh)oXdHKmz7lw_T|=nonii{U%%S18ytb50AO*nkNI=qq<-b)V1pCBuT@>fa zt#fHXwrE@GGQI3;1%EV(qU`M ztE;FXwTX#H(WS=N$UG)ln30?)Eu3QmUf)gewWZ*;)~p*xxQsMWUSHiJM+haA{OIDh zXbZ81|MYCujp0{_v@#=|5z+R?&6aHcdj> zB$iL@D=R`DZ~CIXb{R{>9!Vs9$1Ay%2d&qN{0m`q;@d4oLYfP~B5Im-z>)`;7~xOf zC~!fBSuS#%iU)1gNCw4U1OxrWAInvYh6L9E2WN_`Mk2&;zNBNKnzG_ z%zQR^P);#`K1@|9HZjg|^{;Zi{h{^W73g}Nk>c+WYL{@|c~9g;eUuZKMs{pAo%92Gk`4&i7GF6bN1apI*P&!vp;?IfRB=Mf96ZpPs zYw0}A(p<}Kt3Nng8I%WQP%^4XP{fcjPJXxetMGH-KBwVd9clV^fG#u}{{RT-ziPGC zM)+X3a{-)rZdi#FY}ol9F5`?G8uFjmSK(K~Umj_`BcH{O9;K>VsEXf6XTTN0Bt$T zXYkE>N5wA-_=X<=ct^$0q}@fK-D#28#d^U?y}}k}LO{+*%L3hgU<++*6&sC6yLbGK zY#f|xGKnEhZkK2pk z&&5lEqGtBAmxOaZ5M|i5kMF(goYN9;np>znl* zJ?E8VGr;mORwYRpz!_75GBO55IMnBMYjty?wAzbKJx{fNXTOSiZHK|n5m@T_m8HI? z;n&?`XFP!%B=Ms_oaDFfq*eWOod^yu-?nszB|6 zJ9Xy+yZDFj%g48#Gw{s%UW0if_{PH4eHcW;=FDq=T(%bj4tJ5YPEQ=v6L=%U{ygzE zq2ZCDu<_Q5BgcOfLo0A2WQdn_B@~7r7syOwoxgV)QgMu5O^C#MN{L0Ip#6<}JK>E_ z#MW!9Y8LB0rE=EB(&~M%-9V-`k}QNfT(0g}lo5aq;sN?r`{Or+{wMg8$KMEbH`6rB z81$*)vevGzWAbhtR4cFzfOZmO7-RCrSmPXgN#gGfc<U;=eb5F_+dNGAQdF^bpHSe{uy}V;zjk%w}UhpH8!z8_bwzzql+gB8RTG~w>Vtn z;PJsD4qXRZPZT$X{{XXYzqQur+8Y&!nT*@E`C$h;vT?T=&lRoF!|dm#o0{JarBQLI zMZQ_>?Cj>gvqu|MXH^3vXO(3nGK_{8$lwu`W2(Dr-*43FLtD z4?-H)J@wu=54bAY} zX_2js#LFy~1~xJVU$_(*aH)ZNQP2fKWzmN3|FTh$Ci?vNsW|~`QU9BeX`1Okm&o~=!GwtX-F0plI!{8cCJc&gBC%ERBf{-;r=Wd>@*>WG{$P&HF@YE8{N|>9+bc zn%qg}T%y zN*`cdj=b*bLXr)0em3|=@ejgYI@3HQt!Pq5sq0cCT4IUOY5IBx3Lr|^&9-^34zP+nemM@0K&y^DmJd1sCk4nZLSb^(tavy6=35k#od zljd>UBcGJfzN9#8QfV(`3w0sbOheF? z7ew&&pX~$TDKvi+jYjNExWWCOBx+d1`+#QPVMknb>FY|Rdo5Pytx>{LgksY@-~I|Q zqv^xpkA+ezTWHqbT#DWZ%8-j0hEJ0U4i^dl;NyzvV3jS1>1s9xS zaVOiq0;+rw_#bDi_#LOwd|P!5wdAO=-py)KOPEzjLb2p*7+`P->Uv`pt}1To5!}2K zm$a4Emp(H1#qfSFhkhkpGgH;0xLItH>`iNavo6*$4WOiK3lf}jfrFl+xVbb<7+c8W zSe0Bb!n|&GWZ<3?91Na&W2wlms6T9vhuZ%DhqX(~-CtK-O2bcB^!qERGGuMZG@EhA z1ReS3BO{9Ws^|@%anl(beLtl{Q?{o*FB>XTvPOJ5E`uXRW!7%gv%dUV6NSLqbKQx^ zBaC2jazN_-8+5tiu!t3L27-)J;wduFJhC6wIXp2UQmib~vaCk$EcPF(fmx>GyGIi=S(anC)-?Gi8 z!_S5B_^usQ{{Z9VU@H2OTrA8~wi9Fu0r?-RV*{xoy1)1*u9C@X;)^XN%JOEl`#HEK zB1*dn0YXOy1~ZPGO?H2`f5TrA_+P<#ex&-~)UrFe>k zQ2Sy#CMM8CT0XcY(Egc)|~n?_wv+*rGxRE3`8L zK*s|BmLRbs_yh6XBRf1HsjgS}Zy^MNeV^NNoOJ3`j1+yI$EE(x)_xeb{j0QC zG^>k?iLILKS<4iwCA6vbCJiCVf&*Z%10#|15G#iLp_4(n@t2R4^eCfy9YX49H6nv! z-8Aexu)#sxN&C5Ce6~CQ)!u%`p9sDxcw^(%lj08)_;U8sO|ypNOFZ{6vY}`l08kv9 zl0e{e=cRZT?IE!Ic=4$Pes2=rk_hkinLTKgA88e<(^4x{_H_BB9a+JqLI8NrUs{$N zE35wi7X*HFUTIf$#v_x{IsR2Na*_tZ8|Ehj^PV|B=la)0j}p&w?B5Cg%6fLM@aMrE zD)4r!biHLjTTRq0914X31&Wj61Zi=1DNwAGLUD&SxCJM;6?ZRQikCugcbDSs4#k59 zOK+a{-tYVO{z!6?nX@xz=E%(6Yp>;BSFu?$*ey;fQRU_fXM#oF}cSrEJ&~`>tl=s~f zXxdfkH8l8Rb;wZU+PGE@Mg9=uCTReWF)4u8*|R*;Ke~e?=T*!(OW%*^tQhzGyR+ZL zpV+VAcPXO!Z*ndCyPM(jNlxbEJzSW}y#vpg$PFe+eOof29MKhCmc;;^i5VXuh;>mH zBo7sRcBNU8C(K0be)qI1{3PX(!V=3X6QCe6hzLQxc~rFa8IlA+e|>TsyPq9E0X_Yv za@I+Z3_mOUK+uwVwBIXkw|D;lANFtbPd)wt>@;jQB`0Eg`jn|qu1f+*;@_!ZxA>_< zv>eX5_INry`W*foGEX4TtWo*PNiMaxVZ0hq8}|S zIg-90vg+}}x%#W#I7GJLHtp^D-J><^9I~an*|7;mlvj(4H8`QbU9%4yLVT%CpQKD5 z{3u#&ogmchNgEx2Wg~-;HcsxyI0|!mBfnlxN>x^!3a5Cs+*Y`MFD($^uZH?8TgN+m zO?{6Sl{X-|a<}Cg&nL#(%d2_15I_XQ!SiwB>N5#1#r^pxeg64U@*KI;*yBfuOwoo! z(h@oj`uJ|i>!Gd1{Qe}nU()~h%GqDkuTQ9yK%{6co)?vLWzW~0BYMspgrZd%EjYYb z)N7>cKObNWA3GTsy(j`uFJzgbPa;Q8p823SD{I8PePqqEd%-kbVAwpY&Iwb;6I0&f zCBAQ)U-Ba3#k|v(OX4yA@k0CIIF{umO^vF1`t!IxaK9fpq6@w$z*N-+pUX(ozUXqU z8WN|qP%4nWYce{V9E2Lxu*Qq9j7V*y`vGUnXME(g`Wov*{TDx_@810w*{e}t-U^P- z)Hx&K^|G`c7$S2S@^JoApWrjjv130*&Kgj4=!>@9A)V-H=0U{vRq(6lM(+IG?ApzR zKq}{ydg5OQB1)zS1x4$%J;Prgci`e5{h+M08!0mhB$A1irE*GP5hq;1PdVv;Yj8Nc z^%Jyie*W#S{+>Aohf;BH(Uz^Hom63kS&DHxmejXwA3Hm`GxqzPOLW4a)5J8dS%QwW z#n_7L_)dP-40vCwnEOMkVp>isBJtYEpJY<11nM~sLxgH$gii`ii+ zUK$1?Yl3U>vt0&g$~OI%dU|O{5Mn4a_)9BooQ(by7x9MXn4NCu87QI9w1^vR?4Txl zg(CT~F)*4{G=(#*8FndFxi%JAyWV)2=I-rj8sU(rO`XaH-5N1>$E=7 zn`d~aJ4%-ycYUT|`#dv*!KDP22KE95f8Yihd0?25gh;9f_K=Z}(^xaL#T;rD4^{lR z2i-`VlQsE2fZ_-bX{eJlXT?F!uU@0`GZnRk3a6wBXQu9kB?`X$DnP+xyGI*#-x28= z7cno%H!ZGJre~GI;t^(a{=6{0Ib#^Oi)kVvlgs%IL7#?~MRLqG@kst4en2kJC2wXFm$cI9x>0 zZpQX|<&a2a?s58xu}PA)4mk{ zve6Q9&Q!n*;nHoa*E37?c|UB-`{OP1TWocn6jM$6{rvN^@JHzl+7Lg68A&!o@}V)u zxkc(w5kmPx#7>whFWVb+G`cs84tCLZ_Ms_FFdRh0gPckk^tPMW3Q3CWhTjImKF`aO z+o>G={&iZT)KH2Ys};_EtW4JDYCDpB6zi%K1>sJ4NtADaz%(ZjU??j*=p|*gFE&q!A1fq1_5_DoUw!z(|D}6 zS!jLGf#b^u)u9at&UhX)w@0>5t?+F5>dpZoIASfh;%4T@i-uuZ%IP_ViJ$!-i)bI; z?#(4CAROc598oIrT^MaL3Zh#WWDNi;9HP-(y!_?7qx7@APFncTJMARyhJfagVA4lt z6Gpz;5XRXm%^+u88c@YQvJd-SF|x-HpO~U;2RM9Iyb9BpxmNL3eJXb)z_IqwPDK)% z;EF5A%Jzvl_`5zh7Pxb_ThVYO|iQeTBU z{U*OfY}$r|PWdgp^h=}fNnI7;$SFw?)NQB-I+hO~o^92#&d(O{QJd% z(-2{9h3LH71L%=|zMa}{;Wd8lme?qV0IrpT-tm{FOXi-%kMa|k1TP6RIt4EGLo&5< z)l;t8KC|y!EH3Fm=H{X3VjeXBrOs`E=|^Hjf*ZVLJ0vdJ&4_mkd8BIH`kmiAduGFX3i<5ex1Dirrjj{sH0v}Z5`2#9FReb`Q&z8U zo3uws79J&didLjKl3*ueqFb}Rd-#JBuaz7x?_PbqUgzI?G*0~&N!>W@BT={rC6G%8 z)mGNFEHS2}iJ8eQX=21A+nXf0;4Rv{-2dfR%5lRg96p?~QD+k7vf^*e6+tfOU-jFM z^?_0C2+7?LCez*$0^Z@uVgjL1>l|ZUvp&agmpGoM7(W(-;PR&ZdJk$s8mtRXo?rU4 zs(0jN8?I!*9Y`3@=4qDPWnmP8i^&$4D>U2AuV*7w6B-6zd*~?@zsI}Hrv7u0PP8Q` zgyPVnY4F<0 zDP}r+GCzp_B9#GubRgepyxebX$vEa0a{To-um0ka1>aDU`Xzz#tRw}dUaL)p@4pgW zi}<#DFL|lCvyC+@1zwL@V@9MrDA*+02-S=Fwmrq=IzfPgIbv?+k#BAjb*$5Oga;H@ zqPK2OcF)s{;Bnz6kA!)v%cEcXf0EAF%{to{4B< z8}hg`Se+&$eq27%h$KsM@YdO@p%Ph3HYE(n_k!nTmAH-Jv*@pQ(HsJ@z%1f(Fs+&XKjh(W8D|~Op%){=ab3vT=x_`I!*)WqJG$%vij83*qD+do3;OA zp9SE^8kwl;U@9!(vdr=$j|H4W7=ClPR|N{B9!LurWTY;3l#&reQt2$@!d2s_4^rXv zAr}?-yv2(F&gIUX?B2j|yXUOs7e!9=7IzxEh*_38T{ESay5D%i>%zFG@>babqZR8>i|V&cO5t1}5GS$2i|)p86BwN~G*%095vq?$#>o{= z4O^mZ*pJem-!!TS^mHnE;h^!v%?7L>QVWNNbjL(0TCzJTMsHAE!kK@ZkN#3|EO?V> zX^!qudXVEiU+y<&%scO+U|)o%q1)EP;RO24CpB2W8YC0kPzIJzWxU?+1II@Ttq#zB z$WYg!|6|NTezNl@O|T>Qo2Caa4MKGvXK zF2;nJhxv|2i3K0A<|RG4ko|;w8EkqFr(7SMs3`4ui^axTHAFTNO zA}XH^ec^KK1w*SK^qQ9Bh@4#B8s^4!u+!L>XpMCSjNPWU=vT6^OFB6+vGSYD$UU9~ zpBreJgiDgo2yhYFhn-X}w{^qrlP?BFcovK3AF_S*hWQe~U1R;;k**+Tc5F>Vs9 z-PkQ&!>o*1gbQS&Tu3+Ec-i{CuvJelNQux|@cHnwNDbh){P-Vb)0Z!j3rXC3)w61w zE|2|)X1`(>zfVZ)$*p_uC^Ck5%yYFXC#42|da6i5v3kN1Nywvn*wxE+i zi&8>&aaqZhI1r~lcodL~gHH6lYv>|^Zd-sg-!3xU=j~K-g{N04%Z|~hFumAJB73fR z!mj=AvWu8yrNM3V={%O=cEzIpksJAd-(YqsDX~Vpi`aKNRF_Yaak7F*#iRb7yKJ5| z;U6o!7^2+=WFe|XI51cdCUrAu; zG{^Lk9UexsK(Yc7<^w*VM4F5J{XZZi;Fk~D7OAdeH$%+StKvPKQk^e2pU`64>r#}7Cyx7IHt4uaG-zH#I@zkA3-X_pHN1E!Odh(DZKgW{_z z8*{%WWM<*xV_ywzxRFj+-;fcBa{B4GW@h>log@O6! zes{sR7%n5e)INVd6mGgt%SN;Kt9e@A(7HjRHF9hM^S#M;I+cP~d4d6&`yyjAc4pTf zbPl~B&)>qJ=Of{TflJt6=qf&tTCs~7DjsZP5nJDmT^XmFzS%UN{SPp4LPK}HR3SB| zNT7XX=#}&1Wq}VDsMR8)Ph>-5A$841PYnp;Eonl^?a;?|V+s z;~&9LjI4ivSBw`>~(<)tMA%@g9tMYEc3dbq3ybnJ|MF>rTap#M=w-NfK2CPOSV1lL2~;J1UM-v#s#wq8((!tfZ>7 zx-W0x5n6rtZCPr;xbsD;W&quqQQIVktb{@3D)r5^&37ga$E_p!hIvx~t)7$Ita!J|&#>$!XP5bxifJd15XjVqH~4pDKNFU*_Sc_)WyevJdtm!7{=2<$I|p;RU)Pvq9p{fbu0^=8mH(THA#E@>t#SV~9(!k`{KQnn4=2|QNlq}{0C zt-PWHHp)xw^}UJ7{$%E=a^fZ`(`*bPKkbH15}v_q>S-b&{rEllJZ>ziH%H`|Dpl1W z=7-@Ib~MuaC?O`&BNXn5>)X{h#wb(v>T&b@5{bdSUOZKApHVCG_0lwfY z%2aF(dY=T0D5S8;WT6mGEN}@<8n3r$%9x4%gfo2ZA>xkZqz*k8swnGTTFWh6?Az=h z9U^fTk22VNb;-UE9Q{gI#(n=UU;m5Fj`|Gj!iIDncJ|@ziQph9(O(Sf7vATitjXKz zqFE$m!n_?({AEu<>Vh7rCnUkAaL1#hP{V6l7)*wh4Ug5+?0Gi5agz&@`e=gATL^CG zWn5)fz0$|%ys&n9S3XhZ5R86?x$-U1xrJMFtG3+dxnsZzs@U;vo%<_AzhGz&INo#M z50>maW_XkE%GKZLy~w71&Ojfvr>s8D5R7n2j&X`5N2Pn5F;?`KyPo^#jDDDHe7!WZ zm30ti$|?xExeO@7+8p(u#n^f6>bUa9j8$9PH_~kB zhfi;jH^A8&JxlvGl5v_VLq16HEb%YfQvPySBH2>;$Q~rbosTIl(xEQO zyl*T@qCJU0zI1mL_+_JLccJiQzSF6fdw#-jN`aR$&adgur3fmn$h=(r^N^<*9kp?r z=|A<;jP|uZqx*jfsH5nkFm)(1lWt?&)(B99;I_7830vNt%(Hb0xRw|n`VwUhD5NXT z*m2{MG50Bq^0HiMo+GT%Y}^KB=T*})WGa5SI6UBTP8CaNAt0ODQmYk~Tg8zAI+GjI z*~*3rAUQYhN0qo_j19nx)uiYwZ~(sNQK`xs=|#HxR>%5m7%@wCm243-@qRSjGIq7F zAv#f*j<>>T;HA7%zx2^u+w^91FI1k!4Co=n_U7zVbUx5Wf~;E^R?Ap89Ed-MTUYa_ zM-^>m3Wgc8ts^P3+eyE1$($_YTe7n8Rvs?$q4RvCU znqlFO+^`C$iFVU;1%sPy9D{12qmcbN@Xmym=Pr;c;~~FK|D*nTSjAOSrd4D3uXcjL z_3jnIEGjC?tgr0?bHAr-J=aOyC4t13ExGqwu;j}iaMWmW&7cdB9Kxp$2U0_m#_HVU=ShMS1y||}k z_1pym3B~0-jnkcfPF)gwA5%q!IQl74dc0jq^gcsi^#gf(ap$I`h<2ne5Jl{JyTor& zwbB%OIJ+2x#m=Jkny;%9IJ947>JslVymF|cZ@#5Z&3e9hxwbV)wjl2CI}+Fc5gGo4 z-YNWfa9<)Wbh9pZEa=702aiQFhPMT5ZH6e;`0?+$8}6n6y3@{Uf}kAYbMl)=XyVxL zTXs%yN!K3IKYN72k_Kd-t9k&F0FP3QWhXce)lN%

P~&xl)X#*{km;7^nM;cVb=?gi}1=Nt$G#g=d<3vFn`88aB#UB%$IeQ( zb8u&JjUs)YLG3CSTQ&9^wHzchYWYa-oDn;wrH0Y_SOJLg&Bay;AJ>DlOzUiP;;b^j z(BKB#UwmH23BP4yoY+w27I&^G-T5TUmwb44vP zoUg4j{6{`Way_MYcrE^EGJ)96zFs8g5W^--VKevdEi=d|up|Dw)s z`h9zUI%#D2&>DCAT`xpB52jiZiL~X|VhS$gy!?A|$!zr@Aq7=a#w#Z&;(v=}dO&|( z90kV9jJ)YQ1cr(98M#j>WTuVr^m?z>3ZjR` zrW7>M0L-~}LzHRDiq*0%;M4#;koG~h>_pvaL z?n*3_fa02Tf9ZNjCyQ{W-)UHz?FK!Q=Agx^YJVq);)`u}n_jqEWO4We zg_*<8BI|@*#~IcPQtM`h-``BG)H;Qt7M3r4I*Ep%z(D~wb8EJ&bi1_L42S`?+g6)_ z+AP`9{O4d*-Vp{yKjj>Xn80Uc&xZ!7{K=HA99q{v6OggY(mU<6%7i@wrIyhbV7d@1 z1YJziT}^x&Ph`gi1j{yu8^Hd{_ z1(S;4L^AgM^mOlEV(eJS8akIqlJ@a>sY9MVHLF9e*d>t{j z1l^im9E$xDUCY(rQV(F&s#nAk#|y0Az=7MV)8ie<6dL6_hlFx3FgkS}N=eoocf1m} z<%kRPuVFuZO-gvDVjse&XuueXBdG;=bLx`#N%&lEF+D>3RzyODm2b~7D#Q9S+o1!L z?3G&yqu%F;NO?N0H;%(3J7_s!AaTMmREM5x2ja(Ucym}_r*3XW^Q(o>iJ0R(^bc-; z8K2*UQ}g0M<3b^?IrJ$b(laec(}#qOT3m9!EHAZr^xW$2)#R=GC2(_NbU&UaV|CU9 z<%5C-c7cD)vVz=$<76~;OSFxKSe=dJ4?XIeGg{+U6`s{Xp%BXiYycA~!<)k% z0Gc5(%%AZ!d%s2OAxhqzg0?l4vvJAWcXneN@G^R@t>(rEu3f_tRXYweI8brT5U-|9 z@BQMZ{whcsBS_YfK!iFRC{=42;Pbf%G3ldeZ^3OFdxkqgm)t48M_CYM6O<4F3ZbB& ztS44KV#zyIz@H&*-={qf?h!-2T1Ld0)O7Lw;n1i4tID(jrsdln0r`szVqEZT5|t8z zC5|Tjc%eZ$>HNAz+F~ZFWZ$wA?fX^V5zv|4ZU-8Bf*_8HXFBpak`SJTIqKM+PO{}= zhh)~&)c2o0O;1+y{dJaNou0gk%EdP*Un%LZwZJ2rV8s0CZ zj8!q`GIiVDoBxUJvkWD(+A$gvJ=OZ?DozE4$zU2+ZH^q3tK(7__o%gKQ1|MPRrAT= zr0B4AmW<#H

XH4Bq)AKBf6lKv4@<2#H*gfr2^gZ}*xm#ErIkRG>D9oC`>(9MFd; z^nAk-i`BN@PZA-kjqu%OoH)&I{8rbj43^4bQUbA4dSpF=H~_I1iUXX2UchX!9K7n@ zTnxBGBdQ7p3hQc3mdF~u$rrSu1*lKvlZYh~>E!jxA8*9-8S=860o)|FYFlN!nMQBZ z7|&QzMQdnOy^0*Lb)5%pZ5tqVX1Ry{LuG|*y?4js{Y%v8R)~#wx3yA$Hx%cY<)c5eKmhnSwC7$ACm7L*h%b?>^KnYzsXc zWr3c>%I(}5&Iv8(O$kbMl{P02Ko=;+$)A_M#iL}xIQ8&tMHOc1x7N%XtSGs zdEO8?lIc~N3i7pRDtCbshNhX{+mT25HFXUZY@`#R8bBY;cXyI-47dGw3Dz2@>d8__ z-$YXa7l$DhF*)0tiVGrivn z)sc(Y`feY9x#S-czzCSVg!K+WP@>NHn=Px8Cd(_eF0|aGa+lb^V<>KHuTqnho9}W? zp^a0}X)IR%V7_6>$B0V?vj9(etOjQZu{no09OV+3#K&J_d70E`Qk$Pg9q0KJk6ltF zocg8i7fRW)*f#>#*Xm*`B_;D~GcP4n-%r0Xk}V&;X#sUyET7OFQ<(Rh2gfz@A*P@; zI?c)>(5q1eS6&Qov*Vh<_O8f9m@v&Ze9TX*shRG#^%vh^A#K?w9cQ4GGGgW26f?u< z&F>dz!i?L1goVeq2$X;6{(3FY#d7DDy!dhiCy}uIgdn98>!NsGr#L`EFA^|*lenLduh zq_5WL0jLr}zr@Lp`VyQ^Z(3A2ZO)#PWlJW3^ki-A#r4e)H1EZd#HgJ|BXD_m%`oWB zSU`KUF|XU76u)zd_eXLE#ygsIuJlOAdlAm(G?KK{J>mbl+WwSecR3WR8&6`E!#8mB zq+$!@U1gkMc3vMH?R>GbCt9qn+#_S#~wCDK`aD?*Z+aTNz#k?2NJ>D;{o|aD# z51{9WKEQ?hAk25b%SMZQeNF?zE87ulai$Hq^Y=WbwnKhH5Eo*>jTgR$&g*YG%~v}? zr@6_q>$nH{#gVOQ8AdVp-AnP$hDE3sYHFwxcELmLfAO*4K?;Bd*^ZWT{4lH#7^h`o z-zid;5M&g4$1(!GFH!FyD)~qLRaH6+LE4oB|cb6 z@D-29@CC8;AUk)8zssL{8>rQ=yKo1ZLWQ+E7fGhYl!1n_dn&drA7LZU$Mag3MDP9R zz1D}Vx@bXvTeBABD_)uvCZvxn1`fEC|Fai=Z{_DuJ;pL7n1sCYI-1L^$$)}*&LVY ze}H-8qYb7nn~gUEjpSdkX|A`o3)(Bkb4K!!^IWo5+QD+9*H}{#Cnn6OrJ_gNb*D~n z$@t(ufY1D#NuLRuk9ugWJM~ZS*vvS7#>1^V-TOl*ula|v*A@K9H z<=U?i{!A>F>{T&v+zhzQQ4%kk16J`dQGvo+;T`sJqEo*=&NYnITGgBRXBFq3M=}7D zS4nu_z*tM@Tiu9<-C{ygE&Z3Q953|wau2hGW{sYU(Pi-E_ZiJ9qFf6PL9durW5G=I znmN}eS=CexMr&@UPlaa68{i;b?aONnB0%@NhV3~>y}I4@JM&aJi6@UNWBXSIuuTZp z1m2JB`Q^y-b%cVO)eNrz_m`On1;o|;Ow|Dm{YBSBprPAJtFI?b-GQbfL@9qV$4&dw zdE~>m++3?$$+`;lpZVA3e{vkN=RD)mTB3t~glLLsrf5-%UXcc#i+w=&79w7cp-?LA z0%`i^WL2;1Z6=n#Z&$l@;ZoYE4LiR>7n2EG(P3c@E+1+#)53Y&Xr#N{ZfE_SLq0pS zV!w@>rE5zw_G6ZadFDY~0b}Vl-RZH|rLhiqXk9>&oLU<> zjTIx3TGcC2g}nE9ODPkLEv*^%Tf%>Aoy5*e zn^=OBPQCC&g!`Nr#mrlKFL4DmSj5qWBv5fGe5mB?)C$y6FQo(e%kzYe>K`EYa#ULA z+qKcJZYK6mJdIB42Pb!PGd2^U6%`^3vCeo z8mUtUW)U5p(+OQP-@G&vp&D9eRABTU{>2B{^zhV@(OUU@mfY`-Y}Q*PN(Sjv7o~VJ zbz*TiN0nua^&b6Wc2u5;$vBE22I*s`aS31BqFG2C*~HRek74?@D?R9ZU$l z*v5axeA+_dn7&=;_@PjMgE>w<)%LUeRH6k|6s*uYsz912(OPdmRRNEwhe*jnVra}| zU0|%+L90@h4IpcJg(X7ePIpz&Z1Te9_u`#idb?9g1J#pF+rYQ$FO3Pb6CQgF#o;|Y zCx>8hne3IEVlgx}l(cxX&gYFMm*Z&r-586|&!HhL=K(sgZBk<|%4mb@9CgA6K`l4P zl&@UaHVLAG<;47U_>_AXu?rv+KDzv)HjT~7;9l~!+neE0>bbBoAVkY`)X6T-QT*KL zZB)~4_-1p`Oy$bE@ed{x(6O60D!=uAjgqal(7zx+N2DtOMTUSkV}Jq5;=fDR9qBk# z%dDI5?L9S@xIdQgk^=Z%?LlY)VP+8}))no1o3r-^9mH7UUSpIVzbT^r^x{;tRw?fL zrW*I~_Ke%H=s$q0UK2R_;vFgqGTfXl4k{G`yP8)Wdd--?BWx^D&bDzwp8x#3M}-kR zT|O4Xz49i+GhH>=8x{-4zfc5Q2~E!U1TZ?Pz* zB5S|!E5VCHoIZuLEK3pL(sCcrFE$4PGQOwn$8!x0;;=--A7o`dr`3l)*Z-+|$~8^* z2ozOOMTe7t*emkhjeVNiW)az;vBm9QM@5dy#Fnib3L23UfR9s(ql0!k4jYzFIl>1M z^CUUcb7?kr=KyYOM%05)5Q1}9&2woH5&oCg^XkN~eJM^qOw+|sd2+}rs{$r4+jnzA z-)>ih741cEIc7r%-AUa9#bECLeQ{*;F^6DAtNNqf_-zE%1t~F9=<{+{G*=U353Bg1 z;urJ}KiHg4M7!wLf-~Fke6-2WsCCCt&cm2;Hc8{6cUp-mA)hCaRJ~9pl`*(G3+2ik z{4M+lDs|Xd-iX@-5A3n7#)S$%nN1T*v>lHN>al@GN6`)Ycl$boVYy2)kXdc z;$KNlU*>KnyuK)oUOXD00jsL~=LWVQz5(N`$W3wO(l_fn&*Q7^3fW?PQN#DT&TX^& zv)PuF4hVtLx5FtE3UR|U$$9^Ebx88*Tu;7`ymi#rTHkw!OE$s$yYXp4fbqV_&=Jx} zy>#4UxLFWhpSK%++ui0KotuX=+0W4PdFywJL!*dE!bUM4i-{#2y?wcpV_Pl!M_em8 zx==s!P#u1n&k@qys-9v_h1ZfCJVQ8*q4Nb?sy7_Eg{>0P<1bmlQDfkI~bAl|rA$zIuoUN5&}!_apt@LEA0yEUE( zuv_V&?e(kDAMmjs3Fl6A@93R!d*Br|De#NgPu<0l*;o&yFnJGuqIP-{MC|qno3}&7 zTt9DWzm| zQM37YRxx1b<@tvcAAXPYmI2Mua=rZTHSg0?ftI1G2EatMWzC0^=T%o&b&8!m^DKe8 z)ihG$4Y1-5s}O9%m@%LXjE;scDOSRpN@EkAoNK-^&srYvD^qFQWYFm-M}cCfVm9Un za*|fJ;64r>7ilqdR({G9!)W{UwV+kXa86Sf1tZNDzRxE2fpRI!YM;N=sB{1N?7wyZcWTd4L|O!LqEXyzicu)9&ya|#P2s6N*A zjY5jzG9u!f^Gq+&!29`wI1vwfM2;uL&IJ~F02WAM5GHz2l=`U3-wx*4r+I3ssGxme z=otW%J_da12ty&@Dhv>ukd*dWG{fz^!AH0>GKCEo8ahQ)Ltu6G77yV;EUWRzq}wF0@URs52G%4QuIhDHyrq>~j})6z*IXL+W(@EuBkDwc zL^-UrLcQm65x1L}(5T)dn@L*}Fdsu`+;O`l9aw~23~ib=#s~6x2l0Cx?KM=(v)H8t zO`qhKq;LAAVA)2v17~sOiPVvqQO9Qz7KL^<~t1l#UaS&+T*02 zSGWvBk~jq;15roCpx)4B8yZIoPh$2KS|C7?2E&326P?WY6Cj9ifs)>6bxr+C%3Tg2-2vc7tXV*OBoguyN zb*2vBL~tI9b03x5P2@1W0#d#pZiDG!Uy?gyz;sCone-WD@hQHe+Sz|s(jjVdwInX; zK;$6&32QE!rDsH4S2FLdM6$f(?R4dl$p@j%vaKKf-8wcuJYLJ1oufFi9y_`-QTN{0 zC;6sGZh1y3|6UltB_{`>I$tbNiW0?fVl$vesRQq&^-fvh1$LJ7%W- z0lJ$S7F$lHh-2H;#QprAyzY&+H{@mt?9a^zPyUjBkb3l9@RRvz5|EY;i~b2dE2L?? zxokUNhedQG`!9+JUE~VDdNS2UG8cnR{{eDj=c~pIHOt^z3$L_qWSmf)+%+I&(%qgy z`;0UAl!oma&4#rl?Y&@O9fIU@hwm1I$7zsEcVo2AyOb%)uLU)NGZMIcCj%8B)@*Bp2l-)~3cox;B z+ctF$pp>;9ou(31!T&V3YjUGX4j- z0Q*n55Qxpa5R!yx48>X-SxsHO{J@TCr-EkHBc|hGQXh&s?3O_4(Y-!at5-s;qx!;s z$0XwNV=n$xdA^Vki{q6V-<|IplQ1t?PW`QY97iQKB<)!H_oNM8emnBs?_a&1!s15$ zAZynVY6BgS1oOw#RcB1H!_e3V)Tv{>vp(2Cyf==}5tUqdDmvcT$W6OD*=`d^q$hh)9QBRBX1mhb$4K^SI2hkgiihXLSiDa6bybjv_(qvXOynoKS0*}G}cB+vB^nr*3RBS7LxM( zlhkwj!F~OMiSnWqKh^P>DP-RkO`pG|*+0M_Y8H!d%C}$PTtf zN1qP;`=GOpB2-Q`-5gV3=Wa-IB}z;BrPD-UY?h|e$-sdoTh&lM!LR_2>Dk=XJkt@5}0kqg&>nVpJ_XWb3=Cv`<;JK9w!h#X)s) zaShbj?MaPtSCtR}xL-?N<;eA_oVK6)%CaEkZfW_rdRAXnY|KkQX}+E>KXmMqu=I@L zI>@fL(mgwxzkn5UNMa*=sWM~auhXd5-*ZX9Iaa(i9NMw}+6&v-%kK%p(UdU%0cHrb zFYxJiJ9r;@4n-vX0Ycli_rUO5Y2@|v{i&l=Aujw(TDOb&EN3~?X}r#ty91*(@i*hl z{zHrO`+f|M`r<2Pj1&t16>>#@UsYjDm&&Lokh03x_szALQX$~* z3If==WF72=<(2XLge_L`Kfrk1EiET)*c#1ZAXQ8yeB^BxuviKH&@8aPR`O$FXV!oO zqMBT`!G+(){7faw>0x}gPYU@en>5|0H=)vdX#T(ib&+?+OzJ+~e{1Y|sJhHGOht{0 zWO~W+hC{{$Xrzp6f#BIPWIEsLAK<#`vkv{%TbW^LDrU9ZPE;pnnmqrT*Wp3(+X*F7 zEH$pbI(7B^#BL7PoTtA4?qMm3ygr+J#Ge@6^8Ij7>8HwWzr=H@>hdH~I?%a}LpYXS z?!S&o=0mKCljB<2z$C28yigbXz35~}Zr;B|JREZeGZ$B9^Dp*zs4d7>8$2FvI&QlE zHpIobq@7)5AW#EtPSkIxmmm)>>Rm_#^=`x^V`pw|_P>_OLL6Mp9b8@Lcz96e)VXAx zA&&oRWfgNX>o5OnsoEE3lqEVIVZQ&dq;Bp4adQTnyP(=93$cSZYdC%ZoB#Jk1JyQe zf&VH=N^;3TT@^H3zqtNaqVPW@g8#F-f(8$&meBv(=B4A|{qK1H+e04J;`uIzek7aCvGlHXKVZawHGu!9nHDazF3+| zO8);N^C2VjH4LwTgMi% z+)wmWVN%uk#DFGHrz#2P31AS5P#1FoVK$Om6HX9u)FddT6l@iAAjdeBJl{qP!`FT) z$|d#i#kp9x3+L>ZUr=y-aP|~IzUyz8jI+~8EGyHQ*WiVc;=h^v$8+Jv?Z;ParuDM_ zO9|v#9QvW!X%ajg(LcyCbxEzG$WXF<$4a+p!QC3w&O*tH3U8z+n71;Tksw7Hv9W|a zHoiRa{o8)~Z>n*+Vr3~MarkrOeLYNhxWHo;SK_7KbIBY?KFM$9C};BW9)!2&-TmIR z?WeaL&CLvZ&(}Yvcp$WR9Wm@5XthhN$9)+}rfnljl7)xu-W>mYdS0k&E%|*9eb`yd zuPtv!l=4w~H!pit{vh(@_SM$34}W{+SOqrm+RzNJOxPlx#HsKM1MM<;-a3_RQIt8C znYM?_c0UWjQj?E=8^X+85=HTyfk@|#Liq6dKE3d3p7HZRZnLBWb$k{z&Am*q^`UT4 zNud0Jx=E86Vsb^@Oqa2YU!Rlqi1IQnyYqWBsy7@XK@ec+G*kXMP{9GLlLVkn%14|f<$3NcmRv( zAe}}eI_5l$$Ry|oY;&FML;BC;$THkLPc=*Ek9QN!I2|vMO{22&*jKV6`v2aVo3pf$ zzxr~#lA0Z~Pm~q-voy#-UGh<)^i<$X>5>L8OgVgT9R;4J>&%>jG4M_{k^Yj_m|c&a z<$Bu@sXgP6Nq!vujZ{S~{V)4#TGL32KsT%G`$H1KOsLw zqYU<1#dm$&TthDEk_q7}s?zTl9j?u4zs+MeFkq-DUcNUSCiu<#n7ZSInaD6kjNmCJ z@r_D!y8_ghx}u#V74-hOUKiPK{vti`Jbi7{@-pgZNP?fe)}G1Er{L$TMe_6FXV2?& zNe$(fdB+q!90I8L-k6$xQ=_ByHjEeNO#J!B`TNTwx0{cL&5{;PoM1Hf>x~HlI;$TW zd8%&aVta@D;?aw4!yw??0iW$p9##bFTJUu7+2!Z9urF4yz_hqK`?@BhZP z-u11|^(^3?`<#8QeeJ!kd(U|e12zGue!h#LK41Twetx#FkO%MM>eEi7Kv0- zy8WrGJHG%y5fzfXa)JTsFjq+r)&42k7wn(wlD?7KcSIxARpN-=V3!2)Cy2GhOQYY- z&1`E%61`hNM3Z18!GK1=NBazRA{7bKTfIAx2?YsS_YI={3c4tCPH`B|r zz8+cKZRkGhGR~Oz6<+L};~9SJofctAL~Kv=(u+(otYfsC#nj4_?5$Rz$AQj=NSBWG zuvj5~iiI(K^Wiul(KgoKKIalWS(iCT`=E~no7Ve;Qv}-zE;Xp4dbr=d7dwzK4G?cH7-A$j>?`Iy@*Mqlc}WSOtEjgsq@w?LS?M z;wREqoTZ{#a&F&5>!wQMEvF)Q_cmFWsZH(GoHRzbALqU-pTB6?E!MNFDT$Mx zvaRjAg`I7@E1D<5WsLKKEH^iERFJ0h$#f>AG1{FZ?=n!dz1Bb?n#ol^Rz@P4%_Tyf zOEF$x(~m|RJ0*=lk+PK&cn@kYS7&U53b3m)W;9Rk#M;=oiF@&q!9W-yEB0N^c=K2V zDQM|cYv(qR7I&lYhK@(R9Z!-3<$CdS8UO3p@i&s;y?=3Z zTD3&sZvSBmiWJB8b<{oyblLxi^v}{nHC)a1LE1M<7Jq5g$E>KE4(#YIo$|=`{P=Og zBdcLTQb7EAC2xPm`GrEt`_I4!2X8WyHr_^C;&qZ$?=+wY#u3DzMjOkCv8$yaJ{kx6 zNll+jw3P1NA``Fo!#`1tu?L?BHNI}5<{DfudHl#Ha2J(#VSWW$C?l%l<6^lU=K#gC zliVN1C*F>(;M>1HJ@n8U5^+j!CAnOFu`EOB>GpH~(q!54OY6sh$Lo>oMG+IPXO%i8 z(c%kz&oN&Y&EZBH9KMbSSz5vnSd67RSzW|ka}#I5n$@1|>2kt_os2OfK zz6>^h*|I3Tc!2_oi-E}-`Hs;ML|3$hD^nqxRMRhbd^$@q6{u~!&cL>Hn{HU3`YUhO zj(TjhAtyH7dG*|!hI2w?pW&eB$slcr+!%Aag7ZEQI4= z4@O@0d#rp~)!3eQmKXe-w_XLA5nvJbuG8kIvFke`(r?A;RIS_MbRi`XBpLOUlU>-^ zS>#eHtey&7YZ~c9>5nc@-74MoZP5sS_MM$flxdF^X%;+BzBkZhE-#UGQ4NInz$-^aGK-OB{G) zcOA~rrlp-a4d^V3tnAFtjF-Y7g`oR)>sHgjDHK@CiW$+AM|8P{(_tQv8lUj()hyz; z84>#5AeG;|ZI~DfQU^jz3Jgtzj89%Nz4f6flm5tFMxy6< zzwnTxk)^AZ4u71z-h{RVZI;;Bq?2C}`i)I5P}j=~b8f=eUf}FrI5*+J*g`cpeI5=@mMu!~viEnKI>OMHbfeJeDM7kl--G9)Y>G7}f>3L0Op8>$Q#!WTpl@M7%56fo zFevWxcH&G{ns9)(m>vS8v`ds%w3m{F%wA5)E;vPncgKqs#z3wY%vX;E|U1Hz$Iz>g);VBL1M3qTfKO*9XCGJe$V791AwA6 zOUx4#@cG}bO)BxEx9qHqJ56+!jqig?_j`&Zc51Nvbo@?3doby0kZ>KJV#extNJHIe ztcW7qtjBrXe@h`Autxi9std5@2I>H;{qwbnE1nc}?mKFPi(aUb8J&dPHhaw+x9`z% zTRds|(bzvD`!Nx4!nSmt|*<#t>#{d62qU-xr5zQ?8oxTxcHmE7UQ zY9pV}tSG$`+p|T3l2YABj5WxwS@DbAeI-L{f3U&hc)4e5apsaOy|G2~rHZ`yX6Hua zj(kU!h_J9u`Er%K*(!>8&E1}2R?CUviirR_Zo{e6AKxc>2E-J9^Y1}e^j4kjG9k>e6^C?Wo- zR|JG%)tU^qtu=o-h;$wDh%k3bp{9>pnydo>D~_@|Ijr>9t&j3xD2bM5JEe%SeBqK^U_4XdkczS8`+@M$Zm&>TzZ2Ow7K`0C z;4ifEuDt#sq=cf7E^c8L*OF!?Kxhq`OWHFq&x=Y8j-1xQFXm!?_N+~K?yM;_uFbV) ze*EeF4zh|dK?b$i?$JeJuIneIq>0YWMPj!vnsY=0Z|dt~1JsfxicfYIHqQlL|8BW~ zd;`y%lk-3AwSnL}IR7{H+Wu@#{r`8b?a!{-|Jh#Kf8JjMw%qJZ9i1)z*#=aQ0Jhk! zH~#+X&f7m*eImfW|90n%>;HV`4NCO?a_0@q4dzAMdF$7(v0HqMcQsIEky(ZJ73*!o zj19RZLD)Bw199MYAkxP-AmXI=(;IG?$>e5U{?g#EDfi=RH36kRcK*JzQ{=u;xKU*0 zapiVy>UqgD+hV65YUX#lfANm#5DrsB+w@UP8IQe0SxX%sNnU&!t zg7xC#*ljVxnf=2@V~nF+7W3SL@$sr_kCS{+T=hkLt@rJP^)jj@B`wX_6~qdMLWd;1 zr=Lr0O&+UdcPNJsydk-`+~cbw4ykc3XgV*)(n{<=vl2f=e50V8{x+R< z?h<+<(MS89GNay4&4t{)ZFrG&M79UX<=*s!@%;)mYgXjd^FmAAvD_BtyGPLyWjSx& z{a(2=cMHGoJ+0+vkZF=(9Y@jaEoaq0SGUV;Akmch5-U&A>jarlS>3N8&q69duHYdt zO{kRDr*P+EjX*|nstuK(A zse5?*l#m-s<>?q{we=@fUkZzMHz`wPHB#SxaJHD8^Ky>%MFOTwoyymJ@gdDa2RAG% zhiVxK{Eru!a+NjVax)p>R)sHSn)RPM4{P9}ytv;@;ejpdKV-5~>~eYyKvEX{ni>Y-M8&;dcT z@<`Ugw|&;E_saGxZ}JjQTH7yf%$`EJJC~#dY~=gs8F&)Dq0>?;ZI z{*q-a(OjYIZ@K+dy+?Nd_b z`P9`#YW4_MrjK^?hnQ#3e)at5llG0UV~vdq^OXNvV1Ckb4|-D^*7%Z_ zmnIG5;f3y`pXPGPk=u$TYy5t)li#HPA*)~AilfNqLyFs`94_tX6`EnFdK$Z*B!R@c z{^IsD-CHshW!jQk=iDxA{5j{&(GmCO9{1dt`jwc;Wpxn5ok#f0e6%M)RA@*$iMOc> zt)<;=KC$Goi+6o7C9VM^tC8vK5LTi(FnH1$#r(dnQM7k~c7FPHXTa z3CTKGH~FFd`jyZ#0^@h+7whh=<^BwJ%j;NKdNf62<5`ush5FJ;rwYnBR`1V#+YDn} z7qplcGhFu*6}W{W`zZ~_1ogy-xNz|0fTSNr52O8$_vSxGwgx(8u`?>rG6a+c?8BBG zWfiwi1|Q=(x5(CQJ4atebw0!pXUCq>faDVpZ(lJMRh<#Lb^EkZr+MX;UE(Wsf4em@ z&XJvph5NOGeH+({O8PMMn8yHI%9o2aJFy~BZ~Ie>$(?PzrUIveC@VdqRYa+(Do(d8 zUl!ke%|*&IV{c_2i%rpNbUiS1@Fs2jj0trz%AMrS!G?Y}EP@#{CBG7*+MqH_K{3wS z0EyhqnDPz5TVA)cMj^S+jyZmZ-;8dQI{a>#qa1+UPo`s&GI_s>khrBC#k>pWso8Sl z{U@$oD)~_&s;I{f<|=z{Baj*hW|>$hhr`*C=xT9dkDo=v=*xA=g(THUQkc4-cFT9s zf!SIX(XNL0+AGN^_>-e5v1AN5SGs~^%{0t3UU0lI+dcdy{Y>G_nf^f*<86}M1Nl|ElI`cYy*FGk{Sq*V zjVG4GM;?BjH_cVM{iA4T%Qa4%{=?UR7Y#M?yIl-A8O1B7eiN_f9&-6pM_>zO5*G*B`LVEEvi^ZS1La3)a%{03)p>UANs(9Pvot=-acujc$+M!7L}h! zTfbh2esV62kA`p1LObbzR5)8)Q!Cdy1eXD=phmT0Kt$>5_rS>vK@74kT|osAyY*km zx@zOyl-Q_T6$yppBfG&L9F)oq!>JzTai8C_5d4jqMBAm~EY{w~PLqKa@j9h65ob{l z)43`~-+stD$BFcK-Gqmb)&I_z=-bl5l$mMwqM#}H>d>l^(pdR@thmXeH79gQ^Tf#2 z2)pu%E4;pYB$8dbDWZ3dB9s-9f9Q^~EoV?F5kJhYtb8NwLO(u^n!-%q^={T# zJ|jzkbZe6>wSmUg_?}bcYAd@&Uu5tpwpg-sBUX0rvad)o>w|2mJG!UQx4OuYNE8M$ z_*OPI<0pj~Q`Sv-BZ}U=n!s;unSF1aThEdNV)!Ye=uKGip`~=-An;>UG{M8_jVLt@ zUymVjqY|mfLGFX2x@NrrPV8zoO76Nl&rQwxkUVqd&bXYDQZJZKX+8Nxvn5>VW^Q{P zd~x(oXtN3+v6gCNiq=S1;!)Z25c9(Hw(V9@u!*JVVg_Is}Il9F1q9|O34$h zJv2Q4v$Kv|d{axk$o`2&H|9`oVW7dMFDbm8X&oe3nrEht&gR~^!$aJS{dxMkCTCIO zdzN}3zf6`Dt0f)diN|WygpC$#zB}AvJA!uyzC2qGidCB#rrjXV=6$p!%xv27;VGRY z)(BfV29y%V_Z zEpo5_?IDYRD^(Tu5r(jy=wkSYzs{LwQ*Gw4V&=V%3ZuOQv;?IVWZjQkYQt6d7av^J z5T6}t{@^@*NXPfk-kC^Knu0+_Yj&+G8M7r3MOczgmjBuDgUo}-4SLjsUp30VfA?!B zD3NpFH2q}pq|dF`O5LB}ig}zUdnc;b?^u~O@pu-0qinahTkD0Nd?m}&O8fnV?Qh^g zyOo!pl0G$fXsQy`KT=@}h`p6&Rr_1zOQ+uX@wQ9X^p|G#Ql}ss+Rw*nil5A~WN@*K z<#P3>Ndn#bL!N-!B*FAUgI7 z#>J_Y%e;l~l`s}!wD-;BY{pxgo;(4iM~PiJUd8X*xWo;~m9%7qqicI!UZ~BcBnG^^ zDY+WHeT#vPZ6EvdZz(fpLo?cWBi73FfYW2oo#L>a8)3h zky*A*eLP5{_>8#)Uyl6QX75V9gGN$-*i)~$Q6c(>-){;wnj5$=9>|MCqMtjuZ#KB6 zkMc&Cx@I;CZ%swe#0zy^l)C?T_CSVMXTPPvV{<1-r-w7ZKrz>g$jr#}5dr-zxi?=Q zu+VkhF4N`tj$Y`Eujj5=U1DIa^1P9Y5l_m(rpyy%m1;Tfy`8m4<}HwK=lw(7IpxnW z$mF_QqPt4XuO+XPmdUAVW#0n?UB=)Ha4MDwQ;hmruRtG6=^zk{m zm*mW8^X1L7pK*~+*?1q#lPrX@HHPDL@NY&Sp?7NCCZiENHY1~P&`=;~}O;x)U^JRnfZ7Bmi;R4bfB?$wJi!p5G6$2+_+%Ps@4O}j5`3H28zB_3i- zM2%ztwXW|eEhem=iCND+BRT!4<9qXl?udx>e|kR;JrVNn`@(;ZUvP1O`8b}s7&$}F zZ)hsWbNq9VL&4P9(8SQ$5dQX1NYo8D$6{sbOk-qfZfPq_x7*M{M`LLsOsB!Ez^Py_ zVQOLd*xk|esk@@8vAdNqzX_eF$Za7v0XG|a8^9Wkn~k-tlYpBrogr|H$5a6L8Tv8@ z9nC*OoUMfE9zt)>XeubvNZ2`=(r~l$uo-iKz`%hXb}nu{eqKIS8W1NJ4+kfRgA2^Y z$tA!A{Krr8?>{<`+rUpkjwWUTPb44xyBu&OOlRTjY%jpU;p*zj?h0nNb2R7R;^*gw zngM~>fDvp?FKwL--PmlM?)@=xZAa47$=K1--r3U5mIi9q(8$ikS(uIvx)AK&AHVDo z7P56>|HnsmV>=rT;06a5JDB4?O#-Naq5Er+o1r~0iSxgkq@eIWpJrq8A7`2v!=~B0 zI9mV1go!bSskNyM^e7atA{PgY0Kh*X0J{LNkzwLuZ0abYU}@}V=VWK*++Io}EV?A;3KW3E=3Kvz?==9ZMX+mPEMWYH`+w>JmahL4$Wv2?zh8w$8=B$PmLdv{{3rc_Zle9GU)K^v zbG>N?J9Y*=NcZoc{~Mc@f6n6lf4gZ392VpPj{f~Q5-9N$dYJF}7~ucL!NLD-1Ct9l zImiY2XCsnBmFpRFh3n&j|M3{%f7;sQkg)*{`HBDeCh_N+j2`re;otk6f0h9({MY@? ze@+?#^Ehe#bx+2Iiw5+EWZ*~OjQa4t?R8t^|H{Br@K0slF;3B3bG zoCBc&LwBwJ%;847;GqHkaThv=7jX_B;xK-M3n)b}XaF|wpQDz50pQ#y_z#oN3jofa zSV1qqe@%iQECg%!gId{KUcVE~1&G~fl$z%LN%oEJa?bAb1+!s3O{04)3qlouceKJKsF;RV=% zLEr`0fg$BZtaJb3{c!U6rhLZ(oE!;ccWjF+2Nw^b$I3b!tP(={n0qn5{0#<=N zCV-9zUI0*yzSaW}pwS4{pdt-p8xU!D`ane*R;qwV!y^fZG(3HH5VIK&X*gLth}jHu z0kABEiZpCZ-oM~LRT@4H2p6mb0Fj1s1&B19D_+1=n0J6iBX|dhG(28_NW-}Tc)ONW z5FpZU-k>54v&Q$A_8_V>2vBJR3jiJ%S9|~-Sk?m~4d)6f($^9R0z?`P2Z$UjoBtAN z5FpY(#Qv>SK&0VO1w_qThf@!=;rU5f7l!aM*xK!$pA~z;g`_uzbx9VyS}x zm4;yk11b%t8VtxZ3?~>+X&6p0pwcj$U_hl2Cjp(}b%eoCnZD)?49GMLCm4`v7*4=0 zjA}4crLV~WL;L7!KETjE`Z^-uzxrq}Akzr90H&@J4h+aNEaAX_Oe5Suc&LK`nT8tx z)`58deWJmP0Wu9Y2Bac9D=sduxJB$2-@JNOc3aB33 z9jHXZ?;x@RNHhW*01F%epmcBqKnUPI04)yOJHRla1%yfm7)7`P$ONFW|Hcm5NW%aD z)dLSCRHI>84g?zx0jklk&;c5aXdB@n28N3Cwfuqsk%pHR02_7#5NU)901o^PpwTd( zKqn3N8`?<20tD4)So#4PjQ|JQNW-EEXf!-_P>qI70&c)Og=#d65J02hZ~%>l8v`U7 zVI8PO!(aoQG(0F!jfUGsgac?af;j*V%pt_Y1~eKzA1cw;j|?y%(eNaL_R+AAK>KJI z8fYI4w~UAeP-r-#fI=gf1|F!_8~{vT+X7sK2{#0BqXUAt(E$Mj8b&PyD$v*dLjZw> zB@W~-frbEmG{Pi=&I1DNqhVkGfd=}3Ke-DK2Gbx2RG?uv0fC0G2>}EemdB941R8?a zM?-)<8ty3|(6E3)paKoIjgZt3K%ik63M>L=2M}m@dO!e$hGhf<+DF6u0h(QyszacC z^mV8pfI`Cp0s#~n7G4OnkA}ek;sg&BFa}OG!aFMnFdpFsuy=KxD-hsixG|uNV7UST zaKZ8u0;nq-8dRWRF$GcxjuWUHxH~|o;d2nX1_;0=d>vp6+!~@nLx6;Un+60LZW>Xb zA%H-`QwJ*0Fl>kd4FMv65E8&27>7XE;RXO*fwKc`qG7RuHqqDB2LY&or!tTOa1s#( z8UhG3OidtwDR>$H-6yOg0nEZd1M-Z36ROYG3I>7dGb}*>eMXoBz=639=rcUy0R~}h zCIqM*MB50u2mF5kgj7b%FlZAETNG%b;p+fBG)zDsfIh>61?V$8D*=6mGYajYVZ;OS zj4%ul4dOlrG>S0a0C|QRgSOBxV}LxvasDOG5X6lR2% z4p<%lM8OsXf_tLJ#erub)>Cpo4}n zg0LBZn8)0JKEu}M{;PxLMigjn=uY%CPHyNv$F-l_fI!0pjT_KsEpLC?EJji0dGfB2YW2!wm>r;K zV0M6-fmIXm?0_+f*wH`>=6YSAUf^~Rlos(FCqk5ze*@V`{HD>4@Ana#^ zP(U{TuX6{=Y5Jv6+-l>`hwEj%K0qm{W`=5rCx2D{Z^PL;9sy*+lj2XPFnfhqoKDGW2}-y;?+mU!Lvn|6DQ#1!Ld6 ztHvJ#(Qx*x9GpsJzRD{~qnTSp&2(?VO`S~FKl1^C^zcB2i=IrYm-+!YbO-)qx zo(k#s;BZ4+SfEAwYJ1t>Xk#+so>c7p;2^<45o8@Nkp{B-D58q7LLKjNjW+2_$5-Pe zN>}SlxAL-n>t$Z-pPpSj$;@aHZV_tocI9!{+i!^Acs=mr(F}vGPuTe2uF~Lu&*kZw z=0av6&s8n@&0rL=mzjDP%&QA73i2DHr;F4ZMR$5zu4>;YwJf%`Ed0cOwe%j<8h43q zxx6~eU!Z|?m7SPw#3Xudmza@r(#rS4uPJeEb6j;?cdJ3XZY?zYU1S!2UBwru6Yt)j zJNIMR7uZA#7O|Y1&xhwr;&9KiuM*6*mc;!Us^R^~Q>>CcH7le4mFUqO$te_xk_mJk zQfCY9n%ws3R3nFiycw_0S_!PLe}2*xjHz$1p~h7sCy}9fFQUg<9OUvXDIGnrp--`j;>6N8+)B?T3P648$(mwua8(%UTj`W3czD-l{wPBL!?;$I>mBNxk zoWe2bx0ASpT;i!QpY9%TDw6Gh9OCRUBqMWuG#GL7hNdTEI&!{eEMr>z5>tGjsiWu^ zV7Q@2)g{xRcVE#lDxP9=1}wufCm0_rQ6s=?E;%NV*ch(G-+059?iXX-JoY^AN zbBZ5cwH`b#_ewm>NA1^mq))e~S<`QSRp*&(<2+)&yOh7cj?Wn7icwBIPfGGNFUW%W zT~q&zauI>XC;Q_>FtrN}vZ%q`ws~t;r0;=i*rRMDO(OR#98bM*0*Lr1Kz06m)&)f8 zVv*YYhL}Q$v3w@t$q(>fG+?EOWW*3wj*DC zztm(w17Es=2s z@0+s&-LWI^LVsfrc+Go1crTrQx_hCdK>AMflAbE)mcTj}$(A_N+3)gqA548a=iQTY zK9Y+CoKz;)UVg%y9Y`}AE5l(vV5n}w>Iw0$Okl{tp!1;h(`AzEd&!sFnwvS#(>R4c z^~TTBYM3_Hrpz=T-l@^B0Gg%{zKfDZ)V>r z&~vsLcTrLa!XK)0uty1;ab`b6#wUja-(-ke876TG9drog-KBU~@t~7`qSaw%-ue#O z({H*wJzmAwTn}Sh42iJqC{L-d8zMuB)MUelkNiW8)`s3mMLf<%?awLMMs>PXfLeos zO3SsXD{yf?jePj3m`2N;+#$XCv(LSx3U#>{Yg#QPEOw&%nz1fi5XU>Oh@ZsLGwoX& zNj{AVtw9$lRsPU-TMvgc!K=IwpWsRUY_NBgVWf7h)vZcDyBn2l4UcW{i_!N@W-v*3 z4P-P5%-FchR1Ds9cR#K)AmB@IH0{0ri5fNJK}X%P2fyzMHp`*rh)zar`314%9uNM2 z{iE-a4)Q1Bfw`;s&7Wo1)Rsh51^2ZdjJv$PK|_yehH2{H8iif`sT23h^RR@k@A|}q z3oVyE9Dnw~Ry-+o%lS&1#)~=oh{Gn|{U#w>UbH&12%}2nV@LadZF&53)}wi8^b0D* zdX<>p!RGVJY!~y6FKHS)lZp$)SvN*8wVw|wf5M^9bHpoYNW6FFG?pjv{gaH4)S3my zJ80zHs3l`LdIoLc$>mu0L1LO_ZPq$phOL@56Mo{^1#hMh{;xcP?) zYxGu|&sR~ktm)X6tb8vkQ3AYx#T@kQTTwi`m5c|&7MpUSTRsvT+oP^lUCg8l+atZs z^SD!!OaYHa!)v z#d+(;_jD>unADT$T3wGFxMB#c+h5|RGBg7k3*U&TxyFYuUX(6@1T>N@H5#q2&R6Z}BVkecN- zg2WEJ#`zS49YBzbCuhoFY_v)s{_r56qZ|+EEq=EdVa(Ys@wkUeJu6F&lBxKXMmZ8G zSvC8c*9{M`liKVX5YpBH_7;{Kjo0=+_OW!6MOqL;!=S*$WR zOjz?yjMV}#>y_s+1I&`pV(nC!Uk;Tn;E zbvT&a5{632_Y)o`%<|4}kDrIf++yi(3$nE8F4ziRj&SEy*)MdrvFb+4q4tmoygjs} zz+^sVty7C*=YJ=KroQ=Wdl-&!Wx||IEyn660`ZDAWS7R9ckS4Qd7PM^=o+MTSjjNn zd+UWf5oIl?x!U#lD?S)^5%j$uY(Mrvv5T21z?9>wmMM*o+kN$SZ!+Z>lDmhd%^z*$ zi`fZ=OpGYL;NupE&OhA+y={aT?7!$sp`*`!^PS(gx;S3KvLbz;fw8aT$>*P}S$6wk z%IoA_jC7It?HOz@1wfKxPjCoht|0WYrJpnI>kEQDeL_zhlWC_Kjb-1~xU+i4}j3e=WPJby2eL=o$u{VtqZggBv2+99AN7rXa+n!69rHOK<{7S;JCN=&O1l<^(<{1~z4b-@{rpX}MD5CgPNfx9O{BmBo|^uhs>ypG zavZac&s!%h5@&Av-}iIlMwXm1?byGr<2&b;v?k%9zigF4JO3omLESCzW9`#%1mse| z$({#)yPr7CY2 zQg2F|LSK1zdUowJmK_h3Uxd!jqQq=L# z$>D?F{>|pXUy}vmNM~|(MI#h>uY?7BEIh+@6x83HT~X=r9ePFYEH$@u6W#R^1x@JR z`qeOXMWriHb?JLBTH2!17gG8<=)iJft|9}h;5Nu%l66z)T-?|#rCD3;keP@xF<*0a zHL2A+Wy#2!PW+1l7FPOVmZPo_c>gvH+Ut<5wc9BCm2S4=ZdUr<^S_WdE_ZXLNc!wa z6T`qkiotK01j!(tsjWf#@z4AD@wlqA4p}Ghv_3HL;t7YPC?y4N2gUn#M!r?0+qW`` z3X*h6c6u`SC4lFrLH|~13Yzp62@2k`CYs0dE%UM>c%qB9oF~%6VJ*xoZoxDN-T516^WK-Pz9GT*atD>L+cz$;Y}E ziF_qZ(q>v1FN}XOT7^e{l4sz__B4N18T_rNZ~KPH!Bo-`O1=0U<|cHu`TK19!TA;r zLex7IC^m!7iqh0`gnFhWa^yYo8F-&XYd*5!&|k|_ddjHXin-XMKXM#eKNh`j!q#S# zPq}4k!(mJ|_x+;5?E}%Or|=}p6xFM{uM>pRJ0dFlpJo24si4zF3Z3oA%3>kC$VnPZ z^HbAY?JXodRAq+vx;XUG?y=Th;-s`y5NYxmrXHy>@5m0=eZ*^d#!`&(OH43z)EI?z z&}aH({;eqD8D=Lfr6m84guPSf>@5OCx{vJbe;D2i#Y^@u+^Op`j5R&8Te8N`86nzh z5HzoB3>O9?mogUDG2kH6-lRu+A~n>{jTePwge}TXsCtOq+0h>`8vN4`=dQTYSn?;d zEPS#Nd(&KH-2&RM{lR!9|Dt+`WoS!rUwp7wFP)m_4oA_-Yf&arH5sPa{h?=dtPJAu z!8d6|JF53G7c_1#>WhT1oC++_J{fuRZsEb5z#Cml_r+?#?MTs2))>oUie9HIU11o$ z&6E52EOS39T7a5@EXr57--VsuldF&P#4;(5z(18s+0Cp|x+0xW=%z6dC@_t*93$V% z;DK8@iW@8Ik3WB&01Qg!BV>mtnBeAS_1>-bfYJe7R?&_ z;;nam=Va^ap~Xb6ilz0^{qs?<)FYO^v{smgnfOkJpMV{Q(%go?V^R%QVa%BmuAJma z>IS>tIoGE;KX%Rb_s71r2Up=DNySJz-{Zs1SbTAZz@VK9Y;;Rg-yR!6YUMBR3ZhKZ z-aAjg(6&_g?18%$CbBN51EMi%A92U#YpUu3<|C<8wG-75Wa7f(o2ic}6$O>6BQNI8 z@V36Ia&BJQdb-vF)dsjQ&+siYk!<-7na}UqNyge=F=2ttqF(?np|m7Fw%2FA{m#)aOz$ zMY>JBC&o9S$yEOxeqAo!f2o($+V#mQ1`KJ^Ofc_MPx0aY=6YgB+bP3tCDS zDZ!r@f%r){6TlyxuuaGAvAcP2!UZlG@)`3^ulw0;{2>Pt`z|KGyNK7H-lHmbdE&jI zik%dmkm^7*sbV&Z`$<3MF0*x)E0?H4B3{pOLB@o6Wtl$SQhxa-K03Y!=_Kvt)E zmi^{oV&-T5_rvt7gU?^S`w~Qv?7nNZS_j&RVN)8oKbYk~Je^d)23F6n0#(w}8w)S@ zM`i@A^54rjWZ7^@;yKv2<-fw{@YIhoNKuXYaq#BN#CWLqORcC=)u>F#>7rPX??uB7 z+_ynWMf=h7{fX>7Lk}oD(^$qL6TGl?eC8=$>!6-mN4+ASHhh_vQ!5IxQ0;cPo%z=1 zIe+li-CM-B-B_QS{+Q9?%PY+qEaBf%N@;hqvt62U4!w|-<0Dr&2}S)V5oMNn|M+1l z-3J_rA8I(v>9t6)(a)Z$I9taO=kSar?G%zs3pFXe62fuQbxJHF2qcWhzOP$qf3E!W z(qxk5753y=t>%LlieWl6+|<>!?}JRWg5;9oJNrG}M-#?=)ND`o{$fNGf=^1GIqgN- zQQ;xb<^PE*Bsh@YtXES;G!9F{7eizY_-r!y{8yf5yQ1C~mz#45l8HIE|Tdr8T%jT{|--9LtUpQR!s)2|pqFlodOzyV0FI#_OX?q#S zu5G7sF(Vt^ij6Fr|vyT z&svme=~7;#RQkGzbJ3$exlQ;?RDqhMqSjhzr3kdDoLXYN(77AZt<6cOD>7*{MRk-q zH}xvdE9!x?*!5--~Z;4I$LQ ze9G}@4<+RRItpf<)~-&xnS{4TfBPHEz|flfh;OV{zsHB-x(Yn~vRYZe8PvG_T`E!h z+Ad?l>;=Tao1nM>7V%%!6N^YU@D%D+CM!R$$|LEvDDz(QN_{YQ@{Dy(H*6~i$#-Sr zxi1Rotd7H}Y6|sBQ}t|1v>VJXeRJU4&`tcYNq+Rnqy%YG`7dRYQ7S8p=J9xdB(*J} zvb}`V^Qy4w6QZpAPKJbWjKShAvvZxmgNN$7CcKBUa{a6G%`>Hs!qS<;r8kSgE5lW2pv6RU& zco(Qj$`loDRtzND7HivV`4npiCehbn9j+3%_{lT;&Tn~TOS8xjv;;N9>u$abLPuPSRyG{ztLI4|-^J+lNqWcc1(w1?~u_i6bb-)R#ASQ>s2cTbYSc5WmRiM|pbt zkpM?G^OsYC8T`BR3hb*F1xp9F4Nsp>p|+EW*0kY^kKWH--zY=V^fiJNL64Ur?&EZ4F{vnQDM~Q(PN`H{2@^gwdQR)hpgM1vGbqCk)jj zW<0*>Ed|NnrcyGF#UN3?Gx3yj!cf&{s(bltUQpvh(MkPQ2|L*nXG(S2ZHbMGhZBYg z94$)JvVEl;MSg+16s(!$YqIKCBHJBEZ0?gTns3IA)e}duH_O|X>MUb8z7Y8`O*6XB z=v_%hP^FmM4B7bszAbzI4j(4X#m2<}@1ufO1ROYp_}{J^X&0qetq-GLi()r&WXGIb zPDJ0U649pQ8s&Yo5Z+BLM|O3wSE}^L=klEwNn@XvpuC&y)&)n_56)54=e0;RT$#g< zLtdSDyPaSsV-P54blw_ILk(^6Z}E+8JLB3@<=v~rYQ%9f#`D&lH%+5W`29m#GSBFQ zQ6&B~hBs9nXT3bumlt~M%q`z)`;In1+ngq2AUoq9jz6T{iax)4g|anq$)%R-apbA` z4!rZQf8*rgZvJQrjdb^ingdhs;~ND(F3NWWr}VHhLok!)+X=^)Qp<6N2&5aIQmh;3 z^bqZ`_ADDSOl-yLcAm&3A2OaLP>C76cY26%Rf;MX%LyLJ#!!!LwZ?i?>_#A1gQARw zEVf&Yk4c_%xd_HG5li~Y;V*!J<8R?`l6Rafoi{Q zVq^A`o42Ypt~z?}y`ykpqlsKUiebORn2_ejexGM>F~)Y6o@dRkTd2arDf_3_(`R=N znk+IN{Gwl56_%*i8qA`S3d{69%!r6j_M^Sa>BL8W-&ecq2&rc&qFR2g^(O&KHBI}g z7G>OGWSr=fcOxVNEqW$lR9MUS1@rC>!9=NYwOwY5RclFu%MS;7sJ!rroSk|wUJVN> zi7+4&pnk2zWi0-|!dBi@&TCkLnQ{-zqNHj3vYqUEjp~;x)UPCxx4z!$&TyD*CF?Y; zup&$@V{}6?9}drnTzHyoy?;RTiLFcLwk>Iz7^8CavMXJ>SZWhR)5n|Eb8N+)3!;Y@ zLw7E5Yz$TC&{5MG*IC?Te&Gs=kB@#n#MFPgswU}BEhyF_5_sPo^`U|%+Ph=YY`UDq zD(xH3vx94HFs!=T&E9;T%RG~YQtZhCLgVPgPo3MB@1NUe-I&B5xRC!CR7n~u1tu_} z7bw{jx7Ni9P5SJapK8#b-5aU+Ebb+xjyy-hNdF|S*oZ_MgDMqjFs;)H(f7Vm>!6oo z+Ip_8ql5Wt+COpD=;jzPFOpdr5qpS)Tn;IWm_Vv z)S){Wz9UvbSAS{-ygFf%4My=2j#dL<)BL$AB(1{P zl;!pD_^rp~EILxYhj}5d&Lo;yd2Y69{>&6*nc8_zf%|1OG|=rtUNzh3h@nz zW;79488pIO$nZ7tQL*`sffYA5(Qvui=KNR6Erq*}ZMNU;^YR~>E4a4lrd>GV`EmxO zztw%;T{0NQQ}m*mW%BdA8FNF0LB)KeqT;YznOqq*XNAX6+@}gpjq5GRtQNT;7$0Np z$k6<2pNm`fiMTP&LYnwB6tulo)FBQzUt*ZaJy1;_%XusNYiK(%*}Q!@P+QPA9rS#; zIa|iG?&}3w!~A;FTMnCNk&TWo z`rMsT2+6;SKJy)gBU+{NaRdqeT3bMV0k6df+1=;M2}og|1H;ycCFcsdTD$`zhW7We zGM;e#5;s~|aky0bjp4rf0T*BS2`_f$>o3NIr)jvt!fv3omPk$XI9X=T|V!Y?j~yL~C?#zIrvYi6f2 zY+3W6t?+&g+Sh$j3aPvMwR&KWJcv7UtZ~U}(sia%WwmxHKEu$~qvd`}IUNT^~ z=1#|-u}Ie8Z)ZCr2e*hNjBnKI*n}PoY@{;T6=#JV+%LvbnWa3EPvBK2&lM_3VbXbT zp4-U38OF3L554V24qRDDB)F;%O4jK}EiK@yWKm=1vd(!$Qwv7daG{H`k99|1@a49t zSjN*NC(=Z>h+?HXncr9;8<0p)lR=+*7g8<4zswo;LV`iBpDMAIi$?S z7OP(R4~7=xFBr>>Gzxe9{_|vd%tN?DYt#HYWS?`+fCEL;&MPNOHcse;FiE~ zsnsvZ0k~Bm+VM>@k_>>ZLcKFt!7YWs~MD8_Yul$HKR?{AEC_Z zvoxh@gfeUFOfF4+n1E^urQc?fnZ}xXP>tLb(_wR;s*yWaO;8Q*BekO%sV{X$YEV4Z zI+dtqDNEp5?UEer2uYxJjU;(=mc0lE0+N@@vYK(B(73!@x@x2_tfq=4izahl56$nT z$e0s|1-(&ZKPlb#J*bB#Dl>^-801trOI_Hqp_iDcf?><(w3RIzp6bA^ZfrRjdQ5O& z#L@|(uw@K$mMsU|;L4VxVaxDyWy{G(L?2eNTvoCiOsL4&mMrVEL44SdWspEimPK5@ zJ#ITalI7vXadYyx#UNyvBRtmlCpYHoSgsr`SLWPKu3VU@GdJdIvRpY?uFRKgxN@^x zc@)U8R*&JzdF9GBO(HG@%Nce|=$SbCFZ1RCB#7_4IsGbcj^yea`S?GyS&Xi`(T+7g z8*NDQqtS*lKZdlU%+CjH81tjijxe*4@a6PNeEIRDN4orMv0=-PsoRm|$CGwk`Ppbg zl|Q@p&XoDP-$|4&bQ;=lN0it8EFrbtpO?b}Z~GGrq|*evv_^0Q8NdTVMrwp=q?(G6 z3IL1XB)2YR!V`!cqDNgpba{LnI_SZP+(pVa@TTU}MMtnj&=#$ja~R^)#gscdF>b|0 z>J&``@2uICs%|YA z9WlntRl54CHm<;7o`;7z@&%E>acs6r?*)OG7;~{4@APjX-vp9%9^9T^C zWLPMr%<#^Yl!BL3C5ysWgm(HfTNawmUTH!@D~3eFJLzFDBK%B-hUPI&@wuUK2{9|f zT{Xi)g_e$ZVm*1F8$6T>#t>1arOY0O#MBZ~hO$uRm4o?D);UPh6q%w52VM3#)-#UP zfl1rgz2oNnaSKieDu;gDGBX(|CvM7|*U3;h?USMMB~41ocPO$_(zODFq6#Hli|1HN zOJe1^JJzB+mV!NI9a|3iHwpuhg2tr`wun1G9%!iu0&m~HU;m-zlGm>fvUsumXdHay zBhfkDBstt3Z@KVbsn*f<4SS0;MRB- z=d%BBT(HOavm$ffJ&ty{VlAJdi}pC&1X8ZYIdsZ%GV$LMG3czxH`)ldm32C8j+2U>`87uF~0lMXfyFT|>d( zv(o9E(}by2V|xwR$467UKh&QUU?xvvn#xN7Ly;c?Bs(`UoP1vML8N4Roe2$` zU(`!r1OfRt2Pi19L>K@oz$q<#l>ht_MovQcetW#_36SqKI`IS)#Z75XKQ3T%1Ro zQk?H@j&mSwCUX!eo?aB&SCn?@-w3Dm=P3l@gj0YKi2lA10E9%322gbLYc%TH7%W7wN~)?|E>uusNP>;O-rG2x%=gqw@939nsLavAkoEJA-8 zjfF-cx{rciXjAWx`%jNXfOy(c6)C1L`_G?8V@d5bUrMV@smWql4fzq1G353acnJ*$ zRe1~$6wZd@a^1tDBV9orxA2_oR_%Wln@f(7!NInjItFzkWkh$7>msYsTkX&=VvW!X z^YXM(q2i<_(*jy4GjLlBiP;h;Ad9o%9 z(A1*JGc^BpRi4KPXMJ`Qc*0=bpjH^{k=chg>$8L4KeIlyz+h&C{9btq1XnmiyF9hJ zc&s})zgeFhB*=6$ZjZFMcyvzWcjdF8q*{a75Tw<`SA2DRo3NpTV0R#CeIeA`o`|-} zq|20Et}+dU;P35}YK0+MU8t%dwpGS%2z!<3AcPTNl?*9~5$96z7BqtLJ+=V9E|V!gI+Un?($5A$C4>zKan3!Bw7R&kMp2i^CmFdam-Z_N zpX98eKm`D85l(f1T*#<~mar5GR7R2pODU2Uv%@-@9>mxU5H((dUBFksY9VPN3)}y& z>3OW5_cuL)C}XR8gu-#Hu#ZdyclTH*Ww~as{?ZcUgRGSDalA@M_YyQvJj2-!B$YeHTb4s(xi5MD%hBAgKlO5w_Ogro_v80DZNW=#mMRHGtvEumM0j!`1?OxREy`D_wu ziAjugv*?Q9YfU>9=+4(b$OThlg4P&lY9?JVVo)@br&xGC9L zo)sqGBH+gc5T4!6caWHZsmtxPKt(M*)=qG>^bC}c3k{^4@ZThiM@@s1QiQjrU;}}t ztR~+K^7}%KCv=Uyzgx<^WVoXfbf}cF`-nf zMA%MNG+~t&k~Ua8}6DAQtMYxIBXNKND!C=THq1S{MAb}^Lj57b(sW(81 z+^xk&N4^?^;u}-XitlRb4G^j&n0!I=6>L$uC$_0)SByRN1`1IzOEjse7qIdMUlie( zdiDj{Q*VGc{Alte&4+!p`;}evls0jVbRqV8@%m@Vqq8983waR#2!(KA-(U&*4M|8eH!Ehnk z7pOJHR}7f?rfPST0=JnhLRK0h0#9;*uvW2@n?|XlP))LBbtE4!vNpnl#^6$@6Q)q+ za(k)ThvjzfK|vun-LxUW2!=^W$1%Y&O$H&FDP3>3?bndwQxkTS)`JFLIF1RbNo7>_ zo9m3i(9$r6$0du1%hA#8$6>jeZ(@@AVUl zfinoR(NHs^TSM6y{o{r*5~>uRQ1-2|Q7b;)%x43^5X(DKro8i2%~x7E?u3{ZuUrY_ zEpmM#Y$%RUO9o-qnvb4!xxJM+wtV`}=Cfls$F6KZ-cg-&UekFPG(~sElQa;RW}i$t z(sanA;I$Kb*)nsh-G1*V)I6S% zP^~YFm9u6=c*o;)6fV`-{jLRu!&-e%gg3U30TSdM48EfEh0~fe-JLC~fnW^QQcONA zFq~KXs#_2<`9%wIkWu$U*iax{W($@nF|ZcW0Nek5{^<++Ainb3<9#=VMJQD9n2@@) z7Uilu)?D`5jJ?Sf3&X;R^NU1lz@uUli<`eESq`gMVhpJyZ|+Ql8{qHB#A5wKMguO< zI~|sLL|$W>Tt9s5Wg$Eej*ovo#>2NqU2_;pjmIckUV0%EO5i5EX9zJD; zAAT$tFW5T0u>5k$^ItyvzvQAme(fnQTgr>19M@Ba6v(4|zZeY&?jteCBPP?9^87XT zoCU_8gg>z-CinaW8h_lDAQ?%qt$-PUp>cg&0~-7N&h;rY&GW%IQP;h`nML8^_p5M5 zjPQU?CYD?#9UB)(J1%X_*0fp;8b!+`B@WS*BM9iQn6%62{Pj^Ao1F6WE)ofcL; zs?+U+_%~n2Q$Hnmu2%!pQM@j!8@^)sV0P7TC3SY%d8EU;3-FhK5*8Z_wjR*p)MNQa z2f?ma29PUh@rmUVwIZLT4-ifY$GA(M7&i&2gX+kq>8mKExZ-!=6mJRP8%nnAaAP6p zC@|vN{K>SFO^kogMGDp|6=vuHg1jU>IE*MVlfjQStlu`*fCTBZ^>UgBp+?|2XF#Zi zV(KZ{KYJs$K^>%;6xY>Q9Rh~X7z*u3u4{R!Uyc;#(VXyIt=nWy=$Xj^lEJBW@M`Ax`kh8J^};LG_^WG4Kv!%x+z%xQm729d@&lS8 zhhw_}x<@=(N@|Sq;fEvy>|IoojoAx>i8)b&pS7bs44fXIae&o!s1WeRP(J;eo&?Wc z$Do!_)|UgUvP__Wi-kpkohCs2#|Kn5 zRo!)5@)~lZSto7z~tN~fyuEAPpHIjx*qdc!t6EH67<`bM58cC5* zjzoaaB?OCudjG<8{{MNeR7&BX!wFJ}Xdr`duR1;wzLm!s`Ed~<6A```EEvdUGQzVS zJ$rpcGuoAplyC>tt`x<;sa@_j+BMD>+C?wtvv$q7_r7-3!5z7u^&NAtw_BTIm5TB0 z_r-18@^6Y8bv3&Xx2|Rv;^uxYZl6|>l!zJm(1E4}A(ui%c()a@xD_(gJX%PWl8sYS zvZ-sNCgmh-$q1QB#QJI1wRyY}wm9W!URK46PqM~K)lhQyq^w{49ZmCA)6x;web0)P z-cdAKSWD3S?**-2-wK+pB`KC_DU;4R1uo$Sj5taq)C7Mmm5`lY`|1{7J-JKtfG!5WFf(K5zLDdrtF#b*|_?J3jrpV- z6$XQm9iQ@7-p^k}p-ih1PT{l)15X@6cn#;(`_AE953C@VYqEWyRTy6mR?Ys5PeC{* zi90@T1tzE?4kn;OLH7aOD`*3`YItu226o8XhVS{iD9@Mtjq+?DA@Aeqr@*K?eyo3B zcwm;0^dIm$MdBd>EkpNVB4=l}C9jI)?UMB=8)HTl$PKyyPdKx|DiO<1vJpOkqkEuK zSl#R5YHs!isS;eE;8{?(blNWxHFq_5lDJx%D2XGKeAhlT6w+bek)TO=mX4hj5)P6^ z7-PO`pBjosOAMqUxX2*1vqBQ~w=xND+NX+=3p~u0kj{iU?N>NPUD~OBx1X_uqg4n0}HSwyUL`qur3k*I)6Xaf?*vUkS(p8X<9CskD%hTY?XTCz= z!+uZIyy{s6!8mrb&7PGPhA3t$ppMfwgpQ#ofnEt4 z3Jm_XT%y$%#<)yI&V1#&lCz6-NkOns1izgS(FzR1#!Z=R4~#b+SW#khynUcm7}S&Z zHloAfU!-vF@GA-xjHWH2l^A?XTGXZ^^4lHJKzs_c>xgI-239r1a_R{Gc1u){ESdKi zv;qUq;Uqskobe6Ct2*xjY9)pRdr5fMk?Y?cxfR6w(Gsr@v=WnX=`!D^s(3vjmS(;v zb_H>c_62GsCTlI1PdlQapkm6F&}vMkN@PWi-xsxp;zQYbrerlH^HE~{up=6XuAaM& zNLFKV#%_}yAI*q{f$eYWnUYnQT&4&8z-2cSPqH0K$tp~7htD>F=%#O66F&9Yqc0WO zP<%|=sg$h56n}{LFN9YWb0(Y>Q&F6hS$k)~sWF;|gciZ(h)MC!KYsZHHxeHIdngU| zUC*UA_*0JSO>P(kMTn|(Lgmop`KteR3W(?f*u^2{VxcI z=p2O+$>x;s5It$_xLQ@?gqH>v=2vk{F4`kxXp%g^;>x_hQ&o6g4yWYXQE&cKTdhd{ z{`KcSPLGD$gr?B$(NFv~nBU^;vw?n|iJvdmdg9jl@woMKzJk%E_1xdw9{C&*Y4LfE za52$B8?k(z1N}TDpRe1Wd%B@e_K@F>l(LJn;?0nY2(j~> zu+Yt^+E6IEln0U%6wx5emQdi?{3LWor0V>_?uGSJRo@p8X(&z@rMV+Cb1o+6P01#t zxk|}fW4wb9F1ZV+SUN43T-_EZ1xuJPe^-Ilr(KoHl`Co>R5#pBxlpux8C{i3L)@7; z4J8WhvL#HGP!3-k0wbc_TVxvsCQ^wV$DubC9i8b)%%}Q%+qQQQ_PkA>)fYn1B|fz~ zz2EK)1;crtKdUbU=jh@WLE96)3fdx6lz>HH%V*_<&^y@MRzoybd({wUS34V`qU6l9 zZwM;|t1lcN`t;#IY9OrUe>0HS2uzBwQayoO%H1ufp^yuy zBV}xPi!fV4ntme3a?>$26cCm;kVwbEAS{-UVK~Diyyuu2iqEP1pY&?SvgFobxmPh8 zm^!7uv?B*j-u{yZNdyJ8uY>MMJSO^JJWfyC5f@IFf13aO9r5w6fByQtxD0E~gJRAU zEi-_$um>2i?Xqp$yzGeT{X0GLF_w*4cG|P*F1sL8uyeHADo8nPRIOz<&;fR#-QgDO z8ppITmBNs8ZTnq4 z%zwU<;HM!6Z&tIu<@kaOy!E*HKI3gClJLB*1d4ZjB@Zx*q-mD(lf+}q6#Gy23;03% zf&7~7x;wF-*InGN?*VLbcz9MCEp}QfF|!)ZPyhMr@5#MAlP(&f?(^j*@dh4Z95djb zEpb=Q4J&qY`kLyO;6P)q%gfBn}!d%awG1n7pc0-=4{CE)bqOVg z)L%Z=C$D#AP^i=rbA7h#EGHFZNo8K2cW4<9dp(T*-d>K!*~{8p_VDhBF~dDI>Ubo^ zEsu0l&l9mt=4r7vtkSut95Cvl{4OqsHupebFKTyhm!0}W=Z3yHl+FN#vKieb2W2xR z!4Z|s{dsdzHUk)0+1#H%V6)i)vbjGo7iF^mo6YjcX0rg@fS15#vpYsMyX$1L2S@TU zda)CMMP^T+vG-({K*le#ev+R#*~y_9?!+6wXr_K8M4*l*BywnIX|;6;9{s3vO%EDS zX~OIYu!b&bE3lu*KjB0Ap?qq;mSk|pNEdoeI(cX$oCj}=^z693)3=$OYa!1gV#i*w zM+f|6h*V(DO+oECs$2`8=O&I#fXZH;#{#wti4B0#9A=`LS9Sno%6a7vKq0VbegLES z1WFQ`E3jy;pR9LOpc{}0EG`_!j4gEB$aDhZXyKs?keg8Uq>s~v=a&E`@fP(H(5$JH z0OWYvsi|9G{VQ%`1gK2|LmGqjD*(M}M+4Am5t2_JWFff%`;q(;KBFJXhxThp1b2*7 zao0&E#zwX=c4MRG#_gTF&Fa>Yb9}6!eQ`V?>Q_#IJzh~t!bf>R9ah4b*s1ygwz%WIch@ic%bHE@~44 z5Sq3*0B=i5aR4zY#Rb?D_fJT1Ka>>rYe{i;j1+g*NpTO39QW{z)Se%=SN=Au-|nM# zs!y>po(A$Fj0XF^*ePi90FbM0fdERq*1+-9dhLN@EvLe8%%4@eT*hTVXFLFbz~cA; zjN}ujLrAW`BDsFD-cf;Wz#FcO(H$et-F5QZgCngy`~&2wYvV~FdG0wTfDyJU(E)^h zsR=`Hy3|y#Y7}*>JUwM~y*#xi4GwKAX&VEOyEZxiiFIHReF8~%F7~cO{sBvsAIYco zOGyTIi*#|vNhlAEl=I+?lb#*7cltK7Qy=7cPOHr>qGzlELBs;Pw9CAPB-pL#7zLm= zT`~cTrn^RNUo;LNHf?kOQqy@4K#n>QDzJ$Upfx4F05L4PMBPI52U`dN6arD3xB}e( zbJWOlLNR)sV2yw$9Y)cUumiNFYpLhlbl^`y8^iC=y%azmsy+Z|^3wxu#+yR0BXM~4S;l2D*^Vi`X_vNKa|wqt>g~9BDvgc($51UK|OR* z*pp)v_w2d7)4P>Yhwrl`tmeIRQ?Oq|Q6KEy`el!(`)t9!(R7~$5OmCk9lP$c0Pd;* zpmc4Y0BYA65PRiF?^IvOa@4X9+(V%G`9_;-Re zhMsg7hdp1%etqnjGB_zWp`14%fFy9X+Mggzuc{M3d*clh?l6(St4j@lIEKcC2hQ41 z0lY(7?ga8xdeC9VRh$6itKbQ+wd0@g?fp=)!>=WO+%YoDT_*=UIFi)ECyhNp#&gf0 z+dIWuFYjAiJs8}AjaL}~5>0Ex|QIR+qil@EZzTC#!zaFq_&eP~w( zFouq&6{C070ak(a3KJMf)T6*u5A2YWs7HUWURHrZAnUxLz~aww)EIU`F%EjHM$(fE zqwz`C0g}&BQwk^LCYJLiR1aozPHbB}nBK!BQOx(3-H=E1YXYxsOaSWJR6OXpMSJc9 zUhT>NQn|_xfcGj;=*s0PdIIdS=$}wLekjG`*HS$07{%kRQ#>9V#pB^qJj{=2#q;O( zPViPs3W?gOm`1X#j>U4jZnez1ijKrw)gYU}3Mowka`L!f9pNUFxuK#_Q& z4p4p4TB`A6Q#Jk?1TgBWXkbrV&MfQS3kY1dB7va$SIsLVi46_6YQvpC(3T9K)~oCQ z2v=ds>ksl~0_;ciPx$J7C|Tjxk~i)cndPpNdmbFw>EV;Vo**N*XVC3ps=@!(7^s?t zSukzUH2gtkJeXa(*tCo8IStFzt79a9@MiHFKx7G7kpZ|X27vNvLk3XaF8P~4(ScZj z^#%aiJ481M#O+rrLv*7*SnsMpF~BY{ZYaz^$+;;ek94^my$m2776B#lY$-^N$SCq#-1MIx#!RAo#1!<|A>Ry>F<-V zt1a>LxPtPpI&>8-GwO&4I|Usg0pt+HaR7yNV}%Cbt{VV)t&$!9BUDM?1gZ|i9s5Ag zH#mT4X9Bf}RuovzsGpRtz@oyr*2r=kGddmDjc_M8qvQ$SNP7}=faH_aB);d^l;KZ6 z8>!~>wm-nzq)U(37gD}~#uY3QNV<~&C@H8?&{3~C0XwNq#uM9BY5>Hm7@-NbtH24c zmExaJDSjxG!dod6dPSYM+ti5%MxA)*)QKm@DDByEd#86RBc|p$X-~smwsbP^mnv$5 zowaenF0|LR0IIe=*iCf;yR%5F&_?)DBmjh#B{=|M%#ywdG@X3`q^Psm0Zb9}rmJHZ(tPxwYz&(E=|?l338CX4d|)JZ$z+13dL|9oi? zyF!jP5VE=j;LW;y_4@Ro72yA5ms^%3AqYfw)F*xG{x>$EKmavgGkGiRlz>3Q@wuZ< zB3KH>PJITTrA`!p^eUXVd&X`?VEv9utRn^>J(HicuF8#B-wi-Iv&Ckuo55Rir##mF zDWH0X3iDY~AnqA&o_=0B121&-5`J01k$7J5B*XKzXYta)fx5%S4lY}DM>pZRWsOAK zv~wfDbKfG`d{)U)|z{~`&TQpMuVy3WU`~oo(KWk><#>`CIx|zvh zGcPfC^A6=PKmpB7l-S(F92n?6u*ni!2u5-&?hqqC_c)#5x%GG{11Kkso(Jdai3e^3 zOTj*=83FXvt^$x8;7C3_W{JS^$R(EP0HhZ9S*sRq%<3rsDL4jaCCTuuXiXohYST}v zp8E1x67aqMpEqAWFO}~qUd2op#Q*jVo-7}%77x4&ih=j#OKOYLDKAHIx_O zC9}nja!ESAFWB*fdf)`9FF4j@UN!>JggtUf$Y~-k?P=BckG$gXk864P_Ot*!04>oF zqgHXyLF+td6-eboHc!%A{S?wdQvcE*h}=Mulm0MvKK2h;BX6)k{FQ=$B;QPNLddTt zDFRtW>erH@NXTeO^*}T;H)%CxkAxZKDpo-tS}6QFYl%B#xdoyn$w*nYRD-2W#aRAS z)f__M4QOiAZS_5<`3~+~>b-*3;xat9cs^dx(+PUX=y71ZWcD6o09n?4Bu9ajT6h?CFjH(M!yvz!eK*aMXKVa^=l#o*WwR_z0;E#D!#Lv*Qvo=MVX2+TpixaU98k`-L1Gh=aex zb3Tz}WR%>~aQ4sK_X1G|g5>sTfd1ne`Y#amAHPliaewq*AnHHHN&is``j2|if7I0M zM%f)mX4G-x*-Q*r=HJ0|qYlK2Ct4X`j{Lmhse8d5ampOZ8dv_Fu#T52Nmuhck0mxAFSxi5fa zV3*v|FdWR>_5#t?2vT-xpCoLtT*WpVh_-5eo$cH<$d2zo4+TcagM&)&aG_ePe=2GY zq38}IFY2~k7tL2YCY`C~tMkRo!z*gXK!y?h6z#bDOxXVswIjo#9T__9 zNO@>SQ!s$SL2{my2y>t3ilqC5s;h7h+S&QIOzrwZrjcr7?2>|Lf4!wRF)h?I8$_~< zl$u){riGQ;U?7?skUCRBNtkr5VqpZL#lx?&z_>#eVIW$l7AR|(TCm)y7cD_e%{7$W zfMrH4Sl(qr9NC}_uD9aIhTqch2T;>d3()du4AN(sm_cTVciBg*VM(MQrDFy(6dESd}!5G(4Z#=f|Q>8-=O758w6a#MD`1Ix*C9tmTnJ`JhNS}YcyMYMRFd=FruHb+Tm`jyaJF4WJFe^9<&v*3uCqJ z;svreMLy4X63kbgCpv9&JXNFNMwDhzfZop!sdjy>Bz+~L?Z2<5nY-cGKWPxSr1xYr z3iW0|J@{6tq{ zt#^eGpY?gmPi=;uc62PjPDdud$){?^wR5Lg7^JpzS-r#>liKWf8&aF$+F~t6eRjO* zsL=vZr-AH~oQ4}xJIQH*RNdfCw~P3O8ZD4vWZ5b;+VR%D|08NOMn$hNa(a#G&{DcQ}xwk)jw#WE!bK>X*)VkTTNU?-F}Q zRmG`4a*G4`a_iDx_!<}1$? z$>&q0uEMour4tjRt@cA|%^O5!S4mDgf7W!=Xcz=aI^;o?k@X)@ui@CAxxs;y+&KeL zuW=Q<#=lIn1)^@_4rw%x& zkw}u`s_`F@V)3tALHQ1?=$u$xGEggB*TTx%^$O(6vIke25`SDp4_Vn_zZN?nA@PBwbS&F`1&o%h#K zJ1fP2;b5m(8Kk##%!9PulUgA5jw;%5TWv}8Kr}NTEq6~6W|*s31%YU#@arrl?vVAy zC|Hw>lyyrrSld*L^-opJBBr}Pzr?89+Pg4_`F6#I)q5L1)o5dYUTX%!PFndk$h4zY z_6M?7x|xD(>rE2pp5B{83y@mVxDbhG3esvC@h@5F8sNn82hvlD1CT0+YsP;>s>#1? z73cf2s&rzN>v39jyB6jE*DH{jXGGWCyymGPsXlA!D*Wf9V-=*H^+U?ZJFF<4k)lAz zCyme`tJkCk&V6e|i^SehRq>xwlJbFQazJ|Oo=%u!u3{YoqP4=Wv!1v^)*Pc?T{2SE zF4bUtQ!#pgs+vtGyaUONT5kIIdA>L4hyxFPs?oUsX|l!hwv$#q4sz_Ml?@(TK6kpZ zf)r~{5-14UlZXK_S{fH35m7;AOLPAvJKYVOSOP&-+cC+JNFl^k<3Az=2cj=7-&j}N5kKwNpgbh3iXZ~Tz)r454guO$bbm&xeB z^Y@Kpz}&7d2aM#sjmkdBdx5C;Kzi<-B=jCv(R+cY_w3`e-buEzt!_rejAA>Ge0=h7-qfnLTW`Wq+u^qs3|v3_+ja(w;(h)f*;S6LNpHjQcZxYdm-giZ zj_oVB%H_m?BVXT;L_*N}Yl8O?)9NNEfXhOXPQ?qzQ-WAP@uIW?T?mWP&c#AT(J|pc zb~+a_iw^r6nYs`NVpo>}HmK6%dLLv{;5k+Qf@~(q*Nti7p^;G#BdkPfq?T+L@o&Ne zNIE}C)=gq^F;ro{W2h+=YfgL`>cnys^DksX^=~1q#iw$#ug0giU|Iyo_t{f z@MkQiKw^FJE{NSt#(%x_lL+sar^o|Aj4S*)`9u%NIYvQVGE#Dv*&x3?VUz%K>LOv< zFK}+g6j%3B@$a91{rvqWYzu+FH@E>M|Ko2z``rKj{QUP%{1fmm{>iHpbOhVbJz{~A z|6H04rrfV;*Tj?R57wH;;cq5w>9C(Q5mQ;xu^@wJnm61>>XKR5UDrco-tB!m*+*&P zf!LcVeBQXk_Zi#xJ>w(&F~-tgr?kg&?c{pfvhaMka6DbdM$|!6bdtcgg*zi2lS5?M zCa28hDhTWCWkrIvA0*I2aOMGKl{CdK$!2w}m0~`6Zh$X37sSsT*XhK$-f(BLb^GDY zZi^h@4tIbVyIbLq_#EB8ypi}eChNT)3%;?L0j1-G6CvVeDM!oc#h4*>P) z9sqiN23-J8?*K3yI9;58H~QeCr_TZcoN+;poEbxaVL*Qx!gb7N8M^M{4ukWFh~W$H z^a5tk_{#*FjrL!4`N4cpRUeojl)|ukRhLj`TmakW_LXP+!q_4)uR%w0f+ks1>}ZHn zi-FktV)(q>oWIU)*1lyIPfv^)^wg-sNQ_&I^iYq9IHu=mv-iw+B(iTOW%rD;ob)?= zY$A7`B53FFFuS9PYwK8mE+(*h(Y*w~n7Wq4flVPRu>nk7N&rL$Y%z3zyW^LN_E-gM z1HDIVYrTLiwf_NWKVIi?o~ z?ePl@{n;)u5;-+A^r!GF7iduR*)7nKs!odw2s)T5aIT3*{37{MziNKg$w0@PF7CP0 ziJ>{+7`!o(*`a;XcbI*qs>^a;36O{68v&l0q9E9H*2iwMi3Eh%9<}*=MFlS1f z0F?4Dvy1!;ZkT*s!7 z^L?Vfro;D)3={HsIbpWa4g(;1PuVU4NUr=dP2*l%`DdKQupU(T0Gf_-3+OWK zEqg@aJ8h%;vRf3oE3oMrQtD=X_`QMsVhb_Rim7br_Mq7sHM3xqa2jd!ERVup7_)vh%a* z0w_&aM*y`wyW{*?dzQz!H(Oyl#?_{su;61+M?wJ6fz9^|7~vPtrVw6%O?W-B?^J`l!ci&Kgz1uOsYCozmbc& zUcWZ728Z^RwY>o-LmMD~>@{JNegRoHFZQWQJm6)Euk@?tN1Y6G%jrVLolp$TDaYWA zlgtk7lfJ|3JSKlvmiUr)C*GVdSR$fm2zKp{`OH+Xd)KK8!05W30+?O5l+u4mA3*Bb z3jyS=;~{{ObbwUgXaGR(ssRB~+;&a6zv=@=69QBRlJ08zIB*vX5@juXIJOaRoO9Tq?v zI&uQ&S%*>u_I)g1R1uK^>9{p=6%pwJ`;HZ;4rHAk6c`3HXVzxg4aK;4gEh9^bQqtR zuWJWRMoSVm^RzECvSh-1pwLm-*yr}87d_J)oaWS6u?tbV2`Pd8^D}8 z%{ENxMdw`w_KhrHW>Jy?OG&U}$)Y5EVBfL=)q$cTi2|ET*HL5J4aNA#SdFkZ8Aj=w zt_x(2TT2!<6}okO3ZMX|MDmCWi7#~_@v|-@I_5&6>n4y2EeG+`s zl4IuYr5w{y!|;eD?C1zj6;USaqCF3GEk!&4(7XvA9bvbcO?8Cj%4^Kg(fn0X0K}=y z8-Py8%dD!RYabC|TL@7_B)}FC>>5=>^1u<10M!6*U`IpO9&W3E7=jCkLArvN1{Vwy zb%DldY%7S#b_MY^9KdFv0)oA8d1u{!A0Ya6mK=z>uC=m5lGxCQn>OMFL~YdoT6;S?3KMb7s+X=N^M|b~1eDFB4=0X9j6kQxJZ(6#08; z#uU^sJLV&xj)!C>ggLa+ExYPo)UlTZ9XkQUP$UKb$xF+Q62MzY0MwvO8$b&~a=C!2 z1GEDBJ^=JEMMDat<5#a!G^7vgJ650?U>`A_C@>rdL%4QBF*e>{jjuNy#^{@{3k1$% zOBFZiCYyUJ4q!X*sqY-Y`jAwu09tAYoCkd0l#{_(#gS(I(_Jt6O4{K1sR%?l)*cVnI7Xg^GEw6IPBjuP5Zr- zV=@}yD_HZkjz-$?@dX19#>Y%LQNqqqXHEbmCW!$+^>VVK1n`y<0HZa@6o46<>Jf1^*69taX)LkxsDmLuIt9V8=SH8hHw163A#Y$@U=9+ z9GggZ1#TnNKH!}LxSRY)6YE7TFVKBsOAcgR;Q-VeRWxX{PsxCtRWkES{i!+t(o>hv zoBLDi1URDM5f=?#>Z0+lx@O!fmkix@$uKaN3`2LxFgZqPW{>ts?@>m|oxjWe_R&oL zI##-^nTe;Gh!A$s-Uhq6yqfmBoRRZ}Z}eq;uHAIyxd}Fj+#7Js z^gF>F&0tR`k1nxVl=K3zs9OL+(PeG4Z%nNQ=&D0Cqd+&Hj;H1T$WK)g;M6TN;?cCS z5%Ai_BVHW^IQ5gC^$JVJ{!ezfWl0W$Fmy+K;6wbbsCGY(N_wdK9W2H5|GZ4#B`Q&K+t`ryGw9?7|Ff3a*X`k;{b=}*5e%x zpqx0J9-O-;9>ftW1N)@L1kh9K3gG4dNA>A3O9ITJmN3%++*;6QSuNTa>nVU+a0-qk zsqk5})Q43~{jBPxubyuLp6~DTjo|Ji^Hq6X_3Jej=Jvn6gICLgm*b)MfdQY-DxS27>jn8IoKbo=3zk?CT+=xXddK_Sm{Ji4P{DM8= zSX?Szed1;AC52t;1`Se2>QW$`J?V<~k};#eAnIE;2`^#ax`}eaT!PFeUMLc|B@tJR z|Hv&Z|2hE3KsUe3&v(cY^(a`z9w|%R)u0_*F_}@S%8V3VUSwtjrVpmJ2DhG-ZI7$d z>OZ8dv_Fu#T52fsuhckbmx8GwIWd4muuE=lm>Onofq__?1SvbUQW3RVtx`)4#M-vL zuGY>Cs{1>z142>i5Rno(Xrz|vUy9Np65YYgi@9yrG-XMO7zoeC9#q}v2t+>#U;sx*}iyg_VxV%T16$3FVg0NMX71viS(Tago z+uxZpc{99X-V9`r*r(*p<%h-okC-uKEG<;-(o2?*rgV*DCtzmGg5|rC1V7TA4N{$M_H1}D9gF}q z9moJJpV=UNrXd?-vbo)uUcy#(!v;CEL}?oCL~K-7J`lC8D{&+@4KHCcyQu=HwZ(Ks z^7-=xtH&CMuiQF`WRTdWSZ}@^)?_5NZaplPwujF0cX?5;f zZEY1a=*f;CrKe`lpyf#$1ZXD(ft0i~v4f1A28oxnnFPZi!FNnlkd_)FNZYC7Mq*jl zDpiJlS=FPjtHQKHRiUC#r7BWYGsRHBOD}ptk~+Amo5A|$@ZdI?4>R$@J7dR-D|#4U zq(c~B=5rcktu$1F9QLjo(o5K>ZrB}pttUzY(pppxfNkjt9Kg-N3wF9qfQ*(d9Fcs^ zd%>>JdGVE70Few5`xFaFyJ4*baLZ88C@ z>u`xmBP&4f=Z93@c&kWX$#whh>1i}?7zj=p5nj@JaveqlzGJQf>ABruXgIl#24b$$ zD!ER-EZ6Dla-DW4*C`6QPLaxWQbV?rVssfb_2J_JIQu| zRNLTAH zKhHHCy#Vc`*%)N>biI8E`_>H_L|y6%19Ezr7RK_PbOny&CgCM##YBOWzM}Oa`K!Gug0Y(4L<{_U9#Zjd?CObtQ{nH29Xl3ET-P<(NuhWC;uHPT2d<65Pv;18>C z99V5}b1FaWUnQy-RmRdmr7o>#2FdB*DsIMV-}NB4jHa6i2UE>8-NcJ0dKsXfox$wM zb}u<;CZ%|hmkoKf$;U#QGSKml(4nX>o?gK9wBf2h<&vgLfnbCFe zm0JLj43THVI`ZwX)FQdn=wY#9J#<#J%frf-f&on4x~_pd5j2_SO62j`(^laow9-)u z(pLK+wdM^Xv#TWAoj-OUa~&pxl1_h+MY8@QW<1OTGj}_XlG|t?W<0Hu@$}1bULa;Y z?NHuh5UNwfsZy2}DtGBcM@Ui!S9P=3T*DvscY`qN?Z1M#=2~wdmM2;oU_Ud1!-Mwj zWflBq$Nhqok?yP@m0jwF4U(G@z$|H6h~z4~-Xx}h|0OeB3Y^e->lQ~wH~%w2xSNS8W* zzE0{E>#wdfLxYr>&U%n%&D5Dd_>Ofioc=3!xInB6g4EnPMbuukN-a1LYt#C=TDf+p zcCRROBq&nZQEJGJ6ijZErZk^qcW^Ufj(b}7hw?pKH?DyzWThMNVu>yXD5Ymmc?6pq zH*}nFyC8k0yDZ3H>oPa)-$n&;vKt+k8FBro=?d_Ym97a+WX1!@YcgXXX2!5;{71}; z`nt@>4a$oIvZA7t7o~)pC$$1;d2Dpmk0!>Ynmz|xdMZ^yf1Bm! z`(ueZVHtazEOpm{c5uA{nR%3S-Dx~ejY##8)K=k-lMY;vde#pqC-1PLl17RGA)hp9 zgREYY8kh*!mzD_MF;(%$DM|T2EIA-OwNfX_u~w-L0uAgk?|@JMb^#8u-za*NBq&I0ru zvPhk``f zBnL`K8ct$6xcPYQ!x^-rnNA*D-yCEf#EUIB`ycC7(__1BL-+G5?z+IZ`k+KXqrV{i)7Qk-$O~JDO+=!>lr-2Z>($ah!lBv7##kOWnNI1+F4{JSRpM7u^NwL zfp)fPUdxKIRSS%)6tZGsT4}MBl}dI#Xe-su5N2iEV{jO{D4V;UR$4wxyV7N)rIkS+ zX=hG2GSjS#>@}W_3^&mjRd58%ECj1EP1HxdnTyeq zR&w?ejpQx)iD@7XQkmEYE3Qn81#z%{Ggu8Pjwj|bD}x;~l4xd7T~U_RUCP8}Ww5oP zE2HZPmG#vN?F_r4+B`HC+F&$Z+JrQwd!N#<9}E3vM!kickC#4#v|0>~nh^TdU!Z7t zD!qguw$2M=#i=Z$Xpbu^Ia+#=6^^za%1W73dvK6(#rDH#WfUXsWnUS^h?qxvQ)Wer z5i7;s__Q*L(dl~Ig}uEnS))MC^XEk^ZJi&3MYwWkp?D8ue;)g$}(s zHeomlvtf)>!Jk(AOcrsgxlTSrI9w(_p$+mJ3#|A&#S7YC|7OG#D?UweH!I_AB7U05 zR9Ezlb(iLWSsA&a=$cP@Ld`k#Li18R)!fx+XnyYj(-P2_?nR zetY}r?T0tvd;hS{KmYZHp=e_?28y}Ou>t!Z&GMiBeEapS_Xztu?Fq=Xu}8erP4!`) z)20MD=J!n@1>J=G_IcWrF`6xq+QRWXZHhr%ita$lEdu&HZE_(lH?YaA^%PvmZ}#cbvUet^f(?re)onkg7f3Q zkGDVYqa&XSWSGN0sZ9#eg9!*c5MnN{l5ykC1vK*b_~qLhrdedQe^AZ{9GE7{Sn}{5 zGflfzDO!(m$MA9&;}5tdALEBY1=ac><^uT*|9+9a?1oYf$Sdhcg89Rzv1-KF;1OZs z0vfIO_z4=u0r>qDE&?_YTqE`juC`7B6e%H}{;q$&d*ZDT@E5s_5GVNr_~0H!wkbXo z(^hhWAAbTJA9;fw5f?@#P=G2!H}dG33D3ff?|y##TaMV!kgxJ9)ykp6%UAF#KZX@f zfp$wI5&%c}`}f}hLj8~bC?Fa=8jgHcQSS;E{t{paB%C{}B#%%IORf~JfYkz`&4814 z4_l|%+sz41mR%0gMC6~o4I2*SWBiLdTy+)>g0v*#UxeuR*uUt3kn6?2W+g;~Zs8vi z$p9kpB>@gVh=3=6ITuC~I0H5-&SzQz1_Kx&II^CrwV5#dT!j?vu5jQ1xcfV-c*kr2 zHDzkFfKXgqrW^DxUtu>wLc*&nB#Q^_I9;I}_~VEY+>j(bMYLPtC=9rWXtIDHr_&Wm z&M=3+aD|LN8FSgN65_HX(3uQgY7^9f*DmlQ|FTCD%a;=DMv@$Lg~t|;+Z=Jum^I)z zV-7&E0t$5NnoyDfe{L?~{t9S5<(?_%`Rt$c?6yhHsGB5HPP;DhKz6kneX{GSL}Zr` z*RxF*%_EyC%Hrv+SzA$nl&|UOmaB%7UDC&%?z+fD*=1eImzZC5DS^e4dw#yF1eRUJ zvDqbx{$uW#IzP|A>tsae6yg6Q z({%=uT?t2(TUuJNTmXR8G7Hz5TJ2*Mi5W+$gS%zY~CozW=w1qM(fvtU2_BV=;9Ajebp9Sx4 zO3YyeVdLErm0Sj3bj||S%|q_)9^R3d-G)!u$Ay0~dLD4T-KX*Ij>H^R0wF6_{sjvN z?UdL8-jJBX3Q{aA0iy+sTaZ~|0N#_B!-^*|ct=FZ;-OKBV))DjHXDd$&dUo-GhCf6 z@Q%P7R`{a?%@$CKXTHGK1?I34IMEW2Eg*`Ag8{suFozLe$~r@f#j6`rxFpPL3bR}B zrAgWapmL1dJ4F#%5{n zMrh9kZ|FZJ7=ds)0DC!qR9$m9>-g5Kco5_g&|5%60`kgu=%1GJ)Bp~^W+frvmw>?n zLfE(wQcAb@vD*MambBZ+0UC3Mixw{7R>G(KYgXV?T>3{A5Yju?fVv!?0OD7V;=KTh zl>&)KOTc6S3nW$0fI?v9XPU@og7k?eP1LRUlClkHQow8hkF>Gpyh?{B9|wa%8EMnGs676a#oCq{9k| zkXL}g%1o$l9_R9vP14W{Ni(d(oOoEuHAYrID>P#P^XADzy5V87l0ywk53SIQ6?2>o z=`EeotT2}vmw@qT<4`7I34H`@2+8WumoPrI<{2NMZmxJiMr%Ru70%lqX!_FF^6j3V zaCrzmu#%Gz^__Fx68bV+!jT2_rxuieV}K&?Jpv4X4NUq(+ThnHQfO{x%?MRcRM;(L zVe~yFh73c5gF+Ppur;ig)#5i$~Bm$vSwWpLHw5G13ySSisyB$>E_7&pgB!SUFz+#fq!turvWy3&>!xU;uCE z{b3~Jlva4rS-grO9LMrUL_g}Eb3}J4>{b91%Wlbqr2R7*DhvdP>dTZfuakYZf)`rm zObiy#cS;%_ijeZJYOZbslTHmw2cyLc8%LfZnqQA-vx0_QX$fd)hA=Z>B(O#CdcPi4 zJTF-$T9%sG|sxCFE$gMr1lFagpH zK(XSvuJJ6#NZayhif4E^p4|v$!F7UKib(bW~S2NS0zC1WEi0TPpRYeC#? zA~S*F(cC1sWlY#m3IWaK!-SC^9dgEBdXm_HrsB};#G2&5J6xI>w9P3UPLx=BU8i*; z7_yddd4{W*ceq0;`&UF$Gm$3zyGSSwv zgC783!;!k z`n$A2X}^`SHwnHKO-8uN%pYTdo1Ml*eEzr(tLu zbAz{JT(g2&;WeP;y@I*R(PvYp zS;5>(VF_r7uh5oqno{QFjA=HAODW5gv9!l=!&QTHc=I)D4SwX`T!TkRh?Ru5v|I9( zm1k3(i$3S@Kk}<2$&MR`?&}nF0cLO>PT;ldOY}lsc-7bM2Y>*{tgLG5msj242wBi) zVtAMyJ{bJBHc7!60jCo`}GM!EiSHI3Rt6I*JlZ*hh26j2T!X zhC7RW%irzZ&}d_ut+I(dhSozrV&t|9YQ%9ji|at9FZz{s8WIYrv+Y{0uSDKkENnz>i)PpaL7%wl%sg5ic1 zjab4cU0hZnw|Nu!i|Cg9fdYm8R$neqR8zq^de3ys!9!PLhx<5}P-e0N)YbRldJf#rSFx+D_VNNSba)g54*txB9n{m}RMQoxmR_;$Up7Tgc{v0iMx zfX(2)gBpd@uN*|MB!R|@7$A-SJOj}Pp$G_eN|7G+T3EotvVlBnYLF}cOFK#&lKZytii@?zY z4i=tU@lotf5LaN_LWWRt3Zg&?5fgh+*#&j|EF28zF&=FNZ4h|Fmq;_%F0b_uffFf(mG?g8^ zVxk`~IG_iEB+!=;AOl;lR!(=lNI(&AjUj&62Lamv%BG5E9%nSAq z7fI>nenJNoi;Y4{7C1mph6y0a!vG`rs-X!I8yD0B{K^AmC0UXRsyJE(RpRXGL&>}< zk+M(&Trz4~s!9ElQkyPI8EQ0AqZ%ofuY$mpt@v=!D{|Zp3Mx0sgxhNpJm=*PUZ1EJ z#DiAHyz!V+>Ap(gS5I_|B8_x^S~mje()W=VYGcKA+uk+fN`)&Z*H^F9eM+E`fNTyx zXVm3YEEnBU6KSyAG1w_TU6ZKK07D`g1%{JL2uqc-!N<#MiK*D+lOY)4a5%(vh`b&2 zyU5hb$;{nEQocR*#WYP&8B_c-p|||UVcQBJ0snm#oTmTc7JcnRtwy4fIHd243q25{ z8S)kQG)^79d?TNef@FP8M*=ItE3^~Ap0^6B`m;H*3G8RZSm1xOIQwX zBCol%A?Fg*4awr7i&GSCrf6w6=R?NQe3{3TYPAExYphoGYYtbp{mrY{$^`+!Sm2l=( zk#Sy^zsVmJIU(Hcne}$l@=2~hX#>xV4>uC?%H<*bU%l7MMJ1ja@{r3O)4OqwP#UZuh+Jy49(8hiI^hqze(3gbf;`K7FzE@YXT|0^JS$yX z_c4rvllX^x70cI_yt)Z#&m;@o*Lx;c|CM7Sq@(}-MzX%F%>SL(J}W%B5KuVSx|i?$ zToUBkUU+=r?uA#*!i(XkJ1V%n?&ycT?rJ&ej?^A?SI39C3*_^itkkQIl%B@N`!SF2 zG^*~aX4(-f@5@3LSOy`UdtN?YbcHgfu}h1B3I@JjIYj9*s|UeMJ_jwXQUmlk@(@I# z52PK5dq%Y3k|-zoQW&FZ!3i4U`#Jiu77(%ip|RptONK(C z^F{$mI3O2zka{#=!TM7)&>{83Y^G#?bK4IoF19Wjola~q=XA8QD{lGHR(*;8#vdg( zx1yuSsU&MMSe)V_m~FQd0Sa-1VraVsKBdB)d9o&%({+j51NvCpaVz5}4YPA=uQ~SB zM=3aqKrr9fGga!wo|BI|EfXH5H9nb(vYxrUbVteznVqu|H5(G(;EMeRPRQIE=qgT) z97@GZ8vUT+G^TX4I^3;tyJdVwm%hg!u{|@6QbFKD^23T$v=MNwd zea!vpWT&JnZc+zW>uqHNrm41zE?BkGkk-7sX!WAOIbG~XYgqnw6n>VHDk$~>MzZsqjV5jE-B0#@9CqvX8|Ru=!kU$leA=Yi!Y;NL*JlvhM)*t= zAMCL&rfK3%u+u*i*eh7nXb639us$E8E-F$^_Lzn}wd&0xCM{_FHJQktit|(Fja->j z)=Sa1c&tcbQeP@3^Wj5637+@$$E|MBiGC(H(jM6pJX3aL*mfoTwS?{DTVj;8sY`Y4 z&D&BV}Uy*@)ecYh(zLhT9V!3=% zx>c<83B_86=uJ&&QLLi!r&-i8i~7wn%Aj5fcWuibC${H(=X<2S1N&@k-yJo7qwn0y zzN3J)zVn~zyI8J$=jqKSo|3^_De4>SFszB!L9u>_{lIz7E#*N?_KLkkHQ;ccJWP zwDN2Z6_iXnv~l7FkSs|$JgB!+mVS=RdpXe$L7cQ^Ih?XVZ9mRE3_F8sfVtiMiQ2q1gN66IygdJt>odKb|EB#aWlV}(HB4S>_wA1ioDj1gOuH5|KN8sM=qoVe9(DQ4$O za?Oz&TQ3j1T}73t5H}~R9wVhs922JjNLmeBre?HkIY&#oglB0Rk5y&Z&`h|IRAa1= z3`p7Upf5`WKMORBJ{M@N-Zpj(RT)=K(jjHyb<~IOJQ}4IO+Xv0N-7QEk9lwJN*&ceZ=KJmN6*lp)wPeG9^{BNx5CAro_ithfI5U7D-Q1LA@#ERVz|^ z^&pL*-lb{uWztdO(b8=ij%}NlJ5Qf%TMrx*M5iTt`10uba_PH%X&#jhi@~Bs6uW05X(7!JFVRAM5jP)7Z#5c|Vj zO`dTtmNTn2v_=J#*fKnRWA&0@y~+7sy{?MN41zfd!f2Lft3FFOHeD*WYJ|z&(tGqY zBN<({D$xoNqr0LS?Ws+ln**w&mH2C0f>v`p_6}r89?esiQ5O)Nujxed)$NzbTb&ez%{WcIFQo?6c0K zh9T*N7Im%dP*0Duok^4^v{n! ziLojtrmrd15G7u^Q_jGdUcXRdek zMju`A-K)0{Qb*3%2L7Tq4nwXA3b4Cw+z}DRC-q0vgxZPNSz+nB6FkJ~HfX`1I(pU6Lx;kVliAL+rVYCh*RUzkAgI30X zXAO$4VMr^}pKK)d7W!R-_GBBBC?;;XBWFrwER|n=?+&!6p-V0u3L~v2=aX9UEl+oO zpNGb2SAS^1&o?Mrsg(!f;;!uTP(rcc`TD|L#IB`@xy}Qu`1|PUJ`PSUxm3O|4KBG5 z7+uIx?h!q#{@Okb+1c>MG~D}pJ`LIBoZW4BeIEvN@pQL_;m+TyVSp8%Q@i?0&h7p> zV*+kD&$AFdKMQQcRiD*RE-FGI`_hI{T81e^y!<0Yq&k*{ zpO=`hp`mzW{jKeo@k^{JTnPn~fd88Nv9)lN$XoRf<9^e$p%d`)45L|GH$TUMqb#ib z7`UgW-V2o~WyHPRo+;(CNtdk&?}4&@0y? zDFzK;?daaYf~5IlezU3B26ic9H`#GC$y#)S@X_J1)h}=F0ManxLcDbstvjl_bbe$! zDla;E$0Kd&VZ)D(hI7~A>gZ&>ac>T9L%ZTXchl;CBuL&sBRvfZzLa|FEbq?h*d_1Q zZP+M5W7`v1tha)$Z0hYjEE+~?4C&5YweIQ>XLV&BhEfFoh098HN=>AXNqmPb5C*#Ly+6H&9J*4CK2`h~opIrpX=ma4M{X z6e$JoCXNc;4VYEDnHO$+KiF)DqnTi8gAt=-1gt-E~0FOCA6aDI;YJ_^C2iYLvvVsjvha z*r**+B;LdpEUNf=V52X0x{m(}Yg?_tM)GZ^1OqBxTZeEAatIa{dWG~cTMXxbk<=!D#6ik_-4bfm68L#+&T2tVLB4p zpRa}ZrU(!tjyIYZ0#TnG8iVymq%)a}#!_FjOf01#jh6t~ic2J4ZUT&Zi;6gbP{}m& z{-ve`e~VBv=Lp40^h`?GQlPpB@4Ip_H;YU4*t%Tg0{WZd} z)@aK4n>W~uq#VN*uC5hoTZN)2+s26WJ|miuf(i}Y5Jp`m`3z<)&}z!W`$*H85v)ON zRD8x;BnHZ#ql(Yw7kC)P9hLC4K%0?7mUnn=El|Vhbl<8^Qz{maGA#MR<6*<|tAu8g zXMCTPCmBiDLH6;5l@D#FZEznJXQZ(=%raQfw7k@UUQ!>(5!SFUTm1g^ZdyDWF{#9E z$XDY#J$uJAt5vu-6@TEl6M}uH1Si&$gbxSVK zAuSXQ2O`R zokAkB|N8pJpSmvA)>1+tHO|u3-jfZs;;Mr?-IAl)8dVThVWhr40Y8}3yAcfNX^R(E zT};rF_F68Yi|_Sqwo;1A9Wdu=;si{qQW@Nt0!%Llg=G+$fXzxQBUKl`MfoExUg4Q0 z|95zJYC*6kJQzv%KIAQ4S(OTzP=KE*RVlW{;I@EuRVvV5N~U$0w_6R_$jL$rX2pa7 zmlo--AZ1h)`pK%*t;AsBu0)fTerupGvqWVU+U4_Z7GfhMkrr+8nY8j-nk>`P1-?0( zu$7d;mJ;cYQhr3_X;H-;h8)kJ1=@!SCp_!sI2=9_tF~HS;Ib#RL!0z5E?Q6FA44GL z`A+Lu+p#>nlKQwvJ*}lf>JO#xAwTIo7D;uQb{6$-C-H#AU;HEYr}uo~J~qT(@?s-= z%Wc`fB{*wmUicdrsE!(UGEHuYdmHWXuyq&(XqN zZi?!t-|Zb#9T%A6;>B@s@>oMSE&)noEEqQ+axo_=nGZR_MzEQcVaVi?gd(afR$Mvl zOdZahCdXU2_7oa$Z5qu8=wj^_PIbjf6@gtAghE?u6$Wx*dfQ4W9zxb~m(*e~TjR}U zBe;aS>2^%66HT>5@G|)B6V1q<>FPJ{t=TY`X!iG}njuDB z6Ez$U(RXm`5G`;P3W4CrI1FCC$MIX-RYHMW9*E$soF2E@vXZWRkYLFJGj z5F=mzs*QC7b06v)6bYZWa|_-(>f2`%RXOV4Mm28w?sR zo)P=az#}z{w}5w>EL%y*z`_nNYCtT#ka~K}m&OKX-<|N;h_^MBZYbo+%UQ!y>S7By zjkHGyQYTy;rW?vAH6E4$ zI6O1TE81Pj>{YZ|v0;0I#c8~XF|9~^pDZwJBiB;nHaxH4cx8zJs#`wXU%HX(DV<~p z^n(ZpURVhMj<(ml|8N0iBM2~tZpcLJ7J?VAgopzVV*5ft=_wFge!4v}g1iwQHh5{} zgT*H*zVJbO&4{0luXuT-1L|ttA9~!2`&&DprbBSX#uB&pw+Ku=a|ts(X2WgAUDz<= zYet;KAis-G^u^M`L6+wJku7=)?XV7FV!c{Fj!qTY2Y4|sG&y>s_5>PwL*Ek&O_vF3 z)sD18$xg=m2aT`&n0e&oEds@k&aIB#&Ubk!6ie_nDI7~OH@(0yh3O-1q&@8s@Nq7G z{PrOW9~+yiBV!#3Ebv)egQLeKjJcQM4_bcsKZ#mDZ%dhc&wd;#OBBYTa@saCagQ%# zy5Fu#qU*~PO-YaW&yPQRg)`Ui`1L`Bg<0OF(&d~C1k9VT9z6QEPX+dq$69K@DX1Ju zV-^lpywmvl$TSb3>~-Z}*hX0%zORW6gBv2x2!M`c9Erl1nA<(%HnJR;azaZ~#rjC+ zguSLE)?*`}59-+yUry_3k!&#$Ktd50^@HbmYkuNmQ;uoWV+@CGPSnuvPuvQaDnT`I z8%c?@zK*E+8TriW^?F9H%u#L>9HCX^&-9NSzDDHmbGvNk&I%2}f61#9$n%~f`JQtq z<~hX^z1_g@jjv}o&Ue?NqWk>$>n9c~x%wDTq%0XF>O#g2WsR_iuO?1c z4&{qWi+Y$Y4@O0~lZPP(T^=M!LmtdU9?U8a?5>%SbZPh||E;aAKub`iIT(EFbR8Un z7GMQ&m&UbTd7zj*tL3uv%)rVun{moiT3pdyF&c~LG1(B=s{G*bnx?-$OH+(t%%=s( z*ZzDsLTsH*60>In?Ifh97e&a}j96(XHh_uBiRlCRD2(hOOakYH%znN}X%QoNK|nGE zoDV4uNOC?*tyN-w`;zCU8_?{4WH)^!FzMU;F!& zz|CFr)Z70!0%L5&r};|Y6juiOG%4(xcbdZ`E+kHAWpPOF(3s!WJZ5{7$VF37`6E8~(L27P_d4BQ`Mu8b$zB??e~aIK<+r`?JN-_B<^ldn zaEX&bS_vPQ=f6jIO6seQ&F17vHuL;^;LV<#_x2|0g~@U z33z;;T7WNPP+^m^xAy^_dT&&IO}D!1bA)fP%_FUwRyBD}#vE`q6TGs{=~nRVKEyq~ zuHn4Q@Xtc=1rXGodqnu>;2>CnD9+;iw`ESSbms?EvxAI^>Z7bG9WTmTtv3 zzX!A$kd~y)>+436>(O1a88jX?QC9?y*`wlj zfKdaY8O~dldbx{mt(OVdteAKcVbXvVbkTqoZQ~vgPjkrO36QKLS8fV44?t=MVOX2W z>@sqfy~oFhDb~0S<$bsrEj;c=bk}BbX(v3Q%f!w-T$&H}aOv>$2amArSlYxcPit%G z(m1)p%M@;7)lIw2^U{R9ogU+q>SltBSrk> zj9sR943DL!60XLxa;rMQ(7EoOCG_6OvuJDd7^qcOA1f!%^R4r?V>~nU=7eV1&K38r zo;wHCR-gBNKa4xHH>;p&p!U---+HBZ?V-qVhQ!Cd=k|B=Z|qmeavaAIz4I&jh;e9| zwO6%o7AZ$i5%R&9@Dj2QATc5(lK@4LqU5jl%dEY+F{BAdmLqThrn;+htE~54&eBvv z%N%fMO0J-=;8z5{ zf^KmVD%h8%kr5jUbPiysBKFuG3k%whSZE^Fjo4Q9r`g2V8?Y^{3-v^-i^2Xn00*5m zx4f8YpktpVP{Xydb(L?N<8ViaGjl8@PB=u+YB4Tj8Wg#8?K)vv-kvcnS)(yPtuZY} zl0YnnNmGQYImBg;aCT0LKVzDzZVH0YpjwG_U)_jF8(C%TM`u>u7NV|faC$Kvsl4v88kE$NU{(h%{CRBZoYK{%QA z^)`A3z4tD%KI#=@_Py#1eEHO?i~b*JTf5$qfCeMNP;x~Uw+L9|rYa2w~>GMy_sqabgXPWOU5Io}lIcSUFrGXcB! ze4%-@X$cJyf)JeD=HGk5Nv@xS2m%*2PgY{N5S+CT2X^@cf-CG239fL@e@}2_WgFPJ zJ;BN52Hy6v(Hl*dUU?9cU4-n1B5FgqRcIg3VBUe7G}^W+^qQM^r7|~(kKiWXaZ{nH z#7Bv$2#YcyRhlA5D>T)7kO?evR_iIAKxHsGfPuk6T9CdN7_2SJGONW%?mx_V{)5BX zz+81$W2!2+e0o^>h;ghi#xRbZq9z{BK82;?TvpgH&1EI}Wmt!J(@Hw@W8YA(9p<(? z$BCZQ$~uu+q5Y4X<636BD>8Fd$I(p&r{|@=CaO{a5F@ggt*Z>pKy6~E4BKULQ*l(Q zquV%}#dVp@;(nT%WMhtQcrzvv3+WmOQIu&IOOs+1^-Y=D__M-uY_pITdnAf%=d?U4 z#yKso=&+|chT56%Fpr&p8st#l#+fdC8b`Xc;F493RL&9&EpniA1}TM>>%M=WKvJlH zStJgbP}k9)07`yyeDK%liW(zpR=kwUvhW}#c$YE@p&Hu|m?2~~gl=I-c=+U%0cStH zPVPwac(*kE3|5j6f6Jk9K`LpJL+@qqK-FXgql(*fxU>$5{XK89Oeev=;+zXMTN2i0hgOKzAzG)nOIV0MYiIOWz*}@yS8PED>%426h2l)M&M-TO zaJ%D6MsTh5Mm2G+tv@$wRs9j~m>V7PTkXx-AzAS%*k#k9ZXNnrYS;BkIFVuBFV!Jg zvBqNj+;V7Ihv;RYn|>j4H}{K@Xg9A`{lZp^FnY6JY<5WJZgiO9fPvxSuGFU!m?;7g zfY!#4Olt(91bZ(@eCEa8h$SNB`9@^@#G1vYSx5i^K5j2QBf1vxX?yW$KVE!_PJD_x z5ubJZ>+2va4RD|XlrA`LH$;%=MUcoM$jTb}S_I*FGrJ}clv&^QnkaB?qeRM@h>|R( zMv0`GAhIY*Atq6j6Nt-w^EwG7UDooHGNLfHl#%U~(Wr#V+6>Bwf7~a*foiQ|ALFLFYc|irFE*fT3|i7X=;`^QfC;QISb{ z)~zaHp|Ms?MI}LVRAZ!IRI8byNXmhb6tYc}ERsqJafz3{?gj4JYN!=Tie-x|F$_8> zYo8>P8fB!LRTM^fFBfK|jASWjql{FEjE^A&-Abch44FfKcOZtDrRf^NU`U+-Q4Gs@ zv4@`aQMi2&mks4Eag&ThDU4h?Tn=i$Wxs{XA_K9DH#lV3-d)fF%njNOz+8u@gwqK* z5;CWonO5l@C!8+m?-;HMH;l0DTi6as9cEr9B6b0@AY8i~hT4eO6~OH>H9$H{wG7#Mz~q~zRWh}2;6H(K;h7PX>BA0m zh3fVv&@Hqzf^Gs?jz+8|&tC#>jan>P7DuWf{bop;?r`^cii_A=z=_eenL@!e1vxxd zvEb!Gf$r;Q7SXl<(tkL(TnY7|cjl<)BB!PjSB?NCHLon8F2!$F$q?AzU5;?7TJuXftegX!6hUtC;W zUBBIF47g|0#SigW`u8sHJx*_Sdu%wS7q73c(ywCnY5WzYvzPf%c|zvpboO)nWcns9 zugNC;nBFC0$LTt~n=Y>M?q|Dy9=?2_&?uJXlEWpkE0(i~l*_vk4qV2!)osr5#W6mg zQdlo8uDBMu$!6PbO(nl4+ZLvdSLwyd!trJPG{qS z4xe>(3vJyr*Nu{KxNM8c?YYq;T$8KI>4*5)>*LsQ-wgee-d~J;Rc_w&ey8l}no)mW zzl&+bdp#QEdzQ^M_iWr-?*7af0)K!y35n>}`^ma_fogEr&1oNYbe3=FH(I^O{>mnMsUrx;N@D?B}UoH{tSYKiPB<5ueN^5!YJft{0MK|b+(A=MWn z{WGld7e8*iy$K+cUHd;ysjOw+W5}9i%-GlL`@Tfh24iRJWKU#C*-5gmN%lPvBBg|E z*&`vc6cI81dsIyCufA{3^RIWN#@zSWuJbw9`JC%q=iFx=&D$U){3T->wunMszl)#^ zq`$0G&6-hp$wjPbcF4@q;DnL_cfptDRKq_0nB%6elxWIA%PVq>V^aGbc~Z7yQm%K9 zv}6a_Saub5tTQ;gn2D+Fs}*>BqCm}j4;vPnqohEdod>ITxqbAlGv1fFF{m{} zLg^OI^4W$sPTlf2|J`iwfX^6$lD#~_#exLfJ*06nqOcxHMXY8+{VOpzIT&T2fbxsJ zcQLvj=7y~l?gAr0On!xD`4@sBZi@QM)$`gnFmn>#3gD>Q^yOkNy^hx>r+B^Q;f^E4 zm;-uEGyT~R^LQKx=jy3Y_v@?2wO=aIa;4i6RKL-*8)SN!bDAH#+_RxB&lnTpa_%Ub ze>+BFAl+nhIC5gyM!VfHZ7-{MEOzhALv87+wyfc_pBm5bAeO|-<@w+^9iT4kGwGHy z`d0=lJ|yMT6(YDlpLFYn&Z?f9u$T(Hdj2+VFb-v1)QO9VPL!lfmZv?NEHa`QHQ(cS z2AwX;?hn_b{8+34cgIaLzbtirhAOq+@Iy<0`kaq8!@F0a^oA<#L!?v3P8?AoZWWAp z6x#Qt?FPrX;1?MOLt)l@@N{MKBkMVrjm@nUF^Svx;sK!gg^ycPv+7K@JJ>CDOV<(?nNKy}w|?yF*=YS3DK2_-{|oTJFFH*eur`pxzISPB z{>wS2w)4s3^6AoLmGl&BpO3`H2ZMXcO>vF$S#Ud!llxfZxo zpobWK$stDxaN$mtZtkvdm?Hu34PkCa01*Q5fKXq=#K6)pH@KXW1;WzS$r`M0>m=pm zW_xfgYinf%cZEAyz}<|Xd|+z`56{<6VbpgB&;A{O{ZB#Q6AA%a1K|QfCScu5&T#Pe zd?X|eN)|pWS>D#c9qtO2cYwLWW#NDXaIh-e$=clp1ceIogLOR2-BFpKa)QW6NPMdT zu406r-Y8;p@N^BHk<}=}tLHblXg0>n)MIIkTyP=D%D$K2bXjc{@?j8cbQIL?T6sF}=(fO@ zprgSLqbEQ6H?QmrPYkXZ8~OU~ysib`ZuFa8=b!*Lc{sf7j2*m7&upRbDg8_4OFcVZ zR=P@LylTM5^?N1T*TNRVnoW=sUR8S(4Nar+)wNzirb1tKO@~xwN}B`%9KOtJ+_*t6 z?)s+S-i)3rt$3f0&jSxy`!g9QOt7-=zP}VaG5Bh)?Njr)O?cS&=b;i6Qtye;NmV+Z z53bE^wd2ntX{StrW-!k1fX;@>DdX=jBQg*~*{hP2y)m&fcS7)WaoMsX({@D7hgz;_ zAR_TIrnXFPT>F@-7ak6ah-W`%6@m8G{khX1JZmZn5S6WQ> zN~?L3>AHRtJZISc>Z%S5_zI27-;%x#IWYZ!{*5ab8?oz`9`}f9(lho~#zr(h@@c z{>k0D%@&*VoGK(SUJceV)*0UHJrxT~ub7C-BBpPAjW%AT7->=fK*R`|Hy zVrre!)+_dMcu`Dt<=mEat#I@eSJ@lb5P_BoBG~0;Q=5tw{yGy(^$M$jyk$@gD-7zM z)J#b4ORN(lpp(R6lXybpJd9^J{l$X?g~tUUcv{Y&pzJ$?*ye^caHAKgiQ5^}flIa% zj>YXsuf#gnkI>{pI*RfVG;vB=PS-K$cj3Z@aU*8mJBXm?|3TL*a zvx=RSX6b{gou4HqQIxwmU;VP2!=J5`X0gtStTNQ0#!|P?UGC03VHN2Jt_I?E1Mawp z5zMwB*UMtZSo4$uB2&z*%uPoqOmbyZ*~n)vbgGD9vEzcI$oaIGSIL=EET=fDR9RJJ zPQ!BZbhRV1i*DtQ`1Eq7@GoAihB?vHZj5dTeo$C-TY&6nh4_@Y+q<|~+1H4~(qy?d zx5})DDr~LQjkc?Ds(pTN`PHRK_nw&yU=E(pT`ee^d?7kPh3%v8*Ka;m=Gtkp#ufA%hXRXD?Y}g2? zw_!04dnV^kMbX$MhRN7~?7lXPU zV!U6X>ZRs*D6JHXmsb10b0yCRZkRP~FZX<|ESM0Q@XPx=lYaGW50jp*(r^{0jH~d~ zY|_YwnyXa)7S~C}S9s>WP#EuBOFz{iFRyUC)NEC&$xEaC#%7wYMrA1h{)L?OCnFnA zm#(*;MtaVBx<@zB2R;mxzN|34a?VRawpoYneu6>=>!+M&h->sibc3I2mM0o6&9#Nu z2eJhkZmVpo7{nKus}%?1f)=_cw(u3;!dGM)Tf4-jBZ@CQ(h9%gFou(28Rf~%B%}R^ zIhK@+m=Vw06e9>Yqk;HJHZE6~jud|(=ULNNtiC-STX?qNRCt;edkZO-Hd|$F_ykDr zKP6CJv0lGz%7g2Jlgw`ptrgXolv$d0>>$nMBd8y{7OwR?eth|&@ zo(SAU<$+j>|Bz0-X$TAq>WM0KHZYuFbNtO_0NhH&O9A<^vck4!kw z9?vX3<$`t6B(e)hI=I@3C#fDB!<6Ngdsc)MmuCpQ(YP*^DP2nS~Wo>Ryrx)7hs!TwR$Utl|T zj^{*W18?l?oT#Wg`ku(AS6Y2reCplG9LnwUEz^y$GmH|>t5IJ&adO-KGl=ZW6>c9v zI$t4#jFlE#!_Z9K0oz_v(vOb@Dt+sYCcX9@;~Lv`+edCa0TbB%;~@(h)T;^ex2Nb* zfkmkDj`H@#y3V_uMg6JMQEws#d>=V>I5b==*1o5mBX?5-J4G-Sdvt!lBq}d5D>@?~BR(zZR$6Lma%N&)Vs65n z*y7mYnDXm2*w?F~8k+a3nodfK)dZ5`meAPCCf)84)8zBz}8F8rY3`0U(Ao4tC()}mn3`b~2S zJ-uqX-K*E5?<`KSHf@Z3G^$zjTJ90#pZ9WjiLmWM3?L@&Og8FF*41lG4)>Jhd>B4S zcby=y_TDzvc1MTf_WM+_Oo-OeTSIJg$K2S9$eIl*ZPl`T6gK>4S!T_2n?D=6@4i>K z;WYfL!U@%h)uL1PhU?Qm9s5bwJdxdYtv4jjXzM4`Cy(n^!Eid(x*{;Ml0! z+y0C0igXG1jhA`LcJXzKxL2N+>T~zGNekR2xc+$hi8mC=0MELZl#zaP_13A#=2X3$ zglNW##BJeEA9>df42XR9Ul z#X|pnS^*`NUbdhbHTWh{PFgdO>~S`fmVot$MNr5&wz+vFAAH@oNFDmE2@lgM0LQTS_busbjM^vQ|DbivnPSK8jo5pbB;B* z&OF`7Fx}h0=i9yOTMn)c+poZqY5B}++tTE>|VV(Y1qVAfNqs`~~kDN%I zxkqw3g{0CySvAIXEpm?JJuCgym)9D+`YL(jlc5wO=WtCzrRld3W5yTW-`jqtxK=YF zW}bJO$B}iMN!bS{Diaj^&{lQP0~;nA7DC{vk;~f0-po}SHnB=?X_}Kdj<|J$pmxK< zV_tOID3-i)Y+8J^=7*oyTrM_4qJG#6Wol?Zce1uyf+(@UW4 z=MBcUTn*qXoD!l-m7>ONg-=AM-eY=Dzi(~uAFoRf318+`F7^NLd0sbu`F_1Vd1@)Y znLV;UiHJHO39COgR!F;MWWE=SOwT{Fh7ZTr?#OPAr1P+1|>e$+laNpBcX=F3!`6 z@#!qxj2P#tK|4G^dE{2Ieb;%ojuOUkX`JF4tC+l))29=TUA!mbo>7BX%}Vjk2tQT` zqJf|BGghTYFD$JY{J9{y8mUlLAw?&L{EbO%h<<)7YJ{b{q8iUrWQTVoD&+(6DM#X zETnRcqI^6vaZ~hdoFfBMh@#B2SZMQMg1aq51GD=36e$MJP;iBbIc!%mJho?s{%#Y(C?llfc$NC~?7LK}BCe+0mAF+G&fZcZOK#Hay298h?0w=G>9y>Wtynhn5;7TmpQx!ivMVv#8!!oH zT`(!aG1!yBgPUqX5;r7E5-X2G8O~ZiIBQ@@h+ShJ1mhC1lq-`sM>c)NPgc2e;mh;Z z$JKc%p;4mOS;B2j6Tze}2hB&XvJ14oz4`GOep>#Fd+?1I2B>1>MF&lZiDbcKuYtD& zp(cKk7%7^M@fg7_#H?;ERtZ$r`8O-wj~jz<&ProR@|8^qFwz1WGYlFG)Q8?85)dVK z*_#XtwN^a%X`)*?EXE&?HjFkzQ=c?o=(;RE@8me@BG7Y3lxqIux{`IUJPr<{RDnS( z`!eg%Apcl=ZAP-}GlZw9sMPH8UqJ#XPvV(_ayPYn8^TjGBF05QKj}=zP zm}Si?zL^wXZpjyi9o)s#Zq354lhQWzLll-8->`*Oz2`WwKA4SQ7*6Xp# zVq$vqDx5?V7{P}tFMa5!eBo`?$ZR@I$$Gg{N(oej+3H$INLHW>(vv$oq+AH&cvsn# zHE`QHeL`>&xw+#;-cLjwfA&ZY)2cMFbM_;pPif=6=aamvr;DGq>vE@67T-3+FqC4` zbGXE!@rHJdHvJ?uLtB9_ob*HhR>LrZ9Vvap4Fy)l_}2?;SFaSFlWu>{Bp#oe#`hRo zb!HlOR484JK9v3WasEPQC@XV2lX(RwGLDH_St4;raVJwNrCk9{3Grle2Sv+r?Sq;x$PQZ!iqa@+6 zV$>;{B_dHfVa-e+Md88Dycn7Pj@|8XrOc9MR1m)z?z@U1Dn+e060+7H-qRKWgocnWG~zI#H-UBCB%!0!Za#Mfi(s z@{|_*=;_4qR-X0pJ*scf6x9h@O_9>S*3dr7E0wsU8yC)nlWN+PNy(TlPe{!j7E&izWwnpIOOMxTuBR5W* z8a);0sQW_b1*f|ieWR$m*9Vs^c5HEG)5faZJB?-O!hJ8^2G;wmjC^`ty;I05;cdQa z6}ZR5Q}e!2up%I!+`rsnnPvuC@pSA-|A{&smND0iadQFMb&+aiPNHk&xTaC$jIT0@ z_>L55e8AIDIyEu_8+)Izs&@U@&XrwnidUGOo(&r}-{b8Sj=hahw@n+&^Z=!AvPj=@mrO@PavvNwh-id-S zY|t`{(uc>^T}5Km=bxW^A{SdHoeN%;Q^+=~T5;UkpckdzrQj=K2y#QFc`Oq}l!vu~?A5I$f={e5-EKuz`Q zR9+444zq;0!wwgE#Ljs;!z}FK?jUoxwXKsl$Xg`X(y*Q&3 zDgb1lrU{ZkxWYmF+yYz{5GXH5P?#ISFC;7|#0i4(Km@=%P%wm-iw7bC0saYtzP%VF zaDi`Pu9j9J+OqQBiUY318ExF%okhT4FE1}{FJ5kht2G!REG&%51`6c@VsN=#a&m`x zb2+)4`I^c1e7-v~Y!OZ%RK75CgonF0BO|JygV(ouIXWK{t;To4{z9w8B49sqwX9zhWv9x?EL#5@FO zKMxsTxf57b{Ea-&V*W=S-!<}ga`_?df8?`Y0>V`nfpCz})YUENR( z&Cdn3J_Qqc@i&+zRI5;La%FX2He70|E5boC|>cYs?>W_99aK~oi^V1saT|LXPx33KxR$$yCQE$LUF&?sde z-miB7!UDJwd_b1K#R1&{y9C4;T>&!v*%-)w$midc9t1^^yf&KqRp2m^w5_|FCfrp9 z;pmKTLb<3RfO{FW*lz@I6o`kL$K>0!Cd?K1X=5?448p;~(a8-2_(4@bToAA}!V7Rf zLnI`?-@K+WGD^C-@-Xjjdj`Jwy7?erB}bSwTn*-C4{~?)fPK&+lUdNbr4|21{WSHfVVzC46%di8QUsz8iM(t-15W&L(oO;WgX4ETtm6 z;2>;_pr1j5zCGn%s}B?n8dT%`-|7Qs+rNN@|M#JRqC+$6fXh%|k7OxI4&2F`+*{aJ zqZN}9jF&UO(?P zyl87)|GMtHeCU-2%Kk69A^0zJgCF|amFML{t9{m!wifF4kafEB23E3ln!bQiCFBC~ z1`@gJcdK-9a=~#oZ)Ay*x!h=ptC*+aR178t;ZIbA7kvTj-*zGB|3F88U1h(^z)*B0 zsSmoyc9k#?r6`X-PGz9}e!-B$`WX~x>u&#AW1zz58pHozHHQCRXbdm!??WMcs4?uV zSHip`1h4qMUuh1UL?TNEoAXMDZ)EAR^DAgd{z5&_X0rbt6aN1JCcoc{pg^m9AAHz5 zPLIEcZbBlr4cnywbTp2y1S0RrdEn*_9Qnnd!;3ai{r8ak4+b6H-)B`Q`k<3dOHML` zS3)k~+xptM8P#D>uP<3d{=XPzc+pI~e~-uyO7fSe_8)Kvnv$?&-@?N>rJHm_LD=%jZ#B1GQD4n%74O`g`yk$ynl&f|3T+LGx*ut zPtv>1cmwphv4}^ehr8@Iu@hLk6WAPByNm%k^0QIrPuQ?O4#0m05$Nyh#18{-k=U)0 z3X-oR^8It_2nOh9So{fl^+)aaJ4C|w4@Mg_?O@4z_7t1$N~^~`QVMKw(Dum(fm%r9 zlcK=gm0=@%ZqbQPbJ=Q%{*bL4|3VJ z=aIk(!N>R1bboH8@%;&d6`0N+a_C>0^9cz2HVk|}z)*H^n1@sbC*(9=?m!^2d4178 z5V@mXJA|A|e$R1lHxM~--)OTGX*SW^><9+_)UHf7&wQ%gMgso}f1x{n!n6e(CupGk z9g_J69Sh~@`9AQl;eUFt5hzjkVP$)>G;rvFui5V6$lm?Hp^Z^9WXWTs339Qybo1fQ zXUTkj!p7zO9~gANCe7dNSiI;4U1r#2h7;SH+nX=AYgdNu?QARt?D|YLo7O(w4lMQC zVpVEJMk3aK!O47o#N7QK^tXTDqJ#cNf8#oev%P6lGVb$!=<7$jN7K+=#=>6I%f&u~ zS^ma0!f0UwQ6ez-^D&(7j~KxJ178E5;BRXX6y4X5!$*!S23kipIR}u;tZeTk)q6PC z8TW5aNS$h$1dfhNpfo5^(|!#LjdlG6LVv>Y1zZ4V1oU?XuYW*5KOmHyat*9@B4lUJ zs&Tk9WDB_)@W6hs!O+Ck);XaP2#BcfwaQ=u<{6CmK@d^FD`k>FBveZsui#s>$ zMYZ~z2KONspDVVWzcLE>|AcM)qwDVPOdJ4%`nOT|VUP;yCY8ZH_kI2}F!ZFz ze#Dr^BywbAqz#YZ!_SF@|4-P;yy#0uynhFle=v6QqAwj~zL{m%jZA+BBVbBu zd01P&=qi82oc$k6s(}UY-&PKEdnN0Z%_-lV$%#w;$lAThoxt~-o0F4){$IQ{H#Z;r z!b!#dCrnugx*z6m4L-jQ3IyE`lUub>Y6iSFN|77%fXbleK0ARsn>(A$??-;I+9>cR zEZhGHD8IivfDVe7QM}&X=E~*@@)Ll_x7WSE?IGZOqn=;+=Z3AopD=8HTqyWE?u370 zr=Tws)CWBTb}jfU*bgQpFW7pNBpYgd+4c>ro!IeT+5Z^-#jqprN6cAtW`X`!WB?%l zxdr!6%vp41xufvGt{!K5Bd^qO0foy(9{W)BufX^t<}CVZ<6jzp_EX{sJGXKoD z{kD-(=wGz@KQK1X8eIY-5O^blfcO5lpRA4v{1M|5UGM+WvJl_zua5mcZtCNNzAC#7 z8>6Kr4I2~pO%|Ko>pnHx1OLAA<}W<>0{_es{mGF7-x~bi>{SBJO89L9Lg-Ml6(hER zI)x!^D1pPtx-&?!f7axG!n_1lwGYk9zcnTM2YU(7XYRnZ6KrwdC1K^yvb{SEoG=C~ zjW!^g)bzObT9?ib85!6!aQ|X}L^Cj@T;2E2nioaU)!H zfG2q1s0$rHHXc-G28z>x04I2$v{xH2`{lgd6?EKz2Q`3L3j4A4LxArPAO%VnP_a-4 zeW0>|ngRJhP`suM)`b8U5MC4{z=bZVfNuf1sHFQ60ZD<4^A^AXC`MpSS$VK7+}r&t zze#`nBlGo-k_jrFGu+kPc3*fk8B{sn3jekd94KGj5hxL|FBFgtRr*(9l^lU8@qWbs z_zna>zefU&%maeH0*wm#F(MQQ`zkal3^*g*h1SQx(%^`qb z{0Bk}wBiwT_Nf`0V_V!)$XXjuY}A)w(0 z1q9o7e0|O32f+Z8A^Zm|1c-=UI!dsE3ZV)(kP_H@j8;1UAIQF&alZfnSM)1Xx`T!V zzM*G{66`Px5Nv;;@oO$9!43=m0Wm-hw9BSFuQ36^(82)04#QCTaG(J|=4cTC$2DYs zWn(`R06WP3?w7AuD8UZI1n?0&ya2L1)OLQ9TnB9jv?3aq{3yTq0Th`XgrF-gipmahMlsnzTce2VFbPVU@2!TS zv4iRWQ4SdaMPdhpu+L%Nn-Cxd$o@haihTf50-pE%);K`=gCr>af_`rS6n!1W0$T99 zashgKh!a3vhx!lHfb54XhN7*55dp0mfQ zQPaVQ4YVHG1**}%7bApHnu81gX$~(?g?=X~6e}HQDyq@G2kdjwcWn|z?@+=hX};GJ zV5Gx(0*rK60~8}2WCjRx0Dv$`n1c{>tr7m-xg~%X@XRF2qTW9*&(*`i{i~Jk2BZb+ z0*^rc(wg5tv{@5)i1P4J&iyIEx1*weGKKv1NIx$G%7^B_Py~Lz*%o0WNWNQQ))L)^ zkzWUvyaGwOQ)_b z$b5M@G=1*0NhXvl@%$~j=f+Pqf?eRz86gs>YgiKEJ7Q+$LtX9LPJ6b4erC-={%$^J zDM=nbe#}JYtjp^8W#FmKlbX+upHw~kl0Z}S)v&>Y2T{)B8EH5`pC({XG14$M{-R=6$@uk<`@}u4%*9OP7q&UnI z^16LfTem+~`6aZ% z{(a7>*lg=V-y?OV30fqpN;O$kw{;vn-m9+H>0l#o$Dch@jtJ9^=_06Cp2S$#GFa8j>jPH3d$=(ZX__N*O z*BNOpx5>LuQJJ2iEB-qqQ+(k`JJM7f-UZ)tuNoN2pch|<4~~t+dnUG-?1_7C$79j6m8Bqw9z7YCOb7G3OU9)!LvARTRWoR*0^bEZZN~Ce?>N^-Qy&a@nx#YVim^)Xeif zMf^FdbJ0C1il@!RMZ-L(>aW;1)^`nI!VSlJJkOi*FL?EtF4{FkHN}MTdyHLYANP4U z7-X09Uiwtl=l;|0mwVk!cilYFYX#?++&Go^KF@fon^Wq6qe`%6=5E+}1PqzhKENZ8 z00m~uOP0NxP9yZ2OJl%$GIwc*eN?`J{qxBCg?yDTZmCYOiL<%*3nri4>`m!QgbTO^ zYF;;3ZFwpSoPLe;bn256o?T^;4{B(PwC!_z!YwUtEWlLqdN^s)coNZavyeMm<%M#b zYzF5GC4I@~<^=)VPrta}&|AIm`gm5K?y~?gHP_PB$WkfL;!IDt1HQMo_IdC3mu#Or79KnF6eBEN&ln%yuD|oqI*DOuM2-A?umQ zM?H2NCA!RMIUS3^-LlpqMztq(xQV4b-Omf(yW&A4cQuQr(xYP`n85!wwJ?L#z?}6n~^U0xi57^J$OtcV>^^CWK}Y!DHLc9-j2B5srekH=fmRTP82$D zWDXa48e{2vI{v7@O;)0I(2Z>AITeuzoBPJcTrP$q*Ppb$Pz@av+)HR?#!nC=Nu-|2 zyKO_j(35gq=kdlx)Tz>GWB2OAvy1I`ox(~CM5GM8s# zKk55km-{kzxw9XN8F7f3Mn+z4HF7ER$C0a3yOI1Uu_!neg8|G(^0KGQQn}^kr~ADs zl!gW-*G%u6rR>I<3A0j>eocE+A+$6hk;i~4z<`Sh|4z{gCRmR-sS3re4BCnpfk>`!TUKn4x{Rf;@M2^G#%x>gT31Cen_(t*t8X@uB;imax)2Uf-JcIPFv?ylNDmeRyWABGDP6oSOUUWhzbx&e3?{I00>I1o=p? zayISkU`klPLjqQ7FcG~0)C;8{M0`5B=K{#>-`FbtM4=$X&HlQ0y$NsS$h6s1EA091}!+%b+ zi)+NrIUgcwO+7|Ea~rZr;LD`qo<3CtFf4?u^AN zAf;`_l^(%24rDlLew$84aKCGmR+qAEmD?sI-S!P$Mo zGxkZPwaK^SVF8!kxR3=bCqx{%;3<+9lh-AatyYk`DVldh(f;;nXpJTFBhPXzmgBm4 zBG>`g=qokA0o6a#-$8J5f){tu;{G=~B=We+g zDR(c&?Sc5>`8cb2KDrlUy#fZBb-IZ8NWFwHX>)$wfDU0!Hsi6r3rbp&iuEEhB+PSf z<*b?Ibb|U*#;-60l>2@<)AT<5a&Qh)gdp~LZQZr_m(}H|lo5)jYwXD6@YHYFFwH(= zG1G*;{Zj8aV5iKWYAEo8mSu@8S(KzE&(-`P<)y9yLp63DzSOImQx$Zh*=u|sb#hb* z4M@_>NxYs(8a=9Xief-dgLMt2t}UrsSo@e)ZsdgbGGbhkWdSRbJkwx={B~?YQo~-UFx^re-`Gb$e=C=aIX$N~~E~o}!wNM1@C;p?TLyqe@q416JqMA#Gu; zinD#6Zg*L2gb)Yha*e07Sxdc^PeO?M-O@`4z^J*`YOOym`}$U+sqJ}f?=eE7&+1%P zE?*wWo7Q`A7I$;9)9Rgb>Vpr-yA4WTD92^9{8oWH8oXDh}Rrf zj1rDWR$tU*EP3dQmvOo&LK@=J_SkZOxd!x1e*m6MI57RD671~u0BYLh3F@XbKFXX} zI8o>I!V;U=Ms4V=|3&PMM&Xa$ma}pozvs)@B;@AiptK3spejR=;Yas7(l-c?=Bo(;T<3MIVQpH zM3k;|Gos}VlgA8+ioVNS6<3qnndZzlx3Xz6dMU>mv+jk`;fPnxuqvxIoL{}nVbR%tJP=i`O@N$IlmFv-r&miGf|c}_KJC?EVOJwXN)Cspg8H=DO6%- zMo!BZc7=}lb=WM#;LP47;MK}qk&4#jWT2y{XR;$XdPZYeQuZ!{=%gi0zptMHNnJD| z^ zFb8}uRN6;Nk`;S=d?|o(DNPpg$lHZpjWE(LM$MOn=)8X7wU-iFgr*w>4=%J4_jDzb zPX%j5Htev)=H1P*G-}=~t%!*2tyzvuh^ld}bzS35pDU?|kiNs`wVP*yb8NO+0qen- z!k%7DCiWfCr6=KdbF{e2`MFe2hb>;Zuw)Uegg9`Po_*uzNa?5IGr)o?CLnJw6`b*e z238T$De=b2kKl={U14-cp@L9)aIn5~JG|cs4}0c$MEosKQDS!|9!E=WGM5Cc5ACU$ zMU8rLwdgf9(x{6rGcWz6$w+&$B`If{FzDJ+-%o-|;4(4m>;c#=Q_fwNTFx@}KCmtB zoGO=3Gqd-2#1;*aaHe}C9Bba(O3pX$II4KB&ocbt3{vLy(Gw>M>s$m1^hw?{RhV^k z-$W|#JJzZf8}#Jh!Sns?7*uKC$u{6>j#=-MGI!O@FULct*VryimKQceKo`3}Zcc`a zBr`!uME1S#G1<9jv&p^HjbrJfLoZCS%e$Hb8vR!?rr$4~3wqYbj&CZn7&>B2?#se7 zzxaMJ84>u|#(igKZj<)Sr?pKD+FYNVt=agUjZK#wqrjk3$SqkVC;D@N0m*w0))0;U zU)ERl+JjFub0hYe#4ea_z0TOGJz}$qTsyKi6Gk7n{r+RAS>WEM0usIIh-@BZ7pX|0n>AOT$&2+qXPBm*H`7_VfKyFp^kR+gsO^dgIia~j7!D}}&#TGu za~Yfc*jBfR0?Dxqfn4=E$FSR6Fg$_>Y-}EFrjp%NM$(1%GPLvzp1k6mG3vj!Evv-b zcQvGYOqZEvBY~10>eJz`PP!GLJ&t{i`B|YXggq^Ahj~dOCy6tfpO$SzIns&XE_1N~ z^L^?L3O~^kZUu{!Q3DyqA~`9Hv>{Iil2X`u;2Xq6mN>n0$sdI!vF!zXG0z%F5a=zR zZp+b%egP3P)?h$R${9iy5gQ?zrA6f~nYqkH z-fEg#%TX7kS(1cEl~PM8ojhi@Wnf0f71^_p;+**~m^_&WU^%_&HIJMaGhI!7rNxNA zsYMX!V$vP)48ta3rqPh}`lsZiMVdCzWd^MRAunY+!Dk*tB$AvX@=P#Zvp1GL1LbkX zw16{;@rDAJ@i&N%aKdo!+?VpZ4n?qwi#+?pZlb>;+oD^>t*A@w7!t|}qdGrp{!%re zFZu*)UMLsC@$)aqU#G};nJZq4Rr5s7;BtH+9yFuZaUve8_uFCI@@Pw;$GU$u?||2a73;yc4+W>!}vorbBW)t8Hw9#ITO>^0GM zzDiGL7=A8cmaD}@-_miEj&2Z2qBQs_+I_Di^v;CTF$<+?^+xiP@K~y49qBOxk)?qV zu3_WCLd+pXnL$hLMIWV^UHE+3JFX>vtws1f2&vuejoVW*ZrKylx0h6?FWX;dD0(}) zce#oGQReKLzS!2K%k|^LaRcneeE9>PhMJqc%=HH}kO;3b9luQ*g{Lc{`JrIalsis( z;ZtC&-zPG{ui($O3UQ|a~i4E*@V?2x`!)6^+HpWW`cKx5>m56O482~$-sPtbNg=QS7Q zPg1KYi&BU?dQE+4VCjtT%*x{~Nki|wfacG;!v-o(!iZP#Up>KKv8h;NKPrr{#4yBT zNjXkcR!vu9kLhcC15#t`cTPb^y%d4Sc!7Q0%&a@vW`2G9j)t1*#p3WSEBi99iAjv} z?=%AfJfE>4`!a_5h;<+>+n=sXbuK@?!rpRc=){c7Dz5DHmKPZIp3fP`fJVdC$I|NJYY4%MPCBn6JZZ;2b^Vc$Y1&Sb=sOKlx zVn(@CJDH9_V{aFhsb7A{79&Vz(!5ScWJuIsM4nM34I-CvJU#0!IVXBSqc`JDNEulE zX3H=YJjx?RD~R}_pInj<(?|gW4~;qlJE8cIwhSe`nL2_&HFxQt?6(beo|NKPx6b9C z9p^sh_aT$yi1p09>(sKsC+mYEB-M3D$&AwIK9ji{CU|5jI80_tsTPbaohFv8AHZ+9 zr)pr3dxS>8wzsrqjrjzy?Ag#&R@-gTjT>0I@C-Afa`iN15 z-WQITaf2arq@wD*ADgOLJS-RT=&~lF#TgnjDXy+R9Mv4w?5gJW4W|xbegdt{s%ui6 zr%u;3QjuaSfMlyBQ1Kx=NbK4w&7ZCBJn^Q=KH)$fL3elAAo}5PlKGp@8T(CAYA*yi;wu$ z$di~`4N3AJd|aHn9+mGELv{RtxU5Q$SA`o)6cpu~;W`4AT`{+BqRUpm?t7&uX+;wM zktB3JjaSPvgf1s6<{Fcl@HPC7U1d^ii&Z;9wLPc&V3%7$p@bZ`7x?MgZM;EJ6S8o1DeCL3US^M z8cJyWe@vZIkm%5|hR3#T?y+s#wr$(CZQI;q+qSvK_MLO?eYh{FN-F79>3n~$uFirB ztv9}enxGRoOW)2NF=YZ6ARqYHcIC~6< zijO`!!B3D!p(sD?rau=w&XE3bxxpiX{gB}pJPbI0x`a)k3=t7~8S_5cjj(gP4H1!T zF)=eYV5>y9Ik)&1Arj{lD?Mr@*I5l9z(R_FMub%c5&O-^QP&ar3fJ_jl~E=KI%uFe`Fnq^MT zTJ~jqPAK`X|HBlDlDzokE-Po8)>dGR-O!~@A-@8ECycont*cg;4`OrfY79zyZ zZ43`wD3X#V=hW@13Hgj@qFPoykB;Bo&uU)TY0fPg=MuJJe_SWBujj|RZ;$*o)GU2T z+3KtrH|US=xtnl#WxIAVn}K-W507Gw;u(GqeY)E@vQ#b z2tyHwS5|)=oG=K1HW*`k;EIp~K%c>l0>ec5F!YT{O)VY4!{3Xy7(?oJ|Kz*ArQFC8N?D!BXsgJLX7_v>A;aA)50!; z_&VEyYeGJF6Zsoq>%xR->h2vk7eiu)*a>G&{AMQDU6?e-iIgW1o2xpwPj*t-rVm=E z$+qU)PA6@h?o}PCWCdUi`c}^W2tkhw8bJ~~!Ejn3LV)$E`r8NaUpiSI21n(@O9m`a z7V;+_!sTwFGR#k~mLl&9BCw&7wK0Ts_a{y+ZeoztP*Nk?8e%66&XC)5<0cZ&8UCji zsV}5I?qfXxno_8w%v>MAv38MvIdZpDbKD&fLhuAI6Wq3U*fP~@i%k$w3QMj8^n0cl6VE%h)4yoRoo|Cq8;EA@tl+jb~;Uy5N-?72KUpzX!n2{{_bIk>ka^WE+r#7nKx+6#9 ziMdTE+cNqu*xh%2yKb|1j~|We%EB{!|1PInpX$HTz!)5G`zUbG-9@;-wicXJp6UH^ z>>?~5T~H^fd_;)?2&mE zjS7||XnbUDwPg$O1V)>#G^#a-dQ`X9U*NBku;%5cX=d(Qq0dFB+g~0FLrBdXwy}HG#iOk!_ z&s8^_%Q@!y=sK63N9SKRrz`H?JkL382S87V$S--fKS~YgMrn3t;>x~>Q9%N!Ltlsr zzJ4l{ho+!Gfn%IS|M|6`>HZ}yCXq8Sq#MtpYC!q$qfVEG$6-qab@N2!$We^_QX+rU3nm#&{Z5tr4l#xu6}ykqujBOJi`p3k&-3o zF}Q580R^ehQEpsCr7RKQ9fGOt|)M$!oG?(SY13jpCk%?L@^U*aFo+^vJI4EUhL<)OTXp|gn99F}E7*lZB+A3&J zBO+y{gWrl)#xZ`R%fDc+glwC}vR8l5POR zW^XbXmriSSs;@4o(^T4m)+Fp732Frm=|LBOA?obeuby*NC4&=O(}ZzF#Kv@81x~0% znI+&M#9+6oL9X429>RGU*IMW!ss$GfCp#A32Ao!kCAq(W#4A-a?Ud`3dRmV`C*7Po zXgvwe5Y7TBWoA%WX_MV)3F>9kHLZ<>99N(Yq4`i8du@3NikZC_N>Dwnq@V;>OpMqp z{bh?XkECSfAuSRct&|5BH7Ujc<`-v+6*jzNLe*YH6R{Vh8H=t&qyF#f+xBYoVc1fj zENrw1_51O*n78%5X?`H|4skeM-WsDRS!=vfNFFifDn}j+(+Vsb$RLVesLs@Pq{lsa zPd;K#+TIy_C8NJF>U)}NmuE+n;NLc;k~hMAN>A*0i@-%-i;al6=CNe!gy?#U`Dn6Q zj}lA?H4>6-m5feNQfbr03OzL_d_cM^xfZXegLooEOA>|3IIFZyV_?fVuQ9}_VTA&% zv$7Y%P(X~5G6i1AXA3&6KQN+J5j%{QlLH5d)_U7;QF054Y{3%ACV|ViOkQR9@L$i%%%i8uHim5*;>DV`(g^gy|iBz4gRrp?9{&Z)0O3V ztuV|++~)UM(89PfgyJgJix31n~az|?{L(jspKnJU&PF-tF`7~t!iZzY%qSrS`NSDEv zeIl7)fTMKPfYB>6ZU|H9Ut2h*)=!SGK?AHt6{HqrN|hv(k%?DEmA{^q-}aM7m$Oz> zjonDPCpo^WAeSxiwDf@u2(&)#loXt$e|Od31y}Ym1S|gQZWiLrvMSLNjP=f(dL0D9 zBPBz%KUYbCLH4agbQpH&GroE}|0k{OUuof-Csu?w=bT^JN8Cd;OfK;fvO`!^BF_9N zUEKaP%erkUzhm#TQEF(Nw3B9CQd(nPC9~7}vc5qI3T|MdfXsg|Uh)R7l7YsMUt0LL;oDYrpF5 zMFtDuaTaxj^YfHuk%N6S26yOH1`98dIT@YtFSb@pToHqY7mX>NrdEq4lJAuJ3)^1v zOhuI6UQT$C?vNn^gi_nv^(Q!?PpN_Ok{!C!VH}(JjA2SiHHT2B-7G{HS80c!Y3Lgt z+>fh8ZGZTk(^x|Ys?TNUpsI34U0Mhu%{#oO6#qn;VbCR?D4Mi>hD#`}(knn!)MSMi zP`XS*_3XU79XlhoRg#;!4I6PL(ZU$p^bzCK2Jlr6k3u#IKXK6Y!{3UpAms`)Z-3^0D?uF z4ycdr)GYaoDs}1rr@X_aGpcY&l#ycbq`L&Cxk3&!uunzW02>^dZFNUz80P`J54Bos zMG=aHY&EX1)WiZE-_fxCVx1HQ{TeaN-BS7tD zlT>5s%(FlM`l>FIK!IaixikIHb?d6CQJ(1jdmtoqk-vu%+|pv^y7eE(w9I}XbIWYS z16$f&5&R}q-pijOw~`X1TGe=iL=6v**RDp(Zv4#FVKUSa;f z_}+c9xK*8RNrKn51V8S^KlSVV8L5oYbg3e&QLQLFK zJXXO$Le4eTy<{Wc-ni;+ zo8H~g@%0nE^rwBF)Adsex2aLkh#n9F7oaumD8_}}2uGkt#&9Ic*Dx>)7_3jmlWIIR zLUDsWl}N5M#xAARpscm~Sm=HnpbU2pxBBC@|pm2*N;}-whqLs3VjB_3Alc2s6>!{zKg9C7qP2Z`k?_g5Vad zSAM79m)KP4XN6BZFKru%_!O_h{8d)(XQ?1Jk8clRZ-;Jzuk0%RE=|CGnz=>Pm^9vw<3<%u9cPuWe_;g+@Rfy9}cc(g{Bkh|}fGVH*EgZJN z+nkOpjJ~v;55}F}>3wD>4YU08`G=;BLB|{ou+jV${GzD(5T=c+Ll!<+Y(nJtT5@8S zZe?~pzYJGbyW4PvqwXfF)I0B{3i+76Uf0Y`Q&R7yAV#p`TY=@m)9C<&$8`butcqs6 zBJwy5vE{*B6C5R^l%Lt>*S7JMp&bY5B;)|}&(BB6Z}O(UdU-!Kn@Z0CIA@l)$r*|H z0G{s>Nw@hY;DzrlhV3e5y_ehK#m=A7{7zB7UP3qPkoHHXu$hQkAc0Sw&F5Fh-2}fj zCd?@WuW71wBJPv)X+_oCWe>S`IC>2(=;{n~HIGU}z6-RB_tMZjEE4|zLBlfPV1bB^ z=6->QPbo^6l#Iyz{Z^9sCKHlH9GpvA)`%Z=D_>XCl3#_4H=`-SIWmJ^g2-Dk5i%?P z^dd0CSQFGO7WCk>6h)OS?vgVn#`11(6O~WG3PX^^0e>!D=FJV>Lf*Aox z3>?n;Wznk|?M(n4Nz%$XqH;k(lwgIZ2SS{zh>yGYUVb_tIt-F2TLW*Q@%;4N2~>76 z0?vX%vfCL1i+0<1&Us8xlgXj$0A1$f<@x$f1%LEgGkxN*}1 z`*%%yjQAD=(MzLL9I%dq;V<&&HDYs_3@EbX^7&%gaf7=|pd-aSsvImA_YM6!&bzjD zQWSB5r#ZdLdZWm;U>Y|ajl4wB6otn1k>Y#{0UlO zXM$Fm98_P=fQ7l19-oEs>GoMs%t_3+0l%`Ue!$017DAQS#0Z*b`C z4oPXVNKwx>i8~oeUs;)xf-7@m9&y83C7M+W(8I;m7>vb!SC|FDM0s5*G|hn3>A|)m zxjdW?Ot}HeKsUB`ys#F$X5K#BrWw%;Q!tBq4(=!uj8q`!boE5c9$11sRu)Y`;zpy^ z;n7aGvxB3sXuHMzPYzTE?f1pQ{E-c+P_laT6TEWJ3*{a%d3R#l1_Kswv~mHEi6Q@L zE4wfDh?M)d%Z5w0CjAk$7c~qcU`+|o6}vU?7pMu}84S`SYeg-nl?L*n9Z?e*q_ql8 z2*+dMRRs^7#j!VxnMfc+&Q+%JgEZlkqyS^IA(s?ljuD)EX}P$c?==UxyKkRwGlFQ*>2Q25s=A+u|u^EF=*9mvEdYTMmu3g7NL0-e&`}_ zqvPMOheGMsEBz#*&d5_};_%0cv#wTQvB}KVWmpd!icl}3`-?g_aeL z*Bg;eMPE?v~5Ku8x z!)_>g40-s@UQ;8>L*WvYrxm=Y^(1!pseEt@!WQPVN_#b8g(5VqK)|V>=<9wTT=P^z zT>+1jH0a+<@%C36%jUMaBkNXcaS@f%QqDtaYQAc;SuVv9bm90D6vp#b-q$iv5h$@f z=s)i0q0<}LhjV`0O-r4`C@;(&lh=sit^v&;3nL|DE!POv#+c#?Ixba!;*wtA{1%kwxxCgwIU= z6QOHj_}yGh*%u7ChLKm|5|u~84@Fs&!KsgR$C^k;n`}+aXTyW4y(F%rHRu( zNdH=y>ZFqcS6b92NOxH~DBw3F3>@iM^XBv(DIa;1mqn8f5*2|Q`itzpFl%*OLuq1& zv6zEUd6*Ltb)kaVhO7G!RXM$xs4uZ~g{_Zkqsif(gB}~poc0vLKApBkQgSYXjF*t6 zY>jOfe4Rh%i7_Vq>Bx*Pk-V9rkb}%GG0%zP2)8pC{Ego3K2JUmJ$cUb5Hbn_^2a81 zT{7uK$u|myeFHX*Idm-DUBy*!TgP^Rka&$MKY~#UvkWw2#k=O;;n;~ygi>C_cx;|d zW)6dT^8c(XXZnRa%xMPkIbQ$V>hb@Be7&iyjbjk5E`ZvL4q}R>v#|MmLX=s~=PyF_ zoe;H0C=5ARh1-FB{d!$o-i4plL{Tw^i_=mrwS?PswIRQIE%@PD)jnm}ZH|mMx$R<^ zVhJPv(!*~B5;*ETX<5DEi;F`bGCV+m^AEkcJGrnY-Z=b=;!WyoNDgI!QQ<5Mz>45K%hq-KunbGUU~l|=+B-AuF4=)NVRj* z-8#tOzo~aCgbWv#_4jN02pfYIQUl8#)ZBRbZh4tdcj*d2(C>66Y{0<^Kme}z+m%c( zna4ywxnu|mT0eKkj^(&t=AjG~2Om4;P6D2PY!+h3l93B`XoOx(0u>@UnF^v2A|g~Z zqHvhLB3U|K+YdWwSgD4;gV5p{z326YtB9bg#2hIj~8(ngM`h9{7^GI&fpZzd`@*nAz3oxjYU8Y?+Y=GW^CI zazjM7WTl58V9rQcxGGI(J@-TGZ0P2Ce&;_8sWDiHDQtp$p#0UIQ#t_vVp5ZVwk?|U z_=dJ{J-n%`$s=s6;j2sc2eeM^F;zlEX-+Nbqnz;4{d(I2(53=zxssBo%m<54!Ajys z4P%KU0QAe%5OA(AQ(!LW^+UPraLG+CC08Sb2o<(DBf#M9RT&=-ZkcIlEOM}UMdy@3 zbyS8y3i7SybG5MfDI2S-Lg;9B!Q`}6?!KW;L*-*3kdqJ=k#ccsvJOvKeN?&yNe5rg zj=Dw2vNvij@J~WjABwP#4QDYVd=rKMTKrv>i6qj zqNHiZjmgH5Cc(Tb|DX{Q8+qO3sxNQ{AeqM!gDj8K;m_U4t*W{^LekoHLkHLUz@X|f zy6338T7A?)7k^$y!9;>F&W6wdVDRx893nGR=KlRF_iA~2tRZ@W4ofQLhC_h))e%OE zHy=)?!;QxV=oHcu-TwUN(;a_?XH~mAw{=b^GoVnY_T@k z%f==@e~vP*;M-_gQoOgWk_ghhf^?;LUS3CqRy%*zUyu>n;M7v&FqwFg;PHX9~E(1=G8%(nAO6O{8*2M-yF8A!wTo|1@CpQJ2>AtT< z^2RkgfL^D6U_47J$NNWAtn zTZT|Qt-Xrm0J->(wjPVVQyzGr%w&CA_4tmTTyt&3F=_0B z2M5#=M5Fit;|rr3Y!|4{%-&39k>h$jvD)o{S(CB&TX92Msd-IjYMan*E#2`gy`2Uh zb@Dd7UvwcJDk^YD)Db@JlK-e>IXszWy|nf0 zSB&szzJ7aZ4I0mKa5SWMQQNwn=p;7dJd$oyoT;4dO={}Gjysup&ixqVnB3*%q~g%8 zMywD0+|oZcrxFU+wnyx{7DDg=i8m#h3+;TO?pIT6Fb@j0d0c5k@k z4@!Md;e7FBxmT5yK%*xKiYGYQf#e#5C)HTZIKYcB<{>yYo>Sdr^!4-f2zGQ?ucCsA zKfB0ekbtiY&_MS24IQ(L(OiWw)hf|3m^p#z&6T8g=Om9dxrhS$gleDg))p=uA?(gg zwUXwa-1ASdec|k{iWeS5GS$yt=)Ut6jl$hoX(xep0c1~sfU!{*S5ev9)?s`xo&eR4 zWKV&`bhh~QHdhhwyV$CnmAUkC<)T5Y>U)yYEp_WzYQ)+;(eDKfhI({QK`|&SJrH>W zF`5c(Gg7=;;f;?$a1gK)dzi~NouDkuGPZITk1zLR?>)KuzOo?vB|OTI^@-?-D;OHs+t|F&oLF_}QuQ3=pLajAcLPL#qRdOQ2QMTn z_9A>__Z;u)g|wL}chIElPWgnGle7A>f(kTq%w>6OJ?wELCsW7X3m=-#E_fR-jP`Bj;#Vema$`p@CVX0 zG}XabM$hJ-kkxt@>y+B6?Pi4zd~S5r`5Y2e{4H;M>N`$ev1GA7W~7Ouq6*fg@DI())m+@Iv~X>{ z7bW51V+YiqXh}-YM}WCUT4^-5DMdL;h|U;{!MYY|^5qNV4K#3bsXk8FYw)IONp8JA z7r~AoGThg|W+%!r$dpmUykQg=G@eccQ`-6MJ6M8${b_3W-sric&p@cC;|jD7Uxx36 zqw4bbT-!hNC_D3b$o6H%_bq(!UfeN3X${4jhdxotfX=utHHj7(;~4e9pn!vrF5tTh zqjbbqUbIq_3#r)J<0T~HIgv-lQ&X}HRu2OjbD{T3&P`t+?<@LgWi#mGS9n}s~> zI)c9A*S+9+gdi`Tc?i`$WVsNr?Ei3!8YT?)kh{y>#dhkVnyJUP3ozBjta}#j6`(0W z<{tToAGQkVEm_DpoVHjCaEGpbD=!@+occQ!86)m#tYrxZqC>J6*@I$6>y-oD{oGh| z_R5ddx`iUi>3`s_)yTc!FC;?*FD90=*wZsRHaFEx^17^U<1%S^3VqMta>;!l@8;AF zmm)Ni(-JYDYLUcO{stAnV?kyj^&$z-_g(jAgM3K=ND6}NJojA6sizz9iWVOT5)Q4 zJ$J!3Ped1im=CMiw^4_f$&P+)kU5o`vWL8K1wnQ-`@>u&g+GI>N*>&n3&H z3V8Ep%`~CvE2~K(Poh!^^^_t>?fkZHE^w)678KATRItJ$E`T52B>>$k0P1{r!h3>C4D`n{GQq!ZRU)cV_kv+itEHy0Guoar+OTEs33g14u zwvy1ROQV*W>~Csnn-tJ-XAk7;g~TTV1JW6L}VF z9Ih-800gN_K#HgmNHA68qO;92pX8y}EiXusmly)IU$EGt@HMOUNA)VGaHGS1*h%8B zYeMlK6+b;QVu_RX^N+>Y+=;}-yJ#ut)>FDYf zCzF!j=285I)4l1dV7O3$>yWg}&=lW&E6d$GB7`iPs!ld=61LWbL zvE|LpsepldVfse1b~oXaMzc}@(eCzUr#IsU^LpL(m-2XhfbMK~zj$<)V$oX;MRFu* zBQ?VQ2!0|_Dh~3Pxb0`JZJs8~M7ksLe(fLNv#&08fVtydhz`Z*e<-;ngzj`*whQiT zzzYN4VGqqM-lQ#JPoIA5_kKM1^RfXl&JH&QQBCQyRyf7Yfr!&YRc!M+2Z)qu=$jUn z{o4YWW#eqw*}|imE+?Muj_gkg$&>K|m}9FlDuAn=)eyI!BW3Msn?j4wqKR%mp%0;T zA%uA=@`}(}gocJHioWjd$C+k}Xb%CN78Dsbt-wf^?=~hbKVM-rLAT9sy1awiD0Vd^Jy57j3;9bjZ(s%EmLKh6rob!*afnpx+m%N)U{xsYs)S zni9C-_S)#lKgyRP#jOyAYK5bN6^iMQ5YrMDz>R_x6!J&Z@VWMocoRz7o+NsP^4szC z8!cK26zx3MCD!wBv5^JsUs4iQbXXQRXMaG&?+n;SVev5VYLDnIH+(+bfO(dy5A0ix z7~8fjw6Tm!)Le9T_-SmQ-6JPu7@)$l8@?&E$;7R8#7|Vbzk~Dicp<9}%rqY4#xW>d ziPm9oownA&SGWE9yKaF;%n|_J`kioI8@jMjA|#ECj1H=sUv-nZh0?p|GwPWcm;TTW zUmztv$*q;8nG0Dyx)rN=PH8@@7C`p(7})p(l@UI( zaZ8IgDZ~(@XuJMgvIhb$TmzfwsA(=5-TE;oB{>^x|0bK#9zru3d?d4EKMx-rugi|o ztf+jn9W-;Z~l~64RDa#lMo}1y+kf+%z!G+0yr>v#1V+1F|;b zNJeepq*Uki|M?YLubWMuT9H{x4uRZ^X@=r3(SU19+1r@WS+YnVjB%9gO>=< zKf>|h(4vJ;UA|XMYV}V-$WhK3?i3elP}vi!^4hBI=U=S+QEWR+ZHspbvMx>OUQ~0t zwTckK*iJ0yyF8v&3GXS0tOn6~FD?0JLq9zg=h^H~miFl*Z<&IyyKd)4!1)p0=sy6fd!0XQW@WwiOU}(Fez_ z>Dk>cvxS!aiuUT_{<2a>dO^3IsiV34l!&{zV)e{eVItErihy|;U+)TF5V1;6L!PWoz>pjs~sif3&JFpv6T+Jt47%on=xxm z{xC!>A5Y|ZmzJ}Y1K9){-6VlP2H~z6w%_b&Uo?+SR3yTfcm_;$Ul>0PFbqVR#;Z>Q ze|FMx;0^<2*Xi+0yl(2$GOYOc&(Jg+n?l<5&35(L5P>p4oOQGXd#aZP<>zuScB_QH z%dwtf&@SBeSxrh3Mlk-A&Yqxe5r zKhChn8@*8~zbEjT+nrxeQa|6h3}gVf)z)Ro za?~l=?rHYDcgK86e;?J{6WMWic}hNhuy+cx!9GISFllO};(y-G^Po&`-f!^4nWM!! z+ZQVcIlAZLah%(&*Lq122%(p-GChQnOkGyy%42*n(3CFIbcvL*Ecr5Dh!MA6(^=gk znhConmaz}&9zx*`ciH~!66N6cYF`r6bh9)+gvyih^bR!aPe3+>wjn>;B^+IZcX|lX z<@gfz{J*>TV^W9C8=3XpoZ3bS9)~!tm!6%;+t3kLJSyE+*uC!7jGUK z{#7^VT%25e5b3yn?AkJ0qeH7wHGC^^d7jzoo+48R!do`Z(xs_=uHwlBaDUClIfrtY--ik=|`_zJ9sBuav##_CUs z!K-ty;Z)=r&W?wzGLBJLy!h3H5cb}{)O0qYuK~*tR*2&*K+z>LU%Ekvb9F8`~s>%(R2W0zJhrB~3i{|VbM~Ldgm(duautd#^ zA(YdxeNZq(<%~*@UTJstEGH7l7Nx+FlM-+7GVUptx1^r6yAd@TO?oMU=?pJ!>I57fu=2~m_ zZ)?#wqBl1DoarqYTK#I@Oh{5`VWury;@` z5ex9kdb5>Yk}fXW)72~x6L7k2=Xsvc=h-| zp^|!|K!tbjqvl5lnyPi6qj37@i;Nj&jIsvbBZ&r!w*%#Ir{Uo;9H0;<3j@h$*-uDe zI4HhSOZ$cG0bD6DYq-Tn;P3`Idh>1=a4xgHF$;XK|6!j_ z#+Zj2wNg6hGDQy7MIGT%;R=N5bWfjC69|3G=Iv&NW~7pEq;d)-k$E-?B5|ryiWjy? zI`Ty@)eID4sTPh(JtFniJO~P($<&s5W>RZSVYeVEf|yt*%S2&u!^Xl4ZrY)SnSOG5 z_+%HoaOZQc2D?BZjb3sPOrTv5!W4<4v7S7Sh@!9;w#YmXI=)~VGQ-1%x|`oT7@Qzb z2S3kJR8bfhBS29YQ%rfwu=}S&?8GO?bmu@c!it}iwnfK7&m4N7=$V^YGRlNB3W^wL zBMBt}iSLjN{^+4f&!=*^azG-Bhzn0&Mq|q=F+*gFmT1&U8kWK#D_&-V?DXr&llbt3 z_H2^z8zQfaebvh^dFC?wJ1=6Hc~oQi0Uq-+|o%L#J`$V(-blF+U|n`#JTYy(#0 zKV>&`bm#F_N{_VmvI!vQf=N%mGa%ayi2n#pbqIiW^q-sCYm3!FrWXbyVuaz>0*v%1 z=yI2Jsdour?*=RD4uqq~{tI_3&I}?yt(=J2;^miKiGuL^x<0?Jn>YyumNYH zNRbj1{N(C566v&1k5Fvc=r00E?Ds1Y>$0}c***+j$~~myCmHBpMQLk@Vq99gn8+j# z-8*_}>aKodFliViNm8(C92S})$GhNLqwpg4l`iV_QolUe27AtS474EYPLO#}O1_?m zZ4!D?gM~)|YHIAqWjAVRKk?Gr{4)nK6;0=$e}mEkKJne<0tU;N7G4FB)*XO=8N(=^ z4j2dSzaEK=hDbnUl~*$UBl(IzL?f=1^DBq8XQYCc7x-0bE{%Pgtmjmg7eQv4qHrGc z8f*f__d|$<#dV0~oLskr36S@FUq%@#$v*+`HXEBJu-M9~g^47`@;8=5iI0rAwip?h0~AA z^#nDC1N+m0gMUdONRl&SeUEW)U?(ioM^pPLEqBgtoDs-K%XW5sET7!6|CY1Q1NyCk z2xj$W*=O7kxy_BR%VHY|@YH2l|GuobQFJ(KISvLV*i_2mtD%4QV&!=E5ovUv@IJ{{ zI$)937p|Ep(Tm?jm9bdtVffAys!MgN{P|ET@jf?r-ffcgzdBa62a+ zDN&>T60w*u$)&h7b__u9So{F%lWmc9%@_d^Yo^EIt5mh0+xg_rHJs`Ki|9Ue5*)I^ zW#6mf6_XM3G++cryrGb*u0yo4asqm5JLy!Mket1qs}Z8&a*SyzUeB(~m3wsQ3Fxt< z1^Aer+GAn<)Ev8}QCPC*^oYkzsWov23TRd%G`xfsukMpS5d5%O3eR3LR4qixK_j9x zLAMy5>Rn-%!sukxcV}UiCrj-~_jjj}N7-{+dC^0NyzdD`}s1DkP61?`s3pLR;5559jyu9leoa;K!k3F z0rJD@QeC)f6F#?O+V;VR4??t`oWz|ZgnkRNXQFT_Sd%w*)ka@2EQJIi!^LHf(@PFC z@6;MPEd2|NR7H$+rf)d0ieP7zowyaLc?ZY?s)5STV zZL*5v3KE*o$dEH;g?=`^Ih6;}jE5+rRYxkPhOA7k&7Zvws!C4}ZJ3S#5P#|d(e zckYrq)_HO-d|gZ2uq0PSN97r*gvN0uFSL!o(DIwGMLuF>jH%B*4Qyzx#8$W%i)jEq zmP1o>K0%cIVd!pyS_I#xw@W;|2d5u-gmAq*swgUA#|Y9iTk|w#Mm!HW^ct;v)}dvRe)QP z6_&a~wpfB&=cl3_*)G)sMb_iDJihLPN<Aj(2F1mSNhn6=?L<{B$L2%4V zO5k67sM)H;LEj@QQJdd9HU$0Wi2?2-T#UM*Bn4}cL{IEAA}Y%Q7EkpViMAvNqvuPW zi?kBcD+D>wQc@iXOKb zq5IwI?JfBvyx~}Ar=h)HO3M(QD2mhY!$;&HMuoiE_B|LE$_yD{C)0}1t+xqJ-nY~Y zKbwXOA-cafdVJBe_A{pG|Knr#>3o48<&|oTote6*x5_U`*Xu@J-NycUz0^N=%WQJxdn{)KYS9dGGv`(-> zJLmdx%Z+zEn@)MLnc8`70%iNE%|@(k6QzYq)|)(oX6*<`ujLMa*(;c8%t40~HkANB zn+ykR_`?a0$yZ0h-Dj;GKQtY=H<6>!%;JBxfG}m?|J)atF`lCYNt*|z&dW#Ieq?Cb z!T;=dp-XB^NQutrCk9!<%0|qL3fCOgY+%mPoE`(~vaZ3=W;L#HTr6;1p3O-cECLVp z28>YpHcyfk&fb$^BpUQ;nibhJRB|VGosAGrD0rH^yQX~B&c6T_}hM@!pTJn{AXFsbdKc!_7*CJ@y6e-$$&9KK&EL8o` zRwlbx?JhmROX=z|YbZCkrtqaA4LL?LQ!bF%DIWCeARY3Jc(szskWJg7s~=33N? zQNJRQtfadeSZTKjX@S5^Sr9i3xH&BT(fi`MghzYN#X%F@g3hHW>-kreDSwSN25-2R zuMRyFuFOFQ7|52l8#YSJ_EcQTH<+^07_x@+9Ku(paH}|=4gY4^MSCrHHucdBe&2UR zdsSTgYp6G)94s`ZmR!VuEJd6wJN%PomNB6zhCCeD(qv(KD^x*Si-x53jtjO}g%GQ3 z`(0`KUG0oLO#q58aTqhQ2fR%7G{X_w8>o8X;5Q`c7NGb&nkl)8|!)Ir`e)9mT1Lv4We@Q!I=rqjx6vb92K7 z%Yo}VC{FL&jMXz&@J&2ZC^pJ?hW6sp1UMm5GD{N)kkuQ@cjTxsoJz z(Sr3Y<(n${0bJ%y_&whdj5)?Rj#WytC!mxpLXJg>xy&xxD#y zUzlROD$@&d;EVk_ft3*`&3tZ4(#QI_Ghz4iV2|L(rza;dDG1Vkn`^M5_Oz;j+EQtc2LzULre9?xfh7KYG1$@OQb4G6~MvC zlE8*|ER9&eO$aw;cNr)5{8xED7*E;{esF?;lIQ6b$ewu-tK!Ya!%1=mM0I&s&M-IF}sRMQnm8%W;beRZ(RpNv30d zfdXg*3sKv(>#yvIWdpubLW_orq=ZFAimI|!QmkKy;GV+1pqT_3hz#PWAC~0F~_#gGprDMUfWr z{lc>1zsH5Qg&U9E8_Ae$u3h|!S+vwf<&Iq}e_6EAuy6dbxV<@oX+>NM zLfC|75A|lFGEj+my3m)CR8p!x!TiiL0i77+lT6j0xzr(b7#0vcA`q;k&_;OZN8xSM zK{hrtOE`)G{5&|C*VD|awpE;!KdyR;Rgt>L314xHshGjVOt!mK_H9aL%bww9-z9tW z%_p*%t}Lv4^y982-k6b@v7l)2p|JMnQg=qoM+A=^n2<2Sf%Egqp!^TH`MX|kus)#n ztGOe?y`uBfTCWlrRZxj` zlCC82t^D4RJV9aOM-k!kR!24o@-vx<;Xd?f>Q8?PdrHS%Tww~X3J0LQ*0XK?TG~*=bFw~b6O0408 zAfqP5On0>P85$siagc1ES;|g1NxDzG--2D5-fS;|(^}}T(6s97z(FJQ{t)$an})SE zLm5k@|7)@nU+I0K%GQG?>?q_f_Fsx=7(zw#8JsAU;bbf)IR}v{>+aXf3v2E0KzGI> z=DQ;MzuFZI1ugmDAF{5K#nT4E?Y1ZElF#B@b+CAGQWd~ZnhbWqPWLWr*hB2d+Kl`C z9`?b;X(4UP3AH+B=(FU4I$tRdA0Uej8|l0Io9$~a!b6gV%Xb}41gk!d6z|nWi=^-K z!%xO*GILc@x*mUV8XtW0GM10~dqk5tx~Rw})SlIqNA;UKef`Tavv~>kjF!A{C-sfI z+mGEs65&3$6$KdYNt?s*1Qlf*-;X6=3@`UHyJYh^IT^aJbRG|`3miOpC|btbbbdNr zl1-Jp7G(z=N$fWn-19}u7v?Fl@4nVi0cyuom6<~i^tdugwdyP?XI=1f+7!Gdm#n+@_7^WL}kRLoN;ZjzcU`9{1S7(~&1ANwDsj&zmK}p5ZSB z^_+M0#fu`_A@{20!$vjMU5qsP&hFwIt3N^XaD_9NfO%Ct_f+PIk%4|$nG-R?w4o&j z0tS}GOv}hbhV*du1g&~ssAXv$Yh?H=nDQL`UQ&mZH15+kh|0ZH6ACFNa10|bpDjpW z9nNc0G=0+^`nHncc^X0!HK*pnd^%6yR7qtr$D&Ay>w7Ik>7~iMpKBGN#M^)7@KT9a zX4_4(=&s4#?#OYrD@h!w%*k2G&-8&Xf0w5#7YfCgAwwl=;pD|BVC2znk-9>(m1W1UP^n2kx2z&J^A+SGaMyrhGs z5W&98YQ=zbqkndb*7nBAER#v*hJ5@OP)=gHOjrr42ygvO>P3gzh2GUSs^ue#_S9LAalWAFZ8q4E? zwRe{%T%*QnsJ&7;P!wM)frjWwsB|ASX$Maq|R;F zK^A1ctIOk1lr!i1nl;m2?)LVmciMp||9$-8_#xZz!>jstcoL92f4$_h7sSD}V{5A`u0oB{mt0{n7ZVO- z%+FV4n>^>W1wvt6m;xpwP3JD`B+&1t->>t|q*9qcon{e0hrBlb4qbm&YTl9DL=SVM zc`3~9f>;b%46!6NQu(`)J1RBWJecK?ls;uz{DIpRPd^4VI9SqKet2wNx#4`%sV7m% zAoD6`GJZ5kj=KQ&QLkFjcU6ROh60njXf~TFftxrLPBcS-Qv8Eq>37Mt8R-1adK66k z<;fQy6iOsSk@x$+6or~z@vNjv#qm|2(`tt|2+&YUEh`4X(iznW*QqGPVlBO%Qz9Q{ zNXwBypcuS;67L-ce5r@9?WFC zuRP~&+D+y`&`p+3b7o3%*auo~8Y@5@UR;RD-p){6Eay8Z%Xf(7qh7H)7N zN;NNGM8A%e_2+am#l#Q(!aQ~$nxoQJ+}=>NxPE&OmI&TD_c>WLYz7x;{!dEDHWR{7 z22wCIPgh&>p_nnfjABz3Do$&B`(#;l84uwWQ6=+dFdsc)W$HQo@LD$2xf982%pfzU z0FXA6N}^~4ry#$-*i)^RZP}za8)nML*qKi)MpZ5CTlWmLQt;jX4sB0gW>J-y>wjKPsy`+G{HoD<+XBJ%_cC!QRwGnFicG1 zn38J6CjFnpmDisuQ_6HxWp_1Wi*i_oacT=G;Aa+Y19rX~(Yy zjtx-x4hlBBa>*h<}xB63tTXP8P5xntg9)ikAbeZFx8T*QX##m(9E(O7u&h)GhUqh0UfyD-g!CiWxq-z zBkxxGqJg|dJI(uHh-`$Nu5=Znf{$RjknG8|BbON|!4}&AeK^b6Ymv{7BEd0F9HpDm zLmzuWy)^6Y=Q3a14^TJRO_cZ4D=-PvR5eCpLdCJBJwmUpO@H)S+bp`#i#^O4$a43B zXg;*3X{k0W+D7hUeS)tMCN~e!tr6@!)l5PX8634&v>j&b9J~_SN?q1!wrqhL19yIS zyh8C#{{G5@yJ^y64}zq}NvYA7=}M@Mr_`l-QcRQY2;!8SaJ8bk@zmLfj@QUJATkm} z@3?)dJoTvUB%!CwVF?5g-oHqO%?js-NQx7MF{Rz#bdzB`b$cIm0cL6B1^$3h8Jykylb^-QPH2V|bh7 z6@WlkBpjpMy`@QoUX7FpWZqr;5e7P=!g%wz&D#E=@msRibN7l zh&9pckW$4!eUn{>8JIe=-R1VT*49rgcdcws@3WiT0}++C=-x}PW_mYVPmdUwX}tkw z04YG~+=Me=eoGQ|9`NyUx#mJb0xTw3AVC=P(bmAf)1`Y_k!rc{T``~B+rELmo;F9h zb0zf6c>xkC^&&KULw}@%^0fVzuklVCxV-lh%Ux^B#@G3n>wuCH|P$|~dEk<(C?Je60A%ZGn^{ITAy14 zAHI)7=}kUPfQ(<6)fpqBci%}RNlAjl(8;1SzmEm~z9F@<1SsAx1&iGKKJAOVgy`2C z)(F+4*hZ`tJ%Ro9PMRE1m%0{wgU#jxFG@5;iDv7-?+~!`_4MUep~SgC1lzU<(zT1Y zi3QVAJ{05Ii9Bz#j0H4fY(H^fV`>$AO1dj1t`!&S_LXtI&JCe>H!T0GU;jct9aNBAZ=llSK4G!yXyg@UHd_ z3w6=U4m%Hf$*m1`{`#`XXcY6T=WaD_Y2Gtb^mB>{C6jyS*n^2_0u zwU?bnSEqZ2>-DqKBReI8o)4-Rx;cCWC!8I^zS9LTzCJnK+_672=6UmY0oQd5G!V0j zuKzd)yjuYL_#93DfY0Iq1hera;}DsCFI~Q&kE$=jim*x$#QqfKed?uEaVdskk)<SFM*Dd?c*T`SOVBq`G)7T%LbmDlUXdUG2dJbE;-}qHpYE1`)_+zs@bp*qcwj zC?Wx4*GenGIH@ZwF=cO%1VU_F+U29XHm=;*XSr5~IJAA$l$YI&9JH1MsN&>3w=%>z zj@L_gmQn7{^Ot59P&#PM2F&+1!w0mzf6C7PU2<;!c>SBy{N1XO^(;Azmr27Ib)TPo zA*q_?LH*R(+`)=$Io(-&wEW?|)j{h?8yg6AHQF$Q+Q81TVhB4%l)d5^GeVThMW)yH z%Wp17>KapVE+yd``vOtXp$IN>4ZH-+P>-x5#>`O5)z$WyvR8+Aw6Sw|6D|#NoGaK+ zzx2D>7<$HRndCMk;l@47hAlEDRF+OKe>@N<9%?Z)Vez2lBQrgAYlq#G3XE@?d@9mz zi=Nrr3Q7vS)TDRsu_9=U1%e{mr5V`t9#Sn0K|fT@EDSiVGxW99>$?k!6t`Qk=x^%u zY-s8gyX|pwViDKpl62RUd|E>xx%X>@PEp*Rh`zEgxkp%<4%BPobM)?LPF!!9-cWw>^%jMTNMZ0(V8x)cyj~x;#xkyF9Y!a$7IgV#C_k)em87^$3T5CIQ8nB|VefnHU*aC><(<4s2-nREe_5zq zk-`Q|GS@HD=st($G=(<1tW%uAW^v(la89Jqw+2jSGTYk*1TlRr?%By$o~A?uT|7{Eyh#@A4W7YAWa$Y%L3 z3Vfk5@RxDDy)M8*H_+2sr|H)PZi*Sr+&{D2gck7nR z;A$9>8nI8qSi5&pmMo9|zKgVMcy)48EdNWt?~V_H7mvFti9YxdlS|vnzl6u=Qd4@9 zBa|3xY~k|oC1e1i=`vW~r5)-FRe6tghS1rkm;%_mh7+Jz!3!nb55%O>U$c z=85?}5s7s7fFSdjPShu@;7XbiE4a41BP&el+k5i8#TGezc;(8XUuux*ob@WT(jq+% zy4ROB5BBXApG$zSL#Q%%WJ?u&x-;W-7gXs715LivuD8(=?v~0{pBybOZ`E29Fj{ftV~F4{rh<7S$H7G~uF^9goFQh4 z57$RqfwKh|-eS4QBlK_%XGhN20ZLR=)-Bl`y_(e{xHQgpB>UGRXJyU;{mMvK&jte@ z!(^shr1(X*u$|H0Piad3OsE?;czFB>g*T&Vx{-VPa*9KM2hZ=}OGG3XX0N~GVAhqG zARJALIwYlMOH*r{B$Rk|#Hi3M%|^rbeNWl>EMBv_H^)5Sb7#MccIg&~3deSm$?Y>J zGY2BC@oPx!!D6UotqT$3K_`Md)=~{>+eG1>-?feyHz#Hx-KJ__%64mbTa>N#;cVsN zi%IfD=d|%dLhQb&koT;00x9Z`R^=z1QQAMMys~hm^WeK*R(0YtQxS(G`Jg%PGB9u? z-1k*B#xUQKP3l;p{<$i(?N-HJui7KzNtjP2$#hlE2iz9*-7 zu4}L-Kq}g50F8u`f7H8Bw!cr}CV|tVE);{osY`{+NYb`Ojc3Sg+hX3Rs2=a1m$=4< zkp0?x3oUM$J&va?adM9igk89<#r|-YoiI=mFYtvSw_39d{NQP5I@IfMI^p= z89GnAyTYPpRlg7(vpsuc(M`F$AF8Ng5ryEe!4?Z-J)PaK@$wOr_{S{pO#jZU-NNk%ZG0y3EK)W zjlH*iVz#z%wMfiTVaX;kZWVDQ7Vw#o9$sf%alcxfNCb*?+6~Sgq#B_l5N%(xGxLJh z<&l4+X#pG#?vA|h0d=Pdu&%qm`SzjWK5v*v3@2hPY@Z8`q_VJSleW=GW5~lATy#0z zGOMZxEJh}(K~zPt*d1{k*FhmO@Y|km{#hJ)LOeFY$nZW6YB;v9j9F4pKA~#89+04W z#pVu<2?(QE^VUkRaV7l7l`)CA%2#$cY`6uzvgM7zjgwH3eIjah^PxBo40 zx5ydKb@^zb&REizD+Qgel2-T(6~`sI>(mvKD7w5o-{}@5Egse$N`9>EA&p_AJUBj7 z{v`IvH%BPoAzHv-1BS}k-rgOq!hC8e{=Q8!@>S$Cg9>vj2Zbg#)bv zs+W`G)df)Et?#~vjK6Bf3PiBlYBW%wY7KH-fGCI)m^o3_v&9<}mnC?$cSAL$GvOk)4udWm#?b-uZ=i8+Af%a~9)L}aF#7|ac7PQ>ap;Jwsi zL5}!BD$quj<{AtKAJGuZq@v&r+?SA(zZoMwNXa<}YC;jX`FFgb?b_)BraCH{YBU~C z9xiuvUI7=>6l`_r>+d&3NbkCPy1vpbptkhs@;T-cg@S!kQ~up85Bw2zGU@KfQ@c08 z)+*x~pPdUY`JHG7zv3~Eon&dH zEfAFWMoY6pxuH1>T^^5hhK3)oBoUA1LePLuZ8Mz)fQ-heqKhAMN3yIYJ$)-1ep@@E0e>VyX3pZA7TpPnaKYVS-U<*<#{a zb;}NH$_`Epm%kVm|qJ^p>E9pp8D%F8r znO|!`#e^c6yX?*wcc$aE_jMn-iAc@QMQTE0L9oNDGZDtWyQ6dxnQdhSe?dtvgP5mT zc?J9Yb&q56_iY2~VCQ!T1DWmu5qr3bRhq>}`}yw>7QVdDw~S=-d#_U<7odfjA&Q#@1huL$%E$-TsHXlOmoPWo*^m#s9m|@W=^bmjRh9H z403HBrbJS0sBi1a*>}zj3*@{3&|Hc!4IxmY0LC-s{p$P`PabV7Cw{Ir^kZ#R{@N;F zAfM46(VQB7%QXPbWRg0!E>EGBz}L$*1ei@++#j7O9W?s0$qVp2HY&&7s^Vl8;tPF-u*LPGlB+qCUn2C0qN<)(RoV)H%HLpP( zlRxXd6G=7{HEoxH8KMGU&gjN$Aze*Hx~tlBM)=_n)qNN7b1DEUZ!8Zrn>ZsuW%FYE z3DOYEcAv}B@5t#NK;BZjp0vBk??Qz!pm>(K8iS$!I90^ryuyyB;pgK3tQx#0EH3(uT|m*IfdjgL|LX-F55q5$|r) z7?`I~u<>*!BchJ}duzt`V};|L`bhe!vx{A4NgN%mcax+kPpg_;YTzD85?Xm(ys*Ad z@rJrXq+FYc1P`$?w0E$B=vg8GpROM!4Lm&@4Eps{>0ghKW?*Ij(3=+oS_0X!G4i;bRvIm7{^4>2~i;wIUxe@_B3HRL8yVUYq$*$6^R zOhw)7APR2MiUw}x1{{VYygcw+E}SlwHkN=lkc*{-l|82mH;Enq1;Pn@zW$n?1oXqi z!JM0f|GEOCDkTdNw6=qQSm;=34Hy`iKx`az!1LcZ*w|@6j9>;WNp!zpELXlmpt1U%N92OyuF7R*7*09FLEb25Pe z{%OH%oM12){r|B1L(mT&f`A4cApgY2EzAGm0F{trJtda$-rw6?b3kyZE+ zUKTn-3k#4k#LoUY&@6O}bc{bobldip8$$z5BWpWLJqI2=8ygE#1HEg3&;tx|(f_IX ztG$D%g9YS=KyP&OL#g~04uAdjn)Yj{S(sicnA1Yf%9xwPh1L*aq~~biK*D2hKnn&l z0J_zu1!(_i`Bx7=zx}J@AHe=61}*@s!F6P;?f!>{Yqtsz+rNJM2N{opsU@ABl_5Zw zwblO)#9w{<0P+9r@LC~KQk+8829DRN7Zc)fbTl>O)Yk_yvoo?8(6TVHveGg`3|MJ7 zj96F!CO8;bI9T-|%xnffqV(6cw`l+ASIo-ZLC?wna^shsl^LvW$WF`3%*IU1%+3s< z)o0NM(}J1xnIJ4+eMSy8{oj6XwY}vR2sEdxowcE(0mP0+L{<_cVq$IY@H10@IOxEv zT-RFoWAdx%Poi$6lppl|{1!-9K(3^}NtQt2Cfx$5o14TANTz?6&l&#Y^WUNe{Ydii zJUll^pYe~8fT@GMEW}RG+S10_3Sb+=KraL_ur`Ef067W-rUPsJD$DBGS=w`P(F<;Me-_g$WA7GS;@iwEZ|3^ky{|!bN+5a)4OpLb}eJkQw3u5-Vx;nXcapC?FkM|0A z9hk-5;e-A=I+*_xbleu$HJJaszyS9C?*+!p{LksQEwI}8i3&t=?h+6C5D%8C)9sS0 zaZ9f=iT9`98n1E}cQ-FCoUaO#bH3m4e(-nnu>1$;VdS`_mj4nxO#fUhj2ySrk|`6A zS8`l(7`%D*)$Q^^`~7>BlHFW)ue^)3?_N69kF@9RphEo}F|7XyVp#wC#4t1cW8E+U z6Z!X+nf%S37n(#${4#EiEWrYt;m{x6Q>8NfMkQ?IYM9=^!L$IM*hD*a_MQww^K1)>N<-dWKj z`uxcHc;|XEGpzqK&EeRi#o^S@tt*#G03 z-T%_j6w5!~%`x5H?3Uj10uG@{He-AuuW7hc;*foKzje3iY>qg+f#*^E+0|8XWlunm zDZg*Kl9E`y1_CU$5XryDEw{G5{B{lk)-D>rG3NDgCWw`R5%`~t1NdKyUcdqZG5nXc zg4REd9qmEv*BeH8dO7*Vzw% zeC>u&2k^siEg5-wMFyb2z;wNH0Sb!O9sH_Lyl#3ur>>gc2iVzov zpQ%#d=bPZqH!-biI~$0dgXs_OQi5O*`w!26hhK-vK>xy)K#vSRdlb9=%zC{7$^$-t zAu;_N9`G5cVEKXkx&nL+@_HZf!wd` z;GN?JECYb(XQZzET_gJAf(Y|r z{f7%i0MqYyFfam`ZiWRY><=%1uiO3rNPoJz&C+!QZoo1Dkp6&RVz|{46Ts30O=+K%zp_S z^RaU~0D-!e=)xU4Mrfqw@QGMKN<&ubGr%%9{8pi3lO}Y zlkr;BEC8@y)AYIo0Q;2{u1kPVK-<4M2XFx_|0)2m0NY;$0M(xf1%P7yEdZdWn~G~a zvHTv%wVYUPtgdzUn<+p{w+h#Ae#;XO(;qDWV)`?`uf=o&jqy5izo7wc833L9#0hu? z#{TOwfaWGY02Y680O06W;kwQ5U;{$>Lov|!&)BYo1Q7Yl^))xYhx0>6Hx913`HcW@ zcBAEM72RkG5YeCZfH?yo`=6fwBBE;z-As*Z3EgC>YX#kaW(Lpzl>BmV9jV_qujO+S z9iaUUfNSyG1Q!U|t->{$-`rj+=f>H!aBhZkts9`k8W6R>xT8VZdh;Yh7~|_qZ`(1Zhotn^>(CKuXXcV9jpMF8=S1Sbpu#|e=`W2 zd;T+MHUP~H9c$?J=&hCx`ceYzF}qM|7bkN|0TSP5DLEP?D7&B$rzxhJ?BauFuH8s(ig(-p-u82W~C_;!kacB4t^D0+7mU| zZ*uN=`StWggO+7{g7abjLF&uyt;pe&$+iCHV9Dcs8J)u`Qcjs%4JtCFY|KisafF^6 z4xR@dtOjWAZca~i^hSHzS3ECGtIq3OZBJK@VikHKBO}Eobn&q!_uuB2LvJ5MW-|}E z2f-9IED7Zb$xKefN}pjA*BtHZ@(|hd)~~N4kv-WQ4t&!Z)0k31*X>fvdD=N?czXGD z8lxqAhaLNiraLU#NrNh+qDTbCdie#tI6AGAK^hZ7A^jC?%~)*1$rFyVZ?5GH#Kxfo z%l+>5jiC1t4>w0w+#KI?T{(V)J6`{~d@=iRdOX=MT5Lpzr~hH^p^mgP+~?u7eO?ju z*8cgGvQma-e<5UMOxC8U9~9k3A1H&TCleu(rBFhxHpAFe zE?)J5FA-rBE}-3&liEYJ7V`A{ytKkYOIC08C}0(@gQcO!q)LQC$_R~By)*YpR^97(NB?kO`DQk7dYY_=2?gP5;B zJbSzGLd>XBNF)7;=w!U~OG7l$VjE~KR#DB&VFJ9I22-7v&`RMhuR$=y+AoEiBrBF( zePb=xp~W!y(L;EfqR$uns41)dnN89J#qr#}HageZBE67{Eh%$b)OB6p-j*6DrMNxk{1N0 zq#;{FR3c7qm_NKemqY$)esLyvUTZ!0SoEa`VyNsfY|2!Ih^OZ8caQytUKxBS?X(ML z!^JPG?sLDQlkR`BD_omTo+`UnI4>i?{(f>|f};0% z%*4MX!2AXEMS1?QxAH+4?w4NFm)Nn$B5H7^Qmq8LN|K*3h2+O3V~4NNKyAeQbN~xgaCVnC_J}U&CtX&ds4;rf2BRgobAY zJo$#SA+bbxvmYAd!hA)LQTgcDbUS)RDngf`=|m+y%zC`QNe=ds+v_a0sqM+c3f#L* zjTr&Dg+WIFvSZqb=tBBv+JXu%bkW2!*qg9Q8dD;Q zdTGn`ggBKdKw-SaHN`?JW3GC@#L`qf^3pNue8f?OY`P?RW?!@|I`6 z#7*ec-}Jn4m0L?mEsuQ%X~A4)*GY>RtD0V>E2f7TIo@+;>$IbdrpG955OjL%QrRT4 zpkFyHJ}-LL%3Q`;YxwYc85~|K6tPF3ZBuQXu-ZaNDUGH=)uCvfO5kHroDfQ$Gy&C6 z;JM+f0&)^k{!G%;c*1q-)A-`jW45YLPs9T^YJ$#EUhLf~5WITs9kVzvuP#or9O0Vm zO{ym)uz2Z&L8&ITj%QUxWs7nUpAv{;%Q7Myobyq%K+%8x1>+OdXJqsgFw#a*91Os- zmjg#i(AbHcC?BIMByOI?X5|P4i^UP*uaxf@2Mxc^`8bHL*V6T#B-(+zy=+lcO`d87 zQAl1)Q1_AY`Zxuhi;j`EfZ6DQNL*^7*%6AET4WC2W3$-0oHj*hDbM@_)xKmfZ@j^C z-o!A@Wq&g*>Np!sH))grom4V*LmLTGc=2_5)U3V?500m?ylBDZ+7fCS^7>R_xtM-$ z)A9Q5kX5YYx2%gxd(oT+sk(vs)F_!a{R=I4Ys~SAsv|~A!H?z<&Hb|*I&oi-mgE~k z?nPrGJ-Z6}h$y;OT3(s1;sjd9;~g=|lul_BD19MceurXQyrpQS>pKGI@8gV>s{{z<73KQJpitG=0`>QhXHU?P z{XkYP;4{SWG&h9U1)M88HnY6U!*lIKAVLgdBo1g|8u7`fOk`=Txt|Q{GP9Xxr8fhO zLR%7-H8`Wv)T=-}7gBHL5z3oUwT!8{Trt3j*$*@ViY#~(-PrtzWo;wtbNMP}4`4$VJADtQ9KJnHR^1(?pZ2J&` zj*y;s4_?NWbX1FPWXq;Wp&%j4X2J2tAYUlHYEc3@*5DGRwRvP8x_K3`-ay!8GX_IP zG(pBz4T2TKfv)%>ro}Gd6VIWt6Ya0M44%VbcNt)O{%AUV7KBtIKo(h!5q+{)i9jY zOtmQ7d@)Ew)a2dbzM~^8)C`B!WQCCq1<{ew`6r#VdP(A64jh+zi{$#S(3%hHB;Ex@9JXyp z)G2$K;PwrkUDyQXnK<(oc*h13M955-Gk!-y8~ zA(;;1Y82m6O++|e?QtMODr1qP@p%{TuS6L(w4;_`>jwpHs3!?x`qc|2a0w%3RxP|U9>cttydsY zDL`MDL;Ikmof8UX%=Jjl&X#v<)cYd@II_--^CmYQBUvTW76Dkmjw zwS(j3D@3APtn9IbrH>!^A4yzP`GtL=mfw~=ub|FTCH56G7rxwk6Jsp7W8{op9JSk; zs+x;s9TtK`^8GbtFRkpIbl5sFt$T#Br<`XzvIPQ!gQ^?m6jeY-QqFZX?HjGJ6+R+jP$lpochD1s^XYydlrmlPu+!`FY?K-rSJ}E%uD3@ zSdnIGczD+50;RY?!0^>NM?$FpD<}RQ`T6U7Wmpg`!N->i zt=tah;v16H4l0-%Can;(B3rfR=B4hcUg$;cpvkCRka}IPi9se& zs2@Fv&6EAqMIrMULpK5J8bt#BX8CJ7{n*mft?bQs+CcFtB^RCxKV=`X)r!qNxM+Np zJO%X%2Lfp3_<`p~PaNO{XoFoGx<8J7AmdHy_4(oqVfyIHfgWOUD&$!s1N-1OcBt=+ z$5dER)CL{CBl_ieaTCkFp3S1lFpL%ctPtgQ#afc)k!6+!{S(lAvzV`n+kt}mUk*E4 zg_`U9p0G!$$25&a(CYX+H8FS3z=)%Ue_?&FfYEy&B?n=rCxLg#J_X{ddH*7PpbLH! zNB({8p~=wMm!kDlidx{QzvXI8o08z=#YgmWLd`aVL^{>(Fa@&eBPY1wP5QE%+WT%5 z7B8V4FU(m3A|P8`!kTgvEcmlM2{ezC{j@F0&)>b%WRTaes|_EZK*&Mv?R>4W)T)iv zM(EtgD63mF`P^+*T%sO1ATH9#n#d62earo?XBN`2ij=OC6(2uB3mjKeAY!^ysWyHu ztkA`g*d=cYlja^UE=I$v9bDz>&ULXa(J)=7j8$a)dZ-*6bBYujri~ssXoj%DaK6!V z%<-N>j!FWn#8X*=GgV_?Q2Z+&KbHOeXTMSGu%(KyrcHBRC$zv4k5{oy_-3q4m_-do zMA%5D=VuBpCj#)FejV{xU(p~T!kY9SLz|Zq*r!hDafVByNURyf7p9CRTY6cI_8R5s zr!-*>f6|hE(MhlZEnI3KIpC$}NC#T`k4#1PoVo}*|ArRzvD=SwOe#dR~BJg`xP(paQ zkccUQ6H0{G6UQ7^Xk{YMd?Aqjgusan@gP8nra*VuAbCx~PUHXN6`M?I?PK4oaipck`E;YemY++zP> zA(n9agTBcN3G;^qio|4&v_;lr)fdnR!MZ0#6rDOMq%Zgqdx}$)GvpCmrb}G8?iGAe zj>gX)eIl-oD46o73p@}z^F7^3SFgQqGPm;XGo0vyEKfYuwI@26(Ml8xs0G`3p5g_@ zpuMuqykI4_uV>vQ^hM@v}aeM z$0?}h+(im%j+Qn=6B+7I+JV^Kc_vT2&_$x=(X-|wS>jT9kJ9!%lI5X(cZk9O)V-0T zccR?edT2{UTlt)&ct_n5^lG*}zQ$M4rA#kL$hm4yDmP*oGT)?Rv2v7b%ucAOV&$)Y zUMf?!rBZFI8*O{?V)g@7S~m-(Vf$NM6tNOH{eY0h&@cq0Ua)IQGK-|OrvEcT^ zXLLnzo8AK9-GoJxR57SkS%#-#3C)8@<4{;pFwQkb)Kc;S5!INymAXiU zsgsVcCqrUvK(113NN;dLYo;=aSjCVUGCi`=V6l%$ib;*Ar9TSx(ND6&Mt>4o2vP{v z82EfBVdx^jf0;5a*&d>h`$0c%6N7rTjfBcdD-s*8*R*eqF7*tGmhTRQBcf!HJvL6?(Kk^ zvaNT7R@q@m)6)c@d|@B2&o)Q%Q=}ZL_3raFE=C@Eec3(cmBk!W95@9jhdI~yye{On z+5LKOIYFV-ZxM02e#d0{k&ei1$>$QXu-uD;ZE+grA8&j-@N=F<_Y=<2b z>OAggZcc>m@ZvWc z`T2I^mQdp@*`!>qJ#x1;)Q?V6TKl-rZmc2U^CvzJq1-W(ogTU$A@37ea;*Q zK*)x{22YdzX*~+KPYup4YgPeKAMhYq2ZPzc!l+o3*VrOQrP@lk*2BoF*$;cr2zzNc zRL)(~1q%&a9ADqpezVY-*i~z{^iUVWl^`&13Og?PSomuSXZW*~%Lo~$l6aA5?+Mmt zNaz=&{3i~^6LWiYuboi&wh#=?W7RFOkjckLiq5E;`uL=D+30g$skm*SP(WIBpE#b> zQ}f*8N^~>eCem>2ddh5(*vO&c4m*FI@zqYnZb{j9tz;;bA?U-|m)@6^nG=EsUl=r;ZZ z&HC9e6x{xp?2|0PXRlu53}=20Qr*dv!6x(_$Zr$1%!D%uQE1N8-az$bW}ooqTH!p* z8xc<7u3|+MCQI4tDP`GAM{QKo#ZXtXWXXOj%50{Qw~j6Ua>%ZK+-vB~@kjsqTo)fu zKel9#;lx6qMdh>92Y${f{QRTt&OD#^BA@d*Y7nkZebG6hC~-49tzDkY-hPxP%e!2! z?yt^S+fZE@h_8?ORe`5x+FX-#a_^wV3g>+Uj?irSdbq$`PSwyOACh+|(w$;LD}Rw(#cE5Ljlcbt3nj#+)X z+dk^$&Out%Vrqk*#)`PWiN1wOY7v?;QEbMO@WAGo{%)D(NDUlXcMsDBcf}{eX?7{{dbv~fkZm!ms$gEi#9e!xecXD@pR2D>p;}S6;Ao9WgNOr?66#3)8cTYYh zDpSHB+QtWo!*@$(Uh%Kk727Q+ss&)a0d6e+>cI@T0#Yvh+)VwO3HbwMwmjN4JN5$k zep@1XDV3?qDWNo`k!*oe^j(eE>C~k9c?0buiI}eW2fun%l#YU&g7D%O9Y1Ge)p)E~+7WXzoC> zk@C=>(`2SwAbm1F_6>){o^qRMZa%Yr5Xv6?c0zhSl$Kw*>Rm=gM8I}^Xz z*D+g`?CI4*(0!X{OQ2+`|WB)!!QYqpF(=K`wQ$59~z&n?zdGM zp~_s4y`mXnK3Bzzw_64?%;sB%xzc5{;Xp5=tLU-!@G;f6Yh1m*G8-MoJ^#9CceQ_J zSLl5iZ5?)8`@sO1p0Zo`2S+>Eu-s5vUF{+ zY=OlrS+ba!Sr%H%%*@Qp%uE(DTFlIn#cVM%Gk?cb1MYjPXR5ny&-#8$=2D(qnQdd`gv$~0{}4>h08AWB zgBe~)Jz#ow^LljL(1TvRxDu&-xV?n^wl}@++I1tvb&aXfIUtVh766GI(C|Y<_1^A* z(#S~%c5hrP)O1Hm?}*^t{H=Bw24>iMOOIq0#R9M(ov#wXgZ(yfS1x5LWBiwb=`2vj z#untm!mUdm=6=M~1tUqSF<6vKLw)E`3(JyWMEaPvQ95|~M)Xt|mh$XcV%sZJslsBn+C7`F#s34z0w zTdeX+>1|CYKL^)~V^JXc_MG>-qWFE$?E+k_3UIZV@r8w~R>=cn3ry9c_#0PlTyqmmIJfD%*f>31m|kAjN1MVkw^&obw;mUp%W=J#fMM+O>d)&|W)=Y7SKr%&8* zKfq1%FLZ(U^Cc4k~F-57+?zR?WLs) z(XL}2S236^A^RxCy*wtia<-t2HV0+x6pFc@#d9h*T4g@#SV}2i~C`lOXQ2G-IuVacJRT~5oaGLpH7c!uX0gbm)dMio{yFx9qZuyc zw4gam6gfajF8focdF8K0ULDDJC`CGAjSNAyB@&`zm;{8P&QeZ_dDP zFq5E1P41D1Rt-i7`4kJ0DWUx|PlHBLIL@x(XR=a)UCuBn@+?o6Y@xiz@1f2P)CoOL zk2sx{JE!$blU&I~d-X*23~1GUErIHJnw!byseM3KK0=8?yg{cgvv@qRM+#C-LYkgm zgK^&!<+*xob-lQ>30<_KuAAO;T)Q zAvgGr9;KtFyIs;Q)%1bxgRjtao5^>ZCS<=LW8EG(T!NW0DWA339p#huRWAh37XRoV z9(nn^RnFdhropME_19ck6j^2b+U$}U?WXa1?MwwSQ=YlN0sGVCv0_{WTSFl|yE2sz z@)E>UTi5z6dP3C*FPL{MtQtGhYKA>M=L}<3F(l2;0GD9+ zK)ILwi2or7W0091ja6=9^}W-}qDGjx)%Uq*L*j3JhuRIEWEc^Y`MEBB7sDP3bR zgb={}vp>}QbjUnL-x;NO02kM#LsG}&IQ5Ok=`ph2O2v(;C3ZiNWB8306VtWWiqUe7 zp2iR9EFGH_T5KIz&x{!?60eI;Q$}t0#cz}UZ0v5)sy#Y%a!!tC{WMCqby!FZw`6X^ z(oMzp2#TMA!!z52McEIa>{G%QQHO6IMfM2EU37|=dsZmx8{T~9n01P%h3LkGzA(pQ{!*$nMtp< zF8rFP{EoIY*wvp6g&p^16e0KdS_|!Kn99|ZEoLQBW*{`{jk~lTxM>O-SAvsnYN>EjER_156X|b=qpyzT==}bQAA0fiLXQF`sm#Uh>m7QC zAF*5)``2)49sAj*=cDkQ%3WQ`kk9=;Nf37n^(P~n*m)jwpm7;T>9DL-H_rZ z@8tT&h^Rsr2alJF1q`;(@sFDuOjwaaLfXy!q%8Se1|}(6EKJgmwsqsB0<`SsxEXeDg3(ov^j*JeqhA%Z{up@n%84bin4C6pggHe zJYL2eUZ)=JZ=bxp61=!K$9XIaR-ehN0;H^# z#t1E)-It$N^yiOOmk|1{u9A|9ou*R^ybN9Mdtsb@6xkbkzn`Pq;zOoK2_I%jv)?Uc zet70Yk{7lI9qXhPl}HJ}veLj6DwHNeUDjiI6;gYQt`HgZ5T&^MWXt_729s}knFBZQ zNxG0M8hXmdBmG$AbwoVT>psk~%hFl)It+;Q5q3{&@~s-utNn2|wCV$&6WLr3?B@g*vZPEx00l4R}ZO;r@vfXppH-)Ken_2c8l81+-cn?oKb`l!!E$Z|S() zBj%$S*P9o+MGP-a#63oBq0%~EkunVc6dzE5)qrEM!%S6!qE3BI(*b$R3x7kSM7hA* zcUv1yPvA0Z+ZpD;Fo4 z_;}b+Hn1VDEVtFI^lmlB6ANQz8>TZe}X;9#&OJ(zGz)S99m7K78 zzxCYNK^*GAkq`Rpv_$5$zf^pddo^D4b;^5UsjM#UDvOqg1O*QQ1<#yS38)Q*9M6M8 z!TWwq38-rxeepKF^ZTxlX%_mRnk=aO?!FZTG`zddg@Y2TxP@f_gs4v|5mQ#nu-s54`63=|8Jl(}Z<*uz8CH!rwgZfpg|;?X2-$+(43B z7R87I{y2+g{LViw7zL{DW1WY` z!lp~hK{y|*gRnXu*VuzZwmPnj7OZ$(PYvQ=5UqocN0erP_4u6RJ2R+ zL_IK(@D=zNJG9FAOO`83lGWEm3C8@t%+rqUzQ86Y?!B-R&!8p~>CiKY*F4yP7VJFK zM7}>ma6=+i>tf6dnxj+L%_b*a0JrAr-Zo7(d~5}tuI8SnWBcglYU&OFEqvAW)XgqN{9TJ}#ZFi{kbL zNh1bb*`ZE~vfn1@+Y8H^)?qGL9h!m>x6N~I%t(!*W6^nyg`(y2FPZ4z`^o*9Yi*R1 zlHXyE#?Pg)af^Ee63%6f<z3mYecYzNLvnm zPvIa&!nTN>_Z@Y%xe;40=_{qy1w0)|63LNl9{&92mdW#sU9SprpO}(HQy)v?ITI z+ctzFT~TAt=+vcsDiMt|js$RKuG%@iLMTQcsafC^ucKTwpCnh^==XwskR*PRk&z@$ z*7Hm|4(u#91v*(m7L|&-b#m7jm)X-pRiTD;!(wzZlgg~6q+8BeO8+w1M?spAI%PNv zV@lBmHvN&2(obpyVKD{Y4IGC@hle@ErxoAH9^bI9O%m#3DZn@C<_&*oR-qY*bR%+W zuNbk-KSE8BSD`HqakUGfsawebRbSG5S8$lcJ4qr*5WXK{m5(Tb&?Hl{^5vH+rA>nZFEy=uD0b3J6YvhN0*jG-U=X^Z5K0(yDzr&6 zzoH-3fkA_PY#i)V?yM*P@0v7*zbwjfM@kp zKL!z~?np)bma>AuVH`t^uwL=l+GR2sJ*0dbjOShvcc4^bG0r9b9T?u({+5Yc#j(|= z3if{dJ(OT|KKN|sx9oONN5ZCv{k9JLs9EON&Gz)!YAR^-p)F?jbD3&dco<-2?ZM15 zw4pL_;y5+MD6s4NLeo&b{!9E#t}^s9bfx}`Llq1OSq{|1m2E0%Dt12t7s#XZ(oGJc zb;2`eL%*|wCTX-CuTV2^nY)I^Ei8J3!9-?~=6mEY#VGvbQ7>lbnN~^Nu3qg3#k*#b`y9 z8R)B=u*T98+6-5s{C3ZeozK7rrS#m;m+TCzwS1P*jMSzp6?K`Qe<0WD#k`wwKLU#- zu&Lc|vO)`6&AWx&cA`(@xA`W69M_(UdsryUkQf#EgSeA$*9MWr(N}PV@KP$^obSm1 z$SXYl1wogm^C;~^Iqh3oL^rWQcEh~d%YvIvU=xL&LE47frO3GkXhM1)R~xb2EimW( zv<2duTVm?L0V?WNtvx>U+DYw+@PFcY}XO~^u)Q}1#&IMD+O9euB=H(Jfv;AHjM+PB>; zFO#plKSoWo6bD|F>~NCIkx;W`rg3hzLS=wkZPiZ0?m4WupkNCX+M=cNq;c-H8g%GfQQN&%E1MVOzL_luvVZxfbY|JW*-RAud7>_71(7Dqp z5Qo+LHr=_Y^{R!OYM8evf?%y6kUdKt5QcGupcTeQ7PjrvbV#zpXq^)okS%0MHEDY{ zR!sy|NUQ+g2yPv4phTRrRv;wtb6q*)Qb^0ghoTu%H$^E*THSQA{lM5MQ`Y$qBH>Nt zS*bA%N;f&vS)Vn_IG7MW4PPJ9N5SHcxW}>XlG|~m?Def(sc@!E-KtjjTyLZqMm^8| zD~<(wA+4%}@wCrrzOAvKk0px%C>{w5q*?xG53|}>x0>GD>cLwm9z>w^!Ox@D3Xkf1 zTE!*pKYC}y_|#JnZ0fi?WJT>97DZ=L0vGpWl|mVCP(3vjlZNEiT$ zC;*D60E!s?EW&Q))KO5gTQTvYZCqhG_|8g3wdGDBpUEkIZuj*I3vA;Xad};n*1W2n zlKIK$-mppUOG8mP;L1NzgLV?T4r>HVnJgJL2RSGx39EoZeGk74ZnJ(K?#{R%kC$2L z|D>R8+D3wGf9%S69`B5YBDqiY%C*GDGI2gV#^0Uz^y#CZA|yXP2f25hoIrO+M&ued z*UNp&1&l*wm@wcCVE&6>Tn_K$;pxQSGS>tpXPp;Mk|l85HG8dG z-$-Vrl;RXd02C-jk}~d$prF&z9i1Ml$R5~^xe^G^80do?2Lye8gDI-Tc$i#H$+)Bd z6Dt2j%4Y`8MloEBkSk$CQPXCR8^n-Xk4Jf;Y4R{iB?pxmY>^cgFwtyxVH75#5%;r_ z5?Jyba|HW<3sDMUR8-DBHSy*ZY7kI%f%|E<5mS0hLO8}AMG;L`>{8yLO9s?&rA#{X zj=Wol%wk-voZ>cLPRI?>RR!OWrVqr#I#z-A;)_Ben1m#0mq@^6Rqm~ipS2}X+sHfC z$>f#96ET%noZt=+ONBic^e&EAIY#2iphB(c0;|FR-hFOi4Fi zR`#0`SQDr4fkMEYb3If|nh&9YRVJobv`EEGdvLBGT6&bv&0?q!p0`8AfES68hT^62 zDNzY%Bw~66dfS#*7IAtGC!ZTDWXB^L*GD!BcHCAUj_7l-zRt1ZZ;SCgElaVW$V{$o z`d($eS;ON5;j9TrGR)V(wl1QxOn8l!DH!)!`XcD;klNNVeP<77HQndXbHHW8lzb1m zq0cCkC%$M84juwd>@UKkG^=X|&C%!bX1Ht|HiW1x#G*>WABe@^pZ3NbnrfIqQS`-p z##`BVw=6t$rB|evLee)Y5R+0E_*SEyA{XL%q@+(E1_Z_f<`^~h8<+Msmwbc@TPsM3 zbxKwV59lhO!*m~^x8W?4e3y!N`x>&Wb=@&n8pc2sU`E8zN~ROXfdddH)<~%X zkonBP0y1!rh9bL6{GzKd=o1@0PU%2ibSq9J103V#uRc=n6vv#P#M^WRWY|ak9JiS7 z^8&wUoF_!;2|;;L8Z!lt_RAzSPpVI%-xixk^g2g&Z^oLHPl1x92hTVJ@4Py64e%w0s!(j19|4VFpD7i(y4UJlc2)5(uul<12u5m%w)u1m zdQ`#KH^5#Sd>#Pyz+O4|;+46p)!Ghq|MIvsZ7WGV)(bcJsZ#8N4n|z_rzA@n-1dsI z07I{&CIMX#&!Q(Ft6C?6D?(;vBF^yG_dVInpm`rH$^@SczLDm3TjRR;(+yIQDn!Xy zRc>S3AEP9*9W__VM~$BtF`wB=YIyFB-NsyL19xooQZpTO?e<=)#R_IU9oxt&_%4Va znpZ3H+DA(soM0z?b|I%U8pp z4QIFlm@3$pdpwJvMaIY|W-)GB(y_s@KRYv#kD zl;Y+>`Z_ffBXOK`Y+=6BgnQFca?KE&vid;!x!BO;*u=Y(lvf#|y&I zWjD=FTx;CW&ak-{UVBE!h)N<%#b=3TvXsG;ZhQ=%zF9?p)x?wWgVFW9WkQEzgv1SQ zED{!@K4(wDKdxl4s{( za9Z{8LRTMk!q-hCeaZr^%*GJ9BP%s0^uCy9s5h@ByuKO7<~c*GDzYb^FMwrpjR)H57h=>dv|k z(~^v}IN3>49qLqn`d!7xkX~nG?%wW~3zxYWTU^a*AugeuIgyecXj-|cB7BLAeBe(A z=QG+0N7Hv6_AgH%q~B8FX~pe$OrktcLE8B{wI5#hGNrsSJ-KhV=dDqv>jjS3rj-MV zz6k}e-Ph&Qc!os0dP-#vKe4zMV3!LWB{>IjSIi59Jy3Q@Iis3fI1`AfO)kLfhapHfYv*>fCfE?mQldc#krxu zLS5WR;Ioqb+$tHom-qNIe0eP|UGSubw>W%&?9*%yS3UzFe_yhG57IQW=fg`Db>^p# z;{Dm=P4knrZ3mo>Y_C-iFJ06b7)W9<-Wqrn^=CXMFCdVBU>;B~%4yZWyT*6nx~OZb z6gd7*{WdoLQO%oC`wz#h!HE5q_8iv8 zc?v~t#kE8v8`_}3AUIbJ$rY<4H6&l&@;ie{l?L|lKvrLFqbSB$86)2g2pK;6lZFY0 zq`fc5lc9qM#`5=Ud#r7Fx@d>|mc8Uq{dRN#nGS9sxx(u>z#;NH5jU`MO4H-?2r1@9 zZ_vE87x~d$HWz#H%Q!z=q*Kel>5WDQB+$yKLyG<-8i(zatQniw-HDb!1%w(G^dr94fI)v2f6J)uy~ z3JWX|jrva)Z@N2@edRKdALbC(V&p&B2+Poa$1t-qiCV73D&jh}?tVfA^KePefH+|N z8IC3uBhXX6a7eHDA8{;LcOi!4wygRTI+ISE9tuUM>c;MMiJKCm+;~6nsl!?Q##k4y!76|K zw&$cM7sk@3n(v3f-_4zP@plOlh)3RQL3x}3_L1Qfr0Uf zt<_5JuS{KnWpA*0yVcOk!F&5>Zw#u9hS^&ZT1pd*C5D#W zuydSkD@`sY(u2MYbXwB3sykIYL@S@MtHPm|q*|-^prX-=QSI7NRC@~E#;8n?2TK>8 zm16s&@S#X*{70>z9HB7v-M&zrf?F-v68NG*sa%Vqr2?q(sPA2~$@)x=%UvDTol}sW z$t+`Xicr{)ZsUBibENEe7g?QiVNXYyx1l~EZG}YOt_IDwY5SHm&0xzrI~vxj70EAV z4{4g~MJrWnTWBCU97DK6iu;aV zU#)1ERHihlZ$4qX+POc_rD7NQ770mJXPp@9Ec-D9Pd(;Q8UtIXi+yz|$$bop?k`Pt zcHz9ImzgYL6^6`xb505wCZOjLOuTUuZb0q9t+>MdfcwPN`q^Z8V-;-3;?;vAm|H-F z6Z_-Y-aso1+}UH%b^9750D~8O?77GXrgaV(JjC~EJ;f=|!+x}Rmz2TCn?CsE9p|(Y ztMgSnI567nL=KkJ;zMSkfu|%6ZM8SdhB1u%6+W6ff|5NP)(o@y9y_eMU7)9B_IpDb z`b3X#lAG#x`{&jk`vk0=lMDX2c@<7k)=-AI-e)=No~Pi&P3Uwf*8D~9Ge}V!#D!ox z?&!mCVsDZrOQj0-r9Xcc=*)<_NF#iWUya-=i@_+rcMCsH%u3BB#3DW5HS4ZFRNGhQ zHJOt+bT%1hRT&JXKHtWbx?o z6wL#VN{=En<1D#mb-7oTz@Fip()v*mHNv!0hcJh*9%c0N-kom`UaG3%M6}uDAi_tG z<<4ydPh*`}_zO7!bAR3ee3zydRPk#Nr1D7usu=vE6i5p80kr4^L_$n=K?*7;^3uIH zGQJ#?1yDY7%8%O<(}9*AS&^1O*=mhthS=8*jin~b4l+NI&YJVF%9<&NvxvAk4k21H zKLnAjijJrd*IvIDCc?EXZ7)rWGvc($?nkqV(Yq#Yc=6EQ1kQ-4RAjgLs2F2w&)@NWIbisXgjx~) zFwGfAODgHb1TWC3PK>-@l+BNSE7p2NY^Pq`HhZdZ`%=ibTr^InuWXimttjg2?JZZG zy8hAh(c)<07hsa^V5ZA{F!iizT@XvYYP!?@Z=-t}gIkv9sxHIl)5$GZ5k#5$e&46* zro|HW^D$rr!yCWs)9xCVvw@fNRaTvdM#wKC7d4HsfDijxJIj26c$LkvlmlBuB_Ndb zU;gsu!NE&q+9LA8q;AUJRF)&X)vaPpY7@e*)Ar4z0qNQ<+0#n8c;gc!!*~K}(@luz z16h*>HTqsohTi0MU8IUdgTl?{J+~@e(zf!MhIO;5MiNAJk)6&8VSKN(dPA6PMr2p`gXechjtk@Q=&#RC1$ekEV4Y>ISxS#5b04 z%W;?cRT%Y@qUw-NxEzw3s&@<5+3tay?}Llb1Ci9Z`Dm=kVS3rL#U!~w%-hAwfRFDq zc!j(p(4vX79kH|<*lOYCRf>X>sInbeps2V$t5c2*HZN_cR$v%4rgv8C9uqgBvac~F z;Skoc>_8ZazEH_of4OquH2bbQSGF(rOw=*9G(+h;;$eWyDbO2W!QhsCWzp4xPv&AE zdsNrek~zGiTmhw7J~W=wpzbR)nO0e9meZiqr@>%Al6JxwSt9MR`^mBfwUv=Ir_tv_3wMv~tG0D#^H_9fLF;WI zYx3`Hp`zUDpE{UDrb>gshnD=sIazg)V)%9kgJRHf$z59zv6|G`>-yEdY5NON7(TO( zdG{w~O)A6JPUU1>dLSv9b>+c8LH1agY&zDty#PWC;y8VKpJ}3?06LeaYs2m4PR~-1 z^eIm5Kq=7-or`SmFJR`L22;?OLX1u@cQo~(RgHSx2j5m;=%B&0fA%4aay6SN*UINm zt0?8lyBgssMux+waVgc2h?>aup~Pj{!|{D)0Nk{|WN9jRS2<~5D18KXkVGU(d2~w- z`Xccu>{;k!xe-B4QF&@Yv(u9G9wRlGegS;7&?%rpiL25e*S;IBgadRaD&#kwbY>3x znOjwT2|vtKu*VjMnWx`>^aX{{KXz5W%swO9GxDfZ zjS2!o_OzD#0SZvnroarV#mVHb&`QxD*-FaVc=IN3MV~u4oPm8Gmewk{9p5OK z+{)=FaEyZ-w^LIpQ0W5hitmAOl+PwcH%E+2Eqz)x)DGcPC_190U6(T>2@>2Vu1P+M z^h-)GP+T}qBt1lPaa5+H^0_#M1 zfU>B;hu1_Z$G9MqqhKX4tUK4>8+-(xmaYn)Zf~3e*#+)1cYmKu8z7eM!0M0=jT#S$ z%HB2lay{HRq&hnl6GBnR1bir3?0|?Xn*-ddzGLp=q}37<$scMh14D)R^*v_jfzz!h zi6>d@4AYEEATsmijDfA!{lb~WaUuP!9Dci!jO@@m=vh#px_cUBuG(0yOe`9Sx2%Av z1Ka5C79mp6lcLUYjO&b z<$7>`fe3-Mqa_`Hn8a&h)1WoLeW`?k*jfv)Z5IQ^UA-g|f~gp{Eb50qdBzr&&ykwv z53L+#XMi)|6zue5%X2lC2DM{iHco|za6*@Y_OlOVTwn}?7}Y*!lhaBE4 z@Kph_j~%l*_46tP#S&Pw5X*ic{IttvaWDj`K;+DX*lM}>>>rbDI8%yTNEDIaG>9Ga za)he7gR7`x!6A#8ZmU&|x$GE+gpe}ZD>lScBicfwv{;hwsSNWbAxb(BYHG2Sj4p}l z!GX)yGGDhgR-Nl6w`uBQfa(|nLyR-j5I3=ST8;Opo>Z*?Y;RUGNm?`0_H*esvXouM5Md_1= zi=!%ZHyH9KG9nXY+WkxwrgmK!7f+W;;?ZELIF9C**YUz6r?oe-QM;V?{y~ap+Ca^6 zW>}lM*_`QdB%H$_u{3(IBM!)U*Z1Y`fKiU)$J$C#j%`DkMB^NN8}gO0r1Kwj$>xG# z1=pi>FP>z>ITAMc$+%NyY1#j6&<~2$8cvW6a#Ylf5Qx=Ae(_pbxUCGLWny z_w;|3zptXav8RoG?ZlYKPWvJl)IUHZDYGm&KxHRnBmp7^%I~=*YK=UO}T;7uOR4klk3gB>dDQ+q3BFqSy&lp=a-Jjm_ki&p_u42Ht zM-1Ov%fa?p*9>`O>_W$m<4~{g`hhmf$VG-nXeeu@m!kTiz*=!FZBwiwETxT@n#<-C?~18g!e0VV^?tS~*ceubMyM!_?q)LEYF z!h_Tb7E-b`Y#~(|c0Q%JG~Ky5Q`b$c?-}uMhhpn4?KI>o^$>{cwc+%wp<;z_{XF+SmtaWYP2fK2*_?x3`jM6bgM);?X`w>>ql7&{Sh)dZ5BJzI z&I1AF6B32{;zz;-7dSRyDcRCZzOUydK=E@ttRNpl0RMVllv&fn1Ro9sb!?7Yw~oY! z`>6Up*fkW0nGFM^y$Y0k;O@Wbbh=*|#Jc-Q7aj2;(q` zfo%MU-7D)zbuy8y6#+W_oL0%HB^!b+jn5HyS?F!@R3_@&o=6~6>0cSuzB=hD6Q`@u zrlUrsU&^j%4u=S>ROmr3k=Ohnb`X<9y~EP`0_Qe4c<-pbl;XbR(2@oQhWWV!Y2!L8 zO%}d!F~MwaPm`uB#Skb`tuij&Z|yEVjdf=?*%qlVy{uh-MRO>`b%h*8@>tipZ<-!? zGljEKa}PV`o;O{3%P38KnBd(INH-Hi%n!g+Smy?uAg*|_Y3%-X$QpEDHq|D)>j0h{ z41S#nueM%(pW!?Fx?=ux1x>6R;!9ijZycuqIPk?1pdiD_S5=|xi;Q@Z_DjH%J@qY{ zW3@7#h62P~qZ*Uf7XB5k^!T(NMF`W6ii-+hobbfg7BF+RGRP3ql;9_JsMbGA&J@OW ztr~J+8mlt)#LQlrlG9I4u;=jkFWH>%jSf5Q*R2q0YuB<{aU6Goj}brX*o|7S)+_q7 zZJ5U;$s)!$K+$8y%DtZl&ZZ-B9#q~2hLNM!2i}E;1_Tmis@U03 zZde%AWO%TrS)+gHva=qLZd^{M_V_L4VuEka4`XeCM@=3~+ALfqSd)ajvZNtnL;0l<;?4D#LXZGr0;MB_Uc2{x@HjPtqhv}+@Kb6vu&Qk9PaP2@nXM-!|GQ7n- zqp>|y!X&5or92r5fyGGXe+<4NEd8AKybLSvpOgOLjXApre~(JK;xi5?3cMlnDu3pix7socPYUMz#c4?Z}iw^2_wCIkx; zfnZ&<{4so{$OkxP<5^kbYs4Y?N4e-FzVJ91P*4vC=~xZGS5SLQn1A>j#m+AJP;~RY zoxIa{X~2iEUyGcW^#B|=0~}~$A%JmM4V_qY0UTHZ9Ps#AVg*pb0lHgc+~S=F*B*$S zsN54NaSLkuU|!``=zx_hJpunp7nxx)|v5?`Hd8xEW?9?{La&%8?f_>Ayb z{U zHp0bU#Z6{h;byeA^NgZ)yga?vAQnh&FWRsMcVrKt)3ctRF}!=@e!0GUT?xw6k}-LG z*m-qD+I-kqn#T&ZeoB8m%+TU~KDhz>^Cypoo!8?Ul70=#xF~wyMX+Ia0B|@FE!c!y z$IH>dz@8RdDN1PCQ4Dvexa*^_mNHHe{}aE5w0s2V(u{8E7vO$uXzpF*;Ual9EFyF? zWukFrj$ZZC!G*~&k!9Q`HYP9C@LG+?8@> zwzRGj?SR2D^$e4=1a7@0V4S<*)aMJxG?l*7##p4b6`P6@{1;I(-U!XR#M#govQlOt zFOAVK3!yEv{wGV{;z9n>w|Wt_@z$*v%tn(Q)^VqSAKoOnt!N2bL^O&>QJ>J{N5U-F z^*qIl;OO6FU!j*+rTVks#QV^OniLDm^Md*^SmaEfz*5zbuJBYcTBhpk#tdk=(X@CY z?X(?(MA4iYpgFtgahkL5FEc}B{YcE8#m&e)gmRKR_)g5%5u8!aHPc`qA`e$@{S>JPYBkiH;t5F`JRhldLSL) zvB}6^ocpZa`4h>Z0a3KFUr2&V3MYT@?3WIsk5fhmD#bB_sccs_h@jtDuhv(2qA1 z=+6M$zBFSEmI2lYx;Xn|%Xv;c#Ic>O88`O4YM`)XJF}m9XGk?fYv)!N<~fL!l?g9+ z1;5x1JHy)dmM>1Fqob4{$C17&WV>aR2;RE=Hj^u(P{T24!ehe1EIjQ9>uTmbf*Znp<6Hl*DI43>TkN89yOJF8)V1lZkWYWl7;ABv>yes@~p2awBhGmtL z#F7L!tqUq)J;I^x?zI-PZ@1SsIB@jxaduTCnRDy3-7B)u}JQ^nCO?ML`mTw&S4JQK6R z5$?{U&U&>xOn1K61QAgePIW-u;@-07~Ptu(Tnf~xM5?pVi>O0;o6cfeo+zAp(+2YTx2HS*nP8cn7BfPs-QI5?1! zd3Tc=GpilWd3f|_^KtEmKXLv|(>uEmuCsJY*GrcGG5@_O1(HzCJ&yHf$ z@Pon*((4zd1#`5I&aJ1pV_T&XxKWj|IkXC;TgI@tRwZ*DjNOf*o90`jVa*jVJdT?N zH!?{}<&hQ=BDTDN92<=fwZxkGA}HoRsH@wm=iHPkaya0CYpXfBG^j|+LD3+Nc9(bY zqz(XGuz3^_)`;n<*{sXb(gGeVb}NR9`HaATJYTKR+UfAL8abG;_`;CSaqHM zT{KnGc%pkMV5?aBNUy~03E!r4O1N-e?^z&96?)fJ(U?NdNuW)75~sl-J|xK8$F6QK z(SFkXz-p3mxRg!(+-OSjE=QwI-BCM20fb5`vq9=JTTSl_drQyP2r%Kt{o${hU#x1^ z0}u%}<37^3Eu>h7v3jj{iAqWl!lBZvPuz;wD?)fHKKV*41vpVo-UYL(>oXIf^;aPa z;f4keyZlJ`_G*8stxpR^4I}p*sbetFUj#|v$obPQkxb^X4{wZSE>N%$)2k8>Kt zHEh<|pQ0P)n?x)^IngOe^F@QlLhCYZh!ABc!_zkk_QUk{2>WLC@<%X`uJR( z>v`Kd?$0H`N&k{k#AIYVPk14xGfuJUO_<+gg%dqL-*EKSRwWV2CDB^%6A&b-{#poLCzH-GlAUr zCE4*RX9w4hY;E0-Z$dMH-0L<;Iy2zrfe5*vuvno&4iIf&R<}O13Uv5%a8j;s&BMAg zx+HF}BiusFDtnp~zyy}0vd@M`wN*7CDwp;EYDzo0U%&!v{%sG%%DWa@HFlQ5)BQLC zeAwGD-WS*Osgy=~OV5f@ddsi!x4nI5*r`VOSXl7(imicxRgvfW*s_fKiz*iR(RFusJ zamcu@Y}w%a-6C+3u0FAaDQH4iyt#cZZk|V8ATU7Nb7vWUgn;=ugYf?nQ2&>J`jZIz zzXa6(C7}M_K+=B)o&GJo^mlISzp+{WX9%clv~&iv2Fz5fy1M#QjI;pgD=RZAGZifp z8=FwjxaF&Z*aF){-H$U3@g`cyhB%nX33 z)2b8aJ|1ay{9Lt;wBGJ3e{y@aXL;UUp5E3v*4p0M z-mLx(Wbii}$6r+jfJgjKHY_7xy7&KD8ODEx4a@xJ5sZugWZi!t7_?*&i$sR zrzdBg;aRf$4p^pN4tQQ{I=lcf9o@t!JiSoAOr1Dls?)Zh)XmLd_CUi>`ds`45`^y0b1HwOn>L62ro!KQ_@CH^zxwb1eA_P#=wD+F|1A5q3NYnvC~zQ+mzUeq zL#o%wTf^(x)6b8yj`p{cyNk7-%j#{`9~1Q0e!?^Usyy`n1FePr&l?B*udStNU0dtl zTgh{g)b=dtACozM15y1|A^s=a2K_&P68u{sCUUyHev@MB)fndYy|>1{qsC9#%3oCj zaHs$BR`U<2@hj(q_?wK=p)r`(+k=ePLly#nq9+USMqJDb2E_YE4)m9t^q&q-0LajP zxf=ff2bqEKmrl5f*Z30%0KoZ>CEN~}z3k{wwNF7FWqGm{Yt8ER&FX3G_P+{IezJxB zs;&I3hyB-tD2#vJh5QY0v8huUng1QnZ%ya7&CAV9YC+qJe?8`f+KGjQPCy_k-@zXv zw0|S0{Z(=P|Lk9@!=5M505HxXwWCy!pX&S_$olV0xWB3d!{0=*|Dulg&l914!k7Oe zlC9!3!t3^HX-P%jiKp9f0*`>H{#BrMI^i8o(zYFFFDwM?ce~cJe=l zmHy5E{Hp@}O|SGXA|d}Hc<5i~Tf|Lxh@mzDtWNIVmD*q4Zr&;qp-~j13wilz#{pf` z-&5uPMTGk|sxZ?2w<#j+Kao8D1HIGV^wl{?VedFe3JX^w;HF)r-Xx*`<^os%KDgsi zqheqVu%Ii`O8;^4^E({!&l`=1fr*i^J>EaVzoz^1K;K{T7jB@ppR4z8D`3wH=no>0 z-=UU&{waQkyaDKO7XL=hb+E9s!=wK-1o>B){-5^^^uLB6*>KSBj{@eQ%j}o}y0>S+ zw|@VTe{Ofc{=V)1KZ#R+O<(>+viu{q|7+BqOM?226YqNS)j2&i%kR8Z>kZ%_Ydgfm zG^hXzb!}~JPQJ3$-^qP{XGs24t6`-3C2(Z|aJc{L(IWuX`X3)xWB&8wYK(Nh^tsu- zygx^eu756X0(9+}U)nRZ3ZLGz)+*oL03hs(w>X8jH!VOP^V*^I^Uv&u8Vn6ehnkw2 zvW_`rAlx5EZNKv}|Eh3+l=VL&{{I%B{?B-vKksnp0pp?n9;s+N#>xMk;eg+vpMUki z|JGvu+hCsQpBZmt`twoR-&jo64{0z84KFdV>)M~qsGQ1mYU+iGx-PWzR7C0)knC99 zKCM}`{kC~pT5DNO&0GKDp_8A`>;Fi6{vW{8=>Xj9pUBz&S$aC4Isf0p^xuZ(0rd2r z*z@1HtHN>s1S$Z5{M)eoAJfz2{}7lC0IAdCu>h#rzkl=pf~RZzpEPs;?)Ik&LO%)U z01WN#`+$oB__V)O0l4A614(86hZ(8AOa5=>{`VoNY;?c09+8kdB@L?|yzuUKl?%cI zOamTIlA+Ov*0Mi{CH$t7YD?g4@P7coMi;!?tuPQTcuz-=f8;gf;^3%pYPf^1lY+!P zMMVfY=6}-f&SnYY7Ch-1-$4EVw}cxw%@KTc&^4Pi%YAg~hWFK5a<6edV4t;TzFW96 z3WyLynq#Ef@0i)P&+9{c!*{U?-uH>DTc6SdF3?N_D4ss%tHft~nhV1)U?PZ<{e1iX zvG*2mRczhgxF||@h=71dN_TgIba$7ebR&qQ2nb3^i69-)T_WAx4N7;zdFNnUypKND z=RVi>_xZp7AH&R$}$euC*Z>aSrQyOpaJ9ySAs=8p3zy1#PG~;ttbbGcMIltIZjIz90s;=8tCk&pz-evldottEaOeDVU2jnlwC}Dat@9>lX7gwOlLuXq8% z9!DdI+kwXAmbA}Mz-XO=@0tKLe?Z=c(jjQ9rzi%>tVmcGGD%TZFQZqXQ4Un6l}q^^ zQ)8sE@jceS;d?qL^oE#S_myGH>U+%1AT60NmTk3i9Kp?^)|c~8D22O^v9p6jkED5+ zGsL{#Q_Xoe1Dsx(D=!*{W!-1 z;-sKiO5zYcEtWU6US2SU4+TO^isv!|5+f1IBhP|XnS`Qz;cpDREN&fSEPqC$7GQLB zHo4D^m!^T4s7H$~{Yh@cxVx*JN6daRF_Eh=DIR;I6^q4eo~h8VD3gQ}o7h8}dqXB= zy3Z&SoAxYbn!BrEMtxN?ea8}>Mb6D|weOs`l{N>ETMJrjt3jI&XA^0^x!>F@ndwAT zvQ?V?uwXmVg-)*WAw=#%&P+AGWh2f_t2>Se2g^&658vL=UvUv=wTa3#f^igHO;w8z z*@<=I7A`&Cbzn|_k7Shyw~aZw=^xWpI_%IcQ&9qq_%@8pcz4;JKPLyx^E%cQ>N%yN zAE9MBu&ns1#dK{Ag{>^@Xhf8R#DzsD1a!?!^=wS3_^r$hXoM{Ftqe>pjcJrkE%_{M zO~1VtHnp*}6EM-WAz);?5O;!xw)!@v)^=9Fkqe=8U-!!ibb!e%Z?9+PY;6b#AbZ1$ zxtK3D7q?Y5HLx?WRc8X`^ZvSm#psV0<_mEP{*3Wr;PmoYtSpQK%oh{0Uq1Tp_L&&K zg8a|RA4eI0iOv}S4;2F=i{>ALv2=8o4a%>zCncpQBqUG4XJe{sE~)S<6~{pT=Za(b zG7b43RmV#AgQ~Mqv@``Ciy;9W-Dh3!FO^9TOfODL0RH++!1V021gyZE-r%3f08H`? zUTF!KfN8?PXMx{=U%@N*++SHgm;Oh!F$43ggI5-MuqLCw*k=ZmH49KC`29uMw6qt; z!R508_q;e4`26C!FXvs91HSG{8ElNe1N~AqxV*1-{QR4~eN&+1ultvO1wHuAz{&)C z4t@pS_3L?GU%|ir;`6V+9yk|#ckr>V>({&f+AH`@;4>MRz+1qn_bZpNTxy4x_rcdP zu`qwv_AZS_@rlV380nhZ8q)Af(nwj^Sm>J52=EcmQPa{$%Y6AnT1FB)Svns9FkQMK zjl86;tr>8tCD0SW-SHc;q0!g9xX#M@^L3Y7JGhPgB?Mq#1`MCC09rIa<9SEmV_M{< z4d+1IF@c^39fbt^`BYBBNP`nldF&2Pn0!r;s?R!EQ{ZsAPoK9B@q`o5NIh92xm|gZ zME$VW!WbuH&ia69nPQSXvpCGM+{Gp9rRRjQ)b)9d&N&}<-7&tDbVTlt)~`;pl$(r7 zXVtD-Rr5`a#A>-OET};(OqAiT_DHe!tES?ZlXpujXl3Hr=apIn`gT^BPKI_RG%l^m zh_ty-H1-QTPR@L=`=TmITmU;-iiVN?N%4^*p5Ls4#mYu&CZpaQ?VEr@N;i^chCGXB ztfZ6d8PHUcGS8X~5BUe{;uN4w(n;KJ zphJMZw!?Q=`wDKU{rQl!)?I7_Gsc^`?${$GWZu$&Aq@9$R;|0_a@k@e3)>NDQ`CZgDwejuU1ZR=;k{i~4w(d+k}g^2|$ zwU~fD$_xm)&jKG{_z*DD12T&V+|QT*#LWn-tbn9rW@HAW1<-ftz(Spgk%52_PzH<_ zR2n8gim(Dy5hl9Na`5YY7};3A>s*(|vG}c=)M=>!dB8>wY?Z=-Jr_B(y+e!#wnJlH(A zs9dlI0Z_=mK?T5O_^fUKG7!zb+6KTud7xwK+X0>|LrXirgZ1rU2m|uqa*uQim6d)> zi~eM4BbPN~Gfyt>NhhVmxbRbXJj@4rdTs>%K4tW`$(D0V@;X-QC?#F3Tx~WSEvt5^ zGU=k7991>z$(MWFmt9&;jUX^|+2g1d6QfCU|pTMRfbdS51~frh}#gQeS(J?&0#gJ;XN5=9q~dO*$*Nd zHRV<;ye8Ql&HR*Ccmbfj_q=$rsPs{^w9%K0r;Qq3zYj6@CLr_5_Y?2V*iWX{=bIZE^LUeGRoA> zR>sgqz{ z0 z-sdMpRs>!FdI7t*(p{gJC1 zn#s=kc?`Bd9OEVeHIpi%Qa5`)wUU5K(zASq!&&Ox+BC%sY3eG}vPoYpi`@Z#rF1R{ zEyaL!*DX2R_twuH45dlz=Ll%h6R0SwMhoIM|pjIZAoF4;*s<0nID_>`wE=9Thrfcz;*U_vM#`t9A))>Zt1uhB#Yi~6X^3|T{iI_^@<&?yh0wN8~w`O6x|V5 zr5zE!WXLd^L>ZbMFHlD@^6LH03}VS*XknYtD^whCQ4)_Ix%=kp;$nVm{1OQ=j(MBKTY&zE+I8PJ&uH5P3hHxO8fY)*SsKVS7 zscHF=y>6FKHtN|#l4QXe(bdT?Xo6ikLy9p1z@HRj!s}U3#p)j75xSX9zH#3=rU`Yz9Bzr9+f}%tM3D32gk4y&yM+If z%qNb+a@>LD@DcBHG5Wg_Z=)v8ds!O%QW6L`ECw}UoCxC0wN2E zOP3t04dk6^SnhogGnp=^sebDTS-BE#rcPL@n6ACTI{({N6ERE-GO`Y$b!ith%B`H7 zRweTBX<5NQdY7Cghg?$w?ZYcjND%HT*dwFsqlE)R!Z}7>RY^Z|N@Xu>b&LlyZ#8SJk=z8k5WH$xJTsJbV!M;5 zZ%<>x<+{SIlgf^Wo+oKSe0u^t?Ec)YS+DDDC%s&kxy@;|A4_yp;TwcS zEHt;0BPa8eAe>A(eNf^U%AM67u_M355pM=Ubz@eBG*cuLEa~zN-pV#RDpQ4!TG$Sw zcZeMv?<7?bqj|TU;jU``vOYO=^?`@Se#j*HbxZwT<7~@!>+**0UO|QRoTzvyH3^Ae zE;SpZBeX?zEq)sAt5w_Q+@pIe4yP1F>wrV+gIHc*)0V$#TGUn>x9^2>{LI_F;$_YY zp9gKH#<^3AJR${Iv(I6K}z3xRuy%) z(Q9s^hQ{|2WO??7k1u>I(;yiHTF#lRwRaC>n8eo~}o)1p|V zS_Qg~Y=2_5TD|&Ks%>w<0TS|R7T*5kCRL#X7T3!Z$@>+w1y&JQ!VH~lu5}wS`$wvX z$Wq6ec#H(Ccx#x&C+tpo_?ihhk+Jd&aosjiZHAx|v-1w-+B}`b-)-?t>>lq5l{z={C@@Ng3_{bGLjSu3Ia@4w6xzG7zAIi z^dDYnI+j2CEiTHFqxt5z0KyBtd8Gk=1>I+l*k!K;BQPq*1b7YT=>gy9CHM5jJ|oz- z0QfRl0M7$0umUbTX0R8L8Mual;j&lZVr=h=Hvi%%U}3yO?En}Y=jZ2VXJ@CUrza;T z$H&JdMN>^78Vh zPoF-1{J6BVw79squ&^*cKR-7&H#<8!Gcz+iJq@O}PfkuuOpK3@kByCuj*gCuj0_JC z4-E|g%%FjRf&Tt}Ah@Krx3{OKr>m>0v$M0KqocjOy{)aSwY9aSrKK5&N^ffV@Zm#a zW8?ex?;9E#>g((4>gsB1Yinw1s;jH3s;Vk0D=R80%FD~k%F0SgN{Wk%i;9W>`b9xO z!Mk_Bq&xX}d3m|Hxj8vG+1c5Etjx^JOixcwOG|tE_HAlvYD!AVn>TNglapV+ew~z* zl$e;9kdP1`A0HbV8xs=~9UUDN6%`p7`SRt<7cX8!L_~y#hd+P*JS;3MG&D3MB;?t% zXTib2PoF*w3JMAg3=9Ye@b~xk^Yiod^?mZ>iI0!ZydFJz+9+1>FVn0=;&x`Yins~X=-X}XlMW|3NC*b8~ZXadC2Ta&U04v$F${)U2$mEG#U{%*;$o zOpJ_-fI}PbKm)_Jfa95lhK8D&8eqXtQc_Y-P~5wBkDQ#GjEszwl$3;ogqWC^h=}O! z-MfT@gm>=T0ipx(@$vES@NVC}jf;zmgM)*OjeYCZEi5don>TM_Vq#)oV4$O;qoJXp zqN1Xppdce7BOxInA|fInAi%@J!@p7j)Fpb*j~~fpC)i(q=g+_4&rCYN zZTttd=QnYpSbm*J$9QRQf+TT#8I$)>6z}HadKc@W9AUUbL0T7RJa44LU9T01jR@#X_k$^*G9)x9i7{jnXC}rIDeCqQrmR3`_Bo~E>=_wP zNYXHyc{Q%^LjDLsGF+xP{O!JYIbh&-iL(D7^5$~DK%x(AC?LoB>RryucM{`~xkEki zYEI5iHgq=+U7_;!_wgntaT=VgkbGxmHas_0$lL^Ema$X`oH8=P6^iqKE_ny#Ck#(u z)a@VWl9w5tOuwm>{krO7yhKwYNejPdWhZ3>G)N@?6&9qnl9HvU$p56Fj36H0%Hv=I z`1b^F4%X)fD1X+(E{(_k{m{3|Q9Hk>n&^L>LHu3RP6khZC=L$@)Qsi{YJn&K>S>z@ z=Uh_hXQWSt%ZTpp_BkM4{I|mgCfZ+@PT%#pESc+odb{*@d@d=Ut2IHvd5=6F4&9D+7e23>rzWmJe}e%8>|i zrV8Y-0otwEThX9~5we%bKt~U~n8Q4!GvR z3<1uR(zO6?``IV)<#gcei<5zIc|$vWldrrSaJkB#LuUYD5sjD~z_Qclvotn01k-cu z3@wxZ*TEkyh(Amk8kH|Um=PS2`pvRnxQx=j8czTEO}_Eos8N22gK}=Wg!Q;Tq3R zG(97@djDJS4S3W3kl4Q|{{_RZhcqx;vT{lCdFh}dkp=u59U0N8Cjhx4u?K{jD)M{B zTmws?+8+nC(=q-NIGcg?a=rXzQ~G+ zPtPeN-OYV`B;B={s!A5$O*ig|4bXP{YwWrwfjN?LEAW)G?}&b;`5FHSw9Rz69sMRF z?mw`6nJ%}Z#8grE#<%HA(xBck&~7p4jH(7CVFl`)+BlwYJulG$We?S?*nfU==9&%C zTJanToI1W((thGD0BCCeKe~Sc_a={s%PQn$>LFUwh4RF42`c)fd;I;+V`4gKL zU;_X5)dXaa`9ntirnUuW(_gKo%VPi}iD@zjUs2`O7oZKuCJ-oGA>(It@=qXbAg9o8 zuM>d(|EqO!Igp4XsTJ*_3P49p;yF8xSS3>@@rkD@c0&kHz9LN5qd&Wp}39lSUMKKS{pLqMJV%=TcsjII9} z6ZS6^|KE!7Wcl?P12^k$ia#-%4U+Nh`OsNmiPnmRM{zI6f@c5oW@@(h!V!5gB)tog zj&Qe1-P?@?iPcU}8L+24 zWOaOAfq&)*$~mu@8rtpqS!-wMT@#qTGX*z>X@k;7|ON z7vU2BZEO3^$oowI>OV+W_MMTJ*eipL{~0b^Z13{b%nw-nER_(`KLNYH6H~vbEiwN( zZuri-os<}g3jLIfU>6TdV0w3knN z#4+4IODvFQ?`JusePVXJaq?MWnSu7kw1>`{R?dK-;@pG1^C8e^3oyCfPtxoF5la8P z^a7ODAByoewK%}p_p3;i=@N^HBq?$E*0ewEdt@iRj{r%9iwk}3BQi@p(wiyiH&a@< z1E7r*5NOI1qylQm@I2NAQjX*fg3R`ctE*D-dS{-DAP+WL*!vb2V*+yQ9rm@KYJp3L z|KDUJ01)VR>@I;n;E!m){V>n_>Wb)1Q)V+BImi<2%&m?1S^m3 z*e;coEX4}lr-)osut7z5oYp+3!LY!Sw%INtiS#ZCUFsK zdWsm8L*8`G#wh zsxm7|Hv}?PBhHq!p3RL+{lkjJHIaM>+P3U`98y9kMA(V`d{ zJVjZ)%lXE{ItYs#qWDvm#^`4Jnv>N*m=pz`+-!NSoR_7p<^D&f{`0O+hp_$X5h&@- zp0;TNgNc^6Y*c%A-81XO?fP*F5|E^hP>r+HLOrG7RfXbt=J(F3==~+ev$y8lbn}ZJ0{dJ zhaU#T%Rw(_k~E09lFTVL6qD!zt2^B({Q)=Ci{nQgG)k7OX4AKy!Q7tK)Of{t^5V9J zo_D7JuVJS%LMr23&1S+E{WnQVl>?FkP8g6zpOet zjpI4l0MZ$spPXEzH}-TtJ2;q{^1OX^29QNJ)~vQ4B>l_*$@EVcyWjJbevh%s^dAgh zU*;<%wOrXIYe#l*0<1RADN-6pe4kU&rYX?gd5u=liiB2S5x{%}{#F1ECOByr^&3^! zA(G6W43=Evm-ugSG?47PW*AjRV4eDm5aM@;aj5T2kP{uTYCR+#^ZA*BB+o$c4b z&3BzGEgS*T@BzrCz*g+{dH`D;IcXS^a}^()O~Ggt)(pM}&+R1c zq4-T^SFe`T=D-Lo zjcI~8=`DWTN)LUJO#wbL`BqM;Qe7;|M-JD=1In`5chc57&vZf@ypgwY;foD+K+s#!%bY;0zRUu!!9l z&7_=d(-jSB)thme5oOhT_C()^Dl0mqUSxK{L08QmZN}$_$c<3yJ*jR2IE}*K>w&BR z@Cr3^&GwE4ZO~gIX?IL+25lemEy@=vRq}22d8ZeaVU%6d~xv%34Yory7gTICj0oul)c=wEA#<|v7@stJTy32M{S21oXwP-C6xNYaN$-6 zK7*Qk=;Y7jFpY6jt{8)|ja{iL>=r8!UN@7mNzxG{L`gRv$s52oi!9!yTbhqZE)Jt3 zFmAuK^~_o16~oed*F~;;J*D?Cs%ZF8Nbgq@oB0&mG3ZXnwq;k7Uw1{{Leetfj!d;R zb?BQ<9gz%=HDZypjG168Gj-^mPaJ``rnz`F_)#tYWV?sK77k(zBSCZ(%|6X>(@Dr< z7d7)E8dzp18KzJBt2qwVg|Boh%5TMrvvV|~%9q@nv0cQ?j24g$$K9DN# zLd41aS{9K%=sthWD&Zz?VKqvNd~Rt9n&I|lMCHgA3q_U_8%v*vVxDmyO(jS0O(jN@ z@WwjX<7h$*c&`9aUa}O=f$w;J9Qq)t>r6U*vQG32d1qQM#QqlsCFHGE^J={Y3Oh!+Q8Y|9t!`_acD?Ce`f}~4+L=A?uFu@ z`Rkk?h1W6)WpSG2vfFO-mUCmm-c!UE*9-}BhD|;tpIYj;^{R}Df^`tKmFmqgysDOA zQ)POm40Bh8_u{RD0ge}z+v009BNJsxFc`h#HD?W zGrSbtTa=-DD$o6#Lu_4%l@ye1+IgdIH+))|r?StQzpoq3lHXRG?X3cI1YHWFV02<| z2JLZGk>pd62zn#B!y@N4JgZk;Jt%hxBW>qOk7{qKnk&oSj}ugHchL@_V{5A}VJ6M5 z{6O;>kM!ygsDHtFOd=>4)HS4s))Z9kA9uUV1J!S(wA@9WC82alWs;-H)lARz!BS7v zQtFb`36gn78%NYmnH%@*-BwqPA?cy16ucs*6CSGhHbblOxnt2Z(rHLsC6k)z4U}dp z_~ATcIzfmXR=+Hha9Dbjh~#&qX4`umXF;E=L2nEk&e})lZEH}JEF+PLb9DV3?+mwR z&Fsacx;(y_nnPoxy)G_Fab5C-r9zleZc&+x-^o^vh(~^jVolR-I}VcXmNXF4hbDac zhhvec0rlhb_7P+0AvVGVV&Z|~Rbee~wF$7YgB@fEOJu`Fgyt^?H zmLAUL&`aXavpvD5W|eYCeOt9oQwdwok~vbmC@!tN;4CzA#0<|fo*zy2`ZYmE@JY7> zSLNVs`HyXbFC4ZUVuo@SY36M4qENf&3>0#maUV1mGbsfqnzdYt+`tBPr@sEJ&n-iV1*&}a0! z64Hsi;k*a%6W2KzoB|>3uIds71o&JbCU6pWg8i}v-X^!+VlkU|e%81>rnx_g?J-`Jgx{=4CA~>ed!^pt9pevW+9jj;-zc2ROwS92 zWBC}Ya5#mYttENOiL%4o$u4}sx+yZIz&a%G6 ziR$|ekA8&q*DKjuw81R7d>YfEVvq{7`hP|HjaX^N3F8 z)U&QeFVP0KHhLFE+A^ibR%;lq3F~A7XP+iNt&QHSdwL|Ic zN6eFth&&H5Fc$0FZhtC7rhtugjlP21Mt(K5Su#JCkfjk3EA7#NxGZ`8+-wi2`wpHu zdc4p_1pAbdO(!->yQ>8sgFJwYjY!xjT|ay>m(BFA2vtQzR#aT|bIw7k&y>=?oqF&h z67Le{laBsUeC)TZjen#byucWl@bzi($x11F%^>;5)BMa}HqZrV$pD4e7Et8i znw0w%`b;Bc0Fa|i?VPDZzw>z0(kNVdSf44&->iyDL}C_j75w?D{Lh#^;79q>7WKc$ zEWxerXRQz1cELUC-?YDr&n~z3zupJm5q#F~N@mIOYt9hnOT$bT>L##(0Qu1d_mif5 zne9F$9B8lS#i0%7c_Z$R6i6p(KR|}#ZSQl;MNnsj9tLzCe!_Y&kZv5wA z(CHH8Tfst*YrXPHRL+!xfk$}8>evV!<;GAOqkwjq1F|!9GSaJ8Mm5a?J&4LGK_5HR zH{&W=29#BE4Hc%EwxC?B3!WOvio#KmxR`zzN`<=hBu~=tVRdXI!iUrBLk;0jQ!G+S z{Tpz7!&sZa6<*Ey=1FnNJ=O2@f&Cm*kFdXFM{9UZ2O$*Eaz!@3c(L@7`|8fEB_Jls;G zp?lYvW zABg79kKXN>B%C+c#sHpul;8NkprU}!DS=*OoH;jCpqYs=- zonOMf_NPwC&{as^$|y7mqo%jMhCj>NB1Zs&dP9@Vn!rwrK8-2Ke*&UJxFHy2Ymx~8 zkzsCR?WXnNQphz&{@9>ys08QY&8tXxcal#@LX=08Twoi`xgI{z!CV)6MnnT2bslsR ze)fzNZv$pl@}tE}&}bZb1k!|32l6he&Xvaxcz+nYf6)=XcF(WC;(tV&U&!|V)#l$) zPG82mV7>bPpf z(z37A`nJx_)D-+)xISC{xPjG%f7a3WV_K zG-F$kW0)0TNQNz;Ghnoh>XA~t%}&J9Qh%XG7V{xa!0Xr16$-<;gyjuWr%Y*E9JIY?~-981Pj_pXgLeM zc%h6Z_ONPOlwB^D^LcA4P&~STGxR6^J?d;CthzMA7BccQMHM<~*pcQ!1+H5I;xB7t z*U|fnMVqoP2?&v4TYK`v=?!ogkEJZy$bCn{T5Q{jW0=qiG}YD=@%R?Sk0*V|oH9t! z6qRJ11smJU2tIMX-WI(@J(g<{@q9bYKyxunHF;a&LD5LPUFu+lA!(7eW=ce*TFG!D z5>G@E%Z}9)cW2Gw3yZ*=Z2_&ZQS7eBy|oABSu2&T6}eI?Q_A?uuBs*rN3*G&=sv|) zB{ymY7YvFjE80Vsf*O~nc~x$|Lnj?7*{e7{-pS3Ze|q0pDZYK?0}1 zRfi_(eJ9KEvq6h15%RazXFB$l#*F0kyajI%>Qs=XAs zH$#)@qM8@#TzlR$Q8KVcbAWJtJvlFiMtQ?3SCHp5b$9I!g?mq^wR&H1?BhwS>n$gz zP70uRDue79zK^B|c|+c#I)=Q15Us$dqTA8GV>o1xW*)%B?-@wC8bp<0{iHd}=S z8wc|4RAzb{dcC6@L5*bHBYwA$Hx6j4ed>`qH*#Gl7f{?L?{FJzWVtrCobK;TEsrVl zNSs;e{A${?Id`eqx=4$g@AQbOcTZjF@E0l$vMGo-G! z1$_zJc!r6=ZzT!C2lSk^rIsaBCH#0gr6v>ZReTz0X<1!6!m;RjhN*nOFp$TM+f|d3 zbF&1eVb82!x`6#-OQ?tFg`0*?EKH1LQJ-hRQ!pVW1 zOqZk0ae6nWn2s2xYA?nrtxpO_h}2hiF%!jt3l!3BmN>GoG|IqV{GZZbK*X~$dN>Vd zf&$s^-(VMDhZ407u^Gac+zfi~hvsRCQPZNmbQY1jNe2 zD!MPvX1T?zOA?d2r5+x*#oM|cT2B;#x0p{t|6W;OYlytktOon=36oO(4#$I4*x|KG zmWd_%;9NXquG~eV18YnzYJ0P;X^}^yn|C%F*JtxNT;Nz&pW{`$fpVW(e?H8_iH&H1%`nw%2Wh_*;m9kISNs}~{G3^x+@W&kr}u6<2tipbO)ub9#y*a8 z+_XfT?5xXVbE1QyS>&9a?!et$Ah3esV*A*^SA#g&QpdsO*2wNe4n?z&B@(>L*p>#v zvbLz%Q zUL9h3>E{OQIjR(N&4p!t=}XH$iltt^*_>s?6VkTzYL{o8E<%4K*xl7?VFO|#tsj0N*>PpRKNUy22Za~m`=7~m@xx>P6 z+1QP|ii8@Ga^DWrc4&s}y1z zlhU=zr0>$O3`jQuL(U{(Pioc6sXt`HeYwte(`p%?}>Qa%BVtsL1qi zX)TP+6zC065q(EpEGs6I#R18@=4flPH}opRB)ilpiCB?Q@t|Rl`-vh}>-mqb-HG!F z)#8^dZ|PI9xc6Y)JbWcJcPOqnw{O?(_<^$VphoMMNHHBqXQTMV3?(BP(~x-PIW8{=-hguX-g#K^^*hG!FM2chUX4vfhrLT; z6Y)BMt)F}@LMDB-J?RdOq*?;OC!eeO=vZmAm_C8>{sGue{YO!v^t{7naLEO!f(RSZ zmMQPRX4)dsiP#Izw~O9JVKaV$%$95|?yrHW0uvULnB~*Vl;PD}l;+hO+|N5d*k4;j zYAftX!uVJYf&4Y(iiQEYun7f!1Fv-W;C&cjrE3#|K7#Pc8D8gfnO@C$o&J>md?vD} zd>y5psQx0QF!hN>$Z|#zUi+!9xrAmF-eLP|gkxveDGH>}8xIsF8VxQAAoLnZk_8Gb zw4|vjAYlkSXI4Py#Y<0$qvHuIe0uF?RxJO&OC;qCNP!v~U*u>q+&Z#pQ^SsyUKHFUQN z8LM!Fe0`3Nv+6_4Qr~pjS$I3+@?vMFBgA#)dTLF}>rbg|SM@Q_Xae0PCprR$NTI!lg z6U8z3>w`R6czD!<(#Y$&T^1j*KcwIdne`aY6~A;+G2ysTeXkHpD}=a0Beyy$Ve0w2 zuvQMS7FNBxFOb+GA3EZU2FITseEihl;m|Ww8{5;zQj7Tpgu|7!aaC0?!{aJO!eo1V zwV0jCt|WcJKo@hu&h1qy`h*tg?eMdRwLFUz(820EQa$PS@%~&WT>*<%wjEN_oujKE z`YYQPSJT^aIxiNFmO;at#-EoVY9R0D#b1Tp$gKIpVpjE6aTVqc%p9?C9i1EFjajh*VMdbeReCcMoT8Q@B=SKEJWj?UWiR?k6P$Xa@E=!1Hs0Mf=% zfmt!;^-w3m4_uO*lR+X-nnvqhU(1fn@0g?8GT$+|&pF14jn>5+^5K0!M{?i$@G2)L zZJ0fl`%rK2qFi)1Z>-Za6g$%s;f*bBg*utXHVMq;Ti_uDG!;9$#W*?BOx6t8 ziwk$Qnwe>k0z`_Pokcm{b+o+=P(I*k8Rd(lIN)9ON{9NOKb_e&yf^?ZvNg)7*x3*$ zx00SD-a^Yc*9inod*#(K*}xASqnE~J{{sn2_f5jmF#)+4|E+|L?0KNU2JfrgDBYcJ zuKF$sl6fLX6Lq+^1DG)-r9qAiA%e2ds-^~AlEh#?>KTpqtJ8Bk^Rw+Ov9;$m8JhC9 zpt*{k(W0Kqh)4-~iw2b5d8zQOF(y!DULi>6)Gp}x@RF(C6XsAV<@aG~Q~|b9lF(st z0+yY`Vg)MU&xNEiFx8g_UcXu2VMM_8=R#Qbzl+ewP%HC+h=h`M$d|9~N~}(X3j3Vu zdn}!#`&6>J$wab^a#84yeeGqN634V}FvG^d(HC%K5ESURYlN!inNl}rU3Z41r?}Th z2C?UBjA4Lh5FX~7Cb^!bQq*$oTEAC*F29nGcse?fzCtRxy0DO{1WSN?x)QQ^djEq6 z9Rd|38;rJAjOY;u*fK`-dv^;Aa0m*94TO#87_g#?qBxqfvdbieI9ltQ$1OU@BtJd4 zW`H+vqnUG>sUbNg7J*FhU5kn(@f+jQqUU-Y9WHelfvZJ2731&AueF(&q=+-~nkg1R zsC78hdbe|$KWgtFfdi&?!iS>|a=z0{sY0XP26G&EJ$m3iih)wT7gIKdd}RO~FMbk_ zg${yo_yBwNXg70o$JMqM*>&yr-XY4Hkx---Dd+2r+5}<9cihOVWD2~E&YW2(=90hd z^-+s*KChM8OcWOm-MeqBq=JHnjLm(xN`$Q<nLf#$Z>*C)=$=FU$h%!+Y>Z1d_f3fu!QjO_y~I$LuK zl+Tse(dD$2N3=B2@;l$tqnS#$<=^tP+!%bpufXEbQ8~LB<2(2(z#MVQ+H;8*X1P?{K9>)l5XUbd?)SFPaXH|Z@ zu(fht_AVc;;;_<3VyNK8bGBLP#q4^6L}cmV70NV-bsqY$k{?j~B@` zALsB5C7N~H^Pk)_#0`&;p0u=Yf6t9(PtyAGaQ6Bfi|I5Z9-r0q5V>d|a!`G6#Vv}Y_rIp%~fvr=( zUO1^ecw-3OEJY86EXH~CtV9L4lPuqlpxSCQI-UO%{zB}j%vj>EzIPAi`S^Q2G#FH(wh-deN%twR>UvLlQPz zYbAiyAcP53M201-gSbZ!gVOz~5!!^Aj}KQg5T!edq`f-}*6~&h1|72RqIZ{fi!6#$ zd$$HMyRJ!2i$P);VXJqotb{fEx|{$RvFxNhlGish2Z z2+ZY@M%;z#mIB{YxiGnMoa804SMUlIjzGC#QdZX(<6FvfNNIK~;@?cLirfil0}bvR zjV(;L9I<+y9gCRTo5%Z5J(CR2TULqp!D#B;8it#q*1(N!sXA23`IIxVv2(8aEd6%+5B+x*9q1dC;^S!1 zuF7WUlM?5mH%WOt1H8{0x3 z+_0OKZ}#OAJPcLz*^?4b)y%ev?83V7Mo7fG!~DvIX(o>M(C`}^Pi$llThKy9Bn(m>upT6s0%BL zePUhGv@O<=!`t>caLe1DV)ryV&Q^cfKT;?)72{?$Pkb=7+DY*CxZWj;#(^s@)~o0R ze_V&)q@p8Z4i4K+(;h=lzT(f)kwl|KjfPlM9_}V^?P31UOZbVj||vG z?@=TAz(Fs#l)rE&#HbJwU)6i6686ke1<2=i*^H@`!w35Fz1_v>DHRtE*#0za>a;^fF<&g;xsAYd(jB z3vU>T)PeUFq>Ea(MeOLBr^CT&i9|*2!PvsaNqzeNY46G7o2a%{s3KrlL@lxoMX-oT zCX>vT*A_}cXf1`(7HDaKPLgRGnx$ltE`U@(Y7s=hs=Jd4ih z)VBZIE%z$UTsc;D?1$1y&Af!(>&{$EUq5B{JHtC4yfSt4HumD>#eC@M2`7Y^BkF3B zYnE-gV_khEqov{+X;2j3TQ)PhX7H~^Y(tv0om(|Ba@FwLg>|DZURqiHY4wFIIhmS1 z301HE^4b0;Z(O=}SGc})YjI}egbzzHN~+U$j9u|q&8D*#j=#5=`NvWB;iSk_`!6I- zICrvj)a22FicXIDrR-X#>WT%G)7=rLOLy4Tj9NP@Qy1Ei`&#;ZTgHyjYwSDL#Qrff zyX1f}toGWXqneDdIa^kiS03*=xc2DElG)0wbEbT7b#G19gXJ?;?0mKTXXP8($A6Vk z+bt_$Q;GGThYGtX{_$A#?*~TK)}=gXb=z6qx{6yoJ@5N7+t-XKOuTZ-uyf0?up{xe zTka}p?>1FM9f_6Pt&L&`^l#z$=Igt^o}nWZVZ{b`P=IDb;4%K7~v-#L?|x93$7l=J)cse6{akv{py zb|LG^j=eCo%~!qX(y&feU`92d&}a&OI>dtC@(tugJx3L%9ODY{KiYCN_RZic0Y3M?n#Pok8hiH zjx2wFU(aSgFmWqm&b8gUu}tr%ytCdOA3OBIPpNAbOSK`3h~HlQlHJ!~(>Y=PQ>VtP zy!L*SD=E!%;)A{`(kyAGVW`Ud+V>1q-#|fv;k^BgeO;O- zz1#AW?LX9w({8S~c{3w%TJc%#)O&MZKGG`TbxT$aU!K^hrFCd|>daYvpKE!zZCtv3 z$%>=bR!>|Q8oF@#?;T#+KKl8E1n^DyInVy>(Hx8QyMpGHChu+jLd-nVsW74E=0oat zl1{#s|Ig;fP3PMF@ImrTZaPL@%*lo6)i^>7r#9wD)+tT=XC9;>64qb!b<;$0afIx#xda@w98PdI)2UtlAVmk88Cd{{5KDW#)~OY!ma|)sB5-?f0z) zjLhoYG-LjT-P25yRQ+}&Z&n;TJ8IR( zC(`Zb((QF4U;k#;*sc1^@i_wqE~pECrg=p{QjZSp7L4w79OMYeYyC^v%8u3j&;PWj zb?vd+!`TPXk$uLy3y!)!RlQa_ZPSV09yF`y+Bxp#*WGWuIpE^%*=r1!4-J@b>ytB` zsqta+3bj99zImxuHL9wZp4nrDc*=Q~?SAn7$=OF$N4k`4xHY?Udui^5Aq%&^HvZP- z(-q~zE(|+4??$J{gs_VaAbOe~n$>#zKi}wf@4KpTcgHmiIXnFRhfAT>xYFx(;jKed zmur9D$ks-mzCL+CRkN+%o-S3b_&9Cz3uTMkO?U63UE@j$|0uro^X-x@SMN`BeV6x* zEv!Sy*{oNaeR>1k47}B$f^9U)`@PEfm!o{cLuTk&ze!9 z*==D@_3Mz>am+J^4{V6*z5LLar=Fi*b!}Ig>0`y>*3>uD`0OVQ%X=iv8ZpU9=4SOX zYQLQNxFv$i6wE|K_1>7=fo!X-bA)G!^2o47E$0sUp9TA$E&l53F*|Nf>YccxGig{C zH*n6K&U0Pm8=|gC1K)~zN1yiVgn6^fi{4+zHktRJpXTmYOZIoIGu|FCWq#87ZbHGT z=rI$%8=AkYQ)<$Lv`HJTwJ2y;`crtT$(w5{$c$03>*r+{+yA^IJWAn-l ztxcwylbK`Il-{2<>X$hSx1LtEEiXAb@qT9K_1l*1D?R;z>h~W*>jqCREs0C{@lrU_jT>G^bv#xT5dzzNy6^7T1Wm{CWD=3(P^>g5b^eG)U@7ZaoB++ zO9M+(DTq2ZhZ->EWbi37caiK0s|3ofDIXoko> zMQ|Q@p6DWsVvfZg6@IObB$&=?39^C?dMmo*ex@5hfemM9oDm>Ta%Gaq> z&8SW5!&6^stID=Iu34fOEi zotN44aGZR%)9M+;Y*L9<(FQviB$ZmJRy9Tk+IdK5my{meC`}HVsz}HOBJxp0iHUzs zX0tU)DY=rx28}d2pyYYI&DYT#(7QJ#x8&+y0IH~0?O$NjzX0^mD64$GH40z^7eECX z)x$*rokyBYILt+sq%6Q9K{O_ z9NZ&3E+>-R3}^j2E%_*%U|bOEKqlVV{pk!+bH#_!5n{tEB=0O7(EQCQ5dr}g2;S}@ z%wmCPb$|oIi;wxxR~MexX+>%Hb9fH%WBx33%qv)jg*ujJm-t;wt07iEX0kJ6G1zih3 zEHt9aC75#IBG9ApYyvQ@XA#Y&*C8R2zNGhu_7gK|7kfe;|fkxGUJwnYS`q%8JV zKvd|+fXxe33w@G(v{C~NspNrJNucV)31h*eJi@@huq2`r<|?>Euj0*a!K!dN3W;1% zfMf;II$ZgXvs~WQc3F%tZlMLvx;8rCFITS9t;lr%Ot71Yl*ClR&*3DbG|-_(>wdOC zfvREEKs(T;0t9x6uvRWm z82l4Vi-7m?ETXFz*;IfW|DlgH?oW{rhIO${uv<|N|3^cb;S?mVpvY9UR!6IKT3SJ} zI+Bc3VVHiA23_&x%|~$I{ka6-;(Q!tOLp;J;?jVL@$k&Y;Y@WntkK@o8gGY;X34P= zv9TzV(nJJw&@ej+rCxsXs;3BKmYArEw@WU;ZW815(QdcJ3@Q;g6D^Pmj>+Z}TD4KD zFtSFCg5!)@LCYJBYOUyD8%QhTJJ@M~dP1=39VR#O3g8c#RGMLk7>#q!v%%@Gd8&+j!6}I- z2}gzdvao*!L`lk%#&wp46+s%az??MDH&dO>v(N)3+oWJjT0y~^NKqkhg22#%nH4#8 zq)JBKAX5W(vwS^7BbiMLl%OEBT3#WTwUj~-DNez2CI+f!fg>rw$K8*-G4784%c^_j zZ-hl-BRK^PS0)Xfa(G#K~5+4dMAG|?qFI58}j01N;kO`#dY-C`5u~Su~0Ppt( z1QcTNa>_e`AA?7aA~~{Mg^)M3OJ{Qq^afh(~0YOkax0?A1$^=rA|N3}rF<)PmYNH@;Wv%^uN54%?$njSj+ZOrJ`HahYy>4_mtp-e|nz@blz~G@`Q_q zEontgF*~#coG8IF@Z;f!fX3R;(hyyO56g2rI!PUU2)hr)Yf-Bxe;8zU7CmeVfI(Mo zEyN5A29*^CqqG!<(^6V!Dv#qaBs_Nu;Yph1F@8{OlIT%eAT3L3;gMVb44P_@n9MvJgoXP52H?>&htGqjVGkgt1Ax&` zn`5*bgU-kZ;K#r`a6E?6@-jRP&tYrHGg=7eI6tihJv|H@1Kwwm@zZj0ezaOP4~B+C z$NA9=ZW}y9^Jrsj;J7dmd_ABaJZgoG;c>XVfN8*e0*=ROWb4MTOe3^l9yl$hW@P+0 zE!vhGC=17;lT-s?Jc;`U&+r=52@=C&P+xTn#>?!FB`FeL4=_peU^jpkR3OtIt0vKb zIDvR-^qd=q(HyRORt=BLaXd;bn=cEFj_ZJ>7@5DZ6puE!2g;&B{pN8Pjrz}Hco2$k zn3k05KnoAIWq6Ft4j@9HR$PH&(4Ic`7)*mYn_)2dy0PFMfp}^X{?i27I6%}4Jihkl z=YgTqg8arnFrE*T4`399*(8F|SbRY+bZ!vFPXiofFfAz?L&KmiCIV&A;OhosXz_JJ zFdB=02*zRY1zRTTA%P9i40!pnIrdv3dx`U>La$WOX*+!R-gW=5QN; z)kuKHK_V*7!QK+z~>FYS~(aGg7F|24}$T)r-5KR2*!h8Jn(r#us#UJ zgJ3)e#)Duy@M$0z4}$R^7!Q2j5UdY^@gNuv{z^P>IR!Ym!Wr5ES}CSj#))XxnJQkN z5lO{rV;Q}^AFt&pZ9jNFP^;Ff4ch(&y#Y4&YYown6suwMu$e^NU$2jW7vy1oM=Vci z7(F}=p}?Txmh^`MTJEK8JR>_ScO=a83h;t z0!#vIB7)4qtRgJJ!o1uf4D6yztgK8z42%K-Ow3H|Oib(yj0}vzOw7UnRz@LSZF&hC zBV#wszjbF~_>(uW{YypW&t@vnOBvgkI++o0F#Tzh%fFOlqF1oBb^5Cm>tCf5Kg~q& zsT%_;y^_0~F}<3Cu?fAZCIbNz0mG*a9Gx7D^{wHc&3+pg80jJE>46}>2$1ZM0n#9e z)J+4#_X7#yDRF6P!N)4UBrNiisKTaJKohB|0Z9yY!VtK`;)b2Q!Tcn{6n|f>N%0T= zz6DT2H~S*8>kIzUp$iNG3$zD=ECdJrY3YB}^e>w`I_Wz&xj7h{z(E7xph-zZWJTei z|8&Hk>#xpW__q!hB4Ak+$-oav)zd;`Hikcy(y6d@rLh{t{C!i)C`#I@(C!E{ZSe95l*Cy`n3UMVy< ziVAI>7-uBgT0;F^7UMU&U^JU+%SKTN50?jmzR*m~M*^AwcQ}A7GLV{^QyJa@&Go$$ zd!e(@6pNhF)WVH*xutAY>RI8*EUNd&eNA65E-%+<^gXak^2!RU>1wj=41)q+S`W1h zJsMfAQR@=o!}=@4uXK`%kU9(2;WoD)p;LMr$#6~i)a+4!j|S!dF63|W)>UmR9Q0g zJPNUe=13xQ$*_3JJXii$_FZ_nusx{gE@Ak+iZaq6?i17@|C*5Q)n>xSJFLA_P4e^c z>w}1!>EIq31Z$U<(ehLz`9iX#rcGrToqNsxOL(|xlMLKP<=rn?nPl8|i!vk0(F%H1 zc%GM)xy$urU5qz9A~mKCV}a59(pmCYzC`zDa_JlwTW-dh+ld6t2j+M8$Akqw?%QEk z+DKZVFSJ}LRh;Uk?Oryw4Z2nvOCHBJ^fIyccRq|)TcfUJp%5oasP0!3Jm~S7KkM+G z5N#Z1-*&V)T6AXpkX19Z$7^M)@kQ95)wq9*S~E2mMr8gVjvwyTqG_O%XZbn0Ck^42 z3DA5oW&~((U000P0~o_)n z1r|coT%E2^K9Mwp53`#p^ww|%8@c_8d8kN0ZF9JQN*VIPNR!TEmcvu7j*gH{JJrVL zm+aQ;s$Hz#ts@vibUGohf~vj+m07gF&dBmD6VR)+U8uTc(*1%feSVZJJXCI6pF}rS z3^{A(;j5LjHE zOOv)hIU&Pp2LP!Qb7P@KT-+CGK~k|>xm~e=y3o6AWK=+kp&(g{SGHa%+%u{40n?+f zstnDzlSH!{rZ-D0_C+9Qvkrhx3)@+S9ZItDRtJBP386G@n+R585pn1|rlRFBn#N_l z?Pc128jpTh#l7m%yiL~V`p8;s{oNY2DHwTY#5-bgEiP5~JIemSP-qubkBdg6rCxNU zYw~PD+LzJ@LSKC)CDmpCT0ieoehqU@?`RKciks(3`e_*hKQ6CMPb_|2x<&lC*{Xd&`DzU9h-_n2~sam5yL+=+tOOeS!v1Wpki z+#=OIT6%drDXoMAi0-WBq%+yU%SK<(l~!YL9b)d42&s!*R_KGNQI0X@)R)w;7}X6R z{g3SiErlhSeZ-|8f#vxHGJ0Hs+XzfxdXzknTYiX)8lJQ=HN==uMU-v(KrDzG43C{E z;jk>m*si{Kdue37;6}u^O=iI0g*tB_NdQtcSipOri5hJ{?6{P9SY;IU8-Ehu#an8R zqZy?{U5hQBs5l#HB9O>K^^7%KG)J=3Hr(ejWOTF{(7B1w>e*_{yAVEl5nJ>z^-KNy zYN8p>gS*rZk6!nDz_6O(SyF}jfi=_iYx(EF;Cz_|kq4<+VMWJ}jfSI!aCjZ^I2ReZ z+I3`e&s>7=%S~cxAg%?d?-!vOcKkDOM0qa=1XMAG5>&M-B`s<#i%WOY#gCGSY^Z7B zI`#r%qP5?pZHn=}$>o{0(COV1U4t37H0TlggqSz%k(KG_@>3tJzxQbUNJTqw6YeC$ zYr)k&(KkxQTWWGh^?ck%kY_sRv5TIg0^e>i5&8khAxaD`d7rg|ZBPV(-PfR~na+x% zH~>C>7UTI1W@s<`jp+y3UcAfz+7F(_J~*xJ;2^2RSx;_I-0zwY%Z^$s zS_+tQQr$Qm zMbyB+kCtfisB%)?Gyv8J7Qt5-Os7(1*QNasw8YK71XL&D6v zeH87GCf**L$tLoI!jC!Jjd4`c;n7qz-J`69(h;j7ia5JwW|R)jH=5O%;^HTlw8fgn z*`{iDUhs?K`5*q)N}WB#tcd2aSJZC9p{^=Z=q1~V70kkn1B0_HI~0_J#Ip%gA40P! z(T3-0utxF>vS5s^67QGzGM%xJ9W8@QcNJ9yg?B1O9XLna0d5C6@3P_R)VtrhVg*8B zaV~r+1$DX&j``JMx@vSD-R*wpyIj@1azrRB9s5v7Nwyr6RU?2^gFS z!STyGx#7`jy!1i#NFj5ACXzfe$NrJYs~^6N?wg5AajU(@g5odilkcA+*5!zW8U&w& z87J&r4i+^k{Si$d-v49`v`C z81}Cfp?hwG#VOv9A_VpHQD*54PY)X6A?4%!LHmUu*-c^U+8?<5-bP$Tkv^~p_W^F> zUqDfh>GyiPFkp|I(^DJ0hWI-siuA(EGvbf$>&d}}=hi4&A+t#G3E)OBM9v2^dTC&7I;m^v+H97)pglqcOV|gn95gXd5wL-)N9 zdXl9GTTh*PQvZ1lZLI6~&g&RD2r0Mg!oc+^6EI2278U|)2l4t4=8vEG(~9<4Z*w%* zW-4V3JX^MM=Qf0KI`VhVZ4I{9ePzc>5sYF>3uHgHML3B2G3br|QDC+kt+yK?%HB zDU38`i3>zD!Q#P~@(V7MvQk<=Rc<<^&9v)uXKVlo)B$Y@S!SDLuLz)T>?T(VMTOrS z@@MBj7+|D8@OgHVGzQ#AJv~O-d&BT?1_35DbI(f99tn}Mq7(-CO%wXSSFVK?lai&9 zcv~S!Gg|Mglx!V7#w_#!-=l;X6L~|K5To@2i2c6qfBTjA&FPmUGDLG>?}0?U{s@UI zByZ*~u^V)Kr}x{K#F$qBW_j@jc;te&DJ$mQwnJ-%)yK5M{Tm)EyK(N+WY1 z%Ked0#5CddkfWpoghsLjnhmc{XMhYp#4<{ANj)H~2eyiekl*f%;j_D{;`d1wz)h@) zeeqlThE-CP9JmaV8w>V65Zj!yL3UvXa&gIcmlq)W26uTfku>`^#chOk^$KZ7nwv?S zNja&(MxdMe*Zi#T(1LCcG}w7cF#}+8S!F_?#@FgJtjZ;$uod2Oz>{0Lc0^bB?7>pl^D@Zt{@#qQCNmZsQ1@%e6HKMo7~o zz9t_slt|osSA?t=oDY(Y^m_ZaQ29XZ(ggP7;(tF^SGxtfypY%uE423Ot#{!19fZBR zdPSNlD;@BwiI?lq;Bvx{T*;Ts`O#b}6vL`DMBBk95@{dVs^S!}8keWJ%l6FplvAYv z$4}SN7Xq-3t%t=(0e8su0kj6h&-Fv=n+>7)HbqUGTcVeeeXJDueYtkoZGFYA?y#zI zN;vqQ>@R$z&_oAgPW$9kmE&P4x2j|sDP z&(JiM=)M+1;8WkO*MTnwIc?r~`es{UU+fxQp{lR#nc@u|bsl^_gIzFZj+Fl; z*!>g2|B1%`3t#Blm{Pod0Eqy}0MP;|11VC{OY1vW{t53tQNy3dPq5+S;B5TK7o?4y z^o{hL^a&Uk01STx^s>%QR-gRilSQ!q#VZu(<@8OBrS#oxot@}qZH)g$6@R^S{KEm5 z{>0G=^opMX4V{!6jE(;S1groSroZ0*1qFmYYp}94{cj}l7fAW<77N+hI2qeG{Uwj% z?`8-&*xCu&x@iLF00e(-RtCn;Pd1LvPi=ZJ2U};mzo^6Cs6zUandtvx=}*Y=Dg3Xt zvi;R21!G5BX9q*$e~}kk2Sq!5L*qXfMiKBwD&X&?3n?=EmHVrXPg{w&If*GceS(O^nE9o0J(kuQAUjFpb|1>cBe;fFJAseFR z4vtQrkcsKP;06PLjr9|0F?7}z{UOk=AoekM<=b$JAu!KzPIk( zM?>*$`d#=-T!gI2llHis6Ju5SwAuTPho0Ss_+a4-5ms~fjKsU#M79t1LEZIg{wq&( zQk~#Hu)2mC4%a9?w9nI3PE|_I?}ib3ZcB6#rVd@Y^aPh*nECJ(728s;&y@3bolCZ$F#L#CBBExVLu&YTAt8wjzL> zk_2^-WD{L+x@Raqs=ISQgW(cA%MJp~*qcU@t7ZxF9o|sYa0&zvd1Dcai~;~6se_)8 zLUu-d4jsVm``I|A0V=|20tF9ug?m;b3#2~e#lOlJZJzmc3TB$u+{J5VEFvsW31X&q z<=2ub6#&evr?3%5VuNCF0o)!M7UUeIuv665{DC=6tl1Tbt|r~_txll&jBmw|O5<5+ z06xL(s2J#K+}lvAaYO>4z2EW?cfHIFCQK?WBWgEcr-PJ+_HscR@*P~kv! z9Nonx%jt+3Q0P1=)@o-Y?R4O3))kTN_Svy`9NMpPV>4U+x;k8(T8QRB0|es!r&wur zu7?#welv9g$u{hn!Be^EHo$S{4YSQNR}?oyjojJ+ge|l@(OT8KIkRzerGL{l zKtQEp=tO^MuUj3Rz7V4hqtoCj_NCQUxII*uoB>-sT5)xYx6(WsZJq24ww`hJq+K;G zxEQbUIlXsLrRTN1FSe*gN|~VBnX&0F67rJ}9eUd;O}{9zNTAU)m9~W+P1(27H1%~> zD$`N2c+pZSdoz8f@;)rG&QGa-Vk5OSU@}%b2BXBzy5cOdQfZ>h@X#MIDU1=>amTkz zQE1$ItfI`YGOnHh&io2Mh@r$@?$$I&s@;mNmRauihtgcz5*}u^{Ft0B8M&0)SAI*S zkFa=la=KOY0|s)Q@x1(px$4h&_^-L@e;Jtm#hqE1KKb{*pf0p{_~)M>CSMK_Y?DXU zK4m=;exxFYjDak7Jv{R17yAtm^deq764VULh7WtTj4UJBhycZ78G4ef%c}Nh*NZF}7{v_t(hM_;r4}$?Grl3ci~9!g&ERjv-ikdE|G%<6dFp!T>0l=RpAwY` zEe6LT-7VE;G@t*Jq@$S>DQ+>Zz_J#M>oNU@WXB4wj)fCW=C3pz&g8%fx6b()*QaD- z%k}@1tl%`7a@VP$y2-S(ACOv%27GNWHWH030u&5g7ohLYJo1g9ZD{5-Znsgjmz#94 zJXb8+Mz5rM&mUTj>g<0>o*z=_T}f}Ud|V43e_@|Ex$F$M@I=I}KAAm8#-kHTEx#Op zhXw#)9xLGd?+(oIxg`0|4*a<-^FJMU)MAw%6Ku01+aUQD(QxCtBuMY3B#6$f;5x4# zO|-TEEtI_IN`05O!)B~+;-Lt0U@K9Z(xY#gO%0Zs!h`Ev4M*> z)315Ywv47yWwxb zi?oN~s^efOI^tRNZuP0p+bWf9 z*a_VZ8V)3IiU0ule@KRt-6O}~!Em9i8CRF`a)tCm1K^WzsW_GXFFf>55p{hPPBfYS zO2Wfr0UV_}NdD zRhu1FMDIn_u>wdX=294A7YrB@d#pl!zw^ofy6VF~%Y%FsnOYY*v#w62OhQtww#fV+ z_%!k9qa3CosIhHU_b~0=Ty5+ex_z%J!&RtwJHG){|Yi@yc* zFGVm}Senb`ZQ$3*Mg^RGjY=>MeOoaaqx8Fs(3Bd;Jx#H!ahC=UM|$q5UeL~KcVZYd zC#>pk9G_j41@*s*=+`r_YX~S?C^8Y?vC_^>z~oOp4Sc8iX+!u?IQ2_FCu!nq^Q+r4 zu#)@{_%)M9AbQF{q~e|C1Czh+N0)#%Sha=ZdNN8Jk>=1y2f}x;v*RCG)6tZQxA6rD z@GM7i!-rs}nRQzU^*=1NBhgc+F1cYyDjLn^D(u&|Y zPKF%-0MeF$V2jFyTTyxBUrfF<&4Rn+VH+r7?lK%f6`YLV-SZRalH4y8H*OLxjIcVc zCu)@!Y#@2TJ)O%VwR=%Zw<@{BK;0x!eLEv8Swz3af53itK}2}CrKa(z)aLO|;Job; zrdMb%+wA=I8*7xy3N=?TnAoIA7zgU1UQ<3Si^`&miaVT$YtIZrH_tn+l*F>xo%2$2 zH1ljzKwW67ps$098y8`+ELE|2Qzcx}>^gl+;l8NdH|_xe*(c6v+E)ZV`*`pqme4zP zz}awy3kn2cnFw=`TbP_nntSVv{L$bY^ErM0F4duYU)Wb@_Iab1cpQFF;#7^;|6Z`D zFZc2X$b%Ul<<|n#^lo+A2h0H@?5H%7xL@J{kq;@*YYOs2o6aEFE<cR7S)djK$Xgk@U_DZ0;bATy03xL#C&Q)@gbm-g4uIfiL?sHaaS;5l;0vZCcs#w zXv9b!ex^&5UkY{Cu9?bawxrA#0clg_bd!E1I40uHeuyB^5a!T@Y5)F)aIxsVo9cVHO|)ru{H`+H+U2i0L$)qyn2#LV&al;K56)i*p>^id z!L_0n@dEj9s#up#y$tp2V@-3`=s%G3fcB`oDgR-t`nRJE|GcJSVP*NBQ{s5UHa}{} zmUm@-@_Hm$I_E*6AfnY7IL1vMyCX0IWmb1;5GKa&x4D$6BY&hhHj*T69Pz!jzW1qy zgwd{8Z||rCt|o1!K}}!$lS7%S8JFc+J84Z>e6br_?}`u_*~r+~Rn)4`^EFfBqig)5 zU281-bMw{PlE=)wjX|;)Ygc>OE|($G6#R?6OL84UwwB;kD=n1CBT?P5ElcNUx}1~_ zoOGwoEIfMi@h)l~-jKtHCpQVHb+#*3C1;%SbL2XQ@4VQmoh6fGk=i#KPxC{yvn}u1 z(dz1(+XF;zMewJ7IyI_?ES}N7Ynu*nJwt!jJsh&#V?SqQ0g4XlxU=_CPDxw!Fw7?J z&Gcb3mLpgj^UUu7p6NVe*(L~eCCh!My318&&pfZ$J_ubU8-4Un(3yfI-IV{g$(YQ9 zI>2-<6U|ZO|JS+kUn{$b1%T$~yvY1lx~vDEY29u0~>y z>$K8E;WZ9hj&;itQk|sQHdtFX;1@l|pCTWd?+t3Nd_@_Y7l&J_EW(x9zbVMvsi$8{ zJvHTU6`vv8siGw?3SBytMP7%r1M|h>_sAO2s_jQjBIQgDGueqwbSGQ&#GH0# zpZ369gR~P>B}RG~X(y_e@Mi_IfH_36xK~(}4hhB60_vaydg}dJU{`zpQbX+LIR5!LWTgTmP&s`_> z#>1lshVJ%Z->!kvf1j1@j#Lyk&0cCqy(h6=z$RhMwPTv5{Z}l|7oZi09;bik)j#0v zKlUmk3mfw%wqpACuFXt#S5kCEAM&;Rl^vH<-De1==~oRN$6}@b9T!v{QUVefSx|fl zOh6b6RYW+bEQxW!+DTMaB#W-numXO;`*`Nd9pLzoy&iorCJTdIEKA0;c=iJS;(_DA z_2OcEqvIj#1}K-jsH|Zg00R`gkURM;Y5*M#)u0dx=nFIf2oeyZdj%aW7a_!E{GepD z#v0UXWVQ}OoOuV4)JQkr@*|vf@dyrx|0_ELMPh?PCYOC>8QY=M{nt$Qq9n2qd6bhY zQ2R#a8jI|X1FwCKm44`G75_R)(=5RPz?FHX>f+ZVcH&ZY*ZI<k^wg$K*LV+Tw2fY*oko9vqdEHt)d+o$~N6=dw8i7 z%t}$Dlqcnh;DG=kvZ}-*wA4b4f&_DH;MA_0O!ndx1Gn#iB6&SMg1-3ET*1#(8C`P4 zzb9rB##*ukUSVvl`3_Whp#9v?tHz(38fR#MqN+fj}zXH#qN}B zm`?hgop*P4ycR`kLrPO@&pGg2{1@$$Lr!ZM*LwCNjA=C^erd;KRh4C|$2A&RQkDHd ze7M`XxQ{pPZl;u@i5^AhvBP~OQWeTuXA4ww;LZ)`ik2UWD89x^vdnyo&CP~Yz*S2S z)pXZD*G(`$dow@^^>7>hzi(-i;vpC>SvIzQzphO}JwGUJ@y-^gRHR2H813mf6m;77 zOx~)4faHRZZ30v4A!Pb`BOg z0y!d7A&=$<5S71D96F;Q?G*G6h~vM}QXyFM@6$`Bvy94G1DuuNV#zA(1GF zIHy8bBB4hi+L0*ucX=Z|2Uw0sEdjFcV#6?rVGi=JX!%=a$mx+I(^&OrXCk4~+K2kL z%pPD_f~Hf8px`~pn<9|J;!u&0RDwKtkpS@}iN`Rz5W8rAwA(il zjPQ>BivBPCJN=UVZT;%V;YK3YL?UrB5{&Wwb0RB(D`L-4PHD{29x}z>afjb`K^+Ly zCC>>E;veGpNOj3Jh`aKuDJl~I-#A4C%nNVj2PuXqFeqmeRh3wk`J;39<*0w0%@H2^ z9DhBwK1RWc-WP@`GM<^M->WBFxiHI_EjFV+#Azkh7NpO|J~}zXpNXCA%IDJuVA@2P zi4yj8s|_uUvkyF`U#&%1?i-S^D5f@~MW^Djeg-(H68f!-hN+Ti(qjVqg!>}<#OT3$ zgYnfyKUEeWPbf~nEtAKMBbSb>;M!6;LcL?WbA+~=?YB9gWylY{Oi)f})Ac&uW!6<6Jk;ye}OI73J_Hz zU!%^v-g+r~c6y9@;l6jiqP<<;^jvso(2dhg+DP4?MG{M-IYz`q@jkdXqJO}@>cZ-V z6o)i}VMo5S&Q;B2wA0+o32YWH7bp*q0e>TACsD(1=X(?glN6U2_9SHM=e7@NR(*A+ zAY^8(r@h^o!qD&052+5+_eH=6Q4P6(>gwXJ=5Nun>RE>@fmH903Hv2ZQ%iFFHvH?; z2i51y=GdkurXvosrXLKr4X6z62e@PIBIk#EP+z2w$<)a>@Iw1Z2c3tc`yIC(cS3i% zWbD4lk)BGYO2B@zlHtx47XK!(66YjjL7pV(Drp)o6rVzxBa>HRR03P#m2jL^Cd-oy zUP4-8E!EaB<(%iT<;E$VQfw>Ll|VTBNM26N`e%>=$93*18KBbsWLuYuv3+jIAxe^6rU;| z?Mnp22&8e>wT`;5y6>{La*##Av4FeMlim|?gD}s}_eP>p1J8lFk=qdjYTutDRh+qm zmfx7g8gQ(O?wuOEorUg5vr;N0+0;=~>Pk&SbMiOTVdm*dc?>qU_!Kds&IgkQOIwFq zzqcOTx!;9iEy1mXz(i+9TVh?XkTSm&f3;3#%dxVzGPh0BK(DhBqn^`P@u(edsi?E| zaNoYxKAXEAx#x3!biQ@<>LT(TsF7)#*voQNC97OpHC`yXXsx00n!levw75GCX92cs z{OP`4armA;RApLy5US&Tfnh0P8M^S^Txqo~tZTQ?c-Mcoa<|APY`ru;d2V~$)y81G zvjuFG>$&+NJ&-X02M%wuA+>I`#?6uVEDKZ!tofeWoJNusm-d=&mOh^$m{FC9lNp}* zkY$uLlg*!9nS+}Xne&`$p1YJMme-h1mY-YzUf@!&U#L~)p( zr1hB%C=C&fz>V&WmrZ6(o6YLY(=FdxdRw_#8`|jFO4ZVmqNbgE~LDJi6|> z?Yd8UOnY{Eb$eI))cWT7<@+ZFBnO5EMF;za1cthXd4}76asFxf<`<=Ic$#E%R;fo!s5; zd+Ym`hlt0ok3XK+pN5`QpATMKUm;#o-zeW&-zDGIKCC}JKKIKXf^J^^VQu+ur@#L> zUS<3|6#ls*`tM6i13kUWt;6lz5t8oixC~W=UA$e)uSj2ux_z?!qy5u>VUZIeVQ{{H zc=4aMzqTuZ!(N_YeIX9({0YMdqlibZCrw8|1v~@cw?skO!2VU-7kGQ@IvymK-4G;> zHa-!~BycX=C=`_A%Q7xMtFD9vn0QnVM^|nw1rtw!aL?4tj6x3sDIcXWVRLOW2}_e& z4--8{*AId&iW(9Yupj6a5RjK`7^Esx&E)(0WK@_(U%wwZ5CKup5dNGb+yoe)a>XJF z`R&f+Gg2gc|CQUP8sl)s{L6PD_)ip>eA;Si}dq@Y3m7?%g z!}rA}7%ZYkf&^uPJeGcK{JFMW zrB2_?tV?nfpH!z8qOZT<%>UrcFB8DRM7wj)40Zv`<%|5}p%L8ouwJd+jn4(MYBf%q z+4cDAO20P-_dUn?99d+@`?w$BjsN?MZYHP5_exS|<^>#yh{n}W9Rv$vMka9ckQSeT@Oq=ehP_% zNxT=tx|XTF4oR(BWJoiKv=Ggv6Qy0i#EFX}64vmZj^U8Ig!O@YrNa6b3G>OLz)f<^X(b}dZdlxyMBx^%Wbp~qvlf6zW9BMrjb@;Z)fBN$npe(t$S99~@^=>c83kuslHNXDo_R&PdjPwlPXCS zDTzuRvf~z@IK^A*y)1E^0#=97YozO=m8;jS=;tLl-3eafXkwE?NBVZRPQx-OhJO8W zh_4roDH**@<4*Q6=ZG{d*hRX=G!Enzm(f#69w!S|M7I4hsK;Gl6l_H&sb6Zvm_al& zvU6xlk<3-|g(lEgfemYLL>(z(VrGwbN+1}7Sn!G{|BBIr0RCzlO3aYVgfPQo3gwFE z>Dzm}I&?A-sd7ZV=oa%bN+v1gcP*}KZP1VU56$sir2z&SbRFsu?8Ir37)xzb~U zyB{7p9r?fIe3h`3N{Sby($yoUJk01Vz3Y8e^k|hcDk>Hm%`PlW>orAfU;*IRECs(X z^-oQrSO>8cqer7wqamc@B^aL4UZn+!@5$!pmgfeT*Ah)eU{iYUwnT4m90S47f6a{K zH-T!bXxwYStMk@q)p~8zkWi72pexVJ&ome=R;~v;_%>`f>n-~8+~2ztcn5oTylKC9 zx-90+38ld=Bb^=|h1uUa!rH;QWI8ru&0=GxKeNrVu`si6^koH4kWPq}jP5;+1ZiMV z6*o(W4o6Upd z!9G@6ElcOrk~Wj4R;E>sEyXWioYS=EcvcJCzmTU^h+OcnWDu-C9WO?+*wYAh$rq_$ zoh(H&m13l0N&e8J4U{X-qWmdRVO|UytC8-W-m-A|9IbJoM&~h?j?F?#MPF7;tufcy z{xRsUmZws!U6*lsa=Lf_?Il5o2i}-{6Vfh-(*vf#05=dv2v-$L5$hzwfZKw{*+M46 zvC^?rjMjn20cNnvj1F%CW!zBDT2FdYyW6yG^?Lc)%in&_ZR56c%X|E#%(2>Wvjc6i zYTZ-lb>v-B-6LI#w#+oEL{Md;6#E3vm%{bo;Xz4RCQ{RpF=M8bVy12^1GvV<8gLWsk)?zh6gkDUX#vLo=l~N>lP*vdke-Fj zw4O8{TZmhS7Y$vr%JNSzi)4Xzn2VC6f$`&HXWgNSw@*a!7K!F+dF#f#oVMcFd-cWT zxdXb>z0=qy$%p)|w$r&zY(q5X2VZGdvF{IG3rMC^*y#}Arj0oA6)&OhraDFx=-x$J z9yfSG^L0@nCm|Uj;C(x~*1obgws}E4Pg=W%zBwDerEki5j;b&`iQaFlVeJ+fK-=GFi1b{$A@oJ zzKe@ChJv?H^a`(#nUTZ%rVMc74GmQFr%yWr8G#MeXVv_lc5ODf|n1ZEg-%9QJ=94kzIu&|lhGwOO! zezG%R^fN(tZ$Iv5#3v_cR?g_H}4mXWvY8L#Lc8q3{XTN_k+udG$u^CM)&b+y48TN{+N*B5)y z*I#{9mq#oUn;sF`Rh9=YC^x89pS+DBsuG$;G2RCpv_DMUvw$%VI%IRWCOOs)cDWC@ z34cYlSym$!CZa{SihzJdW0Q~&0t?2G@Y_?{46J`%)YRHsA3qCDFwYj8EjRG*Rkb!o z-S@n(sfINUxU>#l``n{X?7#S+QC*6@Vx??eTs}NPycXOHKH>4X_}IM%XJq|^<5IQx2<^mKVX2S*JIY{ zC(!8~apTwxrdC6%QW1xysfxo=3!T5jQW-i?`(mpn-5%a>iM}wnss?ePr`;ZTcZs(! z^s)qmuP5Xc7JJFS3uUye=oJ!O&A>ZEwuGv?NxlTjH?Y41qG>?=3Tt@jXceuihwT+^ zeI?nHt+`>n$?AmN61`4lqu7+Tx@NuA=%m@gU}M=7y}Dq1(CBKo4sVmWI(@e5vd{DA z*-m~j;CaK_PJc1HsmZFkRQrbb!OWz?cl-nw$kI1D_Ng9{iQ>pHi zdYKke$pu^Fr~izYM^(-*E;}kyN-A|=ksMcXoa1KErB#ZYM{ba!Ri&P{Xi%w8#hxc? z5V2O|nxm^~R2?cmnGYPV9w@(H$#wfqT05Y&w9692QVp-s3vLEeGlk^Q^CAaLn~T=Z zZ)x`BESJa0kNpri@C z0EtY9A+ej8BG4ffs!oq}%-CR5_NoBT^=gk!BEp zNf0kwi%ASUz|Wwt8xG4L4vT(Ojd9<@v;-Bq#falyU~UEF zZqr9N4gF$=2;XDR4pp)Rn;8gWheX$d)(p+INwyZiWruOzf8{J7TRC$MFIdUf$n4$EH}b*w*WEhi1sA<&07Yh`{id1g2pf2WD) z=;K}pW-**sb5;qQ*S}L|Q;AeIu2AFRkf!s)I8}9M)uJ#R7O7RDOEz{0r%93AlWLKg z_}uSsUqGy^!{pGUOTiyObBNKRJQz`S$kw7g7-gwd>rmJzyO^_7Cn`|@u`b-YWr)s| zu8#bQC6Qr{YMnP-pENkd`mOLRQJ9M&%|!wFEMI9w+Ppq=#+=@Gig%>&El~u5A_IO# zjZ=8%5U@9eG*VQ+oE;;Nhg;&sDFEgaPbNp0l~2SejdBDlonObCHX+ZDRYK|HPp1H- zonPvdRy>WCE~9D=u3ezeDr4*vIC4ZbUZ}|&X)|lpDuh3yoi6lXMz>z#e(SeCE2P06 zYevXZEOHx!KC7r9IB7=7Q(}BeRM;wO#^L>q`jAOOB4?KB8Lpz3-VCmzu;G@pqF`gj z<2izLYLPQnpf2tPCz?~LE!{GY+G0k58n?3HzJ6Neg_DS+TO7Bjz*$apK{+6}CtuG7 zK+evghLed}y9LA}hcFZ9+>VzYdaTbW5Ia|JYnJsR!Kqee+MH9{^iV3RV(1trt9yzihC>%e|?i!I&~q~@=| zr3KdC@HNJbYn2Trr+96PDV^6J$j{+j9y@2aFDnQ)D6d4mja@@s=e|21<8FhPmR>0O zRB7TU#`)4ypZr)#S#ey6jYUdYadZy8w5~GcV9yimH)z!qHS{mHc(!F~_+QQa8OPF0 zil=DaH^faaf9(Q=l8*lcA!-9 zSF74_ue$EtR4v8aE*8?_DI7NPF-pgkOa{|&4#(eG0F3eVO*_X)EyjoOz|F8tann`~ zbYye|@51Ov6*q_%$P;mwRD?&rq#5a zG3R}b_sWY1nF#bv+Hrhrs#}QlcS3}IM?coeBY^0x4W6*W{e#-b|hsdV^{e& zgtrgHT4>>v{g{0Ls%(`Vmtz|E?2PrseG9wRx+OTrx@d;QHJ5X|9hgMWZ(qc|kbl_# zm4TRmpo7Q;%ZAVa)dAN5(}8%0eMLJ4voL#-qec~^4hlI0T~}zDsc_DhnX&%kpHGerL6_$gmsi6N39tXQ)#Vj=XsvTI;~Baa~Kg z+w^z%eeCVQS8mvvoAjxET?Ue`aGF~eOIR0rMDP9*ZWybZJW_)%sR0Ppw7mT;kC31E z>(oycfRB1Hks3l@1Jl`C`G_@pMSn^*q8|d;D>*`1vaa*rI= z5!}t2Pjru#RGmX`N{M{FA#6_e9`!}Vb>3nb>0*md?d~_cYiycg)5JM4M9P;CBH+cl| zu;;x&2wZwL!b9N}2?5<5;b|c9@DO%&=3Yu7oRWw^c4^_wAtGcxBzYo@{xG#^VP>@P zQ&E>@?NuAtolRQ+UZ)>aH56H|Nh+wO9-~z-Wi?!JuS+VpZtqa_7hZpmM^tEiPShUQ zB`79+jIn@q0QP-1&Jv8HKK@wXTO1sO9xqN1m>s0UCSX^PVYdfK4U#ktp{N=%rWg4U zI$7{5P2f&7j!ZZ7BiGNMDt)xqFALogYA_{wxS1h$cHq2$b$i9&SwV1i@T|RHk2n*n zNG^rA#$u}sE;K2oMw<~fm7{Pi@NAnNYhlplY-fcXO2VFI7-u`6GP^2kA({@zP5VZiA_t%IdhV=K_QZ_H z`IvSKjOz}wOo`qB`Y#+x*BCt5EVx{b@8`QjlM zd-(N5-NDZqULQYn23bQYk|HPh+2i^7k@J!B#lwzU%mGKt4uxHoh3aG!yf)8@q|ey!Dvax9-=z9zar0Cb(G8nJPH5@Etma)+k7 zUJvt*S{+Sa4POBc$bf4-V9+-hDxd(r2stQ7OfP~S1Xc<={y^#k;|MG2)nE6ufl^7h z#^lTD#XTaHk*7p$BTmcGCc{$8+V^ohXMnaC?f(Z^K&HP}RkQ2c^_&5LV(WmlLG~7j z5Dw800Wr`7nn5HqhbU+YaS#s;ajs|$y`eAkfqsw$gJA&lhk-B%dP1T#4Z1-W*#}Z& zKfAmgVh8L{yNX@au4Y%aYuGjIT5_+gTB(kx5>={>s#R*WTBFvg^=gCKsJ>8J)K;}kZC3@V zNF7v%)L~Vuj;T#*ohnoZRGBCHSWoh!dYRttSze}B>H^R66MD5S@{BLlOZ7HS_~Uw& zF7(td(M$AJ&*?kvb@wOF>bvd@_olw$R?;{1Q+?U3sDIW^^fj0DE&bdp0iV9DUw9P| z?zKQ8uLT-=ZBWniKiw;WR8RRd6K3K(;WJG`)7lfhmx(aRp7uRVxJmMi-{dL3`TsXP z!^Cff!e?@=r7xV{zLl<*ic_014d*~G|Dp%B*s!f%Z zYwAsEy?Tc_SDmK@)cIQ-CSR`+4|5%)3o z3-06Y6K>{Cx<}lj?vw5pt)9*^sJyBC$+N_>T=|FURsN$o(ci7`to5WkPkRn3XOy$( z^u|2fJ%`Zmo%ZbT9QK@(?VgLCE1q}c0?#GSzt90XJnwrxK_561ouD7RU>MzC1pVL| zxm#X}u5hg!mzSY8+$C?8x1&ScEhpq1=o631`{aY@7N3{*%ZJc2zAlf-XXIn@8}do{ zW%P_6%72tEqI0y#SLJKyAA^clsY4ezsB|j>=p{EQk1CI&qdcJOP@YBhPbnvqQFNCV zl#kRI%4Jnk#?@(RoBB<)UHz8Yp&DwZY7!OgB^uvJ`Bw|wPb+B%_fxcucG4^K9(B`3 zP|wnSIzm4oMz7MZ=>q)^ukp2xrBr4=MTI02r9Vqw9zTL7<+v#i*$GZA&?bCbHQIG?_a^R{8o)5M4?MSI6jj31qngI4OIyJ<1q zLs5z${vPV50c7R@dXSdVGQll_Yzf|d;O>J~99o>7(0Ygl@vNYS=@D9mdl2->498{5 z1^)=G#=VBt(mHyS*3*W}d$mB;L$XfrYw$cqkE1f*rY8iI{aVOI`VKvbstwa7+I&N9 zbB;>T7TStBKTX@OOK+RR?ZEvEeHZKDd$fyo(+{vNo})ce(C-WUhqRaWVa;&aE+G2^ z#icLPTl69wrxWy&$YmT^voey+OP|Q$AabxC5pK-$*vjAPT(%xV>^!zaCek&)pU4}r zDw9X9xe=O{6{`;1%7!VK*nt=!>ie8W2O+Ug8 z{4w4xlgLH@vqZUU6mHk@C5zt5JdF<_<($DA^?g=`dvcAXZ z1yMOhNgAP1I*CfYM5ibv@EgfK40SB*U69H_{hmI=`x>2hd_XtRd?Lqb zi~Ik0Ile$D?N47#uTEdJH&UO}A-#>f9za&MN)pbE+$GH*XTje|p_A!<+ZW?o zb?wiN{_z9pe+Igqdh+3wE0zyFv~1~v58OY{zof6Xr~AHp?^%3zmuYl%w70dk-1Ut` zcQ!9MSWPDs&Xs zZ4%Xl^+;4>l~GnusW&#(a$b)Ch~)uMrU8mf)?r#ysA-cm1JLZ7q8YF>1368}rPWh? zZH*SzHFhqfYbmL#)d0OMq?;PMEa*joE~petIT)W0BeZZue@J6eR134ns{TYc8iKuK zsWYetd!4m4lysJYECt1?^ueT5HCGaZttvbxX`|wDJ}tBR!?7OL(rSc5UZ2kt7zKqb zRv2VO!j?9`eWop1vgT}JYsy94(dx1uy(hNVVD=aWCG6ouVwkzB*(^Q8X07|60wMRZ z8a)(d)jEtdx8){~n8WYVwZx|c=k?1UO-5oFq|opBlsL&l%%utPEKTqY9wI)UkNdJE z6`*b~Y^c?+c#XQfBNV8sHkmETC1_>rLpPkd?O%g9Sn$0 zufagOA%R^AOA9BWA|9_r zm=O@KVui-2MKknX-PEy$0xbrQjptg_x4B(!ZtXHewKJ=vV-jb{Z?`x`K8Ul7Er>N3 zsrF{eD)E+#!EvWYV!GziB8gZkJ=C2@1_Ft}aI}98_C-Q(>PhJBM!i=!-)3y^uH$ois99?6 zXn-jjH6(RuQ)@CHZEEi_##}_&rVeAoCfS0~1~WMmGGk053JBE3Q4VrO;|#ad2Bui( zd&dGqLqb|00Kvyo5(%t0i%Aqu*(}UuO;jl+3Xlzw0!tFeY8L=2wy+^fyDFny42g?N zP80q}NC>SfNj$*;rz21tC<&C=%56v~hem*$hHi;Oqh(ULPy!NuNv1rq6}Pg=NgC_cpLny@BKNI6lw93prkdl`l<~P;7qaCZakHV(b`$ zyppxo?A)Z5N~b#v-#PDP(}%sZ7*ChMN~-ba=I}3s-U9wa0WV-f@fi1mIt@Oy$lnw< zu`ja*q0+=kV4);q0U8l8hVM5Fies(CbU^~f(J^E)vzkw8447iSx)?R;b68=eWz$i~ zC#o|O9{tPW@WEbp`iJ?a1in$bVIf|yn9Q`2R8$7f<2pp~sAjE^cI;*A&%)`okY1em z1(m(xae6aC;$hkSrR7dmG8+c*<8V7yiDA;1-qYk@1r z#i9z#tgDb4i4-DkX{SU6?eJdm%)ljWgayzaSVw~9>|A8xFpwP#4$Kq=3ZzAyn>AoF z{!{TeiKnl)7^9%>k=Kayn9^#wLvG(asN&qhkHS^kcB2)es}T zHmXg%4o!=I=@;%J6GF99^d}}Kq7jQSXb9~`KP-MPo75ea(|et>M(Q8`p2R zKU?85sg4_~H`;cHId9N$S%sr%>9U%p&GRbFXUX}TMa||Yc3uS|fvgf-QC3pQiUMYo z9c`1CkMi2%by6(rHA}HpDxHwKIy&0>sC1_+#hjG3*V)zGM|ZS0Qna_e(?^jtra!qq zMIWe|sh6bec!#Mm=<+(uCMp#0Y~K}kj~|jP`)`x;-fl*{_d0Fvoz8Bh-?VZRV>VYB0}U;`(ZO+-;AU)soA-09 zhqpKHnXaDmg@}!i&!^j}4gs9f`d#KFlNZI1jQ&n={{U@Wzqx34<0qtwA;^{W+lw|3 zY<0m3LM}+8xSNa)ZM17+t{KP4>!b}2ZI$Hs9lOmQh=nDq1N#{}vb*inCFvvSj}k4} zCA%j);(5~aB+6#9o=hf{%IEnl86HHw!@btdceL%mN?y_akfMmWMlflQ-%&T)+-xUM zH?(0!0V{BMalhZJ-B^N-(oR~6$#PdW)~{&`E74Kr+Z=Yso0{T+g*j3E*Kyh^NTG1t zg*jYi91V%aNK4SkoW}pazkAFtIvJX^TX1jf_ZEkRF*!v)Q?@xdn&w=#`RZw4^ZO9r z7tv*8OiuHiT^&)t+DC$?k;a{^lqB&aa)bvWgPVE|%$*#pUEH#5 z+ZHT;>-D*Izw&rXdb+>4Rt@${?JFAK&}z@XFoZiQO_j_1H5beJ#GG1oKLw zDLMAyQ0v&<9*;FW@*IUzPozV&ywrQ3dTr;#uBKW~sCxuKLp{5Go3Wc3A>U}ZW+8-n z6$3@ZKoRCo35qb^A}A^bipqyn2XdkSPN680ak4CoGFNa5N~0aPwPYEGa)9-PwQ za{px+5GzFk6`Wd7qk>$)$wgHdO*0kTvY@6dJ1V%ftinkEZdoA@p{v(v-jq4aJ|mNm z9OLsgQUonRUB*tCIB)Lh(}OSl;r!6(8-ISe=lIls$eCz{vvJP$11ArR&mZYd&%JhN z=+tnhi?y2R%kF^3>D`tP$A13VTR*#hY1$WQ5uHNN;|&RxL@LpL?&CA3fBW*|a!NMy zjnLm~Ts{w6_Mj&8rpDxOHi`wpPl51L@B&hT2VfEcfRS*8@Dl}fM1uyRL4%h;gO@=A z(cl$|M;1WTUUm+P6)cxbIv48*uGV>%7fVin(Y~lUhfPGYteOnI=~Sa0q8hu2Sm(#z zx&4dUEsa+3cW=HmywrK(!nsSOGZ&uEP(Ob6-a8{Yfxi0o%^!Vz>3jR`^L=MO2Bv`L z^cnCxi7pbqOTmP}lZ4?_!tg3#c$F}`N>nI5XR!zoAp*_?E11hU&dT_zjQ=EKSvEI- zA7#gI0;ZCABlhwqp9i;7S_X3)F-X`WYX)p8FwRfIX-9(wgJalC43t&#gRp!0sk}iT z>T`i7MfpcW`V3=raMd3WV5no9gEN_6sF`t@gS^IAK;BPb&S7QtdPEPWgT#46uSev$ z+Hs3JBzS^uw${e+B8jZ`*YDC}fL8*&s^P^723~@Jmtf!}7$CldG?Alz`Ej}kbN$R%bHbi!qzIC)@wxdq;PjPS;j>p0Pj${fW)*v3hn+A3oCd#;0$O=igg2NmsSc9P$Cql zL|UQ}E3|?1@<0ToP!ij*Nt$3#P!Up4B?1*ZRF$YuUW^KrpiN?%b7ueDwcR|FmzjTe z=KnS4JKs6y`@Z$%hy9%8NlBB~WG31F-5ZC$zHq8X)94ei1SEiEg`j&`@+Y;Jmmhoc zqp9eulL6Y11*D~Xj-U$U?ye?ZFHO`@bUy+o{*3yB;*OaJSHZnCLEYK2WHNJ znR8&~9N5_$I73xRS77k!5?%z6?xl2iPO0-N3)Aob?^ocN+E9Yw*rdA_c=}zQ=GST+ zDD}kx4O8_tri75XR`O$k>4p+t-akR{Q1$#ejbjvgp;GAvr&rUvJRTJIud=iZvU1ex zkI3xOG^~6`aaN9beNmY;^%D?+~!$R_tI}GYs=p%tEIaprm`kz(~kk#3bhZ> zo-3#W>RYOrB7jo_aEbs<5x^+|ICT|zKew_l2e@%U$p8mHsWtp*<4=fqO`w&K=*KF7 z*p;a2TmAX9Plm6wt^AYz5WX@^eXXoy;)y86OOe; zgmRFQoqnY61tZ2ftMuScAle*t(&$BE8#bL*ww~57U1XZ9yDXjKGH_ z*mTRSTIO9P1|L;N@!AnwtwtOO%>7no!D($P_26vSM04n|fJeE*hi5;6;ayj&FV*y=$;2GZ9 zA!`adPNOvglSaqajB3NB(K%#{0VLDN%3+@xl~~hq&g@V$SqaGw)ABYN`k2oPG-(PP zh_oiWcKW!L{*J>RPWqm51th-KANJpyvT-)E1r)Jm;@->E|1UNqcz-%@f7E<2)Zla2 zB~2kyf**6YFxyqFbuT)`_@ zd_|x_n@U{9m9qFy#~{ZHj1cC}pxlx}8&s0*CygwC>E6WEW#h}S#Vx_Rx8+Nlz{*smoYa;!SwlUl0r9l-+VV@`BX1B>fH|x-{ zDboiX-{{xE>;iccVkMA988Ti?N>4uF*VF|6uM2)X3TJBh*&{9*> z1%umu88MQPnlhRS8BK-kEyI}8?GdV#I!T$S5CK0#I3FT{DMSQQh=3ou26m03d?1fp z!*Q%#>&RMjF;=bNOD>-we_X@XxKYa1k+tTcrfSOGVwD%O+}UyV{i*N&`E<{YsrRSO z+ilI+=cs>_KaTq&ucH=eQVFik3atDotE6?AVyis|gKy7H!0QUWKQm!$Sg)?*>m{{imF+UW zqaXkISI^p|s1N&GgP&F!`VKzTTR+=5I@a{+5Bv8&k}#j$_oK$^Ws_$-ibaH!IW|!Yw&$VM}SY)Dw-Cd!kk&FL*?fj=mxPM<5nmTo)xod08CB;o2 zOul`(fB1!~2af&vd0^jl!aj=w*te1Dp{^S!hlGrnX91c#qMSDvl}FU`2y-6rnyc60 zXMI6LY+)r5j736IkhvD%fXBQhW5%4oz``AGq7tmI;4`}f`lAftOA{_%$PFJ zmoqwUot=P z9U6OE`)DD)^w%n@!6{nJ&P^kSw-)ygJS5jOcJ;R{|2?6ZzukLKwK2=R(au4bGuu`c z&EvrHN9lA~pA=W_%(w))w@BbD5}1o*$`lE##d%Yc-k8-TneNT%pjQ*wM3xVDac=;J zd_WNJ0$T!@y1-Ra8&3M^fFXAmrhVitm+x0xXl(e*H9AG*!CoeHhZxDzdELR&y^zZV zox@dL%!{6`d77Us2CVhN9;g7)VIf_MVn+wa#EL;l(%dZT)*#L|V7{LKwcSX~mV5TzW;%l1BZA!|g0zgF_KYASBl9Lf7bzXA zAf-!q0Txpp0)>Y_;UQ3X2oxRyh3BeCKqon^82)8Jx5E)!vLuuOHBSDI>}rc`+d9KM zyoi)YQ8!DXM9I2~mML4l$a3YI7{_)TC(df8aW1RUT#I#6m$ zeHex!8#W+IoH$mI0qujcE_=#=_GL(hJaow5!Vld;+cdGe|B#feWU?V1kvs=D-}(OU z|2~{8P11d;s&z4Q4!_9A^&~6Rcl|X7-|%d1qfZT=s~-E&)i;K~dIlk;aoMfMHf}mL zq3Wo`Ah!MM_=o2P2Tt8QK_zNPJ^Amhi#uDgJI-&X{Hs9~5Q~EX{{Rq65*H1`l30A3 zk|>C;=^$$IqqH4m11Kw@K-ClneSoD|s@=f@&5dsbq=1x8MJ6T2J*f+MoL#iRumq`8NNv<}g z|Kty1;6(oM0^P$qpwVy+{_$VwUK@-VG3W;j+dx^q0t|D+7K1;T9Llt!w1m=rr1(%1 zfijZ`-W8s7)lexG0Tj#t_{bVx|5!4gV;o>_7GwWVTuKT zbyEPb@S|}7iv<+QxR9EZE$&H+StF>vKh3W`TP+N-)e70jHN>Sr z%*(C(l4l%BtTE-|nd^a$An9)p+@N?*JebTl86JJTvMLVfKKW04)--Fkt-RB9w6{3j zjgH$y7N4>Y_T5Vm)FtR28KR_Tgt!aZ=5={OP@s!mV<0&$b-+Is{kV*u%~jwbqv$=B z!8uXwFyvqNNwIjk3u}His#AZTSGPzAM${!}1J-3a7^Q4(JGpIn)+S<3iZ=4D9HDJ4 zarp{)(&ZlY$Zjs0NZEaXh?ly|xnwtvhLNb^3Zm1DE??-w6?FwEmt}p1T5OL(AVa?X?Vx@IV=)YIN>qVXP4>x%{#Q-U0B1l_&PfZ-BigLl7kVk_q~Y?MTji+b^U)_{GqH!N|_%*B$DY1OXzPu&XqZ8hLr$=EGyD zk^J*raySv@oB>B59882g*==vUu;6bgWk$9R4#64Cz!`nUOhaAu6F2c0%|Yw5#R_H_ z70j|Kn5g65I_iZg`bLwzD$ZeQ6y8wrDXO^RtN7g1DrwWKgx6N+iqTA46)`u{WAcb| za}kEum~p*F!$J6qwLq$_Azzl~jd^K25ptF>mBDj?)#FCj2DJUfy4J0D|G$SDqRpCk zvo4=c538Sb?tizeZX6j=IJfKt=2}=!R0>4hT+^n}(WZSr-`;e^o1fC61KO}MbY}g) zj_v?@cH?UYKrUg<=Ra4B=s+jP@brDZcswA)3b0QK0 z4D$(G;%rPS_Z6o~s=(S<>UXYy%N|ID-P-HdkNxzc)4k4M zToOH!TZzP)V)IwFU)Z50)dc4W19SHPs_!$e5^17H{6$xnNU0a`auI7v5qr%d&Ot@Y z#v&$X@fLbS5Jb+vJZD0kGoj9zI+HV@&S3)EJh2hJsK~U~jHxG$fk4sM#c^gcHr_gY zOSSZBve@9SW~)t<@XQ+(X-vd(Z&dWj*pln?E!73sr!)eR>ne*Wh^$7U(UI+@-e+B* z1~2IChMDj0e`kAB$G-Po`rZYNZHQnFTQ9Hw$xsOx3k+Tt>(@q|8f*ONSJ$6d(IE)N4&_npy(&L0Hsu5Sl)%Yg0-Xtzq_iA5dV=3JpvI8>lKxY|8Y zfXw5GWpT>PVsx_@_N=brz?nyLL+S@AsRF2TSPk;DNp;#}wEmmduZE1%81Gmt`^h=_ zU7GxuMt5mM)1lnG^q91`M?5T&qIEH()46Oa`1ETv>F&6%8q~(RtJ{1nPG>)<9M{)K z=k9@mh|*$(5S=3Fpu~`Mu@W*kjpbU`?XrHjW&g z&<gl5&zBxQ} zfvaF!s=%9V>7|PKE_Q|3Kh&wL&P1<)jU|y-vqsS7YTZr8iY6~Y3b3%=#*CcGN5wnf z_ZYY14hKprMLpbErxPpZ*~I9pV~N3}0O`Qt@r#U=w@RH8|0BF=W1G6p@IAh9u6=Fe zdu?BT#EE0$uh@?5BsNJL5`U18V87@4&1e zx7b7eukK+Z(F6xx@rUd!vM@dKqg^qJ)ok}dG~zbY-{jBof8p}nR&GCWQl=`l)Nt`Z{%#GI z@85L0)?e}OyIq4{;Z`$9K@A|%^%aMNopL%=e~d(%L8P57mycgDOiY9jQnJ1DsLBtd zL6VKEgp$0@o{dDK>v(p@pS4?01zUTjf3UUhpx5Rq_1+tve=e2%#yhXPd~UW=3UvFs z(>>w#Q1*pW)!0}&kz~1EKQ!GnmUbQ5*S#g}+P;74u0Q5Bo;fjoDDUNu2irrt(_6p2 zy|c|}OZkE+ou~^8?cJE4-`O25?#%}B{TYv^+BtL}5}w{P@!F20(GaNr>xHlR`^z1B zUuy5$@?d75pfh-qu@0p)+}4%HN;(I9!`qN=dbr;pH)o21T%__};yf)0Jorl7|ZLq0f#hog5#u3Ga0QjQE@;NmS9dtiUI)>^f&_&v0C}paSC9f zS21Z~m5kN;6nLh5#knzm(rs)aykHcXgRVf@w@y=N#44WHxG`>>dwoa3AX;Rb#nvJT zdPj0gng88d*jT-tgnG+z7pWGT3%w-PO}dLVG6AXbCWWD!g@JCw$xP2Q40K=BMY;8y znW1H7Tl$PXfg5-B8+CYRKHRW%L+r3GiU%VS6(afwKKhB(v$kS9YyVdDuhQRye7KGH=stUG5-KX{WJEHVNj2)m zI&EeXXkJ# zcYH+!S`bhr3L7-Ky?79+d_~zzQbA$HSaCiOJ+xHC4odk3b0J?86 zbeC0S2YA~}2`tluNMS?3s2nG*lK;ZUj7r1|BWQ-{d4>@;!!{s;Ad5=4Y#K_mH%TeP zr=`*l3Fe|SfvJZ!NUoe_RxJIg7#BrtUJFiKsyMbWlK4VkoFw>`Tma4&0}$ctyOWf%ECLt-yJFJ>GLSrU8TSf zKwV$bkR>-_xuA98^y2LCt7pdK@W$B78r1cFZ{IyQd$PEBVQ$D4AMR$3t~(DHXSg?L zPcpxOM68UqRtA<;qpy|m+KTkGaYZ{O9xy--dCau{tHVWOq7tzx{<4DVNUe-UpTwi? zV=+MoinT{0-OQyL08?rA6k;~(&g+_u27{|Dqz zY&04Tj#Rbp!5`P^;pyIysFgQ}qH&!UFqwLIU-toEQYMm`8`I;3@yYS;k6#$qmly;k zhEa(T4bIoF4Jk3(DX|n@x=j9FY!CH>ddyy=truzQMYHjuQ+ZJty;sP8PzsA8Mo4p! z#!9%2z(muvgP+vsntJCQO)6k+f-bv%23CBuJ53sU zX`aufn%RA`(y)8L=w{sqnVE&HUAs4TIsZ?0wZ*t`mEk#azj-_t+cRUYJu|k~W3TP? zuJ^vV?1WruE7@)ul5T-)(p0I6V5^EorAQlEBwDGFh}s9}161M#fp|bD37Z79h?fW? zgrh#B2|Pf_3*}{nkPvA^*Wo`iV`tkG$?}|Ye2(Xw@B7d9f4@kx5+~J{o}3>$(9Ze6 z(GyPue(l)Cv2tgzmgXpMn<6U|XS=Pjpq8!%$4-1P@R4$G9iqgvQ)R~hyPtD&dTDmF zGF^3w^}SE-o;lNP$a-3plNnXBRW4&^jMBu2KT~y!W4n*z2=^ZRlYE~31+hq62qU~k zXr*S%S~F&|8ME1pWuh5JeiH|}Y$lpprA|JvWp*ZURm4Tc#dTQ!0CrPDJxIsKzEsjuq3Gg1`8=<>wQ=*% z*&zQ)QfK%C|LuV({)m?aT2Fp7_n288N%4%p&|k?HRYhP&51)ISRNS(W)wtUn%%TMu z8d}yUyM1x(v>*zMVgW|q2N&=T_1@4+IpClqAIGbY67vN#Zo?SHSF-aq=(3G$`E2#&NOIMNM3v4Ij8#a|Pp2ax4)>EZDBd(=UN zs8K+f7>#uNOg$_}!lxcTHTXT4gT@^AF%9`Dsxqipg@HXqR4yV9HXyvqT%Jtg2qulrUg;vKKZ$%@D$A}BDE^(0>#T5317l? zR+h=X3o=iUyo7m~f%@<@;N>8ijCgqr#B~pV07WEPuFa!4{2U!e6%SQhRB=$HfGT<9 zkDw}zY7|=Bg?25XT}`yRfmAn*mR0O~)#w?B5dNwQ|DY!0Vf+kaoG9^da$h%`hhbk* zSJW5OS5;aK^h`&c>W+37-)f)+{;h#EUo|qF=Nd0J$b&Fy9uY7dA7ISaZY(YJ0P~2M zR;1R!^>KE%ruuuKIECaCp8r;mo5CJXXCs71U0ty;LeG4nwIM%dX;(sZ=YipfHl$oTF6 zQ_{}qRy-eTStb>Um`{a(S1Pk}2q2GYLDL8^&SZcYYCmuIPN9^R=s(?|rF3y5KdK=C zS^axFGJH2*N{MvueOlC&9ayken>GOLijfU?-YEM}2Ck72cu z)3rsTD;}m-h{G5ZtPCJ3^+-;ztmFduQ;#~H`z1Zm}?Ka`H#l(EK(#@^3@TL>X`*&ELC`7@~ zFSPD1sCPrlBMlcM@QPopCziSWpK?9Xs-f+v_?Xg)U!c_wo9u4X|uRE4%X$g+z81jhY$^uJwSC4mHKLds< z^WMX9beP%y@WT1k9eI8G#o9tqrD>XxO$ZL+^#}iM=?T>`ertptksz7 z=>5ydh&` zsVnQ@qF`}(0x!F~4(l+E*>GhYuN)**E){tls)8+KNH=dql{*_E(twrFZ46b(IWP9QC!HQk5SS_EiY{SXwd%pU;Pj-3roFwJyp4YS` z$!2=!JEAh;q0(6-cD*JxBH#_NB8~N{-gV?deH!| z`#;L7J+`UqjGuF#vG29-!}h&?#gE)zhnU!ooj4>R*bI^kTHYfRLZD145h1(;DOHO~ zrIr@86?EFPbudj@*#;d_D`*JWw6!o0&`x2ZOQDg*wn~%OG({_2wW*X{?>pBHF(~{o zTlb!G&$;(}-}%n>`27HN`N`@20JPizrz@b@B^^0{93xO4IU5Z{5fn^ZAV+O0(2rZn zStrd|Lw1}+y0rFD&Q3#3Da|p6G zwf`I!_1FRuk&?}hWgr=UM*bk|9846f881xvVeTQJ>?8VkYieFwl3e&=lJwdE1zwsO z8|+FY7tL^i6<()@@HqPn_;|qbaZc-oy_0=&1?ZOdjXf1-(9%*W>uw%DE_!Qy#HCBF zF1mcGstXL$bs!K?I8KQKLQTn}_nPG=;OeAy)q})66%qw~N+JZ+w8Z~2rr20Gn`-c0 z<3$Tu*|6(?KcaG+YI)=#dLNy%WVRrKNFC;Cv<%1v^OFeTY6|2Lj1D%RdA}j3bTeCl z`njMRUT=*iK>WzBup-2dtl2SZ>N89L0%E$KFYD$HWg(2lrp#kbK4OCGAwln>u0OB` zA+Beq_aMXz1eacdSYvIRGrKfW@st_djX^P9K}MX*>Hq@*v%cI+;bO6sS)+-V`YqdRaGIw)#oN z;jZ@hqAJ5teT>5u@<91y*gFoXis2nD2lt#qvVoD@4&q&%c$i939Y{hE%SMP7CK;5P z#3|xp!;COF$bg_ki85Hg1_H=}FiBN0H>&W%ZvjwY@mKLsI2H?WiXY+PzwsnPQP0D= zG0SUV-CyD$nqK-eBaGtU=|`>3P%hWKyh~MG^aYz_W2s!sFk-U|n*wO3_?7ux1{J-? zf##6a&m!LbcR-l=^4bLf*=0MW)Aj<4k_ee1tQ9ge2Fc334nGIEHl{~oeSVE3`o>Sv?^YZZ?faxdN9xOVS177X>I8+(QpK-);YNbYHP zTDWIzV`I-=vi?Ey1pXKM8e}{x-xoYIp(?a2ksAS|v?nYaM0tP`S&I+`&X8ws-a-f* z<#DSz@PrbVNYYk(m5v|(b5q}@UKTs6eX8Fj(z#W0gW=XyS!|PonjVxX_S+wt>u%gM z*Z)pb1eW5e*e%yTy|sJyA16NUXE+Xsf=o1g7BsvK8b;B=5*kvApA+Jw0$X}dDz#^c zrYa(3fY2wuy`*s>Q&yP_lvO4{G{tywYI746kCj=brsCVdxhr$D=<=)nY6oUFY}~k! zq2!?M2`W`o{}AQdee2WfTi7a&VgVh0giqYOflqvBlkK1_$BdcFLEjI|^HhM{hr+1N z@(ABm$$y1-TM2^MCEwLSiSb`4`CEm@O@UMkpu>ovSn(Z@oJAyRsvxMEh!F?SNpc=P zPFnL`GytI6%_Rsl=VV=p1np?P% zVU&47R=M9J3gHn!)y1My0@T1CKVE8!qOJ;e-2s^oL=|1)*h|5PqRSkw1YAUiA5%X9 zEPDtLQH$kW&QM)Ap3-?q=InwYKmt+qR-( zoE%?H*XbB3qCDM_)4&gTo?h&vbiG>qBuGb+$p{@B7el&^op2@u~4o#A;oq zoOkFGoDr{6ZO6>Jk_>Lo9kZ!*af9170Qfbo+KysYhM)QMQ5cUI`~cZk2lX|5k=YLU zd>Pr-uTcsB2|_NsqaX$|ndleJ`Y%~cgGkM$`)>+&+no zE!s3U)t#%ajn>u&qp6TH8gcn$4l|BLTRIJoF(+9UR;$zV4OL*E;s!dmcGr4p5@gh$ zD#T=gT~kC-4oTl z0E;mF@)Qfgzb8G~R;r62gF0%-n2lyxKFS7S-9%8{DvTp+pkO_F^ZDt@_>jB@M>G%h zBE*5JdL>S`yNLpB8#Z5gU@RSbSR>Li8y_5#Wr7OdEl#o~)NX=^H=(C2FZM0&UEI5@ zXQD9-h8p&5UN+G#+p&GvcoIm)e|O)QEZvpXR;xf30@nMDJrjeCJ~TY&+uXivVzAw? z4-di@UO4*83F~HkKwx79&GNVjy`n5W8-r0bmn$m+WzLY zm;Ug>Lm$1oVE)06>85q>m_K{le0$B>Q^k|-nkQG9J1CZOr3)(>)*afAYJKX}74hzl zj1Fv;ffS<{*~Kl}_JrDd=4O{P*E(4nOK7QSC_2&1MEq(K^ZyuTW&SGlY`{qB+ zuI)L6(Wzfy_egK=J4Lfj(iBmR1eCcue=;!ii|x&lH=>EM=5T0=t=g!5>)6Vtm&d}f zFem$=7{5Rb(TCXsmYr9iUbNh@wDef+i6`3EF7!za?FK7t0Q-*Lx}1@3-53LoI%cH~ zx$>O?c?sCvxWDqX8OkGHVP9e`zC0g?>|z+65`kF>2K>8II^0w>l;?=D0J>zk+9lb%M(x2qUKUO4xaLe(P%&khuxaCr>-NRHO=wX zOJ06IrvyF8IrS0x-l`*8JKFmXuUU0?>%6x9!|S{EuAYx5__1c(){GO)xEUr!b|Fll-)QkK zq|!qBw{x%zN{d(=E#8G%MlBWFis>{P8^!31Yu%zz>^aSD0{D}k1j_>mO7x8<5`BH2 z^^qS8$)z5I_y5?g`q(DUGw%1!=Z`!4e0ToH*^V85$I01_W5-VHd>tWKFeE?-0g}ea zLJKU#BL#Kqr&g-2)y6ilp;2kmR9IJ0wVEi909Lwb{L!saC!}dbRsY=nV@Q>{wQ8%D zkUj5v=LFa;(mn6JzW34P`8~hi`+KX3U$th->or*J#OqgT&S?f`xKe`@@}M%Pzyf6x zJR^W-e6ZVLGuO?gj;C42lm25@?ZWYi=V$xlf|S|y)P-a5F*U`TjTAC-RxVK-&sSfW zYNLHy#`kqD{(L%dv8gyS6yH8F=nD_d4XSek0rb16bI(+g+iR!Zn45h2wVxd6vpOWs z?r`#wPq6SJKX&r1Sw~QIln(v)+vWM8n7ye*dhz07Iy-R)lw%Lzu*!s?pSumC=nV~t z;`*m64iSwN!L+Pk(^9DGGlj-XS7WBDF_XtmM?~X!-SJeg&%tn3P`2?788`%epwIjR z`=o50@~U1}lDN5~fZx%_A^0^}r)1R^bVP&jkDzfExdmN8wuijaQ(ym^>JFgs9*j+4Tz^#OzSV3!<8$>@0tbt9Z{B^`$aZJV`TxRwWm_-R`cq&z;3bLVWLaKpU z)i3{L2VTBXb4)Tg0m#Qb4b}gz`^Nip-$=jT@!d-=KKtfjC0o06@;Qi?c)!v&o}GHU z-xJ(&Xj{)ze^Rzmr_O$Hd13!sU!MQvmn8mv;n&}v>h|vb=^tvp`0L4XZ0p>Km$5Uv z2&Um2(`3jX8$lr!3!+#6#hOvnk77O)^P+@|5>2R$pd*C@%uuL>9Rrd@23&kYTU{%8 zn_hAf?IeNapaJ6Ll^^B-|8rF5WkP1E&xPII!Zph(xDUM}y1J zJ#9iAnJ=660sy(eH8^EzRab5*?}t0vO~5 z6=D?Q7=~~IhcFygObK$h=#Fdp?IwpE`kaZio7ZN|HqK2PIWG z2QGR~DHJL}7iO;IJ*Ld0P^zP3qmH00-~~H0*#fD$iQAeqGO1)0SJHo7OOe4jRBKJk zV!~-QO9>eiEz!dj?RE~(4acZ=yJ9mFBdg@cOgI9HbOx$^0F7#U-wYJG85ep6by}d5omh4{ zNwqt1wL9xnL1lMNCU)+WooF0@xveJPl|%?`D$FKSn)lf24;GC^9gW>pq}HfR%2i*I|yR)7{BRu_c-W@9y_Fnnd0Sos!x6 zz0v-~i4sSd3%l{&m0kau{*ZAQ+CeqRIZ!RfGboWpttk|1MX>~mH=~3fMTvaIWfX5h z2@guRQNo210)i%qF(_t4iXRazl{76)dt?}Ru*7=wHo);ZpzmqUWQ6+qT{Qr22$=Q) z?kPb4krnVJC3R{>`WV=-FpPRiJk~2?tNTkYEymvNPyyveS~qW}z92-Fo*gQR-i7Wz6nF!0uNW9yIl?rm3ynsI`cFMuH}q zdQFqmZe2IKvBTqlR^6*m(}38U-SIy#*)@F=C)QsvJ>5fq6qsR{W3npQu-H0@B3@R82W_o}xvqG~W; z9Q-SgC`B@fwMhB%njr!_CD|DHAyxt#6)eOLP*SrOn+)7IK!&vgWHc-m32o_Dzjg9* zt*^GX=rA!9ZQ;yp`{?4ftxrs3S|^_0*MA_WI?E~|JEjC}Aa)d)x(CS$7-dTn!ESxf|uQ$$ul!^YpfKhuC znD!2!)?)aNrpY2`EGvf5m?&r-;kGV<#BPvh%`1FGI{r!UlOhu8ja33(CFrXJeHHnc z<7{Z`zl@iCY!t^8z-M-5XZLpZzCUc=m+#KL^V!Be`)r?04$NWM4hDiDPWb*Nd;~5n zw56eh1d=q0l$cg+5R?)WTvbR(T{(b3R)W+%J-IMWgcsV+GDx!E=fpA4H47_Gm;^k|prnKww)3fl7 zS9Ffxx-F{tzrJ=>3xr{TfgQ`ds%AcXd6k5pgxe)dbfha6-YI{IZI0lXOBz zv`$9D(B4Kov$3b~$wpG!h#@S_$XSrh7C}3aV3b-$$u-P9ACVu~*tGPUN@jF2((ep8>1kN< z%$qxFm&~2v0lTWQnw!Nj0)HEV=s;014QKlhE-om3LcSxmATMe&_QYNM{jh%HgV*p&!!7e@0Jn(j5K$@xS3-)P z+Q2F3mZtdaEKNB(kBdGZlVYOH6ZH53Dv`;LMVmL^^#(K|%NC0ShIebCMOGP+Y#tTP z$-Lqx;!e<@ih7Vkc$rJ0f_Fnz*u+>9 zsB@;K(#1!I^I8tZOH)n$vD&ewNkc~O4dYNBnWptR_1ozjQ*3f!M0N(fo{$q-7OTRb zQj&3x>-ZX#-L@jh$7Tf@DvhsjMk$QQyYgHq!O*B|?QUS0b{6~>c}-ionN z+BKz1Fg9Wy#6L;ci0eh>4uut_vciSJ1!k4Bkl(4`hQbArd4gfZs$$qiQIoW=-$j`) zyYM%rNml4Es?QQVfpSGtaf3b!9yry)N6=<`oM|FI>1%NtIZk?z6$OlwK8$RVS3Qpv zM3EEzmZH@4%izLIV`+X`s5*j`;XExZg_xn7%9p|y);j)BYo=LxnBY;|=ln*G951m? zXm+2+Dcp2=O=H?K1gQS-BM= z*H6Smr6cIF3bDye3hmB-M~OSw*=0|n5s#4{v%lxiCJtC zvCEnw3LnK|gqg+p{P zIWI8bCH<~Jh&^=eO4qT2>+G|>LS-`IKkxo)AI~??$30K?-o7<)z%njpplboUV&g4_ z3J8xXCvM-mb;2^Pk52mu)KJ^kJ^WXM=m4sCP*|Y&A&0I+gR~QExG&@IY#be-MHJwW zf1p92%aq+Fn}Bt|^XB*<`7Ih0HMHt(=p9%O5OXLZP&6pe;(U-vkTZcsU@_1OJOkrl zpo;z+<{dVJmp(-C9ntp|m^f?`q_&AuC2g&JV3@ICwvWlj9LyonqS zinzM?cMhd!fczOfbwB84GyrU;Pop%49Dun8Ko>(sOlAPpK!-U#Ko-M$FQEKA?1Aw; zsGlB3IXsRA@OW`K$TE=gfGXf|;89=~j5~o+8bAX=I|PRIVn6vKtPKdC>bxv`X14zg zx?Ms8jKTUpo8l<2M{jQd-a=cxm3Itaoh{@8_+J1$1?}t5Ht5o2FX}NUeg*t@s=opS z$%LkqBnroO?d>^ZMN@$OrR)hN3C}Q^+<-i2zz!nPoho}S(lg4>bnTvXH18s z3}`bt3jVXwWD&4zvM+rXtz=gLYyflD(|%w((2O>a+Yk{S0AUx3vtOdPd06_!^&jKwF1v3-{d4~`rgg2D%4)1A!Aj1@-70~d=_y}Oa z#6+xCAiS&y9kBvsEDy^tR#59qDa9&KXvLN)60-f=yZig`BftzZ{_&Z!dv^Ek-FwfT z?>QWA3Xgl#;E5W9{>Y*l6xJa0-*SH~-q9yv?5A&Db1w&Y4WxCnowU}6rE5*>x86>P z`>?;=?c-l_PRmN?v_B794?7kfg!wQU^6}S)5`92cy3OQS=c0cqWQu+je~7sU+N-A4 zW;xfTsk$L0k*Vfgw=l%o&3>7+8SKZcJaL<^M?mBQyLYj1CaPmn65wCM&eJUYu>3bLUvJT6W| zmr0tpPrBAbKS@q1m$cjXp^OQMTALyB^(2|97Za z1)1Yakx9;6{}ayVI1|WcBf0krOF#P@&p7|Xc9!1GIcaI0LH(QSe@jQT42@eQ&DA5) zTMZ|l6+p33?HiN=Rp-^n+kMPWbRJk=RXOC>@Rh+#$LG8hHp3g%KMf}8R*ZKQUw_EA z{t8&8+j5=>v*Kec)pa^Q{f71#bX#wuHVJeXy{w*RY@`A2L{B3k&v`>-f;Y7K zT1*p-7OLx3V_L?wjNBUP)+_E?GCj~OzU`7f-0IO;sUOoF{ftbAYsun3KlC`svU-q^ zyFFIQu$=Ejd5BL_rA(7>L%1f z9lgb9@NyaD7ILjnHo*F@#u;Ji7ryls1AFV(cv&3QBwJus7%Qgkiq#Qi#B3QgcPGB1 zb`URa5zoxzzVBpiq$TxEKhE={k@qyd^YFRM)?ZP-q;u?}?|IVPodW!UXt-nj=)c>C zbuqDZioAX}8PoBcl_E8qWBSQ4?gjxx`*BHB~N}7HHsnqigzY;tkvsX&6z` zz?&&~?f`VGU8q%EpuN?fRq>j`=uE2AHd+_v=EnL%Npq|5le>w<689mip}oD2q{OS{ ze2~=khT}T~Yl!W2%t8e4%zii4^Z2$9V)ZqH+T_$$o8&!%-Xkkvg5|Ho z_C&c1yY*CAf)?Zv$L&o_!#gIvy;ZUS-&*63S@!5z=n%7^uY-D97Q%s;byKPNQu!Z3 zs~d@<&Y%f9oz=R^*}?e_IRBAafQEpsqMn54W3oOGeAjf99E|^Sb7dtgQ49H|g)&yH zkdaWJdXZOq;U6TsApdUnG1klQBrJwS?k<__K1w}MC9~jB=di5NU1g1jCV6O6^cz4o zqCEl|*)BT`^qOoEWKZb zF`uEZ4yM6m=nnaI&gH~UIq}Lpx6QBJJ5;iwF;8}=^FX7%w+E;sms)VRgz@t z4O0gg&5TSV7Y~y|2$j>?Rn!vQ&XTKrkQu7_%5W$R?GW$Ca9}I;{B!n z4(x_~0rZsdn-*WiZDd*->kmGRvo^H- zjScli8H@Jjvi2FV8c_e&e-{pf_DJYEax3^^_2F{=EBFmmz-B1IUmxwS1;z1ta4UVy zR^E5hPIoWeg>}Rgcqws8Lt9{MP)GV#jJ>D0J1En=R@6;~2sO@&>FG?( zVft2j#?~<+i*7<6(NujYMLL3ZXGxKI1J-ihm-BH_X9TUIY7NC^hB{gFD^#6VV3^=t~`Jow!w|hcGz4 z?Yf2N4jIDtCNuui*c5otpi7;>!Slg)2lF<)ma)})!+9i|cbRoC-w=Ce zOOSgo69pdDL43ox|KS)E%d&DTP z{yB-T_S^Kk#7Ps@z|c?}OOqNnq7pIhFzdi~+qK}|t7m(cUee6=1ZDJNzTEFEv;M*4 z(>Y#idb4B1{C5AeR~M~VP23KpZ)roVPEQ)GMQ&`*HS%ht6VHFnv-B~xKcOFWd)j`q zp6xx!t!{5}Cw;Ezh0M5U|BR$+K92<%fpr8p5gdjnI5D4;qRe>fHF##$8tw2Dcr8P#1+Auq zVWu<1f~E3E!P*x+r{CJ=T)4ReLZ{;&-|WZPXFt~7d+px}&WGFEw=&32| z>JJNbzam~@UbWB<3Sqj&n@Q9@jYwOcjX}Fc@oLDD%X^;Asc`?3G`WuzybnN}`YlCc zSjE1xd*mzjyeMkR{lSaGNu6{&yB7-?_XK+}gg5O~^w2M;nU!aab^Uy*Mn-+B7}1~Fx!?U!$M4)wvF zP&3fpY6Jf;;}oL<&gwtTyTNyyccqQ#ix224-?wM8FWUPztW&jgE_04FM>Ni;%j2N| zoHeq=e9HP+qqk8Cy$y_A#JjFBs;-x_%D)4g`-8tLYCk8yRwvLAi)^g3NZZCP65nOw zKc44|5pU|4k=>Qm8uwb;r;(zClsrwHh0;B_{)oq*o$4Ca-Z^4|?pwrc-tRTkjgKhs zrm-&(sq?UeHn?nu-ouDq$*mOc*JHu?>l#r`T|>{+arOulV4Q(50}7{WjMr9XDea?Z&B zw*%)ii*Xl93-_G74DH=RGTg?OOQeta(AJMZk1aCWWV%}+Gu%A%W0}k$?ri7uL9?6* zeD@ux_WH$DL~7o<$#x;{QiD@8y)yNanRrpAjdvafxHx=g_wsWwyIT z@)L)pxHM5$1RUE@gd2;2lQ|Yf=}IhiFFPB4@$2YajQqqtY;8xAE z-d>Qe`xvpD`BsBKPss2*_BcZA)`;|V*ICMI_6kn$YuQ5y|qJD|zz-@kujy$C`(`yE*c2;rqg!bzi*V|Lj`;@lH~#ei3p^ zKgh!O4GMj%Wi;QmN*DKiG{oIDt_%GX{sA9%kvm-JJccj&B-rl!Q|tJ?8lN{+DlOLc z1^exPV*p5?*X|jUV^P#v1dDJI};n9mpfqr>#a8|Vc z$Go*grY(IQl3D12DpP=#xR3VpB_DgOax|VqaYnYMpR_~yXBfPK5eQ1zb+{t3eiT_%1ylKQWe~??fo3Y0z$##3ANAwM0ADs*Q z$2XHlN+0pwQqCJ1(-;#SE*fV#|7O0)ICBSYV)Jok7;C;R!!_Qtv1Sx!+8DEzIHXqQ zI45Pexr6-4Fi*@m%(8^IRC!){#iq&>(m7@vZBAK+IwPgOO%?u)eav||&9~!ddTqB# zx;PKYP0m~7zsFE}8z9tjD&|mCx>Q6`Ql5OaRHhJ2{tK@9&4z`;&+4GjccJGJ5 zN%ICe@Gb8CL(mbn^1ihu%?$Rl|5>j3P&9(~H0PiD;LPMK&Vb`^AkuuJ(JE*ObZVhN zqs1Czw)dp1PrmoF+*023e{o(ozxbF;cL3UN8QSG&iav?%sNPvUGxiO>n>%?_D&57B z!&+uzqan^bcpa8Vc5I(yKtgS*YmaRp#;hToDsyfABveu^<-O0~%^ero-0j49{5mP? zQ+vDpvH4x}H#ayM`;)c1uxEGjZJtGKdXHo~mGDzogjUmiIvv{F{)hdcyW_%I`sS`U ztEEfqfQ)tf%Gg*S>!6oS56eo$sgh!tgPs@)#U@9FD9&iP;EZDqV}U#|XqeZ!d$~t& zxsMttOCtw1I#aOCB<9DuoaMYxI!+teoQ`?8G1Ltvy%xRGp}nTv)1kg2=~YmlP1+Rc z^SD;K?CZ>MWcCewu%(1 ztzY0C704ZwS4F&SS-KQ($m1bx+C7W}N@FrtYg~du=mOI>*tp=4EMZ+jS-1hj*Iy2eQ#|p836u z_Et)J-rdFEM*cG^nQGkPF2(2TJ6c7%I{Y#HxepNkpfSv1pbve2RVQ1mmrU1ie%AQ& zZK}HXHF<E;y& zbzZSw0Y&7g3l5TBn=HG3_8ZKbei@Y5{62qml-~xM%q71e{()ZxCGi5krit=4pdEH? zrmeS^IBT!pkl5sxK}q5kt!rF%jNjlK^UI*bnbKr=8*Fk+FcM1Qxq%n|n_uR&@=I<0 zg8!r!_aBev`bVJFboCqDQ+{RqtIVUFzuf%Tuc16FL^><+Jo{g%cay&~Ugg(ZE`Qly z7MAHN&##T=$)x7mCfduSMEk%??D9(!ANWfX6SXcX*Za$~Y$`a1H^zkjp>3o8+@GLj zLqmtOm6hKt%_%&{k+g#YYDkX?@J_nA%Fk>L8%43eFgEbNcOl&W$&rD1X>H^Uc2J zoc&t+vDR;4zxB1>TIruucR`2u`0?s*6Yt00%-Q(-v+=`cc69XT{GZ(M`80o+ z(K@@GKjb-~KN6b5YMgd@BKd!ko@mn#X@M>vKUk5#T**3IBB?gst7`JyOPT97;&>B! z@NvG)fEvj%X_9XGq7}Bv=GaKeL4mFyE~F>ogI*>>&D-dQwQ{3g>eynwkbFy2)9-vK z(^a(XEGk%kpk6FS8OCZa%ipVxNV(~Ue*oKtxpcMjG0f3{UP++m$RvDSdK*h+i7H{N z?x8(Dm!UcjE+MD9S_-{ha*^&S7sb*=#q#N^tIRYx*w7>urW5n3k_L4s$TOo~N}gIL z4Q7{I?DE7De0vU#@qHX?wgf+Zknf*TE+Sr79n?coUCwFQCIiqwsp=@2d6%O>?~xuB za|eCC!E=se(pQ5nrC;ip=cLN6mD(qRVTLzED#=f;vN@{7wVNX;YA5?sA`ifW&exwG zpzaaPoO9dQB?F?bF~`!-o~<%K)iJLEndOzqEb}mS{ZS@*=Sikl%sj?QvHlrz#uhz@ zxoyH%9HE`{85xwvrO~`8m*XF&>ulaNIr5lCH~kRbBKWa7{M~xF)@i$P$#%W~^!H?h z87tYWf30eEG@2>W#}cvqE~j6gwiOa_KC_X&smB^Lw)yo+|1e`;X8X6eHn*Wg?`1xB z$zu-d>z)cw|Ke;unnW}8GZ^cRz#iRAMyS1TL?)P(P%cZ=L0QT(C3mn?6-cSx z2+Ja=d^;7rK`z&y$dpj8&qkx?(YC~!$36~}KCxMx?<=^6y;-}H+?{;MviC4$lMK_N z{8oLtPhzNoYv?eQl-0dM=PX6pTk|dR2HfJ>{lN95<+ga zD`b!3X}{j0|0(6M6OtM`EE%zfxRVQ*D?Z4aBYnLmDfdWOYz+6INpd_vm+X-2luCE^ zFSK(~ua;6`V3hy%f3-#?8_jX9F zd6j)GkuKcxM#e3|CL8~A%?$a49xS=BPo>(V%Y5%QoJH!ZM_{+hOW$E%Rt0<9IyLe} zkaI?Mv;T8tc66&hG4(O|o!%$+NB7Ac7XELeTx{Qe6XcUu1ZODTEpeKh&tIFAC-!as z9K9+j4!q#|c8yJO_Fm7 ztP9RW{DNS-j_uu9jV7Jh4*kSm2x#GUw`>TAztx_W8Vh%L4SB-r0n#(FU+%J6q#dKB zpSMi*eZblMJ_djrg)OQtKa#ocs+FO>Z;f(jBl&Cmb9U!r7An^hm?Q6!@shp>6EWS~zgEzvnL+FU2IRF*Wvm_Pp;Smy?V zek1BtWNbCf{h#v&m6b z`R_T6Z1+dAKVzg=ZSnWp^I&?r+^E8gq%5RNJg50P7%zqOO~IxVGZ3v-fQ>UaOHGo- zIT)pKWU5)^@{x&VH1j>q*~*upR^PF^H0HI7cr6C7PeS!=Ipg`V&-L>wnZ# z_C8JSjD92wBA=j_uJL!PUf62yzm3afqR?NNW(D_V0ezOqH17v8vh7UumW%9}Nu1eO z4)x!WOq-i}Y3#{)?3K-D-a@mE=DCZ1T#xf#>9kNxiXvBeSd9LTbVKhczGt%c`RF*} zfKSZvxzYZ)Xu1~8-B0*Wtn<%@64(yJD1S2GGh1K9zx^rH`jZ1>AMalWVwgV+io!m~ z68vj|I<2;!>afkQ@OxEI$NpOF`@+)|*Z=ytIc-0~`&Y>)#@hMgQ-}fjPCiUWr~Zm} zn?iap-oofHSz}x2iBhAlmm2lC42pg(J@7f*^=hftYo$K&H||ym&yTXU3*~xUC)e9| z;fXky6+0m<-bQNIrRLSDi;b@k1z;T0IXveG|FLVd=rx z^?E9~5&fBZ^0E75f<7Q4^atc;XuG7!c_Idhgmcg9K zY(pKrQ@VSKK3b&6*_UKv!p?-P&R%S_Hb*a$-gXQ({|0<6_S$#O-ej9YyYT@v7He~x zz1B`_w6;1M6ZT=7voW;O*@~UkUh>gw@~U3u1n2uDDMZhgx0N}RhAODCv z|1|THHx8@4mbY(G$9HhNoqzl=$A`-VtDL?Z7TZ?;%zplvL;P!xQ0_BX%3kaSHK)a6 zd|u$68O7nb4qu=|OBR8MSU&6R`AJjgUanBjXSTRr@ zjQ7{;e-2~*!_2|&`h8Jzpt+WB&TnIgok5$|@-5sijv9NcMab|}S|3nWl~ZH&0P^ZQ zW4Bkf$lIq*SMxmYZ=V{w)wpesCtc;`i&OD10aTuttsls{izFkD}%@`cq}tvQ06YeJtWUe2dlQHolq5q{J2(5XqCt_S2GM z)=N&>P??-IOw^ck%*tjy2J?Z~d!#-5skEDakmbe-{+(Ge+o_fuYa#E6e8_d%%&&9& zMY&U!xNX*;&^J(F4Uz*W#?yEl%_!6M*tbi&ktuVGLb=tvLat+udp2#YY_eZw{k254 z=yu8}`*Rec2J6rmY{w{)$BcU3_afOGx>I&?pZ9rwmBcIZfM=j=Fhb;&^XS7!*`*lu zZd;u7l-z{Fw7=3nCfNonXJRGR=wtD7Yjs?$<6f_gL9!9gGvC#4uQg_|)LLQrGV8Y* zbE#CBTP4?gPpg*P)5>*7E%R>`^Y8RvIdeP5#>q^hmj2bs6PSaMn2UT&z!$V!oP%^^ z;sVUZU`)dpT&U}3;e1_hh?ia=Z{caI!WgW>%@Mc+gPTl z{;tyH#`*QVI8t9ntv`OE*BLW9r(^Hpbw9exb)E70-nMsGE)k_mJ+#?9VT|$e&*P=gTkeygH^pMD zQH8qDo9W29?YohLK?H=p;vhIZ2Q)9fr*pmWt zerlYHc|R$zj-M{o>)K(y!LF{8yhNM}xLz-qzvNoI@l+BA`d4@=?=J!8(%y-5@T^*S zf3Lc2(J0^V65h$GfF-Ad4l)OJ1ROlY7?7OxDD{(IPq(m>i@DFBhR&=Z>~(@FY3B@5 znfp_j%h`vOoryh~?+@lKKWz=9-r4>&R5WM#j3YYzRmx4IypG0m{108IbDmwAO0&}K zlXTj6iP#6m3-r12q&(zkWnJVZICfGm)@eO^e|uAWzuS0+r(CI(^@LsN=2@k#I7j%u zU@}IrryLs3pVnW_DP~COJnA2KP3o*EQfDuxy(hsu9cG1|ELtZ>?D&M!|lL-tp$9S%Bx}`&-%m{X^~QAf|SN%^q(>*a=F~>+#pNB2SuXgSnB>Gy3~uY z=p@%=y|32tmT_3Vrm!bqP0zK|`nx0W{~zTV0rtGkykmQH-`U$)MZWlWZr;u}*B85W zyr+*kuLbiv<@FjZg*o?r)O4EflFTQJtrx3tkZoynsiJgSWcOjq)c3kZZBWwTeSx4#Et~z8+5uMpfQjR zmP@G1IM9M7PHM1T+TH!E%Wu8S8rO-|pD{lx)oHwSL|&oHq8S zsxXOuetzL5=Eh#=?S3b`vNQ9Q&REYpj|W)ORAF+G^=5sSbfSDyJe|tgvpY$~+WIc( zMERz8y02rX^=$X-5#8&wPWo6X;%tZWEA$$OdsXWjZ*BUCUZWC?dbdp^wjW1v9Pj8f zI~g}h+(gX5VqAktjOY0K#Ebm2J4eTC+=#)Lr#+_67hx2pVj6Y@>J9bF#d6U=I*bX( z^XtUEPuzfJlwh?!#&u%t`noH%?_iZ~dmJ)!9D(8bdIzZYHeN>u`Q;d*&nerx$o9*+ zSL2JQA^#tp9;>fEO2_j9?ab8W)N?pb-KX`G+=PFnIdZc(ig%JPBaH%N$*o42tX5QC zt!ybJZq;qxqwgnMKbPw;8V{lX+i*D=^u4I`Ed4GfVjot3cNzOsKf@CJOs4Agrs){f zI2T*zbC>vJpwCs${zv!<)?guSfpqD!?r-cjf&NYn^i}sWc0~76wOfJR`dQD@^@4p} zl(?^|T+0IG;zkyD2|QkFV26ZzdM| z>btqpPUdZ&DsK<-qnAGF$LJ(*+&X}R)G6h78rK>_`Yw#-_+KeM+;7)jM11+w zb-a4YVxK(`wbZ-Nr>>*sjmSr|`ytm_D(e5=qu!%6DD(qsko*o6xEt&6UHlaDRN5$% zCm93RTVYvjZpWKaWp0(L%yBZ)e2@KIHT%R`c@Nhh6XTGMc~IwuVVSW)PGBQ0#Wg6v zTHJyOsB;!bmUBq5%p!N0`D6D6tJrVhTG7D3Yi)G;D79w4Qy5A8OQ(kp7)%VPC5}(j35Uh z$N)=$3gyG`X(^?pwX_Z}(%#;C+8(*wTkmb5UB)(@3SmscKqzz?%!m=w31+wtole<; zlFe;#OI8hYi0SSD+BW!bsu??-Wr4??RYA>JrHyn}Yf0x0wa!_f{_ZT-8=OV@Lr%F` z?R;4!oQ1*rot(fKrwH4fVS$gFm4PjtC-7S5uL2Kuz8Torc@mF03tR%_&g?*`GcRy2 z4M`Ht;+*U-Lk@wcCL??vBIUEez0 z-yFT){ee!NAIH7FO)|oN9+FPp%@<~o#iWDvQ-seL=P+6C`>pTgH!+Rp=lbwn;KJFD z`DG*hY~JBN8>gs~fzeshakGnl9iCGECMiVJIYL>#1qW0ej;gbG%Fz9`$8l7n&Zxvu zJ;L@A*rV2Bls=i~oqqZxOwn(p?+ENr=TdL0)7aw0?LbmLfGWn^R37%J2T-lHvCL!r z7F26L_2hl}5xg7UVPvZ%9N%y(({IDB;G)zy*Eh2syLyu~k8L;N`Ct;a1$FFI@AF&* z@og9NW89;D3tI=;^eeDc9Yq^+Zd1>u&gxTPYY*@oZNv|ZanwDEO12-?_o80En)>%r zXN`%}#c^0gs6R#hR#a*qU=-Kruxde-`YzXG3)_~#_WXl5qUIu|nmN8#P)Ywbtq@1p z-lk%x;99p)o=lr6?F1HSk70o-MnroHbBuY2X+PrK{Um1UH>EmwW+N(#y7E*<#?KjZ zEbC*jML$U0llYQ$ihJY<$``2n8T-DAK4Y05W4JbawxxZtxL=ktKg#qe(_RGJIO@;H z(&8M;eEOCksm)D2=kZIF8Ev$E1_g|htRUNzFQ&Ywz zEB4zXD`V#WL9VsF5(stbxL-b?J#i6MZdkWVmA4OX{P8YVJ1pw5QZ;tJaXAx7irUP! zqgZ;oY+q#07T8M|mjP z)sT!eflQz@jkFj&@9;jI481XV1-PDf$WO7ing+~%ES$`-;992G_o3L{EhNkyVJXF< zF@>*tAz!U`u!aMnUpQ%etm1Og&TQ9L*7fhqZw8;vo1NH+}@|XTR)rIObbA z{9eL;{Ke_^K9S`_-e|FMkhQrUms5cnK8XBhTf~YKQwr^sy2Q_F=T{tlTJL>({*~s4 zkebBB=m{yZpB!v?G{fO9YjE^s>o76>b)l)qg6NWBd+M z2=IHo>&al}UA0%q@Ri#Mg0h0V8k`2si*m2sYxX*9YHR~^<>-F-i^?o#P~kyQS#k=z zybCt5OlIexC-HXu!tHwu&(FtD{rGRGMHg=ixaHCSzrm6p%tk9gOH`}s?PtpyUG9-z z-}5cwwoV_C;pMg1d!fqv%uK1y1C{fI%1&0-0+FOKM{z4pJ9cGH*r=e(ED9Amgb>RA z@C+Fb19AAoU_)Mp5+_9$(v3J>fzxGsA99c1Z6d)_xYra98-98f;zGm$TJm0G@dAL@PBgxF-F)-2_9h|dao1zbTLp*)Of2C zYMn|+i@l}84~j?|8ALcxp$?2@MEgxDWi>0gsVoi4>d{SXWh2dWP-DuJ|G}XouSamU zn*3meB@?xj@q(9k=zyVImN(RArk%)~J_x|HxFtg$1a9=T$mz!_NxSNklSj-Kg?8!r zrfzZ_|H3Mi+HB-XJ$fYi6#})Ee!(%|w*fG+xv`DXEH7TNwf*HcdUdO+Xzy+O8!1(x zk^`AMxkkSqO9SuDEbkgH@3{9RDOa6B-W1k(>o$31_D0Sthh9mtRgt!^?A?Y|VJLrf zv65{tk+J-m-F>K~xiZ4PsTJeVU6iAgQh9osu$8_xQE2_>HMHBr!3>{~Ej87rY|q-n zf!6MgPs~8${zpS-dw z%8OHN%bGHTj(96tG8;4OG%+|^qGh+d$U#2oL}U4rT`N9wH&k7&Z_Or|U9&ba8ETvs zsc|3!chaI`3MpgPLqw+Uk#TdRwSGd%j>$Y-i}0J2o)Ma-$w{~+Xsgu0Z-&J#_Qy^D z^U-Y%_!Ogpr;sXhkzPW8BqrA_u{N+;ng-(!tQ_*U1lQW%ND9kI-OQ;bj zJ;JtQC$48gjnu>wi9j^#V9yeI7G3(eCx=+YjlaEu61l3*e9Xdqr{#3QkRf6)>R2&| zn=F?4R!h^Px6W;b`&joyHZEiPu3MG)u#Y1dJrsO$e4S>rwzt@;5j^ZEIRt5NJ1#&h z@Ip=X<15Kp;h!LLuo`+1#XDsRU;I&i@4u#r?a}$lcvo zA0ED4hpD!`-5L4)qTWCA^J}Z^#83BG7mFc+YESGo$U}dFhCIr9VDm1+-BsR|nhKqM z|IS}*j5a|k-qATSIUzAYs!Ky&{TN){h&i5_iFw{+<6zUovq@H7RlawspvmMx#Wg4) zQ-WEXF^mNb&=0spfHtNyh9Qk%N@e=Z6vq^RI{w?}!TiDW!RW#4;n#!7gP|x(8%rBg z8*@HD50Hfu50nSOh)ak=i+hXni0g>siCc@)h|7q>i#v<6gk_;lS&UiqSxi_ASd3Wo zluVZlmyDP6mrRxnmW-D4mQ2AIhcK5hl`#tg)Bs61NdQb7Ou!+|A;1*J6i|p$2>6Nf z6EKJ~2oS>&1BBv)0w8f90k1f(08boGKr2owfD4BUu!^$^=tT%d9e^=0F@<2PWBkDJ zLof(K?jNdQW@hQY!3x9fPl%5&GV@@RMQ|4v7l#t}66X%X>rdM4b1d##zQ$258`D08(&L093#zAUyCM=nSj}vH<6SD!>FFI&dFo z3@iYW0{ej?z+fOa@Du0@>;#$&5f0f6(GSTFA&H|I^BCh9(-^}Wvxu;;GqE$XGcK_# zF)cBp;aCI8amoQSI5dE9oN<5*jtn3QCkgtX8|lYEP#2Od4LLz z3LpU|0f3H!4%o-p2l%sm{rVVv31&)W3S&y|ZIp1lRMPdvSSB3&?}eX$4S$ShqQOrI z$A3!ytKt9M#k$gl8%8t1psj@C{}bkA%#)0ICL)#Dj7(Jo+w{TcA}$LZhC=8feluK0 zL>zXkC;?!5J%$9DYJk7sBB6nXAioFe0lJUSpAPlaNEPG{pq)Yt9dqapAhX=ou6aBs zP;Eh!Gh*h5=-m6%Xf9x=xuho`Yr$?WRdiKr0ZX}<7O>g@N4YpP!45MRtf0|Cq%$b2 zAdfs zJQPHMfzT2H8Og6_MfnKKnVM6)f#h$o1XtgfK^m%j#k`cE(6#s;EWV3r}YWM9U*V&+ z1!RO_^izCy^`cc~9bCmgJ*FX-CRB3JPeUe62&}ytNKRA!)Npg>UVKKpi9To+M>cR- z==mEPYWXxU^8HmZMpRjl{a((FSB|PLF#jNd9!*B^b#AzVMmk{tq_~w1wh;uN%GQlo zX#Ie}m`!$F8veE?a5{Xio%o0RI!S2niw_C_1}Z=k1^jLQ#P6kp;iSMeD`bna0(PeEqLNsc)K=~t7O|1-GS(g3mVjzuc}ZB9uS5AeyVO_VRc&<_!Pp$@ z!L%1LlkZ0P|7T?afQ`Tz{&!~)^xu9RZ;Jj z`(!5WejXKg9wQsSxIMAHcsen^xI3}Gc=*laHbORPlk&c9(ll02cV|<-G0&V~!aioh zh{(Q_u?KW5${W&7=$B+2#IrV0AFueMMO80s)>;}@E2~RgFWRL=OV2EZ2VaOSIh~S5 z!KX2mPHH}xTyHkona0dwQZz1`kVefS)|EkfEazlzDC=TxA?py!Jk-v>G1OYkD&Bs; zEZ&;PCSF!I1mhj#>+vh-yQ(ybRd896Lo#)O;5_3F<3uAUjX}9~xe~c_d?Jo;eCmA) zd=iQPzvRgp=5%!=Bv78^|G~6$g=-xh9pUrmH10o@Nx1u{~YTbLc z__{NIEK{8Q-6P)5tDDbRlN;|}x4a1+VsQPlrpSFvekU7X}!N#b-j>Byleto3X^OZi9$kK zER#58qnTB>3G<7r_4;gN=V}8LDk`4-kvQtqIO>gN(dn5LN@`|>WhTCVaFo##h}nAa zi-#zNOKXcNha5aAdsGxvckVdxN@xu!(hbIBK9alpyXSNAURAzq{WKT~>~pL2Z4P9_ z)VdlD7`|m8*UWco_bxQm9yPWHO~-cAoADX5>tl3;R@VHn)g#Au+~)UkaO+vmbF+HS zM*Td~xHpeP7I^wh9iY|xX5kv@R`gV8vjhE;NuxX&19&xhI+}A0cIhMBbodCiQw!wb z%4v&;R9Z>6is!nO>2IpfYE*KI91D#R6%h>)`l#}cu!{@slNuHJm6*xl%At*}c)I7y z*vS&YrRvA4b=*1gQ}shM@rK5s0@xe@YObF zDf9M<3nNk+Qku9zo)nkladXq!y-a(>>9ZQ!7H~Vgq_*;nwnO^L8oK8=w0D8yjg!p! z^OWFQar)?5kDB{&S`t`uTo?5$d)lduS2FHnZHJVCb2d9UCh?D)!I!FkXaD$3-0cmg ziEMFEOKD`zi>)W$EIBcYb1}yqndSSKGk>Ey@W%Alazeolp!O@%3c+I;qs9y=Y5s;x zjrB~8;<6aBndp<95JbmiBAA~E@Df!FFHHptWwc_#VJi{Gq-KIeN9}_E4s!xSqnQBF zaES#7SkjJU;=3@wfn81na`7ESgkfS%0JIT6+TlmoFBmA&-{6YCPbL^}CXn|$fu})! zN@0*s#ou5rv)`lVBJ@5t`N&=c*iJivfi@<26dNajo$(Q!GX$G)O36oOK}dl`Z1C21 z*k1!gF9hAY8XrM9x9ENY$S;K3yE+#GNIt>Ux0qc6*f01wyDC0GvA3xF1JE8s1S>Jm zH}qS*>mKm-dx#w|%Qw{ez2hFR4116b`<}ev=C=shLnz zIyWF!!@e!p8IH(TLwYMA(JKM$e;p{UdM;ZKr8XjU99Zo7qFX?h_qlbWtZN80_NjFv zXdJlc`wLqju=h!Hf5SWQPxZHIhBs;kFLFYg@6%>RsBwbR)CybETVvia92ksr$N3Zg z6aEVQfPcmQ|1RX`f`=fXa0{M* zezP->&&Q&6rTdG?SzKRbe;W(|1S`K>2@%fJ&cxZp$<)Xe@jJ5rWrfJf#l^+(gYn1z ziFtV$#4T-HOr03SZH!z@MNN(EO-vbNP3_EGEPk-CGc&RM=Yr`67aJ!#BR~It?gb|q zv5@4cs477?+}*ugy$ynzwu=%Q_-vLcB*rUTH`D@P;6;V#5aWVyVGSTfu?L;?Ao3V0 z=%5>pv^!P*&cO0g=GwiX#S&|FLMey>h`|=x*^{1$Cj=9=(WS3$`?KZEZUdtE>-B1X zA`}q+#2{kJm)})P?b#1?zejA1aI`$rp4P)<=s_{y8)zoewf;U<0p4L4v!N1A6E0Qm zo)#W>5JU$+yM$Dnj%B;HJDnI%_@E@4)}5H^{j(X(QEPUBG^^X?AU+gADd4-6Ua)lZ zXD@WxnEi8CAPxv8va*v-jW=kPs$X?q!ta^Q*Li$wGGTG=vjNqI=0}ITk?pwjA94X# zc<&E=B0Ddxr=&?o+U20`20RJ{vsV>9x9Vnxxzune?hVa zOwy$8_*@)wf=#nE2Q>g_;ug59J%{Q$U|GwTi6m@s>+{1?x5?T5A~lphJsyHBSQKR7 z!bx5|!9tF*Q;;KO|1|&H^%sz1!ND8jH>6k*dbMo|wKW^82&s(su2rfCh&FA#KrJ_o zU5&kfeWxfl{`c$gf`UCPI(h$~>kcHjr%5CXG|&Q(lw_80_9Qa<_aM*jevkoUgdro| zxi~~TIHeG-)F?Fdu?rjG^^qfGIw=f6FDsedg}^@+ymEg_6J_R4`O>A}Ex0QGs;SDa zpOA7(70!WRJrU4x1;)VS%gmFtv@?oTlS;umvSi_}D zQl(3QSaY!4@)V^(m8S12;TMcL!$-A`4WXB2JgbCd2`)|o5QcwwWeF*f|3KH1Iz?vw zh)tA`q&XCA6E+wdJy4L*B#BB1AL&nObn@qlSY1(Nm(Tq3g3E|sV2MNXi$x1p#(ZC^ zY(s)j%wMc_Bapywl{UO>=7;*!QN0wc%oQwwDkUQ^LNzIreLfyq9Oae+plDZ5ws7=8 z_79mqm13P_o^s+}>DdL4)=4tQ#MwPYS7GmII+|pgIaGF;%ze0~$jkVYn<^hxg=3jl zZNEr^9kvdACTcsqh-s!A7XGW`&c~cHh7*R<%^|q`)tY)E>_rqdS%O^h65|C&t1@mS zy<9?->GI_TowHc0&a=6Pbgg=ecx{eLxys_F7_8z#YnBGKHStT*%L=#Q0Xd-}#RN0v z3=%m#S*9XUl{U*-%ktA)EoPb{5a(R3fsLh#be?pcH0TVLNiJ075dJCC;CwiQ}KvoZD!R@xo7%jIZi2LUWHYJy$x+eyGB1oM_0jU#9QZ;_a3@=+ovhY z^xIjMxg<`SMuloyukd@~_-DoLr}=Oh3@hBzd_;i#)J-|4Uq5b{cFkL|tJc;49uBb> z!SG-oDeIJ{b8E_3snh7usl}G(lyWTaSY^Gb>fQ=gYb$93mqem4tqLvODkW)_-K;4x z7Ofs5wPA};i^PiV{*{=bST!<*bCf3;O+A$rYBAHYVLewbyv=ycmM=}508c(n@~14+ z&&R%WpxL(+VDC;h+-U7;_O*ZAy6L=%bbj=1 zd06ZUzm76k^_P)h5Lb`5&^n)tQ+u{b;Cn?jDFlg+K+9wrk6W%nui` zCR`kP1aX1rHI8oWnMYL}sq3atqLv#hZ(^laQ&nt#um1@JFLPTT;gqqxh=r%K@Y?)% zeWQcf7gcBI4sS4O0Ab2pQW9 z7Ju_18u9Lc3F6Y1i^RfwhRJ^aUxom1(EGGDhrnolm0N1qZ4T2cA^i8|b@GQ8JI;uo z*>tJAywUlp4^<>z0mG^)mv+n_W6k`X5R$v5*py$OLB>WwCS`_#&1O&y=(>1EhdmBt zqn%7>DxIt&{XW1#x*)f@oh*{y#}pPABp$_{5h>)U$He-nhWfR+`lUbg(>B7BVa~E{ z9Af#4F@wbX4uY3Qu<1%6gGK@j;Y4ZR#9<)w5F15wnEPb0MB^~AB14kaL`Ye<6`8q} z;qomYxMw9@id0?lU_FAFZ=uJ8-N(d{$9i>GaqQ{s#ltJHS5FUz2 z9twmJ{%Qe)otb`{&GRA@zJ5BM93@Ibc$fm(Q5-m~gu=XQNv=eopGo&XD?*@W2SrA18R2+? zl5QypMT8@Um3CnfM4}0^D}I0{(VPU7F;X((A!Z9l70(W{0AkFUz^0AJvWF=<0%ko> zgFRr&cMjvQs|?vXw27%|I)tqZ3Dk$JHNmTmP|7!8aGKkJ(-hwb*Vn+3_@YuJ=Z}^0YbHQ8d@TV_o-d z@AG*wY-K#}`g#g%84Qiee}MA`ARh$37!pp0-{koK6n6T-1t9$PNCofWeK3e7hi^o) z2NFEt6b>zUv&?T~vmgC!*$_v|p{9?FUpce;onJk&hwnW>b9&%C<4Y*<1M~klKw=B4 zVv+q-B$2h)g(a=H5o`I45>Fy63jIasf&PMuKSK@Gmq)Cs&PEK=2rf|GRMr`(=2%vL zmfu!phvLx2!?)00)_z9pUY=c+a#s0P(p8poR;^wByjZQ~Y5_L60Bse3TLM+uORbNn zB(lhulp9u#DxaTl@t6D5DiODGs6;gBuW}}DaSxR$$D)j8b(fMQwTNjMZBpe>scus7 zT~=C|AZA&FRUNguifTl9Sy8#NTG7}dEt}4y(*1%@qavNU%mQ~kMh2z=mSwF+G8$+dAyC(sVV?mzQ~!dxQse+r#yX%l2jT6L+-$4s4) zKVYWn#T~K+M^>F$KNPFtoDZbAG^+mGA6Ri2RK*XTCB3Qp7VD3raV4QykRlexT0+87tYy97w{#@ds78JDUn|m({c;0y2K65 z5#vgPQ-#MU(i0Tkx&ZG^I1lGxsdE!p1L00FFlQ~(CCx3+vI<9CVj@p@%`k<&HK8EIQ9;Mq1-_ltl57nHcQp}##D*)##Pa7R$i zK?%Wwy;8(@NHgG%Kln6<&xyTC2#e4kB>ALcmm;{OD9$mmisoIzrWRJcDyC*zy*j3r z(OvTB=g=R?^eSW?RQcqlXA0Xk@OGmg#61f-ne_8h4t{!5>6fJ(6?)_77o{AU;;|+H zty@r)k`Qn#6Jc4_@bH#K#&4ZI1wx0@F%r%USS-JCa+nh2YFo{(GN9Qv#8&|8 zLqqGtO%uuMjc!?+#?Nna8eay&0=F9W9i7Go?@1pJ-6J`d*SFR0+b{m#U7;b|?T`$Z z?@vLt0XChwsI1hiECaWwsMORdgPYiO>He<6r@AL&2h&T87)Rlb+Azp3+BZ;#`3d{ng-U8<1Ov-QsY&Zd5$l8e3agWWPM=C4|dhK{1wcK z)AWI@KPzIrTRK2=YlsU1vJ(?RZ;lA2G;=dF2^Co)f$7iix*;Dh|r{{Kb%}p66NG|Se?Hij{ zrq7X%K=!IML-O=jvp_8is8-ueG7cU8YWo&0JD$&A!aRxe@S}K78~O~IUZ!5ThuEth z?lneP)yL=lUPL`=&!spv$r=_vi%-PYJAa8FQbM{~zyC!^=I z=e6gsr@rUDC#q+>r@ZI9CnvC5xKj|3j>sxh*dlZWg`isJbg3;`ekP)=Qhx5z#d}l8 zeId)G?y92wq>5Xt_{@%5uJ{}wtF--`kXzw`Da7*PjWWEBKW31s5NcDoIa*OHKznmK8Z`4K^jza>&BIbEPPkTWOQZEX5L51QC z8`vo(c0o#QWFWMn!1#pCkN<-V3Bzv!i~R^DG&m$UICO}(Z!oWKhY=OnKZy5IR06b* zK=ILmxFJA|ypE)P$u5b!jsdbX#jgghMa zb~pq@z`#L&BH9WoWU%is3qtWbj8loVPl^PeB5H_JOmt_M1Wf={i9~zgH+g=k1$p{< z^o2uv(n)xx3D!Is%MVaaz@C{a&e1bpRi^X*So~G zJ8{S#y2$Ucwux`gHb3zBiY#+Qy*n^m4fC}!JRf~M*b@%f-)DT#BOkkeSJAOwGTn2w zZ&`M;_7CuzMrM0!x>0z}obG!unWH$oznwiGx~C&Mx$f{T92nbm(~@VYwS}y||DqHn zH6$0bPz^gc#~hh$gy_r=Qx}oC0FhK+2?~|J;)ZW135SVpH3=v8Px<+Z!XFX|XVASw zr&p%`fZI63ymKZd&-~IBg|{qa7++pr9$j8s4&LYdW6Tw#qYQeP3*L}}^rq}f)n&I4 z%H!;9H$1u#ST%>)CY$1O+Qn)Bg?vcN8wc&+e$^Yym0>qG{eb=%?)TvOZ2H!ABl;CU zaU+>6DlmiCCgVTDXJBqnSHXZc5>^+FkP>Yg0!&n5)*c!muPe17hd0S%lV)!o(%i3r zWmX^3`mFd`LRp;~YjUc{W8TuXpsOPE433 z7O!Utr`ympqPi+qH0qK@%wp=kDq^;3Qu#1hpzdCder&0x=+{ zVu2Jt1D2nQGa!u5I{=2e z^WVvwDg*npv(gPiy7822YjhWyJX3a-!|Rn7OKx~&@@zqU!6d#}p4%dIvZL`6*|%**C+F)OshS1{EnM zmD%&|8|POF*^BO*cvo`1gOz{n&x+sbbd>&8U7^w|Jbk&6xWAm zeOk-SKK4)7fEdlbqavRe@ai*94=akM@KHcF`onwao$8Qqg3~>!;&=bg-fJi&P1$+n zg8f}Ckjum^%{Tj0sW-lNb_&hBw0=VCs=`5?yF7Do3fH`{eoE`w=g7u^-<{nH^HxB; zoR2&54CwFf#u1Acxalc)vBW%H!*xJLoLeGETG7sv5h9ooH#4PZWqzN#+u)@THadMj;{x94m3^lD=2 z({Fp<@A-YR_5Jj&@lN#uVXNnBhx6&%PzC$`j}P2?=yI(FCvlDjIdu@pDEOE~p?0fS zTIqyx-&m!zXaqHrn8u!Tv~u|ZReh3|X$&(qPZTU1rC*_t<%9jB{r&aL^~3eu^^=PK zSod)EH+uEo-_7}mtUIAs%-ixkjsq5H!7s(zU;ggJEut4#L|7c-?}z#q4_*Umdsr9G z*{B*(I)kKtWO#|Qv16s}ZvVazx&;v4CVGIr_e89~hSgv%)Zzh+1w5J;NH$~icF{`J z+Q7a0CH_MHRa+shO8PTrb%EY2gZFoGg^r)xHnGWY`lX{mV~yc5PCxER3cALemxdrg z-td@GGUYGm1yO;MU{xP|or+yNwlRZew@+*b0?(M-os}nn`aet0<__%kag9TM(~gbm z5+`k(f(ezbTvjRr)pSgewCYrl*Y6=@Yr~s+f{!l@KTw4}mK*CAz7HIF6b8*3y%)?6 z#4ph6UI_?Eex;FODV&dO{?m89W|7)*>^nnz!6T<5o44BuEs*IG;t|}$p;j5_cwp)& zQAFYL-}ZTl`^cVQU*A4r(dqcF2~#7i1QrfvEzPNKt+Vde+r!mEn9-?AU)GIW3tn=M zatriTuzf#s3sD#JxDh08K%-{Ze$vekUI^rVYE8uLaH1aE7h+y8>s^T(V@>GTK82bX zf#AoQ-dQG3KEGurx_O%*+Z)b{o@28WFdZWv-mp7#%};_FcqY8s!>r#nAvvUNh)QOI zHJdMmaY1}F*iXdfO_@n;dDz)O#kZ@$Hdo=`H!>bro)=O8X0Rhk+HHaUAVoPm>EK zx279z7Jj#a3BX$Rz8S@m2gThe8N1(tkummKQBBb5n_j1t1cBRy7m*;II(!k=-ol<( zI4sujYUVX@)q4MD_P7{9MM-C$u}GP-k0j!DSoi(d%3^(E@S-tR@obn{+8{uGy?JK2 zivQm9MUkk;8}YE-gZsg#aP?kqYM}i_-tHr&;5yvDuX~5&A0PDbEpIExb-@QH$+2P^ z8bQPdUU$llNDC0*3mPg+5}cG3JWf0&5QPK<`!BRaFlG1VfXLmAEX|M50U7c5U(D%1 zVt&yu>qsZuf0*e?8nc*AqGV|Cw;lKr(j&!^Ak&l9qvj(XXk_SNCsm`CstXn-B(Pj< zwHx>8nb#f-0FHqspe*rrpTUx+>aGWMnz-E$j`$4JQqfoLMDtubIDhe6Q0<*_hgD~B zPT;L6ubOhs!@?6UD4flN?wIIY1{6|DHp%1xqq+&JNn9z9B!Jz^F1Xs0n}sM2Htjw; zT{h?4sVG)u8)C^G5U2sw~ zCHGQw9i34Vx&$<6@+={Y|Ev=2AXZY^oaSC2d7>q)c*` z19tC2LwJYJg?^q327}mH#|!kd2zHD=e0A7`6&jkF5~y5*>^F7ZZ(0flzsaJhe6IItXZzV@=EG4t)$> zQUOMV`f!x`DN}Mv8AWAk){m${He5aj-y;*NbleqhK3VXDL!n{u_(Wws%Lcmq{YpW+ zt7)+^RG7J%h(i{(f=v@zOMY!Pv|{)>oL1~A+Yr~K)Jk3f)m&WR9#iHf6vh3Q0ef^Cz6t8vEj>FnJneryQ%%l`*U>_Ml+_2J4DtaOkWDNPoe)!; ze_g`3>Zw1Bpho8c>P&}Gl4=HM!jx3TEajjD2H zUMy6`;LwJtFDEag1J6LFU9%kx45>1tL%fu?@k=Xu>M8!rjYJNqrdC*J8$K8^R90EA zKf#Fp)<$JvLvizbw+JiSWTWatTwRC~XYs>K1@48-5$t_>)p7~H5cBvZaCZlcBN z2T-sFUwV`?^a<&QHp<4eqs{fP!j%Q*bz?u~z*^50Eeb#$~XHJVJulWlN>entGU&~+$@Mg&-a z<>{syNlT_HE6homYxji&T9ON@{2^(FCDlbatO?oIaL!7a zffpoxdgnl8zZaZBrSD;PjrcGLuB zA-~*$%S_G~M%aUYvi|h}CxKULYf3=X&%rSM#QX7be(niSI%31hkOc0Wd&`~{i2rH@ zX_>FxoqKRJ<>ftJPF9t4`Y|9Hm;+indy?%9Eng+q@Kf$NCbDst&s%oy6Z^y?ZJ?bF zfdpK5vG}z7233ZXbSw|_ffAQLR@4Wh%jI}qCc)RYho|_Y033XIqp!^mmE9Hr%)=o3m3p{W43jt9Y@%CtJ8Z`TAhl`}3}yvCo)g z{7#D;9A&9!Rx`@3Z}&_;;+u*}+6KjbCjKV3CNWFrdY)K-uajH-vlO^?yDt&#)Rrwv zLu1oF_|%4-N6*R{vM9B4ZwN7lthcR^A?d6_v!lWS_8aDOXO5(x8|rd}s~ozNA$+(T z1mpy&AUDZK98)T$EA^o^u5TqxhJd1Q?6%16SGh?mU4-mR;lz)YMGa;9e9fNe>^3zq z>UZ3)#DxES5{t;L9lSl`QI`1E-~M#`w#FNE@M=xy`6xU+mreeWHKM5bJ#nY z>ASRM*53b$euD3ljxLm$Lnqr@DMVx%{wnf`oZgKN;}fy}x{o#nWNGfhl!8hqB92x1 z2}x1Dn)@|8mhP{&_1~H$Bns|yhnQZ2j^mfW+Ab@-aXBeV{BU|JO^L^ma>Xk|vtvl5 z3l2>83`8TO$3kF{?ePMF55F^DpH$=>)eJF4kzPk5`{NuS(j$WWFM} zEtCrRjzuKad=jDp_bZOZmSLF};LYI889|84EIZb1e%3AO5)5|}-(kVLbs(V~&zrz} z`nX0u3o|Qr>beRrSPsZM$!!;Lfa&9M>kN;d&?-U05i*4w#4*_of{RO`+%gwLL*MFk zz;PAZXM(PtKGYVT3dr{$G?`UEG8oHvT$&IwpOl9x*VNb<1R1iMPA`JctO&H*Uf)@; z%SU&t3Zfw#fEFlqOxx@tvb|d7QB{`WXhC3b#3>yiwu+&Z2^{=wp&kD8Q9H8b9q$X~ zN?q_UpfOw&_+)Y(KoRqNh>uwmWwb~N&=dQsHLVoBQ;&9{z?vd>4_mvmm5L%6)i^3i zmU6}yuA=Dd;OgXHsM(vTvs)J5uhtif316Dz>J*nMG#7_NG?2~gf-C>z&s7+Blo^nC zSu4_1j!Dc0yd+zjPW*`=_zP=t@8hvuz54yD=@mYkvxU5s*=>H8WMH7!_PZ0T-{Lo} z(H6V$ahv`~VNZa&RbR;PgE2~^lAnGJSFh#%rRdULfNlG*Z?wrq@$s&scvP))#P%yU z3eWeQDBPerQ~MaPycam7apYOTseIx@N>Sv}G;mfCv82f9 zAicEtIvedlG)9c~)K8o*ONNbD%>8kWk97zvD>McDCxu|j%0?HZG&NL9%S+kiR8P!; zji<~OR?TigpyGqV=vt5rRrH(Np{-{%%tg;}Od&JIw4QcV(uSO704#Jn7Op4 zCl}PO!57(m$zU?;!nfnY{y$vvU{jDsj>Dn-G-?Iv(BSiT08iW8jidah==d$_%#>T!r9# z#p6OygB2KA$xARC`9MQb9}!)7DgakGLDys3dCE~aj8Zmvs+z$s>z(iV-rH|_UioK} zLdZI(;qD#@7Ck~M$ZHs7+H5oX=Dwy-%sm=-~@K?b~APL(ar`H%iPN3<9}_#n!=m0&KR(#!S2k;ZaAL zB*L zfcy`92$@8H$7P~m5P`>96(o^inB%9S-Rqet!DV&zZ_PS zDp2@!da}rv_T9!;Nh)mHTpG2;HqxeW;a1g+!q16$DpZWY)C$1`~h2fXUy;;wcsc7@Q?Mepf4DR|L?0_A_?qTGOT0?Rox!gBf9eDK;qVI{S;_ zaKhBAkX*i)=5bQ*r%Ky-J9BkaG~`RVSS;QH4Im1U^IkLx%=ar?dM=AMp zWVhHebDFu~ozz^0*O-Vx1NFer83h zEbVS;$ap{dC6i}(yPq#nAiSDB0R60l0(V<-{I~`qLB?`D9h5caJ-hiIFS)&8#oPR? z;#Ml?e9zBAqU!H`!uq_pcFydfDYjHRA4w_+<_4)Bw?~T%z7A%aqqWdzp-8c#@uXWH z52wDJuq}CH(BpFet(79jsMDSiBTxs#k0{$Nui1H z5=Dy@K($jx`DCq{EWwxX#dx%*X!807GW4aanEsek8=R*; zah;DqRia4M99-tGfScRKz%Uf6?RyfHqs~Q^OrkfwlejN;E)$!)4|{FXFu}jSM;0N( z0ld#PnYJ%8SnigX!COxu`gIAg)T|_w+HAHq{?Ymxy!KJv;#@Jd&yPCVBpR-9Ds@-vm zwFBEi5Z}R}_Wo}7n>)2tWGkow=@)7JSvZs0p3ZR=FDZZ#hH+P}WQ%KXY;O#2UISI? z-+T%JFD{8hkA>x?`({vAxmG(+7n?bL&2$NPQJwZPR+!e}ERkauETQ>av<_6G?_8#h zb1F#`$i?iOzzxs$3l6W6@7=T_i4)FSDP$GCX4dGuMn{01Lp3MNmX83~Cz{1# zieU}=&G+mgvij4>=3f$pI+(w@g2ZgndYgCClNbnfIf2)nz-2q{(z5T)HxIUxqNsGN zpD0T7-Tg6Mm#?EIQnZAr*htLC#zW}{GO@NQXAWSU5xwuXDM@lxEyH&fbUfOd5i zJ56?2 zLzgj6)n}sY!{H6rbs9Rf>q)fRZyLvIGh~LggA0ufR#$+xzb!psU(z~ z)@%A&DAhDlwS4H#dd*M=L!{wh>($$Gwpyw&RtN|BZN`yYnky}j3-oV@H)tWYtk?-Z zFxnrQ30Enn6g@0?1Amt?`3vbx$#16qLEIo&PhM$j7s=*jPOpWgEnX*?pZc}rwd!l? zv*CnDlbpjg6(HZVIZZTWa@wcj6 z*-nw;wRg6Kk|IMjTLJ!CEe)*A6eA`>#A3o0PC*vI``TLk6?C5#li}(25GGcLi4$Uy z#0HFcAQ8`un?P%o_|kaNjiGj6RS+3=Gr}-a?*^r1x&$l#9jf-?fQZS>O@h> z6$-_Ak;JpLEa(T?i#AT`DayrGOVd$D!a>;Lwr|iDUp`eTOF+Q?s+Eim#(zP)9!bD@ zIKix4k#}?ycF1^_)dncqkqo1naxm4Nfohw;4jVODiN9QE72ykuR*75V%|YH!RKALj zs;qkm#B_m*Rq=IDpl0)IwyewW?W?uvgS7Zwb{ak*5R5KHf?UKKq*-+676FGV__R=? zr|Ec#1`>~dpqT;B(KHMmD}3vfneN2dN^m?<4d^MWVGEK zi^SYhf6G7zwGvMFz2xs93Mw9()wgCTUnMq7V6hn1StXXMR-Tmb_a$7af`1WTZNW`k zXZW1mm1JEkt-M~{FV^a6$+}-G`MQ>kEUhiuu?>_O`Px82(i#Y0Fom`=4Y_of&V*!= zJS9!~lBZCc5H%#BGw_lb@b2(oQ|obUN+e#B$HBv_@bOXqahVcD?J>?)ks} zJKz6(3HWsaCd!+?W96zwIGjj?`ut^RV9lR15I@D7WiXZ*V`dp#!`K;2MKBCuEpL9# zs#U0FtAT_?>w1XeO%p3e7u~m5RgnOOa4lr;j%jAPR4UDsc+V9Mln$+x4k1XdW)PsU zs=oYx7bA3u-<2o?ChH7wJX!O>wL63`ieyz+p^L{-?f9|gfIrx(Z9ltfaA|j>`^1H% z-CA#7q<3LBPAauZslt+*UhI7GmD#p`&vx%h83%ildqQ?KDU*@v!OpC^@N|CUnITuo z-|KI-Fc!7Rqqn=PP8-#_^UU5qHM!!BG@D8Q)Ya2y3IoYOv`@Ri%eAg59H~p#ETBOE z`#FkGv?7&s5R!<<&qx^#v5g+ioFj)b0!1@r$RUar#p%oE0ez+xrubG|{En}v8{=Mj z`#i4>0Wn<4v^t&Ogh68dms7!!!5uDSHEedoWR*4Ic1Nr#W?&%iTX=D|ukw}F-yb(d z;wf8lE*TvNHp9OyeS9Xb^>nn(30_#CQA(T&QYn=xNkxTXB6juYnbo721LILOlk!zQ z8yJj@?L(@N-~21?z;A-2m@8H+!22=JfBe_;zG8n;Czs&Oq}G$j$L60f#f$O8P}~+^}MWJhpa5zd^ zb@{a&zosy>+FE=@<&IbP?mN537oUG=W@x!fDbUTLT2C#cqJsgtsdXS>ipEndj7Y5o zZXB^`fu~$|C;YR>vyH?+K05w*GJRkoreWHBJZc<5?IP0M07yW*irOkgIoirt|4JZ1 zlzH47cpKG*ncJ=qyvFGpVG$4{fenulvjp}gah|{k!V*S+tZHD9KeH|9neZ(SXZROT zlWI(htK|k2EXob&0r_p#Qe#ly2GX^)n#7e3O_u^|(*slr=yOu z^xe$HXm3jBk_^At>e~3i+*#_&{-6-i$W;wEMl>{beE&#xY4vz#&#`w79DHM6au{`XoknfYYrB>|IJI>7*}KGi*HTQ)J=5j@? z%7YXoLAMrN#ihr*IiFfi5dW|`DVeN6rge7)#ua*>wLQEMQfT;ur%_J=-KtL}plt#g zCg8-EpVClILqL->rlINCG=94)?{8_#ewf8T7G^(9bDAkg;#1eymQi6oAY$~cl}gAG z3gecayy&uYQ#c7@!_4li|FDvS5B~O@Pj_XnWicWPHQ)C6={pO!>-!~fZlRXwbk(Wl z@k)`->hyXWzBR^p--et)A|)KFN`pKbOnA1OKo3SGJYKIFuU+A9>BuK_RATOh$-oG$ zqT-=H4lg|x=s5E3(Zj#mAJ#e|_CPolaQfTlpC9)Z9nh?$D<6#&+-Y~y*q|rvraJSz zt0p@oeSB|bB+`t}MnZ;e$H<9^0IhCx>8x(70eAOLcV&<6in-W5Nk>&Tjh3s%oxbn2SyG4_KjV^2qvMNEjBWi(0jtXPd>f5j$cQfA_krkeXFy&2J<{j+13rWaVs-bLEV~RYewf-jv}rh96w!m4|w~UcI$~A3$v1ZWyY8 zfyS?^EK!#`YEew(wzunvUo7xi z{nX|PSI_xsA02(^hzxh63&Wk6T8A#74kf^xxXLJ69|KhA zr~^*y;%YFcLrL|0R?Pw(qm=jxmYjAAbCf!fyEE}KV2#{}-4ded{hq3;!3*!x5LmTv zNWWwd>U8)er8VYjjXRoTl|S5D9BgQ4c0@hyxLu{uRK9{CmC}LY4Ie-o;mwL~duzV_ z5-zBkgu6-&LsdSj1e>ijhQei3KMi_nI;Cl(A@Wg51#ARRNuYQ-`yQ(xbJbc0hg!Da#I(VC zHs$s^_>aZE_K%I}16}SwkC*D&|6*}Vr%(rC;3u^X_$eN8n;=)fhIHretH@gm`lAx{ zM~U>uZ*AZii-3RL5c2=jmO!-vx@`a#;Y1=#Bo574f=KtW*4A?3=W97rH6DBuN) zq9!zBN0BqPp`!vBo+?v}WoTmM8qO)WX=fQ)>SCKfxSF0lkI#pGj9X=-5PWdNO6!MJIbQlPMGY%i#~7=d!2E#}0q=9M^Zc{Il%h zc&K%BaS)w@ts{$k)~&ok090dr)^(&5V%B~3+p=zf9DUTR`+!%}XWjd>|C_Sze`v4L z*f`EIJo9}svpdJm;oX_t*?Ya0_wbH)eXMt5$KyB#Z*0fpXceH&!BH9#6#Vu@B`UNn@w9pM57i&EsjPA5a|zyR8^|;BUGxWx@+EVb~m;hS3o7S zmS?`v%hA~dU7Iuw-3x*EDD)7JHP*s^%;_N-`8?86|+pT?(vcGY*DAz z&;Ig>IVDz#wIAqF67y!SDNYVd=6s7!te&l%JXlsp*8S^DqjKOulHl4uz^``^>?OFE zSRe^5PYshKqNf|;WFf|=rC$9dCw>L@jXSlEJMl|hWS2fX!F|M6Z0#gX##=keKlC zmyC~*40gTCHNt}O zrCU`HVc{*UY7+*n#;XWdwW?+)6Z8ZSvK=BlFmY=-#OC%Hs^p;;D1%lR<4$j)0G$FU zeZ8=()oPY?Y`LJWFT)=h(mry95R@JN|9;sf=uEHqNW+bel(_Di)3@e0AHaX7_RzUQ zl~2zN84}}`c(GvLH`YIz^`$2l4=hfmD@VU|IKN~Uy>13$m*nO%wfUj`N?gtCUOKR} zJ3~ho&g{=B{(wGMj3jlJ84b$8fnc-{5BC@CPfgjU7V=V`t|)rUAL{qH^nfl0lfGC! z9!?bPPr@GgH{L<#m=&r{eYdkmS2WGDD@P>CRc6G3UxmEzqjV9Z$-#{4zG zKM<)}?d3+p#k*X33b6Y6#@oP!uY!9x*_~xEONfx7V(6Hycn2Feh}Tg&~|^!F%IZJ?+R3HfM>b{RTruKUH(@Su_pTFWj()CmpQ0{ zVR=nzec3e8c}au#ASh5*a^rpW4XA6Ddb->B;YeSpSXA>(G-HbqbzD{%T(7GWO;oTw zHddz_!wq#vROafE1-|R^{Ix;>bRJ*`IHAe3`f9MF0riHn zsMQ=$Y=yjXhli&+_;4=Vg$HLhPcxYvklJ#}zQMU~vua|pT;82jnWqtYl2KB-%auu3 zy~DH67U_XXSi~y`UBsTCl}?vJB3{Mlr^t~;D+hSmMiL_AFoqEb ztdc}vB_Jz8>$KQiF}yZ$o(|T^#nGtDKKl%of`i%O zsGk<)7L&lura_}T?TAY z)Mq=`yg>83Oa(z3_gqc|g$g!P^k#nI&qz7t%JH%b79Y+BH(RwBx-{ zc>;GBr0UINvUFyoSM#u!G?QWYT<9C8`A;kk3yP*v=PgzX zwUE1g-85WkK%)zsDdUl3T6bf~^tXP7P6Rc#+en*G*(^n&+xQw)pe}SOvjrthtBOKv zoZ3WJUx@kOLuH!i7pr_)>&b!Eq$9Rq&M8@k6tl1d*F4{G%@q)Z3h?JzXPbx06m0v^ zW!UPwul`@&G*osT?+|PP^o0ynl}>b(CgF&T!%*ZD-R1;UXk86OFt14T{`PgRp9QTz z3EAgyF>c__%k*&`JmME3xd5NamHHx~u!fkS(MZ-WaB5gD`(oj++G@FtEb)bqOwPdG zFHqAfdJ!DqYC_lzl55MA3YDk`p7BPe5n8gE^xUdvaRvl(1@v(W)Ungc;09~fdJPiD zP`RU%nJn4gOg4&j{T{pLh~yTn(7JAzESNK*7S81TN4{e2d2FgQYe1vmo)PRF7aHXg zV~|7BDbP~48uodJbb>VE(}N{Va5J+@3wr{gV12S4c@S5q z7-P#$p`KFJRJ}Eq zuaOJ2e0~=>?jkJkDEyv_^Z+GN{3?$Z;3trJImhzAh#K_8>BEQZ>H7}Z-&wY&jvTe8 z$Wy5O2Yf&KJBYF7%ao25;c*J>UlmlAvMd1YCJczT3mDze1=k0x8Yv`lBO!)E_cLmE zFrFU{GHko$Q3ckmni_ZBqjk>U8+Os>(KuSB6iQpAT;f&O9)_~kX+kp~P0q}~4|Jwu z+z7Ofn}%W17bHz$>G$d-t2SN|0we=%{Hy(a2K}4%YL9K=zT@}3^PPQupC9LEY{!o6 zIDTTsPMnbB$UA8g(!3xENtzTG2q*+tA4A==wrmq>*LB)Pw{BvZrcNsoMTCR{CJm`< z)izB+O$1V-6|_pIn?%L_n7YB@?0aWBP{beG)cGXe?;iSnzxVlkAD{1fKcOQGi-c1B zcdSe;kJ*P;kY@g0y;y~9)Dmwl+)YPo(&?BqN$e|}BHi?NhydaLHQ|JND7lRAPl6#R z^5}xqt2s`z;76}rzjGZw8yFOZCL3=l*1K?ml2f|~0%;o=+cw1T@oIOq%RuD@vrboT zYnIg7BfhGHouaqDSD5{9wea+BjW(l7q0}h%EZ-93CiJJ0 zsJe)_A30~T(wEUK1L1}H3s$GP=n%SNls%HdS0W=6s;$eJvbAJ5RO;Zoi8ML0TXU4b zRqc+%d1iRq*eFdo;z4hJZ7;lG<_G;Jhs{CULg*r>m(=w2XGSEL3g?Xc?DQ6Ib{H=eB6I z7B>f3m7Z0YxRWQP^FPwnYKxC&(pI09WvzZ^CVcO874Mhga9iOF6lBZpiztKR0&8R~ z#@i0VZKh=UsuYTJxys5aenZd8K0rZ-CJVpkOf;)gz4>PG3^{a0SKxgXb#>b5=T-E4 zIOFt74*0ByIpIcSag(+X+9}(WB`0itxdONKI|FNfBulVfjKgD*Z9Vs7YG8 zD|SrvD%?-tT8NM4Bi-&%jb_x{9mz99VsL1zsb_g2%S_ZNCs#Z*oM!@$seU^Oi9rx zr5$Lzq;8^|RUP)vaaL*ZTo71W*y9UZln}aw7kt>~4Ox|B)r|Clo;~W{#aVgo`6|pr zuQz0&gSY&ElZ{VWL*OaSx^~5XGeA9Sue7j*kHZPOAJ6Zjia~9sVg3W-pOnCy4c1f?j%p5k+XhKxrGwoxDMW=7m&>4vFh`7c|fxDnAH`&?2zr z@piexw~HWPho32Scx>=d6hzV_id}wXDvAOrDfh~$sB01J8>lbH0CJ2L#!JmYfmAAx zF{a#s1Aff>k}R(+U6xueb#3#}cMeW`W3D-`O|3I(H-G6{eR|BJ+|F+w%JgSlYE+~9ULOhgn}-R4BAR5Dg4hY`h%RuKdFole zvLvz51F6g8LBc{*lLrO0#}M#X@KD!ZB2UYdsf&~uD56y)UplYOHqr4idT|lV0_zy> zkkRW9!TLG$WC?R;1+kO3!6d@JqIO4ygdVmH*j8Y-fJy+h0+=pfI)Ui`rX83%U~<4@ zfvE*118f4=IIuBbqrlbxQwfw0H0Zj4`tRH+GD%ENJ@NzjfBa!NP*-dza*|4=-9v#) zF4B%AJ(n}%Raj41Wzj`lYkKbNk!RjMRA0Gewk0#v;Hf+C^ZomNIG6O+57jn3)fy;# zWQn#!M~1Ai&Q#A`z~yLQga!sPZ7Gn-4uG$Pf?Jo0=Uc{ZaW|4o_Y zc!}jWST2#@M?zN~BGh*oZ>b5_`X^FOxXLiPL>R$L9S+*@ZcxTDD8eXWIab6{&WsIa zi)@2j8~5eZ_UG|}kT2(Z1 znt8~QWZ*y8PKxF!q8z-XA*Tda2Ad)ilG{#Quvna|vIwBgG8#R*mQ(3942`S5U9(ki z45`v56o&%vBKhf3CWr@-zeIjYXx9H)3`q^fjHX6} zy-Qqu-sj6dE`Ih3x(v@GhK+eSeDWfUZ-9a$A7(g45SNz<%^kTdL?4Wji1@Ue=`O+i zIp@$R1N8+Oeo$oKQCjLyp=L`xq7>Q8MukyIN(o_a?g2m*M^U@1?#HmQ39)Z+%T!t~CkziqZiEG4z&z11ZmGR6; zS>H1p6G0(xJ|EpP%u6MKm!aiF7<;%RNF5lvhJ>z6q_ITo3icFPm~p231A1M8uH--Z zZJ_?|zC7T%T&);+qARw4nd*%SwsGfiRpkjGKU8_l6AM{M|o zzQ)~y|0ca$fTOC;IR4#p&b^y`WFLXu&6{izl9&y7vKv@4u!bN3gajn0(aQS~FrWdU zprqnpicSNHBW0$vsFaMfI(7W@PND1(>-i!^7{OXe8nml{VupNUh z>6P+g_~-9bCrzP$nf|GU6*V+0q}KN{$17#EWwp+{*V%DdwMjb|{)pOl{E@;!HTlRd z|9!31EZ&lQY)pArDX&+|mB{${e|%+lyu9W{ zM$*6LHJW~T^1!P16=ni!5p^&X2B~8Cg`G zmo=`Ct+2Z7s&{N`V$t-Hj)UtX%C9>PJ<#Ct`&}7XCE2;9nKpl}KQq)ise1OrY)4)x zt1T;XWC!yb!m+Oft69`b@p$6S!!&+^boz!&8EjMKSNA zO2E92%7il@ERM9;LTyZ8s5CSskEJOm2LIvpYIc{6D;b$ii#U)M93L2Sb$q(p$}KLx zhhL~~$PeZ^ESVM95%Ye59r-iqb!a2nZz7{qQzM;9)S*r0t*}YSLpFKp2sxe9KkRmK zb3fbi+W4qEDA9hU>_|a@CHXLwN3G?z51k{_LY9R|mA$+Mo(m1dUoX%-?iRXxVmTYq0Is8lR2B z(H#|2TWc0{?jG5jCaMZWL7sv#UDcI}f3ivtbwYeMrv*+sf7 zZdd%gkFTM0%AvfYM{iNvrq#q02y?mZwE#Bw%4ysH+yaNUFnx{q|no)H`QJ4|DbNgpB7B45OOxh0ES4HqdZ zjr6~PuOHUW3w=Cu^ZYBP2Sc{(v{v-BV%3TvsCd99`V zl}LO_{?VdMjDMsf9;RN=KrJJo+NoEPM=l-y74?FtNwNXV0d3$xQ{T&9#F#9ac^wYu zb*7P<6;dxNjJHFUKsJG9Fc;hn9)Z3PBcj6P=)YPkJgO$?~cIF!D(u{A!iM=N}T0E%nQWl(2a*QXxAlQPt!~k zpbfPjq8yNB${g_`?HDy7%F&*nU@9mbOZbOmzmDBB#l$D&w=^S#`Vn*ztb%@%5RcxzAmDr}|8$Q**G=;|Ii&`1i_pv{v~p{$t2& z$Yr1&YyzEN1N3R2TX0${BD9X#iZ zV+b-r4a#MV!x(l5XD^2e*kRCzy^A3BtwKE80iM;f_SG2plC8c@cZP>y55zwH3#r=& zmZ#|Uf)&bDw0D6$V6W7#1*`cyv|XSR?3DV)K_?%F_6)F2>Yf8z`B=1bL9x`m01mJN zSkFQ5g4CS>pEJ}L>=<|jeZNG#;RVy>`zUZJ=!LUPQl8QEgVS_aC#{5B%zC73V~kd@ zibTB<@6u}!tf^NVprBDh#D1ygG^1XKZPjzLQ7^=vMs3g{>wvc?JCX1CH#En{;c=Hf zM$g;)7|qgiu#qogb9AX;@AQ1CZKBP{^E1`1*tbJ@QO|`Li1SVg4COM!)}g$0iQ0_3 zh1$g(pD*)KK6w&(hd)hs4CRvjM*iSiX|Bu%NwHfUz#0(O)lX=h_yT=(UPnyT`4Rkd zKF#NU#JaI_{4ioRVCN_bf9{stgL-5)2{wzi0AjP^+d!U-D`zn`;s<{lXWfgukWWoR zG2wYC5L0NmxSMX}x4_SfiHT*j(PRTCQ}(G`#*9ls% zH!aj+X~FY8iXuNaR2Rs`c>P@D(oT6c0wxjI`&F5P6ZKF&Y*_=}`yc7$9^6HB#qsmo zdv|{cU=@ubA*2ou1s?%Hknny-i6Bpn6nQEL6l4m;XcYuBFjxwt6bqULJN*M_=ztHL zsbiI4tWb+|po(C{v89SbL3vrg!1iixB^bcCKjt6eKYJ$5w$9?$KaBZWV^BEDZp2?{yvvB; z{=d<8Go-tnhwaUiIauP-SdCqK_^jF_)7xMo-d^;)~gBJ8PocG7D$(N(C%tFrlX&Dj(JXfQWW`*Z9HrsyE z)clsU#bB$yQoI-Bb9g~9ugP2(rPxMN!ujll)n>fRGGk;A=hn>GbQjs+wU(#+ZSo{_ zGhKaLaz+ouI?t7HT?=mq{q2xVNr_!O0M93-nIoR*Lu}fUnq#U{OL@=Zy%!pwhhnP3 zvr4+REXjnr^Q?1C&7E7oJQm0#Z=F=Y{luiMFx`7VX2BHiF)4?Kzw1Avy17~=!X&uQ z?vjz5+5J4{Lt*MLv30RL?&JUc`65~UMA98$U{nTM~ffQ7`=9i|Gt(1`2T$KB{^z;;`g7VgY7Frc`l;QLRbSOFa~mAB8-L+&LFVHD_mC&M5pf^N!X z^BefR=hvp8`I!#bgfA_XvKQ}4=9 zDEfce64yJ>wyifuI(qYH_fuls47uHVLT>gZ(dToL@6E=}zwdt^g$3qT>En#<{(VVpjPy_qe!ch0h-0c!WWETG z!kh3(gbXI8-U`cVVrByb`IKuKpiq9U1kD;I(p3?)`DOSQSRa?~#C4S6ZrGL7WyF_` zHqCye!|iY|LWU4u@-)WO<}~3c*au%l&vF+zNP{G~fnRVLmopTIWC4Ft$pbQT*i% zlc^Gigqzu}kw-`zUja3AWc_u953aBPli4 zanEK-srNdR^Zq1vY?_p&w({;vJMRo7?{+=k^!rO_<}*=guHf4;{LNN;W2wy6KJ81i z*-d^`B~85tr3D(ZTH!76a`-qEwP~vfO z=zj_2wV>}})<^Kq#hC0&YW`y^-3MJmGG={jN^)!}RiwQo?Q~qFX1}8PU5Ys=yOQro zzDE59vrV2!+NZ}!Pmp@_3HG4@_SLEDoBC94aC{+c6gejy6fZ5U9NBWGlB&RG0(Gq& z*;1&OY+@;-CP**GNV&?fQv%0ZlBJlNh|d{_gyrN*Vsm4z3y(N*rEwinVVH@Ik?fJ~ zgz#ACC%)A_jPE4RHOI)+PRd|n|FEpXvLdTc zRs`+2ujD7`V=$b1i@zs78sPkP?3*)5VnT37xZiJ2|IL}-M46lTdB%{eccQ-aTRMk6 zKac!^Js2L3;z_uNb&l~`U8-WNakT59ZSA7)E!I)WIE6Zv&R6p>zcpmn|O3)q_#31+gR3rvKmnr|jJznMw>_4SYQ z&_#7|Ica@3`%D@<$2W*eknStDD4N4M+Qqh#unNt3M6R||*i**yS%dv6Xm++zC5(kef&#YCnucb;&mK@>ySpD#X2GAj0Tt&9wz5) z!PxziIBzx)HyejDwO{?_=5RWEJJJl{zanjLX)oivU#%pm}#~c zzr{0a?-Daz&n;#q@%ty#&5D^EsHTm{oQI>{J|y+*qcRt+U|%n|J*dTKskRUi9#-P> zogJ5B-5KmgUxEJ(S{}?6@#ZW*Bz54%_*LGs%->_7-&6d(zjoXAYIp+`re=_d}0Ow)^ne zYtb$x;ePH`3IFDJrBdcqo=XHlkFz?^d+_n?<>)6(|O*aWAV?P z@U*EU)^sNS>nIx-V}so!5An}lx6W>i6H!XPW9@35>p`FGp#X+MJ`6S`e2ey`EQ4$< zS#NI`qvx2wd=-IqkRRN|nZ&q#%cTCgFER(v^N$%9+rs~7FBjk{sxyv%clYdG2x*9r zA@VFl2myHsA-ppZ0)d1kA%eUTijndN;n9#v<>`o~0t1LL6qHvxw1^g{2ttw4!oc8I z9!e>cVp~Ct9pzCf&_Zyxzq5BQhD(U)RPM}gzH@fJJ$v@t?|kR~_48?GPILe5we^}* zqRRi5b<)_2dJ}E4MeS(^ghz0uu9E^lJ?7OhXR!q3<{IG4VXtl((LvJ&_oY>Btl zb6yqlpIwz%Y9Ibxh|9||TosVV#z1Rw%1rk!oV7!Uohb7i_FEybQpkFaC5BjY&Ws{s z3hz75ez_^lt(`Jhl`__AG93SOwTSpyDA`t$)Ux+Up7|TDh0o5jUj3L1W#%wqyo?+= z4d0LP`6)TKHgVO9IG;+a=1Wi3u`l_%9(70=`Y}rV2+J7OMk(Qce$cB2P=m1`gNvPWByK3s4+8)Ys6SP+Sg>1ZX1VTw{$RO^MB5S zHS%C!mgE?}XRV6yx#9WsFw&*1QI6H{Y~Ut3rGk5B`Bv@s3u$O<;@ZDx&m%5Bly2q+ z=Ug7(NeJ-+|zK{JXar<|PA^&8V?Ik8SL*lGF>X5w>G{>X6`oINf57R;G zruH(9|1HI4Pa4I<{#vQee-}{a(S+<7FXp#Omhl>A;ZF9_Wa2ABX4vQj&X4eUKZA35 zkXh^=KvOnQ9XO-&q`%sYb~(W_Meu-RvUfv!^Z^-SJSCI$e%5a^QVw64>un=rz^fPOQZRwo4xCkstUE=Wlyzuf4S2l6+$zIkq^o=4Lx` zUOQ|8=LTzU?qa;2=&LA+HtG|r!`WY*q_6RX(yCf z4B_5Hm;khi)lges>w)%z+he>g&qmM;UWNvNrDJvpiI^6GAKsLqi(jt@(pz!_q{62`(i(eLwU6G2O#yRN&g$5q;u z3HyH+;&_)EdY{*?Ok4yJ;~4Wf1HqakxvID1sK?23VcU@!rK2-ITk}_Fd+jq>qOE;s z@gr!GF8HO<&P2zo;7nX0y~&;R)M-hy4{mroBYY*XQVA z#<@g3ejm+{LG4q=J*&R&zM(!LW>0hOp_4tW7qWfOd(Bjo(?wfP7awF!L#4Adly<3f zLsxkkR-JKIs54GBB*I1d>#!rC6I`I53*19b+{Sxmgzt0F@85pL-iYSd=&rCgIwPPH zT=e@R{r&~>GiQ(b+$n|PO6|$CCqRJ}?d-AZI;AkhI_w;a=pUg!5%R5Q*8A&w#oRj@ z(LZ7zpw2qr>}d(2i&DNHf`>KwhJpWxk}00l;ZI~ty`COLnz zQ+P*;ljgT)KsxPLVT?-So06PDYq?VjYpmt&L-Yljs3i0tSS7Ayr#j1Fl=Y?aC#%G{ z<@ZNhH=UF8--KCKi45|6=Rhv^j&uDA?fHKHSTJ1%1=C%tR;)7za)U>l5jES8CrzjUt9?M#2B!>-nSe>lm4C87zQe`cZygGYR5+#=>Qo4W0da z6T_PIo}|h+k0+({8>j`fBd$FLKh$mVLeBj{*Ms*1I~p2P5A|sojD)^bw5RFX_1nbG zgpt*QR%>O%x{IL%X47v}sXrZJpf1ehUTy3KNQ3d(AFVyCI&=>8I4X6#Tzj9bI>&pz zMeLQZp?YeP^J|ia@5*B(PR1R1ti%~mle42H`Mf6iFj9M%uSDpK%G#omp17?a&@tah?NZ@a}T*YATx6_Lyf`(!k?W>7-(LZl=VkeC#^F$2uo< z^;(%<%6A~c?!p-ql&&yDqn|U2+^;yB+MX%>Rr_s6YbO^JYTy#p} z!!Ta9*@AbS*5AXtM-x8^8cKKT7Cggu#Y?80ET%o()1f0&8Q-vy^YA6+B_g#TY>jPz z4GiO240bQ91_}S%51}phT58NQvf6aq8+>mOYcnpeOOniflB5p7b}2V+xi^g$r6>C> zm-Wi=_SoCRQjCnXpXGmCAkBmM#CK!%;c97TH>IYTBlYw?taXWeJJ+pH@9_VglR@ex zW47kKjA3_`9(D^!wtg(-)>{1@c`O)^z1YW9Uf{IKlYL64jU@2f5dWpU+=Hts&H(=1 zv*+BCM8Hs!kRSwkI0k`0^iyYReVjns7PV9Fi-?`F^JuR?%V>6w+h-TTblAkTzzxR*WYOOuZHn@8Mg?!!Nb*>h!{eNmEPj-&TqN{Jq; zu{)4Uyd&+gy-NEv_EgL{S<)(e5SyQf-?}7o)h+Kd^9;m328N%-?isK0q%eGhdw&Bx zZ({xl*u^&_!xd~}4YqbxX4)0fGG-xT9wW9K3GnUum|vHkZHK-Fi-2HAn(;yD~ zIyh&iBy^Noa6)vf9z*;{Wx7AYIco)Xq(h@Q??dC?o&C%R-t9!5TLtev8=%0j!GQkN z6o2>%$l?5M)6Qv(^J@J}Sns^*B~q{2kQmgi&^XsK+>>t^)Cuoz0r;VY`9y=ffpcC6 z#V|UcwjLdDm21&&?Vp49+DL=e3*hlCYU={(s(DWjsEZ4TEP+b?|12P~*RUIQne{b2 z4BZU{hPj6RFs>1LJf|L6O*_-9_jki4*c`0kQvut}{<^}9fVyG9cz>R2aLzCh%Hb13 zdLW11i$9lUnn6F$f&syqMy|jmvuB7@8<@9lE8s;VwZYmxd4|dc>uc7*uts1}k=G5; zzTfa|xuMkXxnZbbf?*jfZO}h8AaXgN?rp>9? zqdgUzMdSwUU_(^rT>~N~4AJx5Z`f$``-Y)m|7)<-@MI&R{o9T06$I->b~Iv_(bsN6 zqj|Kg`1Z2(U*FU@hNc0Ld<}QFl)50!kQ%xvvvn?V7tc#d_~(-Hzxc@iC&UsR?~4@t7BksNhex?ANkpYtd)ZHdm2b|y=1A8__yaJKjvGUTNf#a=}J3K3f#Za4k3qphxNDK+maXbA!qGtk0Vxp z#NNjt!$-u>=sS6|b&VKWD)FJ4-WSdk?|r+_I~%r<@e3Ik+lCnIB5NM`Ns)KaSt6;_ zOsU}ku#V>?j6>A zn|&?!V|f>!Ps#UQAvYa{4+m?8Bs&MBi2o|xtDM(cjBQOjlXKb2xn0z?f_Is{3|7=T z=%7+vkY0Bvm1dlGrIQ<+cXW(BSMt?c(o5?>4VHG!a{m7|{l(t9YMJ-3`YEy>=DX>f z=PTrKd%X{}Jvf7r8#$dhw57Ds_Z08<>UZ8H&bK+|9P9Ka78OZzdpSA(S@NeE>8dj1 zY3DDJqIHeUl-Nnqj`8hue&0`BLy#swlWk1fwryL} zwr$(CZQHi(o@v{*ZG7$C+1P&%d)|nuh^j;7DJ!co^5uKNHrQ=mb3EnN;K!1k*IuVC zwfmctUIQ!0H8eZ%wH)KmlL^IR|9<5ku!#?Mh7TmcE4B5zqz}0?|GH!!tW%^~weed8 zLfUdJ2}(#^mc7$G(bohLJ$e~peRF#4?yd7R`gJQW;p>xo7cNUqmUmyQlYeDeEKgWi zqkmkGK^=ivet)exzR^6?4<`M#RTb67@{@BK{Oa^q%$_*L%8uNqskWYoClg#PGYpk` zm|rH|V7zACAm73I;HoF5mp(Ak6BB2t$e$R;db}4_)-X>mNeoBS=QWC3g*zBOC%!7#ici0x!Th+k}O8x%u$ zV^^^J0qN!@TK{%OvXuVLwZf2A=E3OBf=jNb@1r4E_B)A5hPV!`dBn@CJ$1GsP3ERs z?4$kHxwKU2g$Meq45O=k;ko|7wq1Vex-yB_9cI64oTqgjk?)!D*^lg*6^@cg62!3j zz?Q;9U7om{j-lB)wc_MM;Qa9+5G)|1w|zRfsbEIZ{+`OARczWvQlEx`<*V5mqxhCE zojyNy9-O14=d=}mEx5JVw+673R5@R`m8(7!4MWvpaK42Y2QlwSSzl(}@l-{bJl(Cuv+J|x-n*84(*O z8j+DU-p*hI!y{_BYs?VFn~!@6JaW<6S}*s9Dn}$-k@q;6=vbvNvQBhWD>RbSjasey5YlCpL4pN{ zsW#pM8?haZ!;TqDN3EXE(GSfD8Dxal8k7*mFTso=g!2+4c-&A1#v+HVVj56 zU?Mb@t_d!QQBDvXG|t%ws;z}LoYL3;Cyv9r$k_G+*!>)R|KRS+C(Wc}BJ^+f_V!L+ ze*qj-{JdubGTk1W=nl>IadFiwb1FSx47QO64d7+e73(ZxXSnI#t6kZF#RhyJ|H6Wq zlQRJ~6>`9alWH7xG3->1Hp=g1?=e4o5#ogr>c@6KuMB!<6iwXWekX0P@D|jBi7&An z;~N%4wO4$@hxuNt9}+L(o(lL)MS_^C&kKL~HpOQb4;A9i*cs6>4rC^Bki7pp(0x{I z)Pc7i&Z^3wy)FJ8&xD~Kfo_}os-!LcCb23A`ap3PJMk&ER-B7=YiT~jbxphS*-R-w z0>3_^lrzx}#GrLaRop%Pb_$-V-##PXozIZR+=XL(j&BxJagAPh^QZ53e^=l=?erz> zO7mk(s)%lr>@bP$(w@k!ln(wQrAwR+ju9O># z>(l)8M`F#X;w;$5XG|PsdIQD9SUt~(ohT3906sH0_vjW1XfY{!-v<*v6XW4V^mC^f z_l3AOn(@&e^@vl~p!-aZUga6xLBpqB98Cn{Pu|xPm$-hc+bY4O_sj~om_GpRsM|Bo z^ab{fekOJ;oJ@qpa6O#r!LQYTW)-;*pU+&o=fpLeiO2@%YaMYJYk$~F)@pI@Rl~5m zuRzB!$>#G8`>sb=tXNa2sHoe*oyCg!qMe9uvBXuIaMaAYKcCxZ&GRE@L-cb$B S z3x)AXNTxyJ|L7pr%D2~E@nRf(s+q!I3OCT}O|~Fwd>w6weunbnchNDq7*m`6zMXwf zShr^%0DexbUyLe@rsB24 zpmuJQPOQG6JF9)fs{m)3Q1`$VRih4;ivK)li`tFaxp3pP^IkL)OLKeV`@;kHBJer@ zzZCzLbtaO&jouASu2(=d3?JpmsIFVCIvdtyV4}XRLs9#Wp-;Q2f`wwg*KBGxDyP!z z=N{3%bSuhWm(+SilV0kIrwRhQ;xpW|g0SnpYQ(eJiu z71+)Rp*o*b8huZ;?P0DZnT|Mx(X9{Cm1ek?$yT#mhnL@EYmbKhB!Ds}0qnSUGezm4 z%RSnh;6Z9%hL+z@iJ0JPiBVY8FXC_dNDy3>iD1Eozox{@c3h}_d! zR53i9= zS29kl-T81}larKd8O8l2taE~B&okx_LDw*f1<|O&ryMsK>u|jqb>-KqLnSAC0DmDCfbF{!VVQ}%nyuJ8GZ4p9f0Tsp{zi8d(1^~#JcHosNP`ijM5r{cQ*`zl zqvEJG40v?ka79Vcf$*L*EZRL;?O+hsJZeFT5YI+I@|PdS>_&5f)nuR>@|w3d0Ivxk z_JG|9plZ;WEnAH_Z;7yEo%RT9ig{#RT$9ce1J)^N9I@(>_79>TAjX75*^?U!_K9e( zN1NX*giIntxe4M@01`f8+Xa@omoG#u)`(%Jk7&FSH-h!njCqL{d83!Q?}hRY_DzYo zr5^sl(}?-hJ4$@H4m-S~FV)CzO`ZJk)#q{r#i1k{+xSIKs1>nE#g!2d@dBD&7?pJW zbXv8u+VOMP=JfFmLUC~$;n@;hZXxbb#~*Ww4daoeI7-n}%)985B+mwT?4FK)g>4J^ zShu@bu=I>kG4 zn&E#Cy$-w)!seLVioctIykm}8-*ycVvm2>J(AUpsOh(>AB7!dN+Zy+NzQhWVB6rO!{Bm!@6E{AJpO)>=}e?pT21tTTm6DRLO*|VWu9Z>p5~Mvd6a1!>3u7| z)P?eRQ0yTdc~sL+f>)bi-;W1fc?bO99|Bd;y{q}Lxy>=;*!ywi`nztC@7P(R*iD*I z9&;wSjqqcyUGM2!=AfSMXo{*fRVMbR7DGYF$uzB{((OJ})#y z&`GYQAj)g?hSaH9NCFyTR4Rq{gPD~fH(LoM$Pyg#4fLp z#}yWIqLgLYCz6>}U^=J%q4O!NFD+P$W&A8ntNvu0rW*FWEn9kaDAI<;gzzTGI^SVZ zEKFD&$)is^xrSI`PDDSz5yX7mgENY^4dG{G!G1SkedJCd^Z1CdB&LYg5`uY9r{(SxP zZ1Hr?^IhWR*SIjVUGtj2Be(3w}r^GAml0R#C{6=+YIQM)loZaQD zds;OUJ3-FW!oK}aNd6ErERp7xP z8Sbf_+Exv#!y{_-{3c>0TRo}=>y9~K8<^cCQy!91)99amctOsUf_D8!Sl%WgFDXy- zKg>t7LUkm*j1cVA3Vk9uSH5GmiHlPWu(SLDpFobEq8ghKPl7(>te8Bd_2~rZ=Pby8 zMHWUQPq~=Kru=9xb z*I6z2V_}*vrBXlcrFzkOnrFeA1z;V{74G??$_e0EU@)jD)$2p*a3r~?ALLIbp}&8S zo+9dyyK#1IrDd~A$h25BR5ONcVWA%exHam~@ATI|<=)qx^N;8uJ?>Iac#E6gt=uBN zuB7E<%OAPyI*N45)!!e*M8S5;&y1c#JngtS&1kLiy>Cg*?9e;O`I*1h@^GEbT+93% z*ah_8gTwMA2Cli^WKu9!q!%Uh1aFUG(>nc$sdLsD?l_KvxdmBJPgTXrpbnyzEw27DRI+pokPi)nDNhcU_rl2j1heIKnoxSEm=OEL%lOL0$i=jr~&P7D*4a?jwpMMYFa6;=FyjuZqqO=8f; zX-Ox$6|f`{{&PAK(>#g#_K#j-zAY^-W%k2Gpo7b6KQBiF%?PD5^|Tt?-RaD=gyz^y z=Elg^9hocf9x@a_g47{b%M`P>(G-6f1B&^$g8#0}RWi%hAxmSRk1aVnQoFg4z`=4R z=H=w2QlLc_Cvr-L2r0Z4X`l{UQHDtGED$q%ksFnmY--5;Zj#uMRwFAQF-JmA^f}Q-^U#DQ}r=%8FLPE48vlzW2r-mO?xCFNl7t z&n+IO2IHhD*@}l|pgUA9J0zo#CT>=q&7_JrPcPn2E*OROQP;?O>4tRkg4-Lr?HO~W*Y8|m4^q%+kf)$lk?Sp8UC&JUuydW=D6hw z*ViNWZU?xV;se^L-e1ByIX6|O-XGTIEjuW;d201JLtEn7-Rj1`6E~@jJBw9#LUK+L%r6+0%>n31oM}Bi|m@R{E1SjtKn3RicY}9U; zP~OZeE}ab3-rXOzh#0MqmUCVG0yU&zA=waNtVD|^H5S}-O7EsbY_2u&9*fhAWZ%r< zFCDLP4s!F}s%trDvt5VDx>gv2*EBS%O~6AK$*Jh(vyV&2h2-4#aQr&bWVtZMP=WX> z=R8G~g$fnkl{PVSa{!`7j1IXD1Jd}WRuT%s?N(RYD8YPD7Mut+|GV3GG#DZj+E>oB8sSKG*xohK#lKTi?tx)> z(WBNXK{3|;M5-7%pC%T$6Xtb@RZI$!bimF4TU3lcuk$hXZwyS#r^@hKaK6Yre*+li zd3i#Qg~s%uUV`y~m>Y%mqUODShJ%9dJD`a5X+y+YHHoV*|D|IQU>(+ApCPPHYyl z!urq^bgjd25U2*+SKlA0V>oXA>f37-J>aKV6+I%C5U*(NsV@J9C-)2crR*jBN{+P$ zhNVlHJ~6zaZ<(*icamp_3&2u!Wl!GRtp>M5ZxZ$r1a|_q3x10Ln+53;b8Ea;q*tP> zp22zhlHLW!68^>NlARUCGtEW%5<^Aq5C)rUY{hlXOS#P($_3ZO=u-0%%Tjzr zc_o38yrR6*6oJC7xf9Ma-v!i#qZQ3F<}>B9t`*EPWNJ}g@&sKdepz4c1YIz@@@^5k zB7!19nUlC6P9@wG0LWF~S@wee0%ys~tv_8$ytcGYZd-VJy70EBZ{$Y5^roawsJ5_g z%CEYTr-DJTU0J`R@Avjdp?c%TX=UotX$9I+?Ue(JE6cN{71uM?McLB8{9JjZ>5`Ha zlq<xXlrqzW7qyhA66nb9>m?9snd$PnV%(}&t5Pk>Y-mNn zs!V8w#|rC8>k4@V=gR6zt|isV$BDvtWp$OQ7m5!C)hXv48IoQ&%g<{I4DpYJy)b}PP zrSBNUtV!cWRT0!B&=o#bzu0oH#o$WAO1_2taz{86$sKU>&C9?xPAsI77KE@7@78X> zd*-_)fslz)@#i4Ce@90tA;`#b7Y(m@HAL4bsPHOkBh6O8AykOUq1}T1+g3&a=Bqp$ zO@9`DvV8}&0mCPY`hDY5$>!vbGF%VR1C-24$D15ahSWbMj6pcz$%ItIz|1kQWT7Pi zutaY|@;Ef7Ev7ST1PLbIi5y_kq@Z;o~InIb!W3iwTrZLNuVYI z>*6m-0%NyF?cak@`XBv?uc0`Ag#2;&Bk=`suW^JU?+3iMG#}wUa{WXG&mM*pf6~{S zD>c6@g9Da_%7=K+`~>{t{9kTVKb3pN4@hqcZxq3~69nJ{34n3$oOj&^cna_Abw;` zC#>2A4Gh}Z3P^Kd@6IpGL6 z&~%EvQ4dUizB)OfbS9R&fQr)}j=43dyixx_j_b+$%+@LIS>Dq+t_6-xbw__qf0zOP zQQ4_9NPJicwC=dIitC9Iu4C;s$oFWla{<*#TgJ2z zm!3y<;mb-Wy?o6R2Oh=5CAx81UBS%b*q4**6KUIH0V!*O#o?(R5&HSU94U6~Fx!77e z#>kpCDa0IH&7W(oCP^*9MMbhWc3zSqLsp&$nJM(wgqbT9S4`~g=>K~6{^}HkpYuOy z#g}4V3x;=4m`|Q}xUVQbLGQU)jph8g_%rDzuy@>RvHU{$c?yl~)w$|3v?pdSsNM*@ z-?PQ1b81ht7h>{8!}-7Ci(4(=v<&O}`~p@v1?eeHsSv)YNU_Ix_0pWjxlHJ}vU5ad zNS!4>D=C`CX_dsSB;hK_%@Z<3lBk)(LOd#e#J;7X#`VV(k0hLaaplCEY{U?gNdC{= z+Osb)s|&GoLG%rU`GEJrx&Ccm$T5#&!Lt#jHyWxJj*e9vyoy=4w@i%ML%l#=FVi-@ zA|a%gC^MELB3Z<0ctjc&6dGw_WNtKYoQU4ZxK7NX)kEj?Vwwo{uy6#D+5DT6K2oD; zV#D^j5w>2{bh~VWfof<*{(TFvkT+HbsE{u`w^066wNMf z$b=Uw8LRQo$ZI?=<17-&*G5KV=w!$xMhgYU)npCd*Jnx}b0#)6Hk0Y_KthF`thk96 zJGM=XrzpEPvv&TaVkcC+3v1dbj3H_&z54{lHaKs^X&#-gHs#KmgMenO16CGm@ zBqtumQO_dJMrJ1YH0)%;Im!8Wy|DQxvyiKah*f)q!hBM*P)jqtCY7zw$`gEK7FJa< zvnGi}DAmcUNhvLS!UU^L9wv2SF}c*qijr7fTFgXLLTXeGNo`3z zC6R?<4s|XYgfFl!V4k(cK4XVlr2|GAW)lXEBhQ5&?H9}j3^#NaH1qZs1FX2Ro_5~O zk>%r=F<+T^cll^?p(i(ASNHbz{*4pP@eR0pJ!n#!GaI{svvho;>tb1mwpNqwNm7-{-qMtAmqDvZ1V)Bd7R*eArns4fv9XMG*{q*^ z`g_&#qcx0(ZTQ)d2H&1O4&xANd9<-~{jaN%z40AlxE377 z*#Lrl^n+vb_TnQP*D6ln*>TMN!JK#K=V9+>7Xgl=$ya^!=R>T;9(=3(o(S&w2gv}a zCntFU4%*bt#M#Bk)X*00-^$*|3XYYFi;I(xk?_CQyu1uzmNqV?P7Go;hAyTerpERr zrVKKscIGY?ge+{FOf3J|FcESxF>$c*^Z&;dv_#~Rnx%@0IC>voTOF*;g`*l%=uwYj zyTYdK5Ob7r#Me+UVkB1d_n&|kDO5$^`3w<~ASOi@QbufT(NWW)qIo9gxMGy_5R(&+ zq-0ES#9&DJ4zf03c~=g}DVdqie1KeUm3Q@p+OoRpE&vGlHw@YD1jPKc?%k+k3Vy^q zdl4zwS=nYW+{h}30U{t^^F@r)YKka+J_tlgV8$P=mCjCzOXzQ4#4XuhOtaIP+~q$R zFTVoxf`OT(nwb}w@$slpuUGR?@4?NW!rkJDG}o^GvYQ{DU~v95kG`J}`MJk%eppz1 z{kDL=GYxLIbY6t63ETF=3MdR0^>+2svNOBStNQr+4Ki>T$Y!tDC+u$qWH6*@-s@^u z=K8$M&a@qY1H|tecmzoc0iIWr^o((X=q~r%AO<3z@FbH&s!1}wDtH@iX-1&tE7IK$07?2}UrH3UTJ?tO=mMkz@&KnB+_*kSGGr_hq7Lk9H? zIJ}W$&0}9=+Mw(It$oHvU1UU^tY4CDNz^OEwIpThl6^C$+a-SOl8-+poF%1vl=$G_ zi8{Q%p7004r;z8DFy>Q$#2H+$AtY>QA|o{UfJz4VA`uodAq=`8KW(TGWf^kQg4Bjc z=vo-^#XL?h<1cR`5V=v!j99LW@cMltok072ZXcYmkl$3n%ZJWLFSK%%Jz!`D#vtH#ApuMvUqbYQA!v%fydG#xj_~~G5Rx=+Qf5>N(CMgD$oWB6?bx*uAd#61gKDt4+Q*{Th#2wVfWHSnt9 zRFs#%tTMYK`HKFfotT;PvzQhtvE;@XOdD&nnC3D}b?j=`?%AX$9OtrqWkAXal1$Ic zq8TGu3o@C7N)_%E^F3pq10d+*2d4LJui9PORx5~=Y^7|=^y|Qvz!##I!k7J*#Fy8&Ir#9weePW? z$MC9Bn{z_61*<@Dtm}kblYa!1X(KyEXji1w=I**4D&2P#2=oa2ra*(EVeqBhMbyTr zA#%J*DagkaPHLSsI*J>q;?xy=^t^wU{VoVyBL+w`TdUrzI<;z7To2~2v3QMQBS%z6 z9`f(*kUV(Jq0fg%mQ(is3bU1GX-~!J?=`ME?SzgVj4h45CB7-nMT&pT)VmKu@`Bh_ zO`B-j)3oEY@YP)_XB$Fv=WiPjklsZ!#y7;@DCl|=92TM=+c}{87SH7YXdSfW`{;?Y60COgZt2_LyjHx%*igGB(M_Ni!KiiK(V3e@q0(31qsBOc9(K>aY3h!@ z*~}-opug-}+Fow`F%fVj*A*{+KFUnH_WGV&@33~2f6_BDE&Iu<<-ZcRB1}~II+CNQ zT>Sc}1r2HWPY$2W^K`MFO+E zFXYK8ga#6FQ$c?$iS9mD$qQb{Co1GC74ncW!PFsEG0Rl`y+_fZW)TF=S4iUqjOP_d z@E#!WF2wN^4)hd!dglrG*MPAQOK2X%3>FmT1qe-c4uwLHAqRFp0}?6Ymm()d6IRHYrU$uMYlGwe|z`ksyA+4!TaZLr_vlPUg6i9UH61- zW4ymWyd<>W;awr^j^j_JK5)J8eFXCD6EO1Z4(B!bJL2q4+c(1Zg||Q0_QdX ze-FLt#pxWh=5$N}97h1oGe2W|ufR9s2oLn1h^u#lJv2WkfCGXD5}nC-Cp>|X=bkw4 z$HT4DUE0-9|7CIXk^LV3(YxKIsHc|%#+zgiyH)`wU%!RC$hd5>>Xt()de zlLVLho;C3p4ZwOsVIEL8`=&NA>J3nO!(|?D+54_GaU2a`J40z6F!qOdJ(pQQfII%S zzbmgmn+DoG8a@8kcSvoc@M}ms`+zkp0%HuHAl-Y2t1$W8${AMkE|$T}^C>4Y9_O6S z8D8_g<^jz!S|@ZK>73FTX7kSGznbT-T-7qEIfLWxbnHr`*^F|HZPS^c{C3ywdE0enXANdgqBAo&{KGT%1e zBHw0679)xsu}n#%8-pu@d;JrpJRRg+3nLTx%ux2PWQ!!(DoGBkn_BWklWZO5rGJ$? z@?F#nl(M-TX3>)vF6)`>=#!*QC7syIld?|Ro2-wMLQfO?Y>Jc0xA{*KzZbvr+=H(P z9<(6BHJ)jnTo9EYDHTb?vs~bh0fMYSU<=UeLX@Y_KMl}Xf-n|PwFPV~p>A`)+(Q52 z+;#!aQ$XGwkSzl3M7Uk32BL8T4IZhvhuU>*&DX+wq^ex?0^)_}7% zpsNXfZUAQgOTGq@ZAfDitb0f21K~Y@;5NX~fcN5MUImF(3ttg@kszhUL@f?hse)F< ztXd$Y_=j3Hv`NfM`}NGz#t;1IuQu{A0~+)6Ny0Bu`ufd@JI*TD8gStM6iE}po@sm4+!y*|Gm3J zC{2VAaRZ9~iq4b)$qJC=d#pKu%?|K0gJ2zy>HE+mWtricYEh|%$C_YjiK<4+nzCxy z%ZASypeqqjD^aaxwi-Mud9DU{niMOUu7=wh?rLeN#(Wv+uBNgYXlkjd#;qE< zE6K|SshTh=YXAB$_PIZP=g+A>N&2c{Tv)%S^wmW_!TDv@jyLRoPFZk^xBlsi_T}FX8?n4#gSD@e}W%$GZ zT~0t1^evnCgd#fcPnqRMzcRxTRd|Xj-LHV#Tj24YihM>!wm>6Qw3;GgPZ_$SMBQ7= z`X0M*?mkfZP6w#C$#q|*(xyR32%S1<1F;@)0lJL{{HL~x<=s<2)ZaKZB`xm*Brky z0=pI9nLun3xmDnqg>NFcmEf6*Z!)>n;F*tZLb(;?nh3PzugUk``ug_R_uBhpG62B3 zM{im`uKX_TL9c+l8(vj^Z6M-U4cdgnwkWc1jI3$km>=2}$u?23FPE*uW}7zK#!a`1 z+vH8RgZ+G%g|?0HaxiR_PfI^e^f29i(+I`KL-0-=j@-QBdhao;q3G{HywdnZFx->) zg!bOCy^L_ILF^jo`-Fepaa_gs9|C=XLLB6CLhc?K><0_~+Ee)Bkg><9)8QEM8<*-= z95Q?yI`n7+GN&41918X*OfX6a1O;?-atMY1df#g#Wn_Mo(rYGVY<@KJD~BRFMYPka zyCQx+BHEp_B2syT)N5)*jItR3<|+3H%Wmpz^PDU0mx#0u znbyA5Joah?dxu)fuxk-s9me&&^}L`9YOaX+yr>J>HZKcbGq1jf*<&Yf#O&c8AAW>= z7!OMQvB6hj{27c#$i5Kqhf>}shQoOuE`cfaJIubMQ6~ZXQT2O|zATD6Hr~YgV@V%8 z`IAde=%1|e2RPnX|G~vKvAjPV_cDE%=KmnA+4jd=J~*?-Jg@Wp8ubr%k|W9;mhuo;6ofm=01z{2n- zPU#Nhz{rpZX?~VkgmB^uhz;D`X$beFKd!ATkKX-&9g7oy8Q>!=CE0zP+bO1o;x-Zb zfq(rsKOxR|cuA6v412Qt82ST7Qz|aS=2$u7>>X1jF~u0XQ&~;9% z@_o{rC}qa*oic&>?k97v@bB<%iCaTp`a!Y|5R5}7ov2VsW9ybflCeg(w!h+yu#=8- zb)#$@@Pl{puMjGCfHim;M;yC9*Bw~Z4*xWyM(@dd;PeI2^hbPOsnv{8-A3eI&0|I= zn83RYh{+Ae&Guk(;)XRsa2h}WdygJKfI|!1Xgl0^V+UOF{a^2By)q2*)lbB|Lj7gC z{|($~cEmr~*O?l9Yk`l2l&}CIV=aI}I6$iT(|(887a4wUdle{usQe21GPJV>^RXv^ z8#vAhO>yAxGzas<%O~Dnpsz(!t6e+1!hA`t6VcfLWPU*G75)ADc2WNf^it~*+nOuw zz)B~Srt#O;E6*og+wiW&s`jcT&7G(Zu=~E;Rz$V~!_A0<4+xJDgo_4;7Kes(Bl_-u z`<*LqRJTkoj66-dh_+;+?TmNAHy8F8O*8a)km%F>i z@do%r?;j+%&IUrxncz2&S)?x=Jh~83p||1K!=#bMNKNQTQEl>fGzCZ+!&J;x{uG$jPlaE@ zMS0bQElBC#)YbdU8VnoQ8Z=dXa(l5wFR2Y!ZD%%IDg)r#RYAFb^^uUP-?9$c|FR>s z;<-hP=^i{?`BJJy*95ii**cc-hqw<}R->I^;-bG|>I7Ch!1aat54az2o!OlswC};^ zAYYWjEVE5JKk-XHVZ}zxqHnNMb}LY#R|)IQsn?$(>z0G>+8}9CRyCGr#_88959(;S z4C+ijFT9joS439t8hVl}w%pFuymy>rg;Hx&vJ~!wr`pE3f6C9&d+4=n7p-30H7p0{ zAdPk)(x8cgW@75 zAP6r^niIxC3PPV@1D@=H8iy4w)YmYJ!x1SJaE`rZl&nTOo6BYFHPceLu1qdJF)<|} zFD;qJY53XED0!nuA`UArgURkPwkHS*F$B0hbsBm0rGHuHpMCP{T2rrOYR1zO^vFiSS4C3kpTktW zKQolFFsX@<@uy^N<7aMTS{O*(nd9M>a^ymN4p}T*!W<#*0;6~2PC|VSiwi%?5IF-! z5%cI6Q_!(x$&IqQqoi-u*DKpSc8q$fN*v)jSg>$GRZ&Jo1XJSSbgF{Gd&hJ!xZ1l= zwGBa+`OsFix_x+G3K40a#6riachNsGtsGi+_ zjB~F}QYU>QOW)P6*dSSsgD>B3ylHJSnzXb^89Y}a!82+S9K`t45-jT8Q6nU?5f~Z~A+HpR zKjGTg^E630p|ZGRE%V0R*Q(UX+sjQ~SEG|qEGiCpf8W;I`deS26hcA9ri{~T=@-7J zS-&aKj(v$rxPr4)UESpz69MoVB5z{FLf*EDArZGdJkus1gcPk7T!C!QLzYqA9ID)t zgfpvTqAzcwA3g?fUSd>CA4|9pgwY39FYBf@fa(^|kXl|U5X7R0MmoH0_qnv@QcO|I zn0Fn(!>Ai4hxq&)_pBKechmu9jLl!h>tfxf;rFoO%{4La-oai#+9=p*Y2^jZV**{$ zheO!m0~cCKQ%0heg2*G^7vt5Y)m18|cWHlhiK#9_)NV+8s=h^tsHj@~s9Akv4!O;C zHe1^uYqef_=AQBacFc(|TKjSUkLm~zCkNp}J!z;Gd~Frkar z>by*ZTAw|)=ZV5=pl|?TeP;K3{Ivx6InA0aIGW7Ozz^rb{6|P|W3mA_;kdLJQYD7dI&E5E=ObN`fl)7Fdmj)X-~8}UZXqZ;OAq3s^Vf* zUp&p2EEl<#onDo45?$nCeb9?{-Y;Y*UxQ@zmLMB3(S~b?5El>!WR(FWVD&edAT^Cu zfCw{oC>4k7ACbo;NyR=oI{FvFYL5})D*VbfV(SDO80WW}Kb>LkFfABLd`K7|B#Jrv zsrrRy6DlIz7Niyu?X;&PvJEyRya%V`#2{3xQW-Qu6;?MtY&EBpmQhv-eoE{>Z086E zkw&t~E!vRRf4^SNlabqeD++mLF29F4NMK_4@lPl;gCcx6I>Ue0k-nP@q8ma|sP3;Q zUsXI}{G#~4!S5lM^G9R7e;OK}ln3IzK0x=#Cj7EWknHTb?Ipq_VNs2dLSI^kiltiG zy19+5qf*=R1!*&X_{_ZpQNpqJko)DhqP-_=HZ#Gi)&0!Y2cSkm5CZqdkHNd z*;#?a1IG<|0~NrWYHOP1e+yq~xbTh+iC))p*61DLb5-2}K(O@7Gya4+#cdV=8c#r5 z@tN%oX&~DYEjLFgf&LoB-I7v)q^Y|Qs!CPmlPoGsem6i;@tfg$^(ADQyGvLiLfJ)g z{GCt0xB?G#*mv*1@s6{Qh&#lYE!czu*WkGc{Zll>t>=VvL7r_&J zj$ekqh-Q!wS= zva4(SMMF0S@EwPt{Xz%U=b~U8gR5VcMzf|xml+PfS&r>Kf1?z^jUg0Y_O>AP^njz^ zg&7yjU+3c+psbk^N%vKGz$7HgqQ`_@R5|+g$03mW{JKRBF|Q>gyeHai&_SoE@&#VN zMMamg`!hUfS-Rz*VN7-#)hS4(@+-6jShNGr-cg8-%L)p<)Ma6X73>0W=Yov0@WK=q z1m)Y0{A7)&^vcKyg|nD5isFw%mrC9$TLvEf3;ynhMe!UZW>H7ojaQ8k%mC~Ki-Low z&mY(2rO8JRkG>G|Q%`bjAm>bXg={B{aOyk=)<-f<_^cf;9~B+mtbB&dy~vQwgDOvq ztXt0v9HBC&vR}f`=7|$Rx@tfm6sSl}zY}LMSrg{v{aQ}Yn!WUx^NiM>tIk;_WoR_m zO}$ZM(bXhh9jBiw3`XY*?SPc3w4c4A4 zR8}@}EaeKEpF4SVKMw~uj6m=`MhBO<1BBbp9XyW?}nYE*;A)p zz9=+nXrOkmtLtJ*M2kDX6E|xi*;+5xh@=u>fxDY?aPY^=GXN0l;0@8m)yo3zZgx8W z$-(&-0I)s9tezcj7>LpME}orvC{G97nvNS(mveQ*ld^FZDXCgZObVBGZ?{``F>6+< z6NU!kRD>Vn0T5j9J{^2NP5A0TOB1nW%8ZH#MvV!&N?)dBG$^6Lh?xuT)-SKF4W99qBgNDyiq)2z(f*A$= zoT#_Cx0*&IS=Vc%Lfa7N&9;zI7FrXzP?8_na-DsdnP$dp`elB8KFud?;HS%sdN*kT zP%gjnf&9e^s9@+PTix9y=y&~?FaC8MKUm!!o)uO4^<(AjjXS&n{eV>5*C6*tdH!Ta zMn9OrCWta*Tf#`CyA!WxWYiE7l}CwUmr^-j*b4CTrA77`_iWdbl3lA*|3ft2KP zq5R<#S>nM$mK$>IH*ry1YFSv;ppOdzamZ@$az~jDAedtr1n0y0Sv#sZ8*HupUaal& z^*!YmgZ$IqQL}1%cGth6O>Xb^Ar||2e9uGU@OC@iOm6a(SLIAX)ArS8(3BqP=2<|! z_37o~U97_HM&jV7z3y|^4O(h*2r_yaK3C71X@onI)Az=Fe4h#a!a4F_5#Rdk%mUhM zN$#;ZJ`Ca$&=+j~U~jv+8+6r*x$ibNnXmLD4}c3fKZ|?XkN|#o7`*O*jd6bW0WiSJ znq!=SIXOJy8(C)GWPfqT%(Z#)gu`FlN(WIKi`gSF5`^M3s<5Utp2$yi)X%`$P3Lte zak|<%=@a7~fGNVa#irZic0Da#7OyMUe;u{!GxYJNqiwY%(hstV>HA%d-lCG*<*wIe zd-1~S66acN_XzL)W5~RCX81W*7x3?`c)kumw-$E${@$HZ_qD+>$La_0`?$Yszs;O} z+yS^8Z748CZF9<`wX`Udlj~%84oVWF=u{eN(L7XS*$qsKw-YvqD3dX-f14J^#6pd% zSu8B+zMYAvMG8=pp8-VzZxAp-CDs`c+Fs_|ZC%E5F2zo~AUGa*-`;rY_s`yb@y$MZ z2jr3`664#B$Oh?TpMt8%yiDlvs|ih6x-L-{4!Pvno2bNw0j)nxgu&~u7!+}{vxvH; zjH-!#b62TKo`ARsTJ`1Wv3@l%v>KlFQb-}t_t0ZEMZe)>#}XgEZl!~pZBq347@Vk= zBk(^DM`{Nxy$2eP;(!0`ON3X0f5U^e{rq%Bu<7(Szu`08&K2)-MD92wBmzdpI=Gd6 zD4w$BF2B^_MnerIP7F#G^VHvvE7hMvEYw|=$X#gRJbkr|zi`N$8Kv=4C!UcshOmLm z^OT5&M=%+HITa1K!flbbb?1VZ&A0Fn@Ig`wuw)PaF91MZ`o)Ga#}(RD=6*-wt%JufucE&>|WUZF_LnNBz{x=x<~W6m^au}26?AJ&oi1C z)Wn5P0r9kesfr7$WyPhN0Zm|)km|q>co!DmrLF+pDdKlc@Rl&%oF2DCk+8r!ChvRng z8p;iZ_D0w`<))6RPF+C^2ZeeeHGwV&9;&rK3f|HI`E1a)y? zv*P76Bj^{)f8_+00qi;Y$ElbKXntn#3cbi!#1L{{kLFB=-ARN>=*=^ogk=$GiCjC| zXPYc*3g=M@x|tNB^4@T$Gfbdx7!g!Ye#|T(dph4!&vj5jv)L?UFnL~fijA+Yk|X8I z^A8-AFuZ3z@RB%{;#KlO38hXK!>JOiBNvyg(}Nc(_AJk?_0?<}uT?vNWqG!Dts2LY zj&_cKrCctyaw^rFOVf)K!?^|4o7-`4$Ig=v-8I~n+w<`FhNJyk7hV-ais1z>k4}aI z>>aeo@we^&%E&_(j|{Eeb@ch)92`2hXVB#62U*PssGdZoZO!gO$DbOXIJzqviK5Rb zGHaNo>PcD)l4|gLYa(>(;n}HA|N6xzCIW#0C9NP-@wxI!$jC#1{CNM#AH6 zBeHF7qoa^$g}RfECxgr(^6g3WO;g$bn7i<(1Or;8wq+m zV8(q6+Dne?LmnNQF=T+P1P)7XEWcD6)34P=<#(VLsE#XVf!>9)(1?9a&cdq^9=GNp z+%zK>s#t&nPziJ0Sc+Z$4lAz4=M4zJ>qft;J|Y4(U-wGX8k{?TZC(vSP@d|ka z_M0S*G~2H`l_bKUa56;DOuPWKp<_B<*;_Rn@z&)_&gS_Q%&-^kngN3?ip!j_tKOhx z(S?Q1l4lpC?hhZ>p54C22`h*>j~?r^lD(<0=coAi49mwMbj$F46W!i`Cb4EPtb4tR z*Pq?8mU_-feooU=i+8PSCY94ywiNlx@xJEccZ!v-BE;L26&CNr9-SAPNDJVd8KTBt2PDebbEvz!FOY@QxO=x^_nBFTR0;w< z!9RRCtcu+9iWs<+%^Rs!oz<99T9MOtBvVvtBi$Tm4K;_VLzek1>GnhNw0sQtI$6G* z>qxh^px?u|@>pTWdD>@&q&qA-BGcy?a?l%X%Y-MrrozxmiD~b(cy&6O*z8>Mrd!)A%Wx;lFp`{+=3C%_$1(Ldrv51MblQ@f zj;&iQ1X@M|J6z-SKqu^mKgG^fjyiY=QpIIB=#TI2zSxcP#o7Op-C^2<@Mb!j&i$|a zUMy|KF9-ee3q?v$8ZoM(JYoG?H!HOX1O0GmE&ZxiGgArKJ|mFieK&Pr@u zh(f0@k6YJsH!{F2fEoc!3LWbhi{BU7zVP7Pr(XNo$Ftk+fBe*mwZl_|%+`Y^zjFdGaOz(gGFuEVc=37+&H)B%^Ma+OIX(p+ zznTVFeb#TNJjY2OvFS5;V^nJFeyR=BrK%tVZCC{;eKQj=T%7YG&@ol54QyV-<|}nf zt;>0)8&QhwNv4uXc;i4wrvtrXpZ(Up)4NHx;fMs41!i@}7rVD5dOy0}n*C7T?2kWr z*Y}1$u_b4DsXwo|Xa6Th?1hYzgdD*@Kbvl0IidTuJtG@-La8jjWAzMe-B#h!t&9R( z$`ZrGt4;C{&!*cYFQXGJ=YdqKi1lROjGp!?Yk(NDk+Js2BqehmbrCEv;1Ecu5$N)~ z{3l=$WPgWgWAfv6Ee0+J?nxOZm# zj9Y?)$6C$KJ@;zoJKs6y`v+I4QH5Vu>23h|eRb8^|IaRS{)Opqg{SZFK@d~<~?^*bcm?KI$a{jw{NY+r{9??o(t)4qWhCOW2-XSOn-j!9yGhD2UGB6R5iUo zeKnb=9aUE*LuTI!_R1j-z!Fvk@Wh<+g7YEw&3Npo4>rj zQ}zW^H&$ckQOzAiH5Vg}EY}4Ij?+C3H|LoEsY_;fegI5QA}#dxjW)|dzP{YLRT*5^ zTB(JX&VtEVz@l?BkH%6KR7m<$M*(svigV_L+elQz{Qd}1{ePT!QF21`+~tHPMJM%F z#;XTB;=Nbq)WcJ%%z6#oi&Qfp&LFj5Ab-Q z0P48oCcSlmi@_$bt#H$JJErTu$6GQ?UK~eTfi-&TQukwd#HEgqvB@$ow?3=yfAP)H zW8d2s@xOu`VH5%4rpa@Pt8%En@5Cp%74+1L6}y`O;5Wx7j#sMBUi}=@W|6u7{`QmG zvn@NmG&27TXa73V;vB*#Mx0s(qXxnk^J$vX>(u$+5HcdZ81L1s*Rj)Vqcd5yJdUk} zP31!)EnFU6Ucibv9(5Ok6v^m3xB`I^4~OC&mi#&(*V3Yv z422UCBZPQ~gOGFa)K#}GP>_?H_kKdHMYRTLDWKsu|Lubh*e{|t?mMf zQgG(;1ksw%g;t@p(5c6-fy+pK#>l+cVCtoz%#c$geDOTrsmGGJf~W?E)HySU-v+^h zXz})xJXt6sf2ikFOLfaPW%|cK~6RAzoUB%gz8n2teR@F>;Ocp&%dGV#=nN91@5C1&)geId=c@yj!wRPj zaa6_f)s#Lg*aB?H>cWDyf-q)tT^@N%q-P#7l@?wDA0v4!rsHl8cK?onaxxx>c^uR} zwLv5ky-ojQTzVpuNb!OQ{yh_8?M}vm-;?iZhJ>*ZXU#q9bs zK~O51a(UwXa_kbwy+njak$X*vi3FM$xd~k`z@(4>7|#zzkPt|YoBD{|<)^P#r>`Rk zi5aDo6}2qimT%)J_)+oJG-UEP;!+KT=vGV(#Vi;I*U>))tALas!%C0^QGAQ1q%|AK zQU#VkG-i!S_$QCdJR;WOgGlM!hQAU4&YeuhByi>DcXMZSG8TO3DozO1WQpu*FEy*-r z$)+O9BF{N*hR71kQi+VmkkRpC*RHYE0|zQTZ?5lCr+4f)QE*eKMo&Pdz^`+Mx{BM@ zw}xGOxY%4eyt`NRE682(j#nf7W~O83u{9lEdExZo^&6^B$Pz?@_xstsLnpL>2O6=U301 zI=HsW+^$Hni|*i%M5NHi%&5^(YE|QX)l8(lYr|vNKu>2=<PxBs{gSuMP=F_5dx_% zDXquv&S%Gttu;ec<;%~{&$2(y^L(H0)1mqu4v3MG;OtG_>vdr;M&6B-y6^TvoPkr3 z2xodA_!WMSZb}QM z^GD|R?3cfPthZDcbmGXYG2pg1ef;2}xOO^ldbK;)t=G|RFVFd$>{~y{zkK#Ccz=0fz-O_mZLPhQv;;3GD<6< zL^2VVD_R1|?fR-r($Ny`h;E6Fl5V)8h$z?EbOf!hcGkL6hwDOOsp&{R;tQGW229m0 z>qvGf>o?<;==ADK%i;1!(tHXgP2HT$WyDm~TR=_*7sq4WhOuI^nEcE=JsNZ+CyFK4 z@ONK+>C71G9^l|Au9c>r7JqbnVk-8;>bbRnW2bYguPjN_XF&D70n~c{_}lC4)USr( zX*CKF*Hk)z@G>-ym`vWtHI-H{LtgdubUMT{3?07_D$ySbd_xF;NJqf9i)>1A0$wFI z#aLw(VRvy$rfy5CuZv1(D1Ji>m3aC?k#ALwuyUnQ#9p_XvtuRMQhBs4akPK@@Kzc^&?&q=N#U%iaP zunW*4ROz4*MxJr~4!#ZMCj;LWyv5v=70V5>p{+4XMV>j(|0s@8c=vPm~r_6O<1B^Dmg; zg|&(P4344*3Zigat2Y}$`LL6I>k>(+Fb%CGpW_U<#q~XwXwaortFbi7n1O525E^@W zA+w@qD4W%6ARoTHaB;pzr^aX!Wu*WFK|nwj0o#zqYO@%ruRd{ct_LG%HD(0eFb47@ zd6^tE4I4pfsxGrMyFvdD&6gcr+nj@!S@7ile_rDm_Q!oZRCqesi2MejCLXc!U zlwtDlT4wr7qmG))wHc-Zd*Uv=-aQzQHEv+;!oFU&m1Om5i^axLX1m4GHy$~3!R?g^KSN&#m`|rxJW#yu2iBK%<{NK;8i!ssLT>kYDcr=POVU>7{H` zA&Fw_mXIlVITG>>sQKU|U&hMH3J4GZ{BjB-l{l05Ya%Xtq+Q>W6V+7<&#+r!TYS@C zY4%6{`g)W~4c47dePwDhODbtQ0L@04rP0A)@H%k#U18VY`WL2|ITdMg+chO2)3iISnPbAxfj_vlnd6sMj=d_SMDex%zRFU3$u%8jQ+z$zNDW`o_JW zrvP#IUswcUp&;~wcINK}T_zs*)Z+q0x_I8?3Zgzve+}My6%%|#P8rD`%xp3;>{~ZC zC3gx~zxS9}88D#)VN%q4q7v4G*8YB&QH-You?DXGS7Tg%f-#N|?+h4KnA+F{p8Tw; zdT$%y6)>OOHOS~+fU1$CHQ;dgIfCH)|BcmIAz#O9tZ+#6X|{Sf-$wh*~{|)W%Uz%v@Di_>6+G)G>(%rt9K`R z9Mm5`)w*rg?oL1-+?~C+*iTEkjBHjwPN1Y5=}m>+vv zM%_7VXTR5MBn%oDhApy{ky!V@ZOyJcAXgZ!`V6ckH5#+m?&vm=X!Rc_WQd?D)hd|U z?(v_(Z)!|-ug&IWHOP-?yS4i3YSzf%gjRzA=_KI)RJC%+0-W3J{qS$_GlUjL5S*$h zDg19h*9@(8pldKglt4nHnL;tcq#}QE2ip~?q}tz<=`^1=F{w3addH9dXJ-xL+O?_n zjj@lxbUy@r+~FH%#{2sRGFFSnJ?l9H9RgniW)X78L+vjyy<0-DG&BIF4`k`5Jcnk- zGrGh`F;R9jlwFZ_;Hkhwh*ZEj#(>f=W-8$2k5Z9HzuEnHKT9U!0k?|1TjFP2Yc8JJ zw5W{k92S9=S<&f8fvU_7>-Gr2PQ#5l0wW}rkDobOk_L!;Xi&rJI2O+(R%?g#jQj>B zSf|O{rAJ|pe&X=iOzuG1j+iD7i$i->hHNd9#hJ7V<@}k;2dZn^hx{;?FSZ^c-vA|v zNaOu&Pgw}LGy5Xm@@Ob9ww&~i`x+A-WO*3+Wrr*WVs?910M#Lo9yTF*o!`7Aq)PrS z9ctId0-Ek{vAayP4r{67K{`PS8+o)m{+66MYs$POb_i^n?MkQbJ~_xc8vGn6`1)MO zv1ESdRBz!we&k$UqOQ6HI6ZoQ8?tNIlAr!e$iY3!V2;m%P4NccYK0=uv+ZPm3${s@ zKtMKwO~oQMpJa|H+Ifd91vdnId&h$#n>PW?O$j5}{J2XKL6U2M_*hHbqz1cPX|N4GRO7}<&Mu}{6l7)uGQ2knlx1?*=Do%&gWnUZ$+AH%g(*;d%5@dJ@4~-o>ckyqhEvF zdZl(2y9M{3L`IPJ+GPVhm*<6?kkbTJL(J`Wq*Oki({ZYIHC9#yErd({k~CdhY1*(= z{<@F6y__{0$y#Hv{N$tGz(OuipC9X8tu(KoiYx1pZ1$85MB`2TYbG1jFq)L2SP0*$ zJN9&W(ia+ zcOLux+1DzgQYhuCZEpxP{u#9Gkas`Vr$&>5drwZMCI>r)p>4moWxRja;p0ak2Y0|4 znE5P?7|5MAHBh3Nv@ybSY$lDcERu0$AQv+m%wqU_X%@kVM9F1jqPVATRWA>%7@lqQ zC}n4PUG)#-8G~3rvpJ*Xw$DuG z3Wt91bav-huRv2MNwF-&hYL?WUYH*A4o*Kiof~}a)Q;H1a1X~}6;=-^vgY=t3c*A! z**&y#WXm`9_Bj+kPm4UQXo|%7JN^Fju%67NlEt0IreixZyrgifS)*h3|BhbA-$KTb z-?U+McfKc8NNu8-QD(Hjq*A>FwV)!snSVFk8IPifY>o8{iUV1OWjLqM8Pq7s!I9d)wmcsQg&g3Y zg6!xDDeqG}gX0WOy|0)RI0~J{U$AS)F!GZ&6$o=0(l^}S7m0c`gwvvZ-pFu2LljEE zQqPLv+Gd;RMsI|$5A-iBnJLYZaP<+^)WKdi(bcn3v1Yaiw=LQYqrIls`89>Hu&=ZH z!L=U1N&%1C?<7#&H9j+2+&okCGSqA%t`Xn}Npk*FXKr@?lr#;v#$_+8fECMr8lEx| zU8DPQ`gjB#Y{*UZ555h7U|-bzcxCP>aJq5XMD?4{7oUfy_8}+Rxi=lWIxi`SMYIz^ zkP!Hn!5K=r6c+p?JHkpPg&{(>mt7xNg2JpHwqSd3wONX7E|QRUHud6IEVg#yWe=pD z%|7friFeH$dv!Y{c|%HP%)@|F0MJsW&liFnu0jkwziTRvaWLd+L6O2P!npYIhaddu zAjeZEPCHm^Hz!hp1MZv>n5vH__x*T&>4X(r3C2X?49tP=LL{b;x7#6+20yzT49imQ zBKS8<5kuhAW$7^~ylD}2LTGwG)sT$vL@8Iw49l1|Uh-}gnyh~VBzO!>+z zU|IM1Obf9E2fJ}r3aZf3(V$FZp53!8gz}!S4<MT@i+=6~DBa*z6 zsY9VQiGG-5amHCc-CQm`a#B^!tqZJuV$5}rAt^MyL}E(3vm+#t*c-J^NI~lg2BIRyf)iIsRF1(B$k{W%LGp_3 z55^=+0DrAbI%J-}D2@ULYj08{Y=>VM&dLtRU=Q@c=2`AV zPCty?Lc}e1FQP6;)-b3pXd1#?vA~iur3mc~l-yH}>2+W&6|)vuEs5mY&a30pJk$=S zIziVkl3S=bVAD~-&f|ps#EY-(uT@FO*X8v`B$WGN4n-;0MEsp11-_1M{K~G0PL%UR z{plcffm7LMuHX6W+qECkuvZYg+X0H$Ebpc`i){|J8s2;P>N^Ka^Tk7i2n4}o>{n3j z=Gt+KL8p)aWLVko5&I_rtgCizt$$fNnKpJfhc|uz6xZ{Wm@U$1oYiag_8b zH4MDT^C(I44yO7?S;BDwMM+)~P^9)*8mA;7MA z=VTMI%l0GQHqZJ(z{qr80XybjauQd-u7yg=RQq`wNvnQ%-PnIfueR7Ysxv%iX3yMb zXLc?-d-GnsH?QqoXN}F)NxTsWPRNB4CqXn9ho%H*HcgTBr4#A2NRMGkbv+q?aO z66~6{Wj)1o8v)`oh$x0Ey5a*=S#Kpd-w;4W>V?_ndHi$qce3z~O; zzBdhqE;wFbKV?pzF#zJw6!;;&ZO@pC`UpCOT|yQlUdbD-{nQ;X!(^Kj~i z{Jl$)gdBUG*FUT*d9mEN%mH$mTm0uLMFdJF!T9yIBG>-T8kX0P3^!bDQ!+r?(b{YD z>-f7=l3LgBy@|%gMI5?_W`Ql(=*A?Dsn50?*RZ?xfm2g&dqt42B29)HyUC7H5a@x$ zib|h7_`{J-PO;p;n!(we66Kzx?Cc#oD{I_n*0A^vGyY_DC37 z9R50DUV0jNQ3o3Q2?w-?6*aKh?*YAcS@c&N^fv+hvucZz2A7~;`U=o9eF1<=P%jV^ zuWp$ly%5^Hkwx&d687tU4^Jn%vk#sg#SQT8+Iv4j>(HGjPQX9WD|vv!VV-@wHh=Qz z+9$PZwM%DN2fRx1E?1kTXYmm#O6?@*%O)Qb0#r~51Opa79Uc;~r0Ld^vT?r3a!c=96H2gri85;>yTx1nc`%zzCvABYsWn7%7@MmhjJ0prTCiitp zHdPj*0Y2}mn|LRyn_iD}W2LHax@CC;P79iBE)63gs=ovH_XGY|qbrv!GX`^|8q-uN z=F+A^L+X@kIR)~7UYpfs9SuM4l`dd!u%-W?@c^|Mr zy#&+UjCN_2_KtSRr_I1CWIGHx@EO~bTlWck=0?PiFic<@{;_O24a@}W&~I>TDh-7$ znTB%L*T0$u7H5EOS9m$5zt78D(^$23^S25cibSM0eeuqGguU+*y-kI6#QjF#X;oEi zUE^N&qj+Z_jEDc`4!LGC8TQeGIF`(+;;GsVRnt_&o>Ht-HiHj5=aVM>5E-Dt^auv7NT8Mx-h9myF_44%y@9P0GElfxMP99FNEye)f~Vb*|R$rEDO#i z|M`oa0s`R&f|DQ?Byy9L;IQaORzPyGUoA~juAw*y?}Z-9^`;VW7@)9adW$Q-!`LNA z{>&HdEe^bPc+c353_J{MDMmJI-`h7bwmto`kw*qbGMUwds3q=wc;!esJy?iY0&}|a z*o&{c^!$pI>x=tN?1qQaC!Z@uR>bt)eJA!D`(`$s`Nj(;o;#Evx9KAmPa)^9Io(*oGkM{HUEb99(FBWfBZ6_7fTyk%C=Jrl{TgiuivWqw=3HuE!s^Rp1! zC_gh>Dv=^a%2>myVq=@n{kCb@^F*|qB*Nz^Ak91C6%cPR?#v+YdibbG%NARt0X3yD zhxR8IEbUC8!wl)t!u(EICG(ReBKPIm$C{~NEa`4^A6B61`y(C({oyZeX+LY(&`(Vd zHoQJvVXl0i$E+qxu~?X&Vq7ld;w1LwaZ+odV9R$QLhqvfxSTE5HRE#~7@-Uyc|q6H zFa{`rD*cu5JC*K>r~p(of;!7Wz!+rs;;>$>qEw50E)D8-Y5pqkgsXGTY+BNqA$!i* z_J7!;Y2-KByQ`=$QE4wms_>aqX@18$AKqqd=j+@|?v}NkScZ198k4S?h!akbY6)-B zkvNVJmaPmcD4IBbhoXy^k$o20yydaY-2q-ruI(*7vaKYEGQ)6!#7S#*9bUQjsSRy% zV(_8k`)<$~kNZ*9Yx{JW1)?KHQ)wNwuRZec{p$y8Uwe1uduK7vbO4R3=%ixWGO1UhEe;f^tSOY zs`5qDM|r8cQR@6^FZ_a~Dsq*@joW+vnoM#VhlB7qQRWt_gkH+efrmWAQ`(%?aGMPe zr1-aZjvV^`?!D+vuUtia`s*i2zy5jeJ_&q=SJ%7IE&%~c` zoWzM=oR|a?k~lbD4snQMLINcTfdQp>9Z6GI2Xw5fG_9!JN@+_gv32drG)>dCLfc3n zRno{YM7*%NWQ9Ud9hWaN+=>nPEq1Cnw#;mhXYoLML8M%7?1xl@KlvNS5+{Gar$_&{7(B_9U-mu#%y9DCG z89jfE%>ABtlXy`r+N>t+^54{2H4XiWnlPA5ti@4T;m}fMNcBL=0(bW#iTos&fQYSx zi2YQhLkXhserzhNuxVTU<`6_}EUrf(eLbp2&3X^oOtGDiFXGxjO^vfYB!lC(%@cL+ z$Z3eR2*jFmbwmLp;;fFZ1fCFB*h~urGr??C7Sk%9{6FX~r8z}cKvBkLOUh)d?NU}w zm2QE;HJFQ}UUaulKK=da`t1XqHl3jK z3Y?}UF`bxrej;q|Jdo<&7j&A~$CyAR*{;)p6F}#-T-on$3q1bXo)EDVe|mPhuSRRK z@vH=)X}4Q+_DVIeDKi7+dV>sHbF^4K7KU?s zCY6^I+FUWGMbY~3DoR0S0U0^Am@P_4!KJK}VHLr(#2Qkh@pF}Rz%&d*l+{u&gRhW0 z*@7|HVlIVpQ7H>MXzGf+d3f&CJ&&9m_G|17$pfd~o#{GMZ8JhE#0i|%8%;(g&weE( zZF+TT?5UxupGyq`&4JWFw@as;-o0VGx7lYT$=2gX505l%nEd8Y@Wg9Bdh6wxgs9U< zCKghsNvkvJ2A_WW5qG$L>w$Ejqu$C}-KL%+4aX08V_P$$IFDY)-=UKbm2ULb8wGyR@u*m|yoiho!F}2wlaQLbXw3@t{I|t4Vgl5!|e|PHW&v}1M zNc_*of}W!ook^evL?dIhJ0w9u6bb_?<)DtJMeQh!Zmf3)nUz{10|$y;Cap+B9pI`0 z3d9md8fGHm>#z%ZP(Rrim-zmUgfS3{)~Q+fmNS#Ob&ucSi-JZukQ`4;plZ0gn*9rYdpQPO#tM3j^c8NKM6lIS9>9m+JQqwox~4E3h1d3fff ziRl-1H#AN@w{!2fRZi~zMtc7+M3Bd>xpfQdFqCS(haUy$X?eo4jfYpcKZw1Z37xaSJ$)J&nf`EynC+ag&e2GX>!IS5H{KK;| z2}Y}9HKIu+DO6(8B~M15 zOCoY>tGYOXLKR32PXnqc)hbJW#5a5s$|L75DyrrQ3WDDdH>lz5ovdM1Q4?|)ep{+t z3z1La$TzQEpQl7ak(P8d>_v^gDLS|Z+HplmVoCQxug#1ZaSTn;4DEy8{1iULMUF!ZGV(-NrjK|2Z^TdWraf62`|5Ur| zu-$Zk6F3aY0AYR|rx)i%JL6D9vku0{7!=X0A-+cRI(UKsKT3p0D2XIU6q{b6#R9dq z!KTY~k_iVcyP@gb#Ih=_S)g`_Mw+yQgKlQ|+VVBMU2wUaW>!VKK$}f`mA{f9zRmG) zIv?6ieL{QTbjMep4s5mdc+m$Q?`9!=u5-TV1J2i~oQF^x+)5}36VA(2`!sMo^26K} zJH=>t14mwE)hga3+`S;0PFTV{>lNc1b{)yh*;3PaVL653;#vL>uA-V z=_0Kw&xnr^qJNP8)x&~u=I1czU1&QUMo+ARIeHO|E6Afx4C*s94rnfp2|ycQJ0MkD zE8wSSM8%`W*Mh!_Mle)yG)K~CgrxHU7%ecy01bd4z#!lltUF;mPoIH(&*$d>Z__m( z51=OC8yI_w;}PViC($@_19aD{1NfF|Gn#!M5~v!3`74@*NE-p9Rm1Q;4(|nQ#v}DT zL>G|%H;hDS{%7NE_eE?`Hjw!&S)Cc*`?!iCv z?>{8oQ|->5#-M4Gq<(@9tp|EP8dPu$wPR4H;Jc?#Lvi!~+<vdllUPK3#9}@HV+D-=CB59EbGp(n{+zwfIY|tuOSA~mQB#UmN}Fa7pvClBm+ne^9>jmn&Idzx~t7(mMlhZjrbM` z4wt-m6Tgrrkzm`1Gp9a+4Bqnt4E>+%LcE6a43h_VuLJ01uEJ3H1KTdWoEQt?qHdDw zRsA8DC5NcHj1$OAa}K80V*Dd=4zd(W?UqLqGc{`dPPJoNKemDDo1LNz z^CJ~u=d!(r?QXT`Ukfj9PpZZIT6p=Y@smji@9|oVxpS-MGB3DTbyQ4H1luj}YHj2} zwWl`B$2zn_f^iD5#wn$_Ly|~18B3B$Dw(Ip$j>-F9M2k8Ro4J=HJ`IftUC{$7tNjd zwi@@*Q#?Sdo#NfAn)XgysLGrej>K9-K9uXm{UvrD+bz@`7bJ_lh;>m{iIOc4;-bpP ztw7&e<6=gu_QoyMOl(4qI7l`jT@<06c?mh@#K*&Ggq)zh$g$5n9$aTON%Epb@6+!{ z2Fa|E&zA3EnzaM()J9HL$K?_>!?4Q8Qdh(>6dI{0H2a}YoFk_=_B1Au4~?7bMkN5@bA(d!SMl0)zN_7^BKd{aAiB!P`l+v>b9rDd*mhm z0>%_OjQ6CT+Q2^QdR;5YU+!t*RIP>LzSbD^g{x)$e>i8Wqg(!Ba|?#Ly5-+PZAx+U z=)Bq)&>i^){LIx7)zzHBzSfX8)E!3`a*-=@{i_-4TYOUbT(^FOe9qa>+@eyb0m%-( zWSw_W6|CziW+cb0K}U@^jF))s#yU(v53?u6h)x(GI;pS8o1&BdhCWl|r#!0?dCz6k z6hWUR(YfkO7z4{}sJ`U2eYyWhvMHa_Kuq+=Bq3H;p7&Ak@qbUU*7Mz3gh3iH-`UGL z^0u?rQNlu*u#8uTEn2HBtieNuQl-v*CSZuOpV{04%_Qzo8l=e)wU0CJy8EoXYQTG$(bRCwb{w#lxO;6ir@t*HpW^!-tVY&Sbe0DGP)9|L1%e7r57wE%oVwl~LbMZ{zZ?nzec#Hc1+u(gW z@t#`8U*m7OwoY=cczQU(b5CnfviY)e?!H=6!)>1`r_Yw&nX5Ijzo&uOj;3v+o)NRL zD&l>LtW4PEE7Y;Jo>oPWc8-o^XdQAq1~o?OoE=54v zJ;qMww}=metrU!qyOALmP^T8sn;&G&A5j<0zDUs8Y_`EjIhOy;gSEOwBl3A3=Trak zktMg{9%)5l&Q-#g^40dof8w#UQAGjL>@9kp1L4=vOSwDHV&dfzKjZsnq*O%f+`4g zBdD7b!|aVH*6{nY)cO-nSsSo`{}){64f8MP%X|mU^CV*g&#W-EkMOq&{k_U|2A@SV z+dlbkw3Y>EF89*Y7Vy6pu&(Q3Oz`tOH~1XvZ&|Yqlrop#4RHjilHUdLNA-<4kZ1I1 zb;gXs4EYJ)gDBQl6wkL%o{gbMBTt*5>NYt>O4%PxCX*M~zsq+ZO)SI^Ih%Df9u4IN zo*$!FhuiTR=WNvVQG~W!PcJf%)F1kP>=fbgglL4Z+%m} z^>ya`6ROw8Z`M#WAuYW1t##Lj=j6|^)40Rh*o-`}5wqzfa>ZKAVXYjdF7FZN=~GI` zRUBeGB#z@#qX=J+-}737*M^DB>ZsVLip4o~M66ZEIIcdgwZwU9Zwa}Idd$@m$5kb- zd&($M+rxDZiCQGRae3d_g_1UMIy!br^{RYdcv*j$ybpojSCN zv{V12pGyx;M7lK_!-I}-&ng|#NN3WG#1kLsO$L)zMAjhDx`Ga-g+BI|n8&?O5~V7K z`9a!mXdj?8(;k79W{TQpK8Z1W=a!TANU=jGJ`M^sx&&gKM4rLXDB!ncTjP)PI%j#N zX8gYx{XIYQ*m#<%d0JjpmE;O3b3r$1YGV=+(9B1Y_Y<_jVu!zB#iO9!?jsR8R)1fr z-DDBjK~8(QYoyfUn$FeGmq>X+og?eW8FEDDsV~ox;OcK0dcknD-HTV9T<$}}J2CKm zu)B&OUX0Vx)0=ZTni=XKpMA9F0UsY%`-!?qc90EZFDYWa0kuDbH2N>)WgcBsb;aR* z&$;iu1Oif^P=X`=d8gmG_r8}jE?fJ@x6XTaIKw{Y?*01(deEmobcJjP zYX8ZvVTt_I5~(GRSK~h0>V+2SCG9slcl)R-|B!BKmNbPK*3Z(l)YLqS1|T~l)*pr5 zs8HXgU96(EVhUr(5Z1ytdW zV(HAzYnO;3GK@yWa%>hJ9)F&BlyP0dzoM+Jc!nL@?-)}2{jPDq_TnLsK>FC`qA77u zoj9g@l@LAV^r=l;KQtN9CV|@LU4~@=%@vJLB7w1nS|=B4=m$f zt61147KgA?_w<7dX^{7k{u9uq`BUR##=%EM_)_~G$G9f1fL*qMYi+j0dusFvE6}D{ zbh6ksF)Nw6W2Yt&2aB|0e)V45^`3F*pA?Y0K-;LZ2iif)ptX&k&FRT3L(zizI@5vg zruRIo(<@R1()dqct(H4x*%Yufm;%OE+lO{D?b$Y=^C@u05YF@-P_;_5vKHcvfU@Z5 z1%|&LjU^h(f3st2H>b`6uyl^^Tp0%npO}tL?B&3JW#46iF6F{|ghXA%AiVKexT~*7 zv0!by_vxG2xqWhg`(qj829r$0u+>{PHT+_<&QPa+T0xnz7;Eiijg65H=zveFs+$E= zP7CSdFfL59h4i}DzxL#Edua&BR3m&ZJa<|!>Zv7jR0D%#+@;mE z+30W|Ga0!;tC(FwsTd$ol0KCJH$oJ>-2mpCFKRN^h(B5bY>yr_k3LS$E@Dq$dhliE zp=`f0*GHT+Z%p5D{fK23%5j=#k3yI_*-l-GmgWG60Luf>GR7Uf54|NoAV~$?;Rkju zIe_BA_2+Y+w2r*T_yW^Ywl7wfq7K5Du36o4ZtL!ypV~)Gd#gb--b&fXZh@PLCi3AN zlr2KroIsZCRrcYn`bDfmcZ!m{>`~W8k4a^TQJ7#Gb=l)-Q!3-2azf;9X_dY^pEv% zDq-%@5V5l?+AuwG*wKXp{>YD0VmIj64K6`$-o&*qv=hD_A-_K}{u70#8H4<4Wi4Wh z8*8nxx~Dl<7ih|70>&*_eBycODl^9NH=nWIblU^j+bDB+?va|8n2t-Ptd1lzz6b|i z7+xtXlWlo59MZPEDd#u52Vc;ulO;GCH4!|j8<$|`Mb0u50L6?7hJR_=i_BB@!tL1a z+xN33aT)olePW(@Yc>x6?GA}_=9!OjEyetaB1LQB>b#>DxR))rmu;yBP<;UpTO@A0 zzL@N&zst8T>C!enaNg2K-9q}b@Yj=JId~QFYF@(q$*G&&*T+u6jAKrPd8YKd@Y5%s z6F#8Z&te*?B=9{Pwz^gq>y*QJaw- zlK!54t9y~m1s_vTaN00R#BK*_244L!(HV7K#2KzVb`7k~nAKF!4`e|y5IG&L?UbIv zPX959!qi6^vu9ZsfvWW+==|5*&?6K~Npq4Ji2R)q!aO|^<;tp1W;7e}*s)!^sb93s z;l1KK#$%5dH6H^jR*^*(hz19DyoE#vOwD~l<#i^1vdyKSQ>;>QqW!;$tRrCcgLVbeDQ{gwfQ z(btH9;vr0VE`8OyV?AwVYRPl$bZ52$eKX{{yMI2(D0 zwJ>XISeF9Rmf~b6F#OcL-n0VvgrTCHI3_Hf^yp^nFj(dAZjRpo9Ly)67yY2)Wqnk3 z92xSxN(lne-mHU2wF1Y>sT9vYokiVA;n%aH1}$EcX26}DH}Cj;MYt!J0h>pQm(19^ z38VZs0Wn_X-4*OW+LD=+3m+;sXwyDr;_Y$Jca`4mYktD6C*1X4-uWV*in;2e?d~!! zm5xK5y;qJ<5*c2SexTwuRdwTLs^oQpFjigN(3eZRRKcb?+NUz9SQna}J9v{VNe|kt zVqf{8lR_IDAG@ZF{an+yvy%UqxOZE6Eh0N=!X|{L<_*}CT}#GGHx-S_vdOhGCeAx= zQ`v`a8@#LO&M)e@6(tjM;L2o?J6?Zr2G;eYb9JIpxs7=3bHd;4Hzt2jR$WFOp3>j) zp$U;BMl36e3nA7k7D2MP!q7|9ppQ||i$*m&R!9d}6t7Uvk4VIjmt6c@fg}5ghGuoh zdLT5?8B;`_nrR_ZqgaR%6C-LJ^CtHVxc5~<9FR-S*vgLznHt@L@YLo>%MHk1c-gmSG+l}s-E{z zay#tsVt0|{=%&Ir)2*HtOJm+JTTxQ^?X5bOv=$}Qq(&S@9%)293aoXX#3;`SNJZ@v znZXpxauiq%>}ibr4szWIeml;3R-12Daw|AD!p%zXo@JwC2`%$(QMX z?FMJgKdw5f=M}Xc;QqKte5I%Xl~f8GZbq_pRG}}nX^4YXai>O11+AN#>1O^zgqAkp7(wZ})sw^L_|dhnwoDTJyH(?<=T(zR&mDvd0R+G z#A;6Bavtn=CY(HOd$K+k{8HWD0gO}6YDc`cf$ysA#N5on3}BNLMDZy6qEs+Uj`uu8Fs`IL^qrd4a9@=mK>?ZxRXz*Ba}B1m&?JrEZ~; z-?y2#22?SMr}?vXd!KzF9=;pPmqAZn{IJZw8|!SwKv{17rLVsJ#(-X7NQvI6TyV4W z#?CQ}C-z*B1x2&95JH^en!U*0X^a|S-T@#?nKqRU7#wAd?+3O?^Cif`Kn^M_v9W9NBo;H_`jd&0OUeg}E_a zR8Ez_;xSdA*dSU3yWk5*&F}sWu6{utb=aRl4|m@!j?w|#acqEU!9Q=olRq=xZ0nsU z&lL+QJx7cBrL1vNAmG+O#sZB9$XDJ*kkW912%wf-H}XU`qX=bBm866TLq|HNNnp!w3Fo^Ks zJS+8m-Ce?`P-JUy57sfB4dAb|Zb*bhRJeBkPj9rK!3zCa0m z>+`240n4*?VG@x<+%N~W&9VngC_;^jjC1~}@LAVu{p?3K3{F2jqgvU$p*2s<{Ccyt zc{{0we{lq4w|vA4X?B>R1AG@J#>Ab{5F06eqm(9S+pgSM-|GzS8cBzq&2l{g>|#X3=Jx8Fok$l=avd(FHV*hARo3w z%YPHQ{p(R*v})N-%eL*n$@}LAW{l4r+m`X;i)*m`dN>*e)l3W)(ULG;0nrNk7uY?M zL{7oL1tA<*kSnLpPBI;QjttS}>xGfgb|v}UHPZJ(rP|mTmUt6n_h`RcG#O0@pKz?`xyL?22Fjhz+c=_ zMRh)KKO{wzy*4MB05p<0bOdd9C(yU=_9Q_lB5}CCIzjauZJyZ%Udl0rqU}Ktl{{z$ z*U*foIj?GT`URs;X-{4;dZTzWL@~P%YxvnMKO-GN6Gr`FdF6N_>uVQ(;tBFi^Vu{; z5a+MAoxQOtq|Mv(WXJ8bC78i>@yS9g*2q*gswt;*%GTfs9@qQ26mnLeIO<2({}#Kc z9MC_(kyD;n9#=8BVY$&Lo-RdCa-YdkHIxV z(SUK2jPt;v1;vjK^r=F5E#Q}~<6K^v;u0sCky4sbndrxptp`I?hA~7)bOQ>O;hp5L z&*S$5?bsvhIs;_&gEI2i0#7u8z1L#^n%agB{KEEH{$V|TDXx(?-8DZl*do;`>@7MT(SXe(Ivk)+qnUDQP+Q$k?fAlL zo?pRZS%k6sw84tuoB^NmB|e%LJ##jAzJ)YY;%W|5i{>QfhH}|E^O8|!;_gu& z`*V2%E}+?;j&wu$xsOTuGsD=bxV>Wm3PjsVGfe@nI)JtFO-jruSq}^2c`q@<=pZt;uerb;= zsV`YGN{2&a>oUjfxtRjUaKEa2Q`q@r0f!G%CkVBP$LtTLecCl}_22#@0>ypcbD<&4 z+IzIH0iI9WptA!%JaXT@!+&Z2^*BK_d*7LKLe0(h$G+4Zdo1HUOjyWP2l4}7zeCOg zu2@e!3NWTZmKkw&3j85<33;ZmiUq)C$W8SB_A)}OFn(d$MD;~{MHFUa)Z@jcaLMe# zzM?qmmGI5F3lChn;OybCMD2kXe;4#{lYcsogo0bo!h)`(s@?4};>V&@T!v2})q?z~-(dEtS+(8{VvtTv|dX>JP20byqe7TNzerKh%Jjr$zh{(1aq zZUz{5+=cLuxTYTb7IKyp@J{!9N_>&j z2&0{0ySA;NZS9)UdWCiCuh8(57@PD#z18l7Uoqc=djd@S(aT7<#}|2FCKws=GF@wv zVRCOLuS97ijXmRP(iZP;^|Dc?lapIE^4Sahrf>^QMs}wd&}c`F)G4`Xig}(^DQSiy zw{95K6TbS6|9F#g>QXwleo4r4A)nRC4@zob9;=O?j>SAtlj<|zxo1+BPi#6OUpXJ! zi~iWAMX`1}i!i7^BHKy2UFa3%N&0C2!&@^novK!1C__{`ZBF86oWog8) zYCN>9SDN*MYy0`xM44)$<4>zlq!PnCx`g6`;Pz?dcN>|Vo+zx1@E7A)H8CJ+^C0T> zmyt%x6*Gyc#=+0aGwMLPxul^Z@9W700SejbTC&pCmD%yM(GL8?TkLb1n}8+K_i^DR zDFBd{WjWrXCv&TStkW&oe9V6{D;mF*P09G=a`K4jwsZPuO53%`Q*ZTE>xZX42_Qag zQY$l4XgWRj$eQn2_EG-D4cT=Ip$PYR(I7gS!XDqksYKlhpKHN^>Q`&i&dHIN@LHSf z0%0XuZ5p$46)9H`j9DA1knokQ0Fdr4ynsv0+N{r4QjT#N$|c9R!i=Fj;>5Ha2zT^; zwD@J38U4H5SAgHPO-`kC$P|XLw*y@fFn{hJe#DXN5t$uTRgy2&3{tWkS+If4#rf1l+@(k*>X7(IIxms45F<@j9 zW;epHO08h7!!Lu9v#r?;CCd|nbAA}>Ll{-?haoqv-*hHEwR60 zzA5sxFs%hLjh2Wrrt$9AC#RolS?8^2JtnFch@(Z!HY@8yol*7CBXBt*u;|^gY#MgU zHPAi9XJFU1(EV*`2A}?IX@)&vJy6!j=qPm~8(nBOk+$pM9dYnLse4L(rUv-gVpftX zt9BM*0{;10D2q16vt!oSs+DLp{Ne6uUCHuz$@va;ql;zksvx#j>jds8!mug1$?73@ zJHb?K9ueCZ;{xq@!u>I=1_19U;8*WMy|UB4LP@!jlSf?g?RBS(J^jR5^FhU^2+{km|9mRrm&#oS>ig~3F3h#X&8Q_G za`uY)6mLcAV|~i7QZ^^`@Bm?kUD^YG!iGhqOul`fr_v1vje$VR(r0vCQr4B1M$ze2 zlo!1tD$fUQSH|>O%Tn$2k)Ss?Y^siT*iokCk}%YLjqg)5oRmDtrdbxfGi?D;2S?b$tp@%-#nSoH5?gPwp( zl*`lw)_G*Ep8g~LF4{RH0L{-fjGhJWDJJTg*>YOfk|23JdXF3H5_>Z41JAXnxF~~Y znF~QewUWf|k{rvfb?kU+A8m;}WxRDO#_C22{Nvv4<^@LSL=L4&?To)kMgOq{-F4CK zBHO7g_)GnH_B9@yUOulC4cN|8tojNN-y*-6;=jrK{;DP=*_F~&-96DEq{kKW3;9TG z8ZT?jO1~gcFN-Z$6(rI}x(Oyoste?$oaffw{Y{K?O6QfcZ-Sza#ItFcl2getO}=f) zeYvw_ZIswmjt0Jd!=Es3M{-D^xysf!fv$|>Lrf#JV}mW?lTnp*&u2Qc zH=x*UMYny8!JO<_b+_E5E1WUr!6m_(hK-i_${FXIEF9^d%5#F~AL_L$k4qV5`GVz} zv(}!}t{j^k_`1z|duzkP7yg0w;f!wnqW%ymkcr~sEmS-Bo%a6R)LT|Sz|2vf+XYV1 zQ!vL%(!;m)o|O-+P0JQlyo7eC`EgBm#GZ1s>#Ww0aGPLHY63qysT=#$kYqwSJGezO z@?g^Lo51H6-$RpBFjOpl<>$FPle|MurJFs5>s~YEApF|O3V?*k>Ae%?;kU#AXH zI?-eubi}uUpGv?=ch}(6?<=v$5ZoOOp!!mK;xxSY@)`P*bBs8~VsiYx5kBGiQbq2j zFPVS0?VQ-``xN1>w@(U>()G!nKBrA`lG+nHqfTP5CQkam+DnuEd|OLmCZ~vB$A7Q? zNc(gOj6I+}d~I7_m-P@J{eheaUlQ!@0WG0K`AY#A8Q)XTv)IOsVw^Q=`wNlCoy6v!$=(V=Cw02E-c!}D|_l!TNv5>q|v+8We_}leA zN|hgACWnW`-8`#>q;WQdep7e7QE`)Yb6d0#+&GPiJwQS)3>O*)G{PGs3wY%~x!{lb0l^1$N7M zn^c&GQo2F>r1KxRcv^P#f?k8Y>Q$8OfHpKsL;(Z1!I>$1W%9{e;mnK6bCD0UkNQ_3 zi)`U00^i0N3Tmkyz(1DTCM!*)V$-<9-1)T1#=7sB0UYw^W|hF-vbNNYn)1F-IL^kG zc$7UK;H?%}ri+u`u%$(dY)aHrRXgCvXJv05lxPW5zmwdz$f^6pnMnk(Yd0z#obEWi za0412(@na98rRk-{C)~3H8w!0?E8)Vv#nfIf}iADTg?;lBRN>LqVpKt40DF4kgJ|X z&j@*@9E$5JUE*wrpjnyQ$jCU zMtn(D-os@ESaA9uJ$QEbz&DbV_A*J3)FEDCRl23+{$fWD^>Bkej`p0t6lBv4-qqs$ zQ!bdOcQXVa4`t5tV_qMR?FL`MZIvK5-EJE)ul48%)e36>wmAVZJ_PVhx99U4a~`YeS??ZXUs@6(!uK2cG zJBsWrao*C_3k%$0X;uk#UfP|vT@rD}cxC2f=PfZ$c9wa)9&MLx^}Y)_b8t1|ytl{K)3G9G2&~LaPp5hN_7x_v=4P`a8a(5t z&~Mv^+DlAh{r0hTmK z9*Zwt8DgJC?E`^y#Av291EXn_JHYUE4CCSEo=6+f|(5;5~^-qE&y-a@Lh!$lC4 zwZ*hgci*(XtO;oEE(VZ$w(yfc#xwU|FyE*~;+QGHYD->vC7gB|Ni&zc5zJZ-T#fjK ztLzN6MP6qp>U5w@?7#b=LxleS(>*~y(E@-djQ;ajRWb?noX*&^M`HDZ|4>xASxUZx zAWx2vss|;{jZ0On;H3WYKtftGjh2UOyMsz|MPVm{wo8#eowiJ>_tDaXyO|#IpZ|6$ zEsset4~wQ;Lhg;`cq7XFgNdf_+v0d5&N1IO^T{DUxwmNAFJ`%sNtNV@A=J8HGD;)` z3dNyF1!(E9uO~vILezNP<|$NxFIOt@YCY^Z^ag848$xe1e&4YxB4@=)(V_U|F^zzE z*l0znX*bC~KJv~2mD9{5hwLcOR|ktO3gp-bl@&Vi$h zix)*Bi|36~Z$DS{BKx)2q4?t_by@N5f!GvDrjuk1yu}-g`c<~w8~Zh^P}cqQ2-TPM zJTE7)Q)gEm{D!)Uh>q+&FN|4|dHi8onWtz2w8*O_rfU`^hU~D>T-5{M`;{@d*|tTV zzHZf9YmfKEwHNFte_l>Iwrn{?ZM5Yqy?q_O;nr(~SH?LU6v;qmxgIKP*dIgrC0NLZ zrwqS7J-ZbS5fZNsB&NSru=;v&9b&d?8Z`_*u>vPf;tSHeGJ_h*ZxB|p^tN$e*}_ox zo`%K_$uBy7$zs){d4Ci@`qonH!Fk~z(fwlo@zlYjPwJRG0m)MM{_UCFX`sQtYW-Qd zdbpY-E+U5rwM;Ugv1WZ1abEip49AClhh@mOVQ^+ToZ?k+&IX1=q92DDYR9^a&7Zfw z_!)h{PjUd4RWNkEK6;0x7_ml^xYRT<2&V(=Kd33z;}226-1Nb`h#z6*psnjcp}4ZeIVKFB1uh- z!-B14Vj=@_xSUd%SWS)}wbcna|FQxRt=XwI7)%9)=e0tN#+%#)&s1wYL?I|e_s6ob zYqH=QB?He=V^EmZc&l{1>mKa@0Z9%F+RE1S#WM%P0h3Jxy6)4*-HY*IZ zaZPfjc#6XClFo&d6+By3rl~2Ku&A2aFBOUD;@ZZ>6G4{pb2T+87c$k~$I6T4&=)AU z&eUunL9(U%a6i1IC8PYV@e*%nQ(1byW))c5^Qh;;mSgpSkka3;MEm`*KVE6 z;7eUDn5n}iTA5FUgUV@XoI#T%;ff*a>4Gk14Y-%%fS8*=C11>|X)T6IiXgu78x@X9 zn&n~ac0{QrNculYR2;{Jtficq(gnE5YfeKI&P%)3@UZ~RcbsQ@*Ho`GAdTw?wgTv9 z2)8Xlyc%<)0gGuIY7IHC~b5-#wP4#ih6k0!8tR zH`Hg+Ac5lCeEhOljn4)KEiH!f@q=;-=q(UGtIuyO~92v`9*Oz|EAW-koseYoi;Dgd09pD$QF+GX-&adSi%&Pp$=_jw0PcCb}5ZzjQtb$Zu zY)}Oz7_X=OlVncNJ3pv3dLdO)0!7SnLum*qPdwC{@DI3R9SL7PapB(1N{>Q?Hw^U+ z(s$eKAynU;YR~xX*Ub9~AJ^@8($QR(%ly-Gv78cAMGh)iOTtQmRte7cxD8!RedvxC znreBzh8fBWn(Z&!Lpc(FBryCS`T+GH zaP0|?`vd6j+QW1B(Veq3wku_KVE>1s|8kG*NH0Vmlp!b$vF|4!0HgnLkL!-s1Ckdm zw~=mNek1jP!e;R8@Xoju_lwdg&fufo7Hjn&%r@$cXp{IWz9vE-TpfPn;T3!jq+P(0 zY&Q?}06^Aq=biNT1HT^ON|birZfU50dyn3Mq8#9?}K{M&X3Foq8(QUlD>uYUYb_dC(D#) z*J^#BO~dVBdQ2adJu~bMX3DQO@Xa&r4E6F^Zl0*HP&W?RI$5)5$AYnwzEV6+q$T+) zm3^Li<-EqIMuTqUtZEo^QB*3(GKpQUdVz$P=pZtfle0S)oM7`7qw!IdW4jJNO}xV^UI0o$w~2q0gdHZ zN%4vSS#)gn4rb;ef z?_s<%sOKEX9go0jT6JDs&zZwk=3ZA6^QU2|5!!O6g{CQ=8S|2LBy)u$7RMNZEJaFx?phI=+P8%+0bM_Y zok-E~i942O>PGklze`dzqjE$wsnm$aidmi=tIeZPQ+fsmMZtEgHYrSYap2>}u zwxXCfU#nx8^r2>0Ey-+}0oGy#sUy(;rrTarza718BelNnHln;WQ8aqZyg0^O8nfX( z{AoDywiqKt(3P(JuRp~gMc#8?&syJhzyF6+Rn@0-04Rt*ud+QHw5gqmvx}3dp)K6M zmA#P_94ptqLC8q>-*aXu-hVADrG*xNR%eKNbq}`3JS25#{ZKE@7&u znm=^Np`nSXsUxhT6}K=*Z=|EUMlj8oC8Ld9ibqFuN7`e|&{0b}1<8{#?7wC&Z`D?N zT&whQD&1#6!SjXbS$`5B?PDNf_mb<2Q5RZTRp`9&epquErTA!xVO@KX<9A5O}&EDM=au8G#SI&_cA6{*Ruf2#%? zi~WDh>Bvdvq-s7cc3A&jH+uKb67$(OPQ`lgHFb)M84(ixRgDQYV^niStw`zmoq3zk zzkl^tw}n1inM97=!a6W*!9W0^LtnK8V5J{fR+rmoQARm4ZGdCBE|mdv)JB_aIiTU21ovkC3#!HGlZJE59uR$MPtVJ4NS%0CQlh0Y7OP^~TR0E80Yv zI!y6c!JATc?~*%Eb}y4V+_e6KJ=cE*;nA2jH4Z}ZN{e#0X4FGrpWtJS zz!Z&;WsRV?2J}1wJnjBQO(Fs+fPDZ`&OBNXBPfGvoq;bcNHdOBcbc4qD!=sN8wCQjs;&b>+AFKlXeS4#x zV150wcTpcSZmD_45xf!qV2k$QgY=NRy;Bcffr;5Bm(&6B32iGFzLCK{JT}}}vMKB{&iVdkJ zH1*lz;Y!q7mbf!;z$srjf^y zU93JfJ(eA|XZB~dko2ii4dp+UjZ`hV23^^5Tsb|hmu(oT)>S=LK2}!N`YS8ky+%2_ zJ;?kKx64xtN775uY*`gdZ_4dw#3R3=G$>OgmhSkkb&Hx6R7}H>_2Rhyv7F&)Fp_B^ zRXs~Ts)e3i@?Vs}mvXJ_Tl%nTN-Hv~8nhMyIz7QJ6numE<@L7$fN&9=B?Y#8R!u}R zfm+XO?`m%=D@F9|shIAcP!~=Sz)BIkr2y`oe)59b-9gLFaS87pZ2DeHnu@jb4)A52KSclCVmTl z>rT^7MV295%T219)wapD4P8SjNSkx9Y$C~x+G?aZS{!vHHU8GG3We+S)yY6kf&6<9 z4*%8pT8_)Ni(g6JNP-(t8`1%-f5!)$E2dNyI-#UfX(J#=)UtTX&U1qVp5M1N7#&zE}+}&HVyozy(e1Abw|*(sR@>cMaziv6{Ja0a?NAP;bc@N>rWat49EA_v`}K^_u^9$3Q)a)s`_Glr=ob5n-Ao zQyiBuz4Kp`eNl8T{pNg)`NRWCz2?FnQpKe6TFnKL$udcn&~as`nbaFf)Fq0Y3L$#0 zN|3TBViQNpnJ>v?GRbrs^Ddc08;deb@-F$B2eQBzv7-Aj1Pk_QBs?l{mqMLPnjPdE zQT*v7uM`GUCVfzf=f+GDu%#_e5tve!LyW-Wl|@J< zTyh&xsH*_1whEDMX&g~XTV%s#&FFkO;_TMQpBv;Ob8bkIJVP2ARD~94jLH@DgjjKm z5Z@*pwFF)CZ6F~2v;QM(Pqt$_7Lu#{~15j|imF6}lw;|fqL2$kQ)tm-3&yO$Dz#F^^ zQ2+vlV(=RjO3(oO7pp@c!om;BAQwR(p>RCY7^(?;7#J)|7(W8l$QWtJcn#W;UDTm{z9N(i&6i zP43p4Z?*+$RdQKdKJ8uhdN^B>%{BH0SzDIPt=4+FYc}ugoqCRII`7S$2KQ?|?_K_S z1lvMi6M{|B_aXAlvX=~BJN_4$x4DS9wK=R|E}l@)xKRc&X~kOpsnqsPy<-#EOn z6b0nDBP<^1v-_Uv;0y<3-O=~y0qS>^5u?@~cv@YQ7%?1oL+o+QJmol5U99p$VBC-&(Qq;9P_xAMt9rTMwB7nv( zpP)EFN&Q07HyjYO&#Mzm=I|@^FS7=Gl>uCKFcTyC$bd&W2#O)HOehs2TIqmGIw*@F z`cxgArfsUT0QnxXpsYK>>!o~%%uUJO+ZZjFZMz7HONgvsHtB}Aj z_9dnbiBT(KyfRsrZuaG08!{AD&N;<&E{&~AR2x!dR@OPCwl1B1#VpM=GfQ!b;K?+Y;7IvCdkzk;ZiAo_U**9x~KQ(y}Hs$8c~-%84crn)7D zZjG*MjN4+%hVH5*!l!cI{4=n|ZZ5Y|(fgeIx+be7&ThW9Q}z9v{km?`HQ;9M)};)7 zNtau~{nB8&X!la@QxI?-hg~J?()U#i^<>Uh-e-}WcTfhj^y|#qHwWhSrrln@ziRrm z<{h2_J^gz1_b`B>ehvBuX+TrI4*h*~psF7mTfW#*aHbNAm0(RdTuX`D6P2%6-l8AA zAe09w-jO2eXwf;tR}B39txNiMJ_&E=*GYp*^5{GhzWl#mVa{HJOCrTQRjy2#Cv?vK zxl5|~99OR7sVBPb-nvV|=^RpH3jn7&(}VIzlq0Y^Q8)4A7l1c3A+7RUL8bQ{Yo}_(t$a;at-sQ>OUF zc1gjWQz%o`iA;H(k#Ey1KD)f5U?&jhtltJikH|1t>Ka4cBZVh$=q&aP?UDn?CwQqt zh<@P#C36Orq_k1d$Bg|C77bv~p&^igg2R!Ru_<$g!QboO2Vp?{PeuV9_A&Hz(oK^h zo0REBT`fs%OOos279U!IaSA6DxiLpBveBbrmsGn2RWAvQb9(dR!tAt3Cpr3Yh={b@ z1$8fJ|8ug>;yBoN5l`Z{;|ktH6Ujt#W)-n9Ml7P)em(xi+`S!YZ5>7sSEwv(l9W_k2+Fiqk|(+1k)l162>F)S`Rby7jS zacMHq>FNyDzLO+hCxw?uePkL(r{D0B-shBf#f#r-br1FBwKRUaJ>41Jv&-S=HE{ZU z-QzGS&|=zb*rGXOumy_Bj&IyW<>1hNu!UKdhxn-J!Q1!gjTT&@F2MmqAwwITIL6T} znJd1#RpEbF_-?2BDJ&BOfX|ouzPni&&VJ?{ea^GK2=D|$VRtgQgR}S2A7FidXCEg& zi2Eie?f|c({3-HB%C9E;vS$Yr#9~7c7$Pi4G=3AS4@$kc_IsBeSRAinUsMdIP;cfR zAiKi|jsyf_PUU5d zO#Wl14_rR%45+rl(uFw|#Mn_1^S{ggk{5~ye}Vev)g6)fR9GflkC6gZ{UxU>?TCIm`oe*)LMMOI&C`XMOGFBw3 z)D&Nda5a--ld3Ti)MNRpAI1KjrR^e;Rlh}(fPxQ z?jUf381^}RhIc|x?$kMd`3EUGVE3A#?BCuYaDtof<7S7!*x>2}OFLlI_N%r-uCDVq zfZP40Ylm~+qu&bh|Lf}kf_8^Uy^q8jN?jgGfoK4u$^Y1d+!`=#M5BR}HIUlmX~V5O za?)t|Uk+Q^I9we+Z8B`bKWQwzj>{fGX9R(mEJB?qMNU#oZM#6Sb}aT04mrPt5pgp5R7XsFg%(D(k3?@<32ZRi1-#l9_et&&!mYu1?o^@ zj1Rwry-2;2yc=thbBx6}C2iT%HL6cu9~nPHY!SIn=r(2}zB{b2$BSL)@j1p~hb-O@ zXMe=f=vbDxi1Q(skGpb?UQ@WEW<3~};Jh*DSg~4)NZhFzNY+zhmhHgkz!tCjVK!G# z{879T8!J6Y@CvLp5H(QRGhw7Rawnvdu+bc7-^05g?Isr<;#~OIAjJk_9wbmH-cZZw z4B*7O(51aTuw zp~m^|6vja(*%A2EHxctEUagW!m}!IR@ZyUAVOd;#Y0H=iA2YS69L|_nV}uR4_FQXZ zwOHw{-L_S(M`O+vA&(?iuD**Z;vSQ?0uCfMr(D1i(A}-lH z#iDA$PGeu=YN31Mbnkoh8>sbki#V+Szc4`o>V2&(Gp!t@As%^Kwuk5AwK#SafUxh? zn#%5DSk-pF7#e^rivUHFY}_g=QZ7%74Mj6nClNYLc<}ynskg52ZU{Zgo|0|$eA?T4 zX{^m@7x#YT&QRF7G0eo&3>J4zphjQ6u1x+`k5AeA3L}exH7dqa(EqEWR1uB@XDN-aeY;eQu`>QgTkh3jv_>8hQdLt(S9nC*$3zSOTedwT17On0C`k$C0kb)*|-H@aA2wN5DAc)fNFRCr=GCzu9Yn&_v-^x4NA|9Xuqx5x z6}j=X3DIZF2R2BzY~{1f$*UUJ04BO5Yuc85{%yn7iEEhMqNXhDB$norU+wQJctIQ+ zY(c|7W=PYlzVi&f?PKU`ZTq-=mx4VRgw+dr+jX$dMPCh(*bcY~{GyOtYmswZA^4vb zW(ZDRp4IUV=}0Lih`ad?$@71&nLRD-`exCHElw7c`8Fl0_A(Y$w4OrMirMZnd85fC z*OE2bc3C(lJ!UZYFlWtEPa@nf5p#CiDe>jtI9LTyBrSVU=yExY8pP>SX8K&VJ@2?psY7-@-c z7dM>zOHXp)P>ym2FkeblVxZ=%zn5NQ&2g>bDM_2m{mQ1f37q+W99;-_|xRsmxiVK}F zs12>q=3w@D?IQW&ZeWSO!|wAVtoAv(uQy*f;F|<3Xjo(MY3y@)dgH_VfB*W! zC+tJdt=s=Szf);x!Ky21*vQYj{4gx8E^)S8wNW6eR-7Fd%4W2_M82Md@?BBhh^@|O zQT|SZq_Jd?Pq=d5X%y6}teEb3-2U|Ho_&4PNUYR9zU1xEQO9iq-_{jI0-XS*b{hF~ zl)Z(qw%wkPQ(VNRLtqFQr%5IEwZHP-sEaWJYaC%NDLARtl(bPOgfo5p`~313*r=PM z1SCm^NmYk7CY?l^ZT5OLXB{-zpy9_p5ohu$zMVrbUHqNU0*Mg(ynmlL3{k9O=1Z+l7J3b9_+5k(0f1;7y<36?aLRfxg7x_Xsu_zOaHvaIuL(Y;iH{_J zcC{OByV~;!v!BjS5`h^uuGMcva9j%ZS+O02F_gVcDv~6YcGf{$5M_c;jHGsM2{cKRXT;-`#xj&2v0y?Tye75|>Dxg5(y~lu%IT0Xv zXUE)X{(VWIT9XVvP!_n}>r`n{kk7Knh*~yE^Rw#AYezkMG?n zrLZ6a!M8oESAS#DCh4t?-z_Ts0(gQ`t7UjKg#N znMO`NNzn3Z`KtfHp5n^f1&42GoVXfkIjbB?Ys{x@>H_;Xm7|@UAqwviD zhA9b$AH;&=Aw-U;h{6&Boq&6weJK}mLP3bJqTPbIs;MzEHV&O{!tL^OH!)t1WW$Cr zw0?01it3|{WA6HzBi<+T1DhS6EIA3qI&XZCHTBl3bm=WT6m4IXNG0zdS31iE45m9=+)r z1N(S1B`bVfdT+^KECo7b>3j=BQ9na%&C}|(Fh-$RFU%@qs>yBm1&ARm_OPiRTn{(_CRAez79={;TEy^0glE=VOD{aGQQd6Y!VyDo}vJ2!dTMJY9X z3SpIPt7h0*LA61~p-tVhi$Fx7K;W*DTD`bzJ~;(KsAVef=_@)mQg9q{zAaJ>nvgecS7OWrNp%T~h^$5{rN*%>{v zGFOtQII5X(+iT{$tjn`}^6#0l3hwfrWLnl#ad};7Q-q9EYX^m4{K~mn9+0fd*`A zKU+)bbl!s1Iy-s?MH>q+1gf;@H(i%T$rwnXJqo5e+V# zukqWQu`ha>>zYlu+e_ZhsaIW`w%@NgmGNWe1w1Wv>{#3N=d|bM(4Ft+SiIZKIT&1@ z2>AQWI0iTer{vJ@xeO3+6ptch`I7KU$W2MxA8@c~U!($>YN1UyC-9)R_+fygq0j+b z@*8n>r9_#rg~2*g08AtM<(eM){O2Z}qJT4sMbYPtJa`>|kPlGpD+D>}8!(%|FE3YK ze*(?%nGD(dBYSnh0ccSE$YDk%=xP|HNK9SizZyh}l zY7J3Tl8QRIje{p9Pc&~V+C*GXp$;O6ye)imwdGw%=1zEoO>3*Y!0WTyD`Ji5aGa6_ zZ?FouyS70O>8%U@U1M82*-FT^^er-bcCCGWU4-1B5vEDugwU9%%P2zt6?V*$b*nZ} z*h($#OXJY#kF{_We_TD_xJ?{KP?)hL>S*wqGm3?w`k8a**_j3a@T1dzBs z0a<Z)}P@og6wbG(oFD6U295WpK}^V za?=_)lhcap_~uZq%I1vQWvGgs^k|xz8^4~!zy@f6bpd9E*;za}!1+)ZF`29GBep){ z;wsfJ7^6(AKZ}&!ODAs{I)2p0dE_4jVh_^j)1LL5$Qke8iB7bN&#uazYdAd)>;8GxryE6fV`@BUZeCXUKb?0j3|p5mniL#15>k5$ z<~5b%5AJEIZ^&ygE@HwMk1@!ez%Ca$1_mMw+Ul)=`?Bc znIHpM6*J3I(Fs4oRQ`?yE13v=lS`5Cz?OtIFy;v4@|lNMm=4%$mM;<{eIQ7ZSiupo z$B&E8Oa@@`Q5;a$qy+|%+6WOmwJdkplX@O;+h>1o_eb32B+|um z<-*inS32i%%d`YkunHK{I-oKuhRGCnD%VIuEhdSHZCbsL$Qy{NRZh3IQ@vae{mOZuITz{7KGeH5u_R(a=zTomjc?F3z=pCBbreeFf5; zZy)_D1WSlR$$aZoU6C+2f- z=q19-!69x$VQW7CMU~r5%mAVGXnyF;3i$W^kUG|Yy|uqybUlkU4B{S&kweG<3??aT zSWWt`L4j$Lf1aoXQG5ML4ZJK2LDb!l5n~PO{laQ*ZP$y*H& zAWv;|!F42-XbnwMtr>>>g}4)7G$^(WFr0ASkk*mFpm}4fPyxt}2QB`eb9~Y8nmUp5 z_P>P0ROpMtMR*cIiu&r@$L;Lt>^C<4YI&Az`&?B%Hvu4;V~)YcejNb}Drkvfd`2XU z^T?6&ZZju!Y19a5wKOF=@1?#RYs_uq zonCjfqo7>ATSkkXD(*zu)5l;2Q%Xg*H%#R_OPGA@zlEcz9e(+GO||)Vp(h2#?x?wn zvrFAtpgPzA9Q+{NDbG*bHvAywN`3J7p^_p z!D*W5ZXjUsTp+;UoLXVBt-gg8Z8p$G8UQ;rmBJg=maR*~q_)SCSs+%w+ z9v-_CHqOTwO*0?OlC|PX5syFw^FV?P%*)Lv;TNTY)79oKk;@*;97SRZX-jqFW8F$P zwhtT=B&3756Ssb(|4vrc$-XK1@NKz`($jrS(qnM|ntkv`%}dwM>I~?e)>%YEBE0}) zelI;jF`mgTywuDLtZV;1QlfQE^?qShY~4&m``rAzgr~zFpb&xmNS~=; z{;FiJ>ETC_YShS;Ng)eFDhK%^rss)FJE>tJE#DLI)u3#Q4?ZW-3DBP?e_xS6mKW(4 zWtg)<8qkBRNyaH@MU9IqPE+g{s`@N(ai3v}p~*C?d;=e8#tIoo2GaSgQlc0^cH%bZ=9+Fbku5+q$ZgxvJ{+Y~58J@~vUt zb-6hyV)H@9+}xU0Y`Wf1t@q;H(A@@BoZ!yb46gSbUtT|z2j+ZUbYWLdV`qO-Qm2l~ zOXp1G+~Nnrw-!3O4k}=1Sfc%fP~@cbXp~ACq$S;6e>Emn7&+r4SU$qdrCW(T{^2+7 z8zuC@e_(oJCO$<(XU*2f_d|Qb376{EGk)=Q!HwBE6vsp|6EPNxp%q`YgT4P9O}(t# zOdpA7hzpP4O;=`FN866)?XOZ-fk4R0&@=OJ0e0tDr<47|P`&LbX-gW4J~ys1Cr(ml zx zcqu>+a*^gKw&Rxpe0KPrG0Wk&-?rkYLsQ5oBEXp9EDlqM=^N80@A}@Xa}TJ z(O3|c^)Mp!XNtphAmrU_AI^soTk!1&SVNWf?!Ap~@9_Kf2_+5lOILmm8r6NAVg-vS z6SvMpa0X{34pZi5s0>kGxF{wJ=xy7L)PDwQZ|wjKNfjZTQzn6pwMSWD8Fz-^4Kt;7 zM<++oeXn(MV@AoAz4azqP{Y>HNZoTux$E)?S5nxiIlBtPxl_=kaMEVc=zXyHXXEDs zsQ9DXO0r7+wr+#@VxXZUA!2))uFu8jUz@gLY!Hlc*wmSZhAayC5@xklCCa366R{w5 zV*0Qh#qXp?b04d__P*!$=kK?~*V{Eb-+^itHKfeJkcXd8(-RpJ(>+g; z?9f1r^y+(Xld4x?%RBHBw`Wcgw$^-3SIuaJWF2JQb`;qceQo(K3*9aCO_l6og+O00 zg43)i!6J!kXRwn3P+WB3sa0tB&D=2|hv~v;;`5Pkp{yYW_7>&d3bG?bE!9?B>}`(}cl1rCn*CJQ z+gmr1pV7A^yp?#&2K1|QFi)7Zctl&4(F-Rq&|2|S0})DTLHR9;GFXRXN*-yy(Yb6l zneUk=nxgC;5+e%GVwGyedZ=>zWigg>2hdRp%*BO8SS7*O(PKzAElfn*)JgF68{qUhw+%@uZ6o)e451?Z>KzwO*zLXtTFCuNWFN!m!?$rdZxsd zyPhu62K>8&xg2&0^9SB`!ww`E!5r=c-6@!!nwmR*>e?unJ2_)yJc*+$5@59_%^*rq zt9phy_7+&+&SX&1uJdzy&Dgvq#UI;yILlA**QEPN^@QIo9=j`NL_Ge6KymO94?4<8 z$fnU>CZrSW8uH+g5Mgewu$?`biE%KjA67D_Rc~)7zB*as9ZayLqiU{LuQUgB?(}&c zNXBbYGNPX{Pnm{tq9#0j`C{|6Z|bycy2$fm`bQyY@ABKATf905zQgA-jN z)=`K>8cX6JW56Q4xWxv_#C9{Wo08$SeYVo%k}X_JJ4wN^RLMB4UUw{!KB{wnJVnn+ zcIjlJ8&o^Xg+HIXpo(f=e?Qrp;NGq{-O^-jT}7!E_}+qw99A`3I8{w+q{;>hvR{76 z73yw-GAa9Ou!(M9&xed1R(j7noJio~~ZQ-%_|x zK1*3XqC7G{sVUQE3=(YB{hI~2B-5YgBZ_q> zt~$5dB(Jo0uFc0;ff|$-Gf?U-zn2`Zvs)sHb~zvcDA>m04RW|YTxz4_C-M}O{Tc$v zicr2v^u<=Q8HjTs80b=ps^4O;CR?6I;#uDeM{XV*4tJpvIPz0}L>(jw@CQW8!W#4_ z3#>%d6%i;wMS~~UksvZVawH7|X#W7@p=qK^0T9rd+!@{RYr1!|8_Ao!hR+>dCPtpx zYHDA$*wz2DMJa}&JhnD1byy?Li^X^-T69VfqE4cVO!VuO$K6n)lB7Bz;_wmHoPj>=t??Jd-eBhh%lSsy|81zg|~jwF*0^L7-5f zEKqY2x9IP1iKmHJF8|;cGB^ZTwm?LMKh7PK-3(F?T?0`k?hm6ltwI6OjUv11TLzc_ zXtht^2C_jaC)2;4@-G)C6W--$K-LEX&z=hwu#D|7bLvjjainZ?5W7UA6N4kbzh(`eINyR!q zg|>__ARdbdCT^mf`obxuAPr@Jh7U<6B^s<bY7Ce)QNf!%c^~$JyVDH0z!5ubdz$sQlmk`$pX)p)onz!^nSeu&G$ACLpc|Z z+{L%5CIl6b6pDzBYP7zv1zEU0b-}+c>9xkgVTdkmmWrok&&A3Me3IKI>5b2JDkB7e zRa^KIai_n+lkNmK?w0yn58fl7xI>hmDD8llltcoC6GK#&;}-fZIcUk|k{w&J`9u?y3qJL@q+?(Ua$yj>5&0V+iE@ahjm4L@rZP`kz~?^4eij^>vCH)@K%S8kKXQN z?Z@SpfBNNzbVpukt&XIvLD{(OaaeQ0LbLnhVg_w|9K)}Q17PPs&Qqd?3Oz_TP9_T? zKB)`ePG4TsAecy?UioK}OO%Z0>;B8F-t5Nam3$6R$ zbXN$z{Wwn&(5MdQeQsQ-C@XYRBuZqV^KU>$5?vyi%-u>9jQdMbGmgR^Sm=kCB)2r}-Zh+}DxxZH63Q#&^bse4k=5Tn{z=@_FY zWl)@_WIA$!h7%N&On*!N*^7cI{k2A z0m5;Zl$%?=?Oz4aW&Y=rRn4XtLRUM<<&b*8S#6r~^)@y=v@Mn5osraA@^W?Ud@_3> zu>iT_2=GwB3U^;YMhe2-`B-V0h8+%O58{$&?~xz13`n08AOuMKm&dhJx9m2il4!O8 z%^=ylq}(p`EyzY~(}S2QJ3fd?G&3G}aAdFx5Dge&Pz{J907~!;@Gmy~Wgwo!B%(_o ze3H5CBJA}=xUN%uZ=(7??=yA9dA8fx4r#G_;NT- zb3A!{cJVk~07Lx(`*iNL3O~U%(r}r75;^ng52Pmw9n(v`cD_3v<6itz=*Nu&*M&KK zDBe+SxrX6)lB9IarZuTLUQvwf?e}*HqUnG{DPQh$a1H`LG|D)ha8nGbP;#k^@e2LF zT|~8NSGA)P9+mU}=kT``S84$yiGL|QEP_P}DHfF9|2zdFA07|L--J8G$7+XEeE#6C zcP*nHaTU~9rOJctais%juGu_@(SqL8wsqEU<4%hZiHzgQ)a-SZ8|*3xwt*YsMHQ0V zhA+F1xr(L=OZRUS;Y*OiqUJg6+aJvf!CJh^=@$7K2Uq zLO#Xep~QLB*zuiKLhWWT$z=ItwYp|Q$;;W9lsMv)K?>a zclu{ugp3srVM)*>)16t?^vcOB_$u2R6#}=Sc#k>Qb?3LOCL$g6@@RasUdRmhvM74H zP~Wr7zfs5UyeN1qGHt&{HRjZIntv&!cp0=cymFUh6s>`t^vV%@KyR0OHxD31}n|K=$!>_0FqHFEBpeH4mHPVZ5%*o^?gBX1}gZzPj|%?A5jK z$dkc2#JuWc25=lBu!{egMKr68Bx#M=aquWh1ibDBLMT@)UlYaP$dt#n`X@qmi>(}3QMy9(}h{CY);&>4IiG|pk=81}b=~H7; zeJIhDM$8==9KkX~u;wku9WGG2tV*hC4{ed`_5SNuOBxH@l&oy+PdoB<{JCxH_?1J> ze7MHmC-$`)BI9ijNN{dxnAiZ1h{EW2^AixsPyoxwL+E z^GpNkQ2OH+()a7xR>hY2-2rD#39!bP!%+-{xX1ZgSqtmINLBagBvR2>@~Kc~=YZTjUzh2Wer7fu5Ck;R#VTb(-QEA zA(Z_LesjyQ@A0EY^pL5~){hT3%>PETPmuF9?uQ2oBij$be#m-Jz3Vvcb-VR`#SPEU z8j_Q0o-}934RPcZxQ;2b>uTk!=64Uzt1U>Q5M(>G2gD1J-x~8IAFmC_l}C?U;Fshr zuCwoo0q}a$4mwk$ATORK?jw_u0fmxh@`m?vKd&lJ(03AlO3 zl)GML>^IaGX~48Y-I29%ukasrO#O$6UBN5kUX1|RB;G)-Vc9EZU#PAKmLcmC#8IZH z-#JmJAMA%fK^aG1&>gUyJ{+8)%GF}WEZ0qGymy}`B)A9XF*cj#;|4R$O#fdXO_WxF zNWt$Ty~LOnhC!qhaWkgE+&09sCu}IaR$Lqbd;|Tu+$g)hbC~!x{K<&#oDUn3XZ!Gu6}cuWk*~ zt&fma>^4OfB~?K`s{xhcJh{49`Re$8$taf9mCFnaq5LuX;{)5__gHI_-L2VQD(R!V zBu2N{)o)%EZsinNwPVgxSoy8PUm!midx3C26CZrsbBBHhcqjez}H$;+2TZ(4R9)kC+ud71-qr5 z-kDjrmXrTZF8GCh=s0@5fc8Wu%$z|XlhOq_%~ZbaJ(b40si7KTSGF9-;~0B~4* z6xZm@(h(YbEBidgqQERKansRdbx0qKmjU7t`nH!iE5)jkr^57cWM7i1*PyBgkpHd0QLL&i|t|*@H){t(f z_TsuT3I7z+y|Xr(TtPSH+~v`YcEo)6#6Br6xQ@>Spr`*mp}FgSQhy&`Vtm8#(f5!L z@P+b~{sryQf0X+5chDx_!Zqf_sTaBxC_Sfr)Lv=RFZ}ZF(BHzNT+fq%JuJ%Qd`I&x zS=ZDJ*}}9`8>47fIc35-{+*J=a!%Hk<2(=3~u&M52nmV=|k}Wwb;8U70m&CGi zn$x_R|xZzAjXMr^=Wv%0j2*#zsoW{5(1g(et8J6I(uM#6#wH8a56n%FT0*b z{e1l95wi~bDEtI|fy*M_rrrdDxa(XJS#~>|faSI6J*T-f#@}9tCoCg_0K9Iw(3^mF z)?En`pVNt)dUohY0)A4Lp71MiFJJqbdj8{a9(Ou3z-euqYGy%xE8F2-nY*%q{s{g! zg4@_%g%;>=@M18wFgDF)>+Tax!t4OEb?_WN*kB@$0*OI@G{Gha^KAE`4+<|H{lP9r|L%} zZ`5Xqr~PEk#0k_6anb37N#sOhhoQG<#%>XZZfQ;<8PO>Rx=NcKore;<9jf+RI`=>H znPLGqcxBETfaaDIXIX7h&d%L(mGZX@x=u+PN0%q*wAdFYtD-zB5SJVVIJ4ZXW13O~ zu&?T}@voS8E!?P{&E*Y5FD|{HE9y4RW!>8(K<7TvUR5boacBm&;XC8`f1JEBUwhMvcnOSkI&s#Da#~j4Lof}lTf|vAwhRa^ zJMXBXcENujxG$=4`EgV*iKgH~Ab?@!ZR32m7NEGvxpn-lx@|#i7>#<=E{w-6t1a}r z45dAX`rhKPS2#cYaDx$gwg+|+c+d@}o%`7TeRcGJ_u0GwoDrO;l+4N7+UgLtE7%Cd z2ivYkLXv%A^Jx0c!>&8~rIZ2K$d)Ge4E+OJ`+mR}|14ExXZ2_l3U;!bT} zcNaCL4Um8s{$B@JNXS@tTyQJ?aT3V!U}Cl{teyB!ZUvB|VhFQ;S=B1oMKi+-$QVW; zGfDQG%bYUJ)r;)(hB(d|7C3b&CZE^uwqwWo{`Pf?FI$ekpPSo{*Eu|&oVyiHUY;7h zfV$fqFO)k=%Vu63nrk}G@;x#mtxdBaCQ~-*q!J(5y)M>?`$L*x1?*?6 zLh@ecs2$q7pB7zs>z?DDw41;4o~;L` z=Z-+x^b0LIMBX~v;T=oiWgRl;y}j1k;L=#mT(o&n3q7U0p%7D=*Mb+_vo9pO43~Ei z;g>&KK|F&shh^qypRG%Y%{jwAQQjg;v$Jfs^vg|=uM!?$Jtf{POV>Ud!QCt#J!ed^ zPZJ<_XTV%~iVKN}io zJ;$2uIZ|Gw=UMl!~TvS)HF<+_d98`Or7~+`?OkC^+L`=!3eT{u<3Lp15OLBEEmqvdsos)w}CF0;yf_C2_){ZKdC28c@J#KvX>95M04H6hvp@n zbaV|cb(atP*vD6IIb0O7&^CwVdqyHYIoVexU%DvE?Mq->tCWJ(tWo=rPqTNZUBPCNz8sGm!fm1Ka=Q7H3; zoWrkI6~K7LR9KibzT-&aR9z6q4Qk&cx+roAP%kQZO9?RrP?#eqWpvvM!Tak9XBYi4mD!nrDe1;8|!%fA&eHMR?PBJRZQ_S+*A{1*Y za~@Cs=Q51TWESGhbt7O7KorKi}5|D~zwMv$^dR~pH zu&a5MMVDfiZ$*%%E(q1FQp51a{0Yw5T7$4o@GKK4DVD52)6U`gJZBc9FE4~iA4XuiZfbqcxQ8jbS1IN zG9qz_A|;P#au>(Y$)g2VikujGbiof*lTgl`colmIcpvj8|L{>cIt1GT+L%8q_i8b* zZ1*uwapVS)`w@%I5jdo@Wh^a~*-OEAx?i4ht!JxU=x`pel|$^|_R1s7-73 z>Y`{IuMj&%C~E{ZL9XUzD;h0n3@0s2!crV^d>VQb$J^O#6@#;NW~wb3X^mAJH)ds_ z)@Z~y4#crIC5pomK`a%xdnzbp$>rqL(O!Zk29NVmj*Tf4 z9UdJ{k4a8JEC>22eb+SQPHUl(cEoKo7my%4zj8X_daJrN@H8$UX_Y!<$&P`_z7*Vz+m0!lT#K$|0Kk+ie zJ-#dGbl%&Z5wB04S6f1Af4m-#{~e8P6NUe^+V=R4z-1N;X!KB#D~CR~w|K`;yN9{Q zy%Q&oTWh7TBRf!)wyc%YWNi$UPiHwel3&>oRE94PILwE3<$zuv)P<9rlQ|TAGs7K& z(RSucqT09l4|}47u2*TOM0s&5oHS+}cZA8ddlMaNu-2MBg1|MZ38=uRs?Ek$v_h{s z7FVuYz-rPQ)diEB(>b8etRr*rc$; zw*__OPExirC!Cx-EgWX~d^%&CsF+R~kBSzb+TS#YE#!5X)blag)pe?KBVo{I-80wy zS%ba;bOG-4EA`8cFESc|)8o1$u7iEkDe|Q(1YCo%0%Qfw^dlquW<g>f_d<)dO_&TaVvK#Ax(O=SQFiCI=}2Ui2&PL(T&j z11AM`=clEI90SA!^WtZX1C;_H1^5G)-uEU(7s&uO2C(2?--o^@pvN2s76l{%RL&2z z2Py(E-Ul3?6N&x`Jm`N5@Crz$_rPKh!X0bl4L8jbqyYy6F1M$yr&biIBO~Zf(6=Y{ zsvjlbN46kM2hBzgj}AZ#`0ek*zbm%N5XH-HoA=M1eTE>OHFO5&1l$1>&JP6tXA61@ z*a?sm*q)y(j|d*x2|$Zqiddgg5C|yGYIw=Q_&d=#`1#L@YE|!VosoqZCWJ&v4x ziX1(dLboVUw=nRwSkJaduC{2+ws0(TdClib^bz=)eaf0WM%sNs+CA=>ed?J#W|@B> zvpWI?pk(xZhwLGR>;a5$hwyL*03Y+Ah+4??c%OBvt#Z4EmMgA_uKHvydR#5}Xqxq) znezO&nRN(Qb-0&xsFrdmjV23hin=`3@jXyf^+1#LFp~3uH|3Gfv`2Ly{&ix(O!^$k zspW}Sg3|f#1j4JB&7{)fmcr7k%^o}}&gRS!oNmB%vXH+I4+*~=%w zjU?wX6CDcyMxtHBLaGJCNAA}*PL(9;mt2k<6o}1RVwzpD6v%}0LB}Rt8_d5e%C#xz z;^_8^xlkqngZ=e;K~pgD5Md6^b$s-mGEr9lgqa~~6Lge5^VKKI1r^B45tOP+1kOsz zQqDHaCTlVqGFTzjo6nH?Yv!XZIOA`=v2UinCR8rf2I_F01w^H=qXe~w;*R<;g` zcKU|KbV9~1=7z?i4*Kr@%KvDwa2(4@6Mb4^)t+4k|y<2LPPa{R%rq56uX>&bM#DJCr$f651XS;VLtz46 zF|=a3h%#c-116Nc^rIbxl?#z0*`ugVjydTy;W#Zc4D+J?8j~C)EhNkZx}oQ3oQU(P zbSxI-exB8F2;FZIty@Tvw~^0^#VQ$8mN?O7p>m~HH!dEOidf7c_ zNL#p?(uwyTA&06)c6A2hpc5)bLn<>GjrXN24DGO3;?t&fOguoR-%(-{--Yaepzlkb zC0mqWO5r^1{;=roC%>37+1RrzB;<6I)p=LwZAwWaAcoDAif}YFkas zUNmlBZ-8xTP(z#vbrc6!Q%%qpN`g0pM{bx{D0z=nu1C=tlJn?}HbkeQftNPo;|#MZ zdNU7gTh|ruvjLDgN}jnj_Qpo;e^+ck;*7S)BDF|4lC)T530wnitO2m@iF=eqt$%Rw z_9Mak(&vz2W%?cz?!fzzy!2@iR|(liurt!)QCae2~l*7{YmUD~dX)@RS_ zb%>a;*LGjdm!g`Flyouc3tek!q~^tUTw|(QjQ zr2Pp?SrXVg=8Czf#8`s5`{)xe8dzI{${XzflE=Brg< zWr|c|+50qhC?z_N3*$*cv&(FHM`Bh{W$FftSRG~(Vh|Ceufa~g8}XvO5_d;1xJ(Z- zl>9|quX)7^fsOgA%8>mv4b#6Arsk=5U~zb1Bl`_p@AvBaIc0TTeK9~}qvy3@ZV~d@m5{;1Fkc}KM3c{lnNjEXDD zqCKDXDArxVl4+;yCeWrdCjxuw9(_a8lrWzILdZL!c$$y{mTKJWK}JBd6>1igT_WL1 zpXJEN(P>hIxQPIm(qTw%Xz;Y`2sC|>%zUHs4In`OMWZm)C`-e)c&biKv4qv7E|?Xl ztUBy_<1P(h0!>{B12GcsfE5)_J%+9lTJ@T?b_+Bw(bxC) zw@4?Tm_)It5BV^#2(>1Cbe5X``MzNGEakVYzZxQAR!|iBGhBMkj~*M0pp$9fo(9W$ zK}K-%)CsiHrn~q!9YSP|R^r@@@EUKCe|p<245kRCzNYvL_(Y`^7BK0aVuyBst9lCs z_(1_lX7uNXS;v9?FjpDwMz)Q0y>svE49TE8Yk>2;6X2U%22Y;`!hGL}b^z{BnrYpx z5fm*M8Y)Ly#&iAopYI8KMxO1V7q;0q&mYLMPN-C~K`@*5i#+AZDqKyy#_4`DQO%Jf z?wuF5gU(Y3q+yRq2Ee5E>?8aYOu{#N`V5;CRf=}@ROs0RP1rep*zu`1a8~2UjT+r z5ssC3cW;g8CysF1?M+w@R6~gScghO3W z+|N=X;BQ?@Yc6N{4i-%o%N7y~teDv_8RF=v0w&#lmVER1M!qKxnM3R&JPEwdWYUhV z{#~TDy?+L&D>`|nte;jPlik+lKGvLFFibj2%X-LVu#0AHclm ziCbY|n~VeRRAp1@O*V?JV~YjX64ld|)h`d6l<%lWlOBq_Xc{&C`5Qmcym0M-it#R+ zwWg2k%UGH$e>O~;wLEi6$R%GEb&EB^*xoH9jocAbIE%0Usa@w*)n!>M=pM^^lUTqj z#s9dTomD)M?DPqX;w`cGq2z==FlnnoeNQwWS$;|&IEBqf5CDZ=}QL-?xF#o?qDXs5l`Csq-5BDnk zUl69Im6j;RXsMVVKV{cl5C~!bn~vKaECHWVfw$n_w_uU9wTX>WR>za9MpvvG%1G~Wfa6bnSoIkxyzr2-G~af1(JQg zKn=PmwySA=_eemPVi{wR0tZ`ZcpS`}Td+hFR3lG5O~;aa1%{qm72e38@~=x_?*g!R z>-7_KuY-a81v{2^3QhSzU7cu=-oArn0Ry|=lb5)a8t(QPU5ksq_=yK+BTk11aRPpjg1Rtq<~4x z=Gx@cP*p{1C>5c|Ti}r`Z86^jJ48JdVUF+%JH+p6!YNXX?94D$T?OKBBKIcT!Bgte zXbDRN1T#!yz*xOXR-QLgGK5(s(h1M)guRkgCyb8+>@d$@b=|gx>5er&pf?L3cXj6L z>Fm^W#l}`Bof;q|_4EU8R1U6+@VF)5FpAd1C699``5)xHV~{Luw=LQ>R@=61+qP}n zw(V-Wdv&kXw$0VHjn%eKzu&jd-seW_eb0~k^Hx-4#EhEtWX_C?tjIaWn4@OC&khL3 zO?R`zkR)ANXJdC(lQpZq(;f!3c#IXqmLXb71Wcu^IYK$4{-BqT8}&!9ByDW@8*rwP zBN*pt<8BLMvR9jQIV;n(jG(_h?M5UUXg(OqUC)G0CnBcYe%~m?hl48v_dhvufqODJr{WvMwl6N z2MQz9{9Q6t3Y|_0KPMEb5Z)wA;H)XKy7>yOX1{}3>=9@0aMTFNXY8dSL}xLRZEI&# z*oU1_zdt=h$`0<2TTR@S@Qkws;L_W~OpZ2C5%g-x?@1E5Za(@7or-d3^+j{A#wwG^ z@BGQzIu->-@3bq4sps^4z2SYMFTsvS{afgND6?Gmp(mP2g5aukCS^$Fl2SF2ixKvv z%i@4Q`KozgP|02)6O*ckO!JNUo_Y~yKn9$Au_?jNnW^mI2}6ujagkHVUDV0#)@7j1 z?dw?8kQ-HpMWx}3!k7fa5T=qvSei`Cg?HMqN5|l@u*m{`E@;&&5*;ETDZ&pQ`burI zvjs_I=1@4BRWOENK*JUlN(JuLWT&2)zzvl2s{$ipRx?AdB)XgVTf{>i=q~H6HsGgx z)>u6+87sqXW20Z}=%-SV>nO9+)Ia(X+F;b@GnlRVTmib=?*8uWtq!fT?T=C{cR!u+ zjvugRC54lRd4;=> zMfXC@wV$Z8O192s(tZg#Sk$_haf}ECcKr3{?X=*_2lL;(dp5HhugEGvmEB8>9!m!+ z4;Ja)uBwpz`*Bn^@RW{yx-`Q7YzC}$UnN6aTH52=;4xblT7poiOe5M&MEPEEHWiz6Jeg9P z%mrH2BBsCQK1{RdWXM5$XekMzXe%b}ToDkNzLyI)aem0$PItr02&tk*(8JNIlaLzO z#_w|i3=7O$eAK$wLn16SS!1=e^kb%SbIX)y1rV9B1uD0q6lSCNkogeSVnYWc$k=gh zQa9M8qAylp^>n5dJy)8w6!WKzy;N!GW+hhHlQGQ8^OwJrW%-HI z#7Fy-BNM%4-Ftk(5A?}bHM*TF9}Qw!s_zaH1c<+V3@1p^Y`!YxL8dLXymJrqiB<)8 zJ@zbJ$V+98vkj@TVqd8^ITI#4zXHelzeNEluOGt_60yHUF$?I<`vD-;fAYAnz!<(})#{{(LU!^y-F|YV%zrYO z4`UOc%VNi>EVF>VpbEDHhuVZsq3FRqVWnp{vU8$E3g7Jw?vWq2h9ZG5VwosTGLCb> z+fH-ECI#thn$c$r_!!1|=1C)M``1MktU)nBV}F1UvSQWIYSP;))tIN9RqyO8VcDcf zC8lgYmA37j=5R2+bkJn{c)6GTT!}kh9MyTc^!r*dVy=_8oJUSkDK<`(z#%|aNU3{{ z#}ntpN1QHKuEbwa#9X*YUo5s_9+TeXo2xVH5Trp)>XRX-{Uf!n=fJ=+ASm6(!WP$W z&cnkKM7guT^~?FNWWKe@h%{Knb#r|y=1Y#5b9LYaVPHnDyZl+yfZ~mt6 z!a~8I-REgt;>a-h^6d(&a^3BvNMX|vN46-tCN_pzSgJCUr~$>)x`dCMg2iCV;Qb~m z1?ug!|9)t_rOHU-YU5>T_apPy_l3QJ6s=zHSeTP? zFr;h7$e<47Pech{k9*r8qqBDb@L^5U2mU=}c9cpA1AL<_ci$)~F0Fv1kNA|J(j9XXj-ejZeHp>Ej-cz#8 zi5)_z=IiJlt`qj>I=8CQ65KHqQzKVWLHVB;`U^{^hR@l1PldK9EYR#_>sL2XkROF$QRS(_4?3faI^3q_X3Sv$M5kJDm~3h)!Hw+}P*j3_d_kS+N!S zQMC-`ahK$4vXn%LLy0qhw3RM)piURWPZlV%=!5;>ZNu``x-yBH}76j+BPgff|KaP3FNFT4} zBmrsbYSnqhL<18hszci|B-LDeGN3~)ie6Eon|u9`muwoxB+~Dz#7j}i+z{sVt&yk7 zlr+i?+O0hghPLdBK4EmXX2!um1UU>R0{fA%69k+vq+L*o&KFB8?`-Icn<}f^#VSuw z&$tylhU-n_nOAxkZN*dgg-^#6?mi_o7-+$FOmsy0TiK%l>jnf2*(c^9QHTwPom)9mLC{zNc!`p(Gjp<-qH4CHoHGcjd+ zv4sbsw2O_npwSSdc{CqtQ_U<+sqA6dL{@g{cqO3{Gj-F(9;DC;x;*ghkw!xh&hSqt zXcL{r<)p*|ae$rB?-lT|XrlBST3Hx4Md-Lg7BVbFZ#`eBYsQItqo7dYSjE!r{GQ6- z_dT-^+Ic!CseD2xALSbB=vTW7z72pb@49*wKc`l{_fhF$9M9L(`d3}oN0Wg;e<{FmsI08SKRK8q{ks?W7w_s%qmEscGQXr9T(j|vy~YO*bIDh zYK(6hmj%oO{Q#6PV8f^9alH}XiXqgkC+~IonY;FtiQ-R3o>-|^2CGyzQ7Fq3d zKGw-)z{Nm--(`O%%Txc;*Yh|&Kv##5A}XTmHx0$AzB^V8$|Oxnieo}{N|2C1&|aZx zVJhAT|2t6ySyaCFIk-btpcekQr_q?eTOLHRzJhu3UN8T3`utb6F0uSH z;Bz?cA>q>gI4+>gI+{!=dkEEw4tTU}#nCH=Z# zci-OzIQ+j-bQX+2inqMZt9#n+(`+y(9PH#BCtOpXi7_n1so)5plPXPCWb9nY z7M-e8a|wY{k^TA~-|vOsK7)B{dFNL)so|%F=ePq zbq=GPYOgYwx})wc*Rj`#YkPJfs2XRJLw73 zwMoccjFxpR*`Xa{oEC^eulfyG!H*Ql+B(iTbi^RB^;@Ic#P7oFfhkiBn)0Jht5m77 zuoE7Q3>fM~=Ig~TmouV1CG?E}fyEBsbaa$xDV9hmy6k0RT`<#%n7aoH%{J-yLs1xlg(b6N^Y#kIPo}#9Y}RovWc~Ur4|-LOddobj#3uGE&6_3HoT!l zLKbbGb5u7c0L0nAPA`&KmXax;8azX57x`s< z*-dkupF`p`4q1REPI)CZh)>!sWcts`-vQ=#@~c^<&we3ri^BeYe8r3eeZevU<)Ruj z|2Li8|D&rbWN+`_`VH@Y+hP5yiz?z^@A}Ob_=fra9XdNW3Ojh}Fw%cFS-(H*Ow8X~ z4zBO59)pClgPY?&9ayG+cYfu}Os$Om$Lw#8!9PTVZ;LJ#j(@GKZ06$N=4@j25Aoo? z$O-=hDvbX}KUqYD>0c4cKR^0r9*B9mN~pMgJ9>R*N_=NBF?0R<&?WvO@n6wD6ov0n zzH?Mn|HAi7edv@*o8sm-!ulrZ$bk<|NnL%`{%U%U){&pSy}%dc4L3PC-dNpA^9C^+>^X8 z4s;s;4Fr-ou z>+^SP>lxH=@H`xh-LBfVY;~^dS7}TvZbCkId%3$mX?5LJDy&uWYsLtc(^YO1W{{n#j3HD_iw=5bz z?S3x0Y-#AJ*2ymL!LzL+qLd53)6YeuVvGqOQd`orSvWFp=IxoWzC*fuJ3+}?qIqx< z*-JHIYRsB%^B|H~#LCd?g{D>Cznl8WQfSDN!y@;))Y;S4yx#|TYQ8;@_$b)cd|;H( z%HfbuesJG`r6c=8G=RcVTDZDwl}!$wl?}1+&S++Oy&|D$BJ8(X;kh=H{0+N zHB;a+PC$HH?7nvHsxbKkwN5nUA>5A=JS|3**PbkB3X3MDs|IKB^G?Sr`4%awpAVli zZ{Anq&-a%>amv>rak2h}Bm+Fy&h@mR{y-6&F>H#nG+y@RVOAfUlj)0Qh}yp}!Gh|XgLY2Wt%XxAT?H<1FSNr0*t>6abS6)e&U>Jth5hC zDVztn&mdoFilfKdXTc|)pWq4X6SXsg0nnyFq{_prf#d*r2gJkhfkT||jMeZRl0SO) z>S8qAU2i*Tp_~ADy=r(Syrz6S+zKluG3n3zre48|kbp?$-N1)tCxp2ZiI=6jltkLe z8Si>ja$}$|f9Fq@)U?nCb~!NSS17`deL-#DIRwEq2Vg72Rf#~;CW*^!VA9**Y<-Cz zS7;-EO;%jFwSl@46I_|9x{TCf73Hj(if|;bU7Y7!UTAv^YwfZ<5-3rzReUQrNI0xe zewA=JTgX2#LOdhqy+)Dl=g1#LM$y^@Ss`+(^-!lCrd$&dg(1pkkP#q}RCsE*wEc5~ zxXVbd-%nYLEwy<-u1sn0-Lhb7N(2khA@Y|{mg9T6FsD+dpL{fMy<=pZ==}(?vcVEQ zVp&Zx2%+K7*qs(NKzLdWjMfVP)ZVBQ*E9%rV5e(w?s!x4PEhCrqf|dGU|y`ki_lQQ zCALumO8Fmoa4Nn9uTvrm0kZ(ztWj}`C6@+KxN<+)mQE_^#5!D}C`4r}5`w5jM@4T2 zKywZCgNpiU)TE}F2H-cWI4ePyjOL$Lr+VR(5P6WCND3@_trGc=un6?gztzc>?(O#lqDJVfeqyQh3ZA{SUgNrhpDa&vmS3Gb{y{ny* zX(F5ADozMoH2%CKc`qCYbf6d}GhrH`Kj4TSXkIoeQ=ZNqvdD-Tg$>U226JN0No0YG zf7<7AZU%gg3GWT&SfC!QC^ZMPkbW^VO7U2og5qGc_Byz?CV9uCRU(!ye+i5RiOxj# z$neINEHja))op)a0C=#nu34H>?;_6{XDAK_JK&Mu(Av%}kDH6+R5ILH>tt4OM<|!o zl@g7uruf;3yCSYL*0F;I+xZ%>m8u10!p_ArYpG=)Z%`BT^2O$ec#?@d6Q-IE=IXRz zyYfo{k}QLXn=*n2A|z=Hm!@@|8F^Av>M?s0|9U~*-ZHZKk{6;9U|RZC3v3GCd|)HB zFP^}@(0)_eG~UwGb?$qSdzejZBH5%{(L1P2g3UCU6w`Scc6+EUPMDp-Ta6wQ02X?)|>whKqcy!v2 z8`jhX^?+}wjGg5UFY3a4`w$GhmF7)_I_68W&`icI+5lGs$T6?>F1isuT~`*+rPP~> zs?(?3cPxxWVMvwdXm(6sI~C4yozOG*eW2e5{RTdA6`>l{v@=+^GiU`q;$MpR!M+5jOI{t+Qk6`HsEAG=7vW%iWCoNuOMa8}93{Z6Z zO(dTlipdT`z;+v!em(!b;Ybpy&bwIFIGw&Ij_4t!ndyvh8co=?eGAmSku%RGz6m6A zVV+&#G;@f=!6(m2r%j;1X)eiGMxM<(lkRvM5?VZ=-|s|04&Y?omgn@c?!PhVXQChl zsG`VYBg=f_(}HeC;e+Lk{rc)0E_X4Rw0O=7aooco3E zVR3TnBXFY9IrFJyF&b)X$~T;;I)I!fK1s6ryn!t!ZaMrbNWX??n=bm_2>wG z?16X8$a8{PAYpFBP$c~rq5XjpR#YmaOhoV4*hWg^O;c4NfWJ`PVIfmT3Be^&J{iy)mnc+JNSnqd}U7zx!>EVjSZT{Pci=qb1P6MzX4 z4>>R_JN$B3?l|wzdAL7aby-X7Wd=d-NKmVe1KEGh^m$hLCAfoxZ?klL7hLuH{u*wu z086ZR<1Uo2>2CB^a^!#!97|iEH2#3uW6Noa8j|GQu67a9M{#pOnr9~*%@)(Ct7yPT zQ5p2OLa$lIoowZkQVo3!!7PE(5G}WAU=_0

e2a)*WD@SIsW-Db>U8nZb z`xE5o_2zxZMYzFe9U0#a*^%m;5AEitSl#fKy+#76C(HIe!tm?_?S@8*hB;GTw5vtU zcBcX9Oieop=ICNAHTJP5;%|vdcq2p#GLH@Xu7LMovhg6DZ=Y|6U(HYJ>r?KEvsaa# zsNUwP6NuJz@Nrm+#_SOOBzGq|n zQIekc*5MO;?C%Y{x;w_r=FH&p|6?sfVS!R>Ao;NCZS%C8hnbg2k5G12Su9|c*vHxVE`c7;sx&J>S*E}VS{=tt~12sB{qEap7)nJh-o z#7}RQh$X0?gL<5KHE>a2p1*oh(0KiesJkHVJ}WeQbwAg|@*eYgYg3CYQS|)g4-fv}BMtsEMB%2qk36#(H3gI71FSV^+Dm^}vlj;|C>J{=RcaGdI0o zjVygg8z+V%lbVR|OaaVD(RMzD0wD);Lg{xmX{Zuy`bo3_WjaWjOXzc`(_*LYyvn8v z5C4;v>0bB&?|pM#WHhCh;n&v<{|~id^_7_P%`bV)F)jz{S$c2C>3YtD87XIa7A_4v zoy7~>V`G+MlbX!CQ&rR8J4bp8P_yzFX9-M^B!nB*7i8kJ3NS_345mn_;+a28iC91$ zlL%}+CL;^FrxfZ4X95wfPgR;I&{<0n5<*46F0{+ii%{e7{et0+pY(gS0o z$sV%F2T^-=WjE`GT9p^(sp$aWGpe3s^}2U-9a&}_iYUSz6mxAv z8CX)aYMPYHdg8`vNhixxCpuuSef%Q=Esv!aO2awSL~m`s&j1*h$6OMyOm>wN@8E+770-`GjOf$n zxtfb0SOJd29C)ZRrv5T3%EEZIA*WUS0^U*dS!rT>W^#KOX<|?UOKR}>J0l$X$2O*% z+@#Ez12(DEOFFIo0b9i}B4(g9sN#CRzR78`X;*@D9Jf4fA@(buf?H>5ym#7oJTvEf zdi$lZZdB5D{Su9@Qqm0r67ayP@<^Mssr0_j+F83KnG+;XIJu>8C%7;Xmu_G9UjsnM zPLT3qcq?-vSZY&sCLAtC@L|0%%hLMgl#?>(v;Ae1s=O(}t3ia5Lajpd(831R@j@3) zKcFE(vO4)ezm|%|<|#uNY~Seh4MM!#EfJ=6{U!@x=Fae<9t$7txZr}gYIUFY9`z)k zRN$zcMh&AoE!k3bPIa%dA--~m6l^K}ERU!3IMV-;PBDbA?Z*y@K5bjh0ChY3OyNU0 zH+HFr0dk;8_qw0l60r6_)UJ-mlzmRduOgQ~+)tA?s%$8NHLg@!oOU;B!;>+fL76b5 zk7s8NuK45?V07?EQl!$x^qQr}Gr_H<-;LMmLQOdeGFk zC=-;Fp$khAYCAGgOce^4tW{12U1*4+c z26|Sz^GizBer5F(HfEhjxk_1^09M%sqGnP4`(}lsl-FB3B`tp=Obu6T zVCR)t*ucUX4JFP8YBFftFkN`NPk1veWTU2`P9w@eqd7#A-`_X^uP)p~i06`Nub^&H zBP$!yHaT%qU7)m=l9{S1h!JI z!%}hF&AWj4=5*(4x9o5e1p~z}x=UU}H@e6utk6b;jIxKa$d2@`?RCjfQy2Nk!ShSM z{}E2-6U`0@*QdRf)l>PKB?#B2{GvL|@h1)Wzd9S^Ue`Qatyjf~pD>1WsslYSm}RA- zLKIKwA(mg>_}(3Ksn>w8*;^|__s4L3A&d(>WvgmE=O^t)qCCnZGOZ*Vl$Av# zkd4!Gl09`a^gn_e90^H}@cU@#qJDCoaICe$hDlWf8KjoYPUfast8P9au0sf-v3| zq2>B?2&9B{5_mC9$^dD5axh+bXn%~8=SC-V7t!%xE<>Me=XY()s@xef2#!9rphNZb za8?Ow!};LPO?*0Y>PD}he3zt;tpjS zFn-qd7@$`94dLRYy(CG+uzxE~R-h;t= z+zKBAZA?nfSoy}DRWELAlQ1h;a(e`U_}^0~(q$+z%yc~qk2tKS4+z6FlcbQFz|Q7T znLAt0in^-=+5>w4&Le)p@QYyAw0#n`#fwAVmsWOR1P%y4kxjZssOeJ^$k-bR3nc^KkmyRkvwo*TJ+PeX0o_%pCpqWpDZhB+yvnYH_v7avxWG;2PQ1A}d2?BA z9E2hPw&48K&f*3QV2v(8VCq<&omI2CR%fH<;ftd3=SB`C^V)@+62+5wN)rdkMoNy# z5?4-xlDUCv6JndQOR=>MU{{lb#Bsrw8M1$OEeR7G9OzMuN_cuYA}12}yMlA4&bLhulP4hV=KLSeLRjsh5HT_kn3N!yNtfH6rS6QdFJA zu9yBO;CycIS%GR7=`VaVOOCeqBb{_DTUB-TU2Vjhsn|z|TH@}BB|ayBHC5*>|F2`* zq061Q9zjJ1BFN37#PgA!zDdu1Z_n`Ms027GwWIkVa~vuaHd`fmcm|*>X#pik9J-xt zS-UG!Tp$EfjDiQC5uwl7IY8wL;)Z6)IYiC&cVv{?P&tm$Bt>Jm%tn38(hF`!`0$hz zt@L^>#kIMl$kap-6v-h^nvE;%RSQhi4leU=aQDSHGVyCC)=bkC{s;w<`f+0O&on>$ zIe$8%CRCXz)nhT0(%-gLW>l>wtmy~!gJBnSNVL$W3xA^1$qv<-sAwrV0u!FlTXOk; zdm@&r?CCsujp&$k```F*he2@npt|iv(Itpp({?xm)IiKfJXL_mYuLdxav@T*bG-3G zlCi#UQanC3R<0LhL!e+U(9r(|&-w?k`JX`- zaVuvRSHk~Pr7|(GG06Xe;rydP{g$ArxZ3ga|8L=`;Q?j28d{=e9XdV%0v3j*;tgFt5x%SK8j>!?`yh|pMNjb^Tc-9mgb zp{BGHEF%T>!~>|0fek5r2P-hqjD_`OQE!AgTd9;o`d^j*(DVYm1xc;_H}(7jr~OAg z|Ao)7{|lu0Pkin_;JW`m;B!p>S457L?f(Um`{zvk&sT@6nZ3og{Ft4cnf<>m1Iehr z8g>pi9LS%w27L*05qfmnQiM=Hc&r$}ohAQpHwNY)M$?Ya8}l4fTE1TK{DEtu8LO*d zLl8o2m&t2*zaUEAJR`0xVk@f0e;%zEFf64kY;o;WSulfkq->2i<(W`4icT2bW_)mg9 ze)U)Y`Boj_anl^0l353P$4~p^6oUmexs$c&9NSI}ybm_drfG>kw4X1Uns%}3)zxF~ z&m}6=e=@frFm71oEC0|Sm$SwcPT6K?pQ|XwHII1%dJWn54dk#dSejX=X2DWmBSx%= zJ4t4FYi^hf=|`>S67Ex))gSjnc|X-t?#BMydjyt|Hdi(pe@_9 z?9fC&NBx*?o_k1~P(^zX6T+3Xak~)PMw{qoY1BTeyjNtK?Ofh)qfWR)0b3kLrg`KZ zBn19XlRSzf56};0pA61E*X4N#ZIE~`Ltxi|pAot;SI<_EvtdL7Si5kv-vmKCI5}Mo z7~-`@2v{RPpKLi6u|6F7Bat!YNoWT-Y~431hlVGzVulBrOJQ5rmh!x1<`sPE&WTj| zfp9K+NLw?j#W|PQ2aY+%XDdk(&e}yZWfIQX z@fS4Qvaa4QB+rGEc!#eO=T%SMYyJlSJWy{j}e3&J8}AfYc`4@xo+ zkHH)>8bO_)V(LZGu%lqU;0cS_%Ba6%CuU<^CjxG`WefQngJoF-IG)Qjl@B_RpHLo) zFD5r-slOv-VCJ0SP#-aE+@up;p@TXItjok1QuuWH@2$h6@a6@`#l0D^4vYW9}UpkZfeCpg`I-0E3)=L7aj16K4Xqb`oY|}8{%`wj5#k%+IQuYf*W_C zqI?tkEng&UAp_@pjvDP$>GPAN)lI{RJ651O3`_QlIgrk^1mx8%ooA#BQG}9@(#6hL zH3?ZoAOe?}(%$<>bdzBp=7fSpF2q$ta=aAvK|!_b>y2jt@snoMyPHv`ymB1MAZ|5b zoQPnY70yFbdW&P&g|(Vn)TB)HFf&51#0e<_FxrY_QISrOb;uw;DE1H$K~zi#9Y1i< zK&23w3Z6^~RHWLQ85u{hEB>YlL5L+(lA#zvU_nE`I+jRK3%#$zcL2%Gdzo^xwcy#BTl9FU79KN#@r-BIiqkMRdde^nohuRL6!`|{8%kOt3Q>mBr zL2L;LSF~B7gh&u%)7(sn(HtMXlv%pNpr8IbrOo<&?Ku8n%873L@ta1ZTfFczU?zmeY&UeXlH9D`yW z_#vwD^>cn1K+M=Ww@oF^phav=_P$nhw{lij*c#pzZDpgF%5)gij6@lc;&3hp#17nr zzHMnpkw>Po1OuFdB<@*qTXEkm4NOk0mEtZZU2=#|>;}V_UA_)1A6;^^D)<1H5vmBK zJE7e>p-$i<&f6-W{TVBk!oICL2ilH*bAweTCnH7rX8rnRBhUbiDvp!D{~&Wv<-j$2 zjgo0@sq?abVdER7H>o&Reo({+v+{%`U_T4XJf1(d?TJIxc+$#QWzki;EpLlOyNw!p0u<4ibK$g#FL5}BR z+zk!#;@vH&gC2p0mLN!UG?wD5Q{Z&6?H3Y^q;SDLm8!z?J7uK*yZvoVTPgGl&W5ln zBF2sO(EvI{_m&DxY#OGUIkirfYLs^ew#IrcsfnAv@bK*5IUl9QpW=~%E$d6N%J0$dHMK)p}Mp!*8yGkHHeZD9{9b z4DHpRq(|n5Mrh>Opr+p^4Mv<4B>a!5WQv*^(Tv1z!HSsIlyqj%q~xIneq^-LBkfwi zqkV#oY`C&>+Y_XVUE8ya^^1X(`4kec&?G?BKvs2uYcY4w-^d&j%^7-8f}L_q^_c1u zdXGzUQQb}l_ZzE?8Kz@7Da{n|2i_br|ZK*74VoSqfi^y-+0Ebd%_OL=_CA55f{hJtXIWTJdKPPose`IkM?+0XQNnkBgo%x3URN<*d$06yotJ&d% zDD0g{;l72UR^xl|6n7g+EAw5{<<-eHQOq}TqhZLyn)Pe)mW~C#gVa)>B&2M1P-Jq zOq}BfKibC%1mY;X%;xa)wjplsQazU?!etS!UIgnz^5gEe7Xy--P5FQiTo+gPCusNw z9&Y`Bw@VYx5vvUEgA+z>m1mV2cIHp9Ul>3W;TgEMKuH%-PzZ*KPv5r@61MYPDW(0+ zlfB?dZ>T6YpWgi_OV{^NjHGuT;A69IFwuk*z%2QOWQ2>agtU}z_%zdGb>>JdMms!Z zw*=xP&Yr#~u01(%A=56yNQUlJu3Ik-efm!FV1^UdFuTJfc<>Xteo_cKpVJB`im{i< z2LMw>eTwJ6c+PXRXXv`X+cCAxSNP2TtP_q(jY zh*|tet`%%#O;Ytz(f#-JvhCQH6`e473RTSIndWtfK2bNz3m+%!Vzh@GM;KhV#k8Z%?Z*`(- zCAy`-PYkGFb3<0;B~W3GJvN@3nNY5z(pWwZYHd+2e>)BM09$1jEIvp_k`P;O5Zs~YGb zexNY;*R|X^4Y_tJzfuA8)STc9^ssWUNZJmuT`A~y8Z5DUWB)~8$0qxd_$A4{ zO)%#h0yYSH8Avw4Ti~VXKS4y8r=yNtz&rL++Z~KYMn=7lbz8l^94-s(+}s_^9*K70 zWx**@TKV!@S)KjjN8RDotdr;KpHqPnLDSGiC>$w3MK6MAGc-G9D9a0>@=!DlhHUOG zjtT4aI8~Pg3zPT^)mAXUC$P1txK|}Ym;0qr`^S-X&x|c1pEO#=27E|1sphI?y|XQg zmK5%Fy}jobu>p@TwKmyUT03b&P~o}&YiiTaAk<2$HA64v!;_(nHDz)rjt__ijhPx zrdL^MR>>+9OKzw4SfDx8ZQ{L5i?N87i4eH?v6) zGQ`OW_ie=!OJW8@R)L=eYl1$j^$2ot`qKqGsZ$A%l+4WU4pl_&^CxEL1(3brJ09nH3L?EFRjp8a48qV z)SfSW8*a#6pTRrZK(mqhKMeN$+Opi0SR5W0R$0Mxf_XV#O?zlq0{5+Hf;O6l_rwXr zxOtztM!EN8SIR= z`WuwfXR^meOTGGBwbH*H4{YO9(Y_Z^#=y6ZCmW;=DGp*)94HQU7+>KmQA3$h9xKuP z5;T(|a4eaRIgg+NFfPzAjE29CWD|x_j#UX<;MeN5u5WIwq99&38g0Nu=K55%2Ucf3 zZWyogyjSrBJG|~i+Q^sNv6pT}x8_N)g!PewPH{`PD5c9_je+Q;9s*KR=%ZXpauHod ze)s+s56VNq&tPO3>0%GVN9L0MaH;yD@Z(@vwtE}(zfiiN5L*Axc${+(m#lg}YTBu< z@ezIYB0Zz9O;D`1N_@GP)tEA?-Q$(_Hfh_+sl=yaUnBKpwiF)% zlFvOwmd(O;3SlcLRBE?p;(n*xZ4+*~HH`jm1&d1BqutrM3nR$TWb+1Q%So9>W`G8z>h;PW&(P!A z4?$n(>b_lq5tJlW;p;JcJLnI4KJJDGDBE8!DD)OUrPNkkV5hprmCcU*PT&RQej41n zuS4U=a=Mc!+>W%pP&kIBt~%tstrEzXS|nx1V%6OQF%$OLJglxH;90~PNyN@5nDr;l zJ+D8Ii`8)uRJt#yWh=BZnZ<6M?TSgGTFfi*=3q!lUq|N}C8vs&dUIcVt~OEy{QG=% zo=0}JAXB-+rLUAj493Svt)9taby;7{SXr*%l4xC_M=Z{`2ch2_c^CSuPzR!pP$7pA97mw z96%po)77S^Tj#!b4bBcn&P)caA1bq>$Ee;nzma1>OW2P;k(!B~vuq#WLUvQabt6?) zMCT$*q9;(_5j!oy#+8($0H@9^4isjh zaW=nU*e9XZ`BiZhROQN2fUK6GW|DJ=XC^HW=tc*Xj^Q|PKa*5;+{CPiH*aXO-l0u} zjg6cIkM>sAekCPy#gb7=n5z!JW-#~L%7jvp)SE zKcv`wJFJ<}V6I~{2j(hq2?LWL=@A&?_2sEg_dx5u9qE=~`{U5zO8Gj*S#ew$!FQoU z_?F1ZieLN_r0?+{qDh|Su_8n8wpe?M_@-TBW4jAEV3XU*J-L&|SYNt1)GMOCg(^vp z>6(Yf#e#>>6@;~4+$(~24jLKo%eOX{F(Y6tF>*+s_NT4rWV!`db9mTjJVa~okm}oRO6vyg|t3mw>U!ofq84p z8o9i5({iH>AxNWDkDnlB@3TMHZ_H159Ao}`o`E+kH8tY@#ojwVNB%GRy0LBBwr$(C zJ>f)?OeVHHv2Al=+qRR5efx{G_TG1|y>6Xbb*jz}=O5^*Pxq(0tNVFB&x@`hcTfWh zNLW|e-?RAxM0{SXQxLOo=b=Xq);TC&b#Y zLLgq*HH8R3UnFi64`rvvK6lD4q%2@ry`NN}Z)(=Kcwtv4-#Cs8fse8E)CZM@RSimi9?$(u!@BxpW^@MW%)3i+@XDUZdpcNF<61Le z!+cTz!1bqn?jFo+%HN)#n4;DyAGdKtjpEDw6cE6tsA() zR%+J5)PFizqNxc$^4rzPyjVko&%zw^|E?kuR?BS{{4kv%`t16pBpsh%J|L*UG_CYkFlG2^P(&b z--V<1dRqAVMvTWcpR_+WxGIMl9Nzx%v}12bb@t5hJf4~{osFY;(0HB>ntuGoRQ%|w zib|SYD4u8%y(D{udP#*ceM+Oy#d^Mk!-mhN);k;=3|V7D!7TLAq~5{K9elQCYDsWT zS@4auhYYtDLe6B0s`v_7ek2-mx}7({Y@Sq5tjeNm{@zc<5VndW5gt18d0&zOz=L|H z&h}V#GE>Y?KOzed`3da>lcYR;%NL>H3|3cCgiooFRZPpy;fAjgS@vSc^4kM3&+a-bmV z9Y#%Y5FwO^`3kM9#E65%%3k42sH;Ay1Z0cexIy<~35Sbe_-GC0(r?wyostluXq@}& z9pDR!W8%DJ?QZKs_ZDL@R9&9Zum;1T|+M(O-Xz%R*k=4-V z=C0sOh|(mz#>9f3A~m##_~?Tu6PAA1N<~?iYUYJeC>&P80WUL7Fzk{AZ=2*b-I9EU z4?}Bq+9znvpqoa(*NIpT*PC=Zi+Zs*W$$l+(3z(^u85QS zHH~T$}d(Z1t@jq zSp~ugv$&3#ky#_>Fhw>=bo*`IbX(2VL9sbehH*Ia#CLo9P_{qavCv*PeH|V5dh-NT z0GdLebDltg=x!2J-SRvz^@<_7uWA%sYSlt?odN9v%`FTSXOr^0XKc#sU``lgf4&{1pYt*}SZG33+8-7>Dv0AC4Z+G%2bbc1ws%n?gJl?m(NJuAXeUHUVE|ZZG2NrAzpIgJVjDVe}ZPP&+=7WU-#?2IET6VlEuottKg8;?**$i zq$IOoxGtcPktcni7kpBBT_y-YgvCAw`s(UIFz=zvO>lCh5DkUTC|a(USG)+O#>htm zX=M?WMRvQ}d-@OzlW-3 zp{bpr_)CTPwIoNQaabAK`xTGe6H=jS;(zF!dkBA~KlDs+P&9L#KsW48?7J%)|{^il+A6T)mcMdfb&tUb+eb^U`UM z-Oo1REX*IK{!TzVOjjI%g9>v$4RMa76>7XbvVb_(VT&UvBge@YpyrZVVC;f$FrD$s zaef7TW2y8duY?I)I5P+=r!`t@PYHIyw`gIYwa#8dX9*XF zA!mf2{&Mn*d~|^&OB6n9Bbjhd+*j3%UL zCHfv95GL8Ze{oHJcy9lVB>pA8{gWhe{RI~PFG>7Ed*o*NHze_oXX<~xL;gV$S-IH% zD@ojN#BD|PUoz~KJTcZBb3}mxS)SBS2d$b|psD&62PvM6PiVsJmS}LV_bRYug%D~^k~zy-Mln*mNDVt{iRuX>gUfcF4Y8o z`3_UzN!vo+yis?1ckPX8%I-4w{}Cjvjl7+AsFuh410=@$Ymm65@NYq)`#(Tp`3U2k zN6>$O#CM^;L1HcdByK7GFM`A?rvE=6G38H?=CnKb|9?=J_^USxP z9a3PnBfp49R^%9R56Q9yf`*5DYJrTEp73?0j^`?Mk(vV}ZI)z+DJMVoJ$gZF4#t>X z9e-s!)m1`afqq=z1vZS#jj`9m?j{a#jG7eWssc1|B0rZf6qliia zeI|!zJ-iJ9caqIffGnE68GC3O%=UVY`VW%09f)Hn8GAJlO}*mmKJPpf%}ub%JQVM( ztj$B7gdJjoB^2A0y+s|5)UzBY7#HAL(LI>;-%R ztue9q;MCh`o4;>b8*{OpZ+1HQ zB}WIZ%?c4to@%l%HXH2mO4}GKQ>4#LgzH;aSg2Joly-)duvMzu_s4na)aZ`16@cMq zmWsM!4SRnMvWpbuiYIK*(T~#er{PC}U26ZslW}vQhY^huDkhy;KQfmJ@n#7o-2`?B`r)98xzRPo>S{(^1+RA{!GB_zdVP_b? z@MG4>9TBDskSB|0Yo=2v?j;dnH6yy_DGDUy>mzSfV#Fuons;DhZ%BhYU}uUwprFP0 z)9^#t%u1b{b0sBLTG9!<75es_rg?o`J*xuwH2k0vBs5MP3BQ5pHy3w>7;G6CGBX0R zh6>QG*xQY?kqrV^)z5qvq7!1sJ7WY_8tEkr2#At^Q3Grus;_eNQs1!6$gt>o;s}%i z-)iwafK!WZrMUhpNDTQCBwqau67gsw0U*)rFOc{xObuZ8iErB_cm*73NT27oO2VNl z!uH5WjA5m4d-7pRQxJhQJHYX03c*Dcs`s79JgTiyIjm1VeYxu`8caMajbn|E-lt0b zK>!aHyBlqymGg(7KNx~X!SMfp;rm|hhY7U`R@|C!TIuSmqtL=kHo7z>> zED$9JBH$GJ@iW85lX}}lsG}*m*a21$rY~57;(RVCEBhN5fN5g_)3(IBo{c{_@QAJyofz*0@ z?PCzO(qeR{Sp?pByG6OakLM^UIMX~l8ke1)mtJrk2zz(b*TG!3C6W@{ZZ zK=zLT5D{N))<0Rg%==TUe^^6Q?wy5OUkZn~MuvzUL&@8jojCIsc72`$@yjwrV@sCY zg53@7o~x}@{Xhf{$XV&YRX7Vd*!Ik>iwpC+mjte&qQ%|Q(RibVd?j?Q>gUri;bQ%u z(Y4>9@cbAyJKdb(U8S4N*YD;j^}*ehmW~*LGlLD_>L|A6kSlK?1N$LAr_gM_xO8{6 z>QptIuGBw|xVP(d>Kc|sJfCd1dwY~`jw>o&36#T1`V}1Z9&5~*k0j`p#?>~ln=NtP z-YPma`}=5>KgW1@tlf8}Gd^A1|9-KkU*BA}p9kPYMk`U4WDHa1C#*&-YNq6vR#U-K z^kGl47;@VuF9~&@uV2O(PtlP}TGD1r+q2U+%1-Y8f`~N)dnpSDv;%{o^c!XFQN5JT z_hiw&BH`*W9ofo;Oys564_Z?Iy)V{UCo3Gb7=s0pus&RUWy?B3OW&EfGlTtnw_Mb# z?ZQS%PW~^7xV5Bt_Ihv87(C@C;C&w`;>A?6!dz2jV;u&1S88YK=}Y_<7ku(5S6{)gng@9DKnlzb z%rZZ6#aBP9gjnoT@XopGmy2RsH;xE;a5(H#3%e={slm4G7uC4-^+~qm&DfALJGo^% zPg6!?kA>-`g|3&<_fbLj-A~tepox#tZX|Gut4y92;)+Q@I!<4)l@RJzF*wga-o%}E zEKfR}eohJ7Vd%JrA5QoA{cf>tSko&Uc={Xi7pJq$>o3ebkM_7sF2wzY1*{yn|5#CBh2> zYslvp!F4#%sg_QMuqB_0Ct#GZ&C7&bW18Bx*90KCb9=6UuC@ZZHq)*ca$?|(R5(s> zdOml$^uF#5)>3u+G|Vk*q!RWC*Wq#IdB$d;FVZI&qt+X{q(y$kR_9C zAmg#3?JNxm?{Fjc+MhrEwpI^GrW~pgsrVsx4`Q&a2fJ*IzDp@ z!F8I1p}!TJ5eYPU4Bg~S1zIXANA1D0_$Y8Z>2Bo6kj&_FNG@N6gU~FaKZ%}pMReON z8ra*<%<|erfK) z7JU}~&IQ^NMy#0CSaHu%dGX;p$(y6AnhVt$zma>;4<%fyC+APd8YmBTK7kfz_aJOP zL*ns;k!lKU?tqWvyfGZ=%=inuc0TizMzcsY*Y%>U9Pd0|q>q#hh6UYa*FN}Gg4qiY zzcSU^wL*0N4R`G}R;%f+ewKIQyrcp285j|}d2S4udxk$UOvN=CCI^;0SG{jDzd(QG z`n@?RWxJ<O~pgk|Tk!WzA%blLnh zLnPIrllf8=-N)1MiCMw?4$Ar~pCl|(?EOAUrlPf}=-wpq^Y9{52S=GC(`GtR3a7L>*9>2mFZ5i*C{_A&IkQ$og$AIXKSEljW2K@(G5SFBfQLTW zyF4CvM4Q~%It;RMRr>ClOER5y<_VUzQ-L|YU5?6$Mui=rial&nOd*YWuE4xrXdu(sqLUI=p2R!JBjmT})&ZJ`6Ik?IJvxlO ztARu<5WsD=y`BBI237`HjP4+^MN3;^oGp{jXA`6XlJ`Hd&oShV>*r^KHBg3=)#Q`4 zP=>2FbNB667ds4xvKYBzhe5%L$G&rdu&mS&wD>iV*->qKK_YmG7_u{2P&ay59nuSt z-g&TG#F1c&P?7<1^wK9`V1MAhl+#&#bC5y`Oy03$A^_6ZxsLWAJ7uw4<6^)Zp`rpK zhM;GV7~i7_BaMqAs%t~*TMFXds}7MRCmfzC&3C|__gQPFqay0m4z(_k-!7=9X;}nx z84|a8ip~T-E?@#4<~IlP9No}DsqzjHk6zHI4P4BK_*>*_?;A5aZF>h5I=PtH4n4eh z;F%)oU6qo7WOcQAsN-}5N0klO^~~=R`v6E4tC(p(!MDzv%Oves$hCy7b!iDhn{zAg z>~IS&K^7}x$*2h)-aw|>2Fjx=@9fJR#z9_UC|*|{{XmK^LmHZ46M>;&Inq`RCTvkX z@ayPTsE}LDC;W80%aHFs8eZ_XRSiNmdR}e(DCfc0`=DEKJqi|~$4Rsv?E5?Pf`=|j zSK)>O(E>7S;=o&}QizAu@U#s98Q$;bw&;O)cfaw4v)$)_8%gU$Ga*QYQ-%)7gn1)a z1BT|pG55Tvhe)KVy`sld^a3T4_l^p<`fxCG!_iIbR5Pn@*!uWVb^{3*;AI~ox3=J9 zopYlS&j%K++TnCU35w;ZpRTe|s_}kTr?$!FzUzVS5Neas*%- zdxN<}mgd7#K1g4^0j@u$FSDz{&6Gi4Ko)Ca0GsVAU+?@QWjFjZD8R(4AYrvfs!|XN z7cMni;tj)O*!J*zy(r^YDqo5{e{t>uCZO&zJd^a+%|H64NjqRC6Rs}W{#+sXp1-_^ zpwnWD#TU4Vi$OQ&te6Sbd{n;m&1xXQ>|@H zl@HWXGjVBFe5y}7aS4zxt(O_LXik^c%tM-}1!YVR>36cct7|Lq{+VUZQU}eOQK!uj!J<`ntj+clxtHq#2ZPtRF{=?>m99qmobdi0he5iOVH0~ebS>ZB1%|r8?T4BU!x^N z^UR{~B>s${oR#yE%~}gAl`nVK?v>f)WYDOl%ONar*gi5ZG!-Rf4f~WEIj+a$SJ_7O zCU%!Z8!LrV#wxZjBB}O5YrwTc_vWHfx#U_-)&=IcX0U2>O7D$(LbQiL@fzW@azzbV zU6an=PFZ zA;e+un0J&>=Zx(~seUe{&+kBtvw*DZbdXIIralDB0^G^8b-p5T%I@*&70iJ~*Ohu7 zi~;s}4QQMK6t1NR+FUE8K@;%UycUQFA44g(q6E{TwY57-+XA@$3#6F|TY5^}Ku_Xo zv~13}`VI{7mc(y#)dKzUW<~giJx$e2$ke%OVifu9r0_{(dPFJT$D>Y##4q@&{(WsK1@;5>_*)Ncm)H ze+#ziy%>)V;Ldp~G0*3vjb-+pugetA^wd$6w4eT!ffLL7E4@L`M+ieWDOk-zD}T6S zfb@4rttTpIa%Rak@iKD&vv&c#4DHG3Avaw(ZL%myY(c1KTe_&B8A}<|Qt+rV7F+Iu zV$O^3!?w;xs9jEZ#HnQ@G0d%W`ALNbQOx6YxF8~Q4hUJ&CqJH;dvCu%qCINhz!2BeK3S`;9$N147YI zZy=UoqO}}bhiR3UOzI2mXg(D*w%Pmf1kFS?IxiSIT^GLFh5cuegu3IkVf-m$)D(7c zc0O_L0tlW2Y6I*PPuQiKb%-Bkscb@c;(Jw2i9=bF1hKMIUF};}r&-yEke&0H6ifL$ za-T6>AJ9Yn_oC^NJv`JrsXS&Y#QLduISjR zd3!3|~X99d|yn zK{w%Tice8=SP!u9GV>@78Cumk`PllInOhVg^-I|s6Nt~6Yg<8=#gS_}n?vkY1v$8p z$R+zA4=QCkPCSjDvRApLGAt&!{Ne|riWOrZ1zo%cd(MLu^@nG~7D>C4wO=iYE&Ezp zEZzYx0T`dZs&D@MpzRB@`Cf$Z@+>Ti{ezNfJ^IW%c_xo9nZXxB#UOo1YKcRC*H+z{05_trV6gK#vKBt0 zwSwKY_y{W>u3%k=XP#H+3Bus!)gHdlJ1CxH#qbor-wQPRgd| zk_VViuhks9Aj{Pqp{nZ$j3szV$dTX?RI5tX>0n$uUqlp?ak*(hem+$#O8JU2L?uI$ zot)9@5rI_u%yrgCG;VAWjqdw@2)?>atr5Wm0efCm8{Gqi3)b9d<-+N&N#WDZ)9D*Y zeRhAf#5L6$4-yJ?e)egFwC{8mGAWeOmEO9z)R9SUcwadacp#7zQd7`Bfm~eFn4J{n1(XksOrXOk;)JcBOj9;iax0-*H>PvLJF&jZK9ob<-LT3 zi6~jOi%hWkXkm4ivE&PNqn$O^m<&5F$Q_eAmLZuTKZYCxhul~S&rLCCBV5GHfz23+mzZ&JPdfvm|_nvalfgW@Z)!@z}B`dmq{(VQ<1Jq+|Z^2s3A9@}fsOAY2JQ}a|pL(89=vaC2pnGQM3G)!T?5*z<@)?y8FeFir&_@n@ zD4|@AGr3@&xeH1PQbgSGo_pT>xNNh=pqX?mqK zrtj&OjTj(MAbcTdqJMEg%>QX=^FIW=zd71J0-DT!6(>diFIW46t1+{({u{9N=X3Qx z-y(mLHFi!`*8fV@WF2uOQMzt5@8bBzYqk)3n21KCIehtoqJ zZ#VD!Y(YUk=RAwgH1H|hXQRX!v7|ZQ8}SW$i%%6!HsME}WfM`Q^bej69zqo(j8BoW zirU4J`+GYtm$HQy(!<9YO1?_X)4lX2)!^pb{>;fvSnjgPmU9rY8m*|QrTWRBz&*Q3 zw%+8?o!V^ZD{I^A#UE(Qm}dOA62a2Ev>_K}-fxwbDfNaYS3vUCb7j`<@~WWn6QCD9 zSPi!vr@j{~6kR{v26oBvqhN^Q0ES*Kbq0$?svZP~mARYAVk!p=_?bt7wJ$JkJ_&oM zv%8sDIz6u(vP~w7bB&}W473S;JMmX^FHlvy0#gQ2y>eFXVKZ%WS>(dZ0PqvB%~a}l z!>J%tZTs=|iealP%*%}(0qUs6&8?WO&2|=nPVen}w6|^2e8Q*U>#*QJI@nyoNYTsz zoRZ@tzNPxU20uKDvC}$Jo$6&MGno*){%_LBle}uCqO?J7Y|iO}t&!ZCcAIml3bfZy z4MjHyb9BmYJw4y;>8{CsI}{iiU!hPkS3<4|%LbWZYlF3!a~K&)UOi#QRMLtD*Y{~_ z&06Q;1XCy|!XWQKd>`PNFan&;j3a`GcqKOBo~FKRBl*S57f{YK==t;hPp(F<^ZOOR z)hfD%Ml>kaO*`!~`yK8`JMQ1eWHBtP_`P6InSpA4M*0UUNozUIiHS-O8cTgP5LaT& zA_O;Vu@rPp2^dRhc<+B;27@t_oVbBK8(gH;XIMxkxSwmycHzsGwWcJJQkRZO=g@WgiG(biQ_rwYxvl82x2zna8t#TVcB8a-`q#R?ytImT=;Q5SZ zi_3JAPHAHVg8@G2|64e-_K$F;(Xj~)u1}hkm87o{Edoy~zbI<>7wQ7a-gj>eEQsRR zYaJ*^CT3{l3v^=J6g(*S1ncYU#=w)|j31Q}6Vd_PaamQfJq$z$Gg7-yEC4|d2qFJG z0xZ<5pa~Tv&~pi>TPd@~i$(4x;FJ?l`BVIhi#Z8zmiE*H3Nv74%Hdflk;s%=6b1IL za3&NWoC&w4k@P}cer`6U^;qTJM~yW@_cf&^``)zX&v0gl6xm*ngfhUM8>!V#VKl&Q5Rd7VJ4Zdaiar`n2{fsbSxD(FRU#IMby$i?D~J>{ z2kd!|4S>}wM#Z=q|M2rhC2TbYy@biweG9T9qb79Gt@rPjc2%llJM<)W5QfQ1w z7FriZpt;u24Uk&YQC_hwkxl#OU~1xLSO(1z2&OdRp`m&!aYYd+kwi`HlZgJKn;9*z zJiofi=SBkPW=8LJPUq+LeCM$~R-&P)$bVwSo0l+5) znu9$UtBf?V{R68N|B2P`-q-+GEnzUjrY>Z2ZQRol*6mNM=H3FpYVCRuG_TAjFfvQfjKt^UfdA4M}U@TV-d}ThR2GA_eHCBEbj_g;Bs@D840IU5xJW9tUZrCm5D0FM8;P~1i+t(iiu=C>g9s2*U z^AP{G^Q`j*g;OZ|TnU|YngrmvEP(J-11Jz1fEE0JxZ2KvqIr>=c87g%oqntJ+>mak zf&x*h_eg_o7nFYc9)zTr%r}#u#v43q?7QAQBtxL`eG_X@=quvSRV|z@6*-p?N-CL1 zm|W(de^xW4&GHo#tXp~bpI^sIwnE>HJ*Lg(?C`;e@fw z+(0>veW~ZV=HJ!KIz%~&c|NFPx7;LLY-`3_p>`^4d~Mhe0o>p}tC?PqGG`KT5@v=- zGN1&7g>t|qfFs;&eY$D$2<5;oPDD@12pk!Lqhu4E{yE0`CCo*BS@_jtQAk?su^O}` zoo$)O3L=AfCAw0?_u7?JmR}mR-Uqohbb0LV^P+mb+T6G2$%P?%HngQ?O&Bs9Z$Hlj z5_}pwZI-syGuzxx#k?8UAJaixVE~K0H{=AHe}r}28%$K!oSV$tG*9f_pJMW)M(4=v zW@ZwFeAcADU;h@X<&gAPD<8YP*WmYV;#+l?TDs!EJhk)kY2Vm1C|?@e+gqDD9Zw)E z^{Of2bk&C0*RV0mJfmN^zyJNuul1V;_x4uRG4$?E9)EAQFI}B&oq+Q)gxxuyBU%!X z13RV*HkCbG7+;3`=4oSZh6#=;c8n{Y{uLHnDMN(HSshkp1=s;A(6&8_YG< zHrRi2HR|hxaWSaTMUJ9kAk_lUeeA48QtYrpb425avr`cXtrwa?6{KKu(Whv+G8#KM%+HZMK=N^uLhk?NKU#%}N55*zKZ&u5}fTWkx zG67z5VkwUgt3%XtRnJCLuw?#2_j-!rm-QCpfvZ>Q!xla`kvGU{T5nbEmUEXxzENrADRZAwz&XW4 z2V@;k_gRtVRrz3^NuN;I9N8qQ(Ao_rULE?WrQ+7X#QqB0-NQ?>R->p|Oog z&F4)gvS(DEdS@DL{kJz4DmmIlt1qF@`j2*Ynk2MrwmYSeX77evFwJy#OrYew9MS^d>f(&1`5JxdmK_3*>%`n z9xw&Je83F#4O@qhKixlCFd~oFz^P4*mlD_|p^kaF+<;tX0)E_;o3`O6v9_0qRi{Eb zid(Rvg^$OxWLRq4Me`dkR#|Xh5RY2U{_5Cz%^yPjwnErS24iW%RhF(v|iH_OC8hB|B(g{3Kn7I-q17)&yM#fyBnSLrAP&xNXZtzJ$ zR@vv4s3>i@XF5l>vs=4hqUGYhqpn-qlfx2d_t;aL)UgCrT@vu}!EU(3B_Z`r{`z@{ za%1({ahtm&k2K1e8oE8~%?14CYefK)-(-#WP9HiQblq370J~ib6$9UF`tC_>hDksZ zQoPiy?UOov$P}JNS#2P;2hA;^n_3#U8n(c~iFKp3?@X!zPO6ac)H>sI8M~v1Pyym{s|AJU#PVl68l)XK!K?Z($_rg6!gdd)bGMr(uMilRPrXTCou3*; zy+BlSJU;juuMvE|J|{~qJ`@K#byUoMC%ZVv7R0-Z1qGlKrK9Qa6(L3H9q!w(m(~v3 z87SvQ#jPZnMxn`U(l&q-jx{#GRv z3OvI-tvNnGa94{3f7g(91ojS7bjg6g_;WiWLhGl9NGA3GA;PZsvR6jxCxlaVh`u{R z-=$1V0*FzxBDDK$5Ksx8CHCdli+L2=X^QiLAJkT=0RKT~AG|*|o4nDn^OGIn7H`4X^sW1@+u>y!RD(XCgrC=rj zzbUJo-&5^OXuj25oPbPyu{(qp}sVeQHgwV6G#&LPr z8qigQ5y;x|>Dm*g>U$__6sG^XPa>T=`nb8=@+f@=}Z`l-VV699akMkflN%Z{k67sX^3zIZ7 z<<>PyT1TM-9(6mMOkF)cl+i6$4)02 z0^%g_jeUA*Ao+(5I7;ddqRhS=(}^4DntQFtP^;N-9GPmI6z%r0iVX&BZ%;K`;p%w4 zfwYUk`TX$X856~(Eb$jE>POF|!*Fd@@EYBV)mo^T#srFZX=Jpk%k3Ml@dmfvhNv1w z#XFgZQ+iz|+_-siGH&_Prp7|!>kvpzxA9f$L#rMEuNCr4K^l4}g)HkF zLb81_9q-yPw$Khj>ncj_{WqKmS|D=rY@eZsZ!jvm*mn9_BQ2>cqig70mr6%NG;D^7 z?N49!QXk}q6PshO+S1rv`_cH~$>r^Knwv$}XY+J4L%cmmP^@LeU{nT2np@?_*bkxL zU&~zZZcsh^l4>7M1r|xaQR8>{Tg$TBMHfXAmR7>5&E_wSgPStinvX@s>5`-z9-6aB zV^eJ%lcdKd1|Z4*;MFJklJpbNEZD`BX(-sHB{S7;chB>ylgle4m)96i7rA~Rw->U4 zw2bJALCOl*4`Rpt`0sa?K2=C^j{CJRGs6iCd`=;}Q);OgG5$6^h3-z9Q9<&>O6RN0 zCw$V~<6hla_kO*Z+LNM{=(r}XA!p67ykC zmXXp{Y|p!Id#TucPw2N4#MG;9eW)rqfb1$$bu5CyzPXDFS=U;%ZHWt@Q==3Aq#yc( z`G%4yu$YgU-XJF7>H-4sjbC60cv+Q-<>hm8Ksy&lHK%Q@$4V!rv>{hN6;?KtMn_}R zy>pzJnijrjO8=3Gp_0gz>AeorqS`gE!=|e?#^@=B zYpFgW`(~q3HEKGj0vu&3h#gcWbK2tfj z-qN)2W;&We-8d2Sw|ZGU&4gD^?&8_`vBY0@7Q*| z$-;a4>d(he?Z>Z^s_>BlU5sKyoVuqEKHU%$@2o<$8jmt6PBR}! zsDo*p-q{5`s{}*7we$|@+D+H>*%{#nfM!UH*~t8>KmY&z#MA#e&gdZm;0$9ht4yJ# z_R$+Eyn0RC(q8ksSQM>C!pM$4vh8 zsi@$PD4$rcd%o*X%}~q)BnO`X$w5WjP(X3dh;^-S@dZsS-@Y!Jl1Xo3O0!<#b^Jn3 zCe_I0;Awdr=88zCh+P0;l6+-6IL>&scT`vpd8&Kj{Nfz*Eh~pQNJBp1f_-6LZEI%o zS(w*S#G^ug_ZMP41@2`5ZzZ2}kI2lFuDQuBT-NWN7lkwim~uBk83548su4(l7Z!$R zT8xxSv-l010K1@Z!nB+}gAK{7v5u4pLn353HV2*JsW(S{6RTjJG}20C&Q2eSFJ7bc zwlxl;IcX!pV>X5z<@UqdzfI9)3g^fnzuJu>M$z)>iRvrolaz;tPl354d~Bh}b-r^C zc2#b;Leh~%@{h%s{_{E>LVzj63?gTZZHhNNW7Mt6zNn?F6%jTENDf8jHSR@kP5Z2jSk!VlC^cI+d)+$hQ#)3dOJjlBo z>sfkTn_Ea^UUe&2`7Np81^0C+6}4Dkzu#Y@QM!ElV+d?+SmuWtg#w$IQ$VmQBJM1j zXnOCTqEPb+BpkI(%=#k}+3g=oU|3fR08z-=eg38gAPTK958(hrp-dF>!CD*$l;G+V z52CP|TXy>VCp1{FA9ho0gP<>Bfm6dZ%5U3d%+{vn_}mD_%@n(s4N8lF3S2L0d}FbG zh*Q|ya0ub^iqYV)syJ$R47;;bne)g#_2e~NfAWk9+B5xZE2{{B5=I352FYLvj1-kn z%Gq~FuAS^kuyett5`#kbqCb@oqCX|k_Ic~zvMwxbK=DI4=*#d+&6S|i; zKJK9t=#^^To%v15!fH*V1E2|w8`3GD5i~0K3B4y1-x;PR(4hW0>Ep3Z?vYwOawW~E z1G6SYMmZo#nmHg{js_a=P6qS_s{p;hreQdB_eNBY9VtplN0Oxozxayf{hEYr3UFy1 zon)2b5tQ6C7N`yds}VvL67p6RLmnxYiVZuPnl#EJcDC3g3R;Z`XESQmu&b)nNz*oJ za;3+{*rQw^N%g9N)-$)dA|*|FNAv`&3K3T$;Y4Syio74{C(p2@EQ-<#t`N)rLq*;a9Cjwu&xD`G{$es3T;3Nb6zGq z>>c=M@cG##xkG;djUj+1bihSeAZv;lYO2#4zAyL`u1qr_oXfEoG@_IO@PrOmD8@h- zD^+S^k_njao*O8ZQD)5LM)CNw<)A4npsY8@?xldFZ7M2J6gAt6S0$wP1_uqNB{OhT zGm48ck$L)*Nh0`hVEIbv;qhg~<9PgFo}fR_nf*eV$F9TK+Mw}V)Z`IW-ub1qD3Q5Hhg!`{qzZWgD|y&_ z)Ah&bK~tns1DUB0+#J%>GCGGB=LGVx>8_`0)Zx5$2EmnmS2Xn3gH4NIZ{1p>gx^9D zd^=($?ryEgaQbF2cVkrc6e@)niw}KEf2cxcFSbM(331h!a=$s2WR2tKn)@d2!lGvM zAhajluKpSWdnt5iQCdw$741|C-Vwp30JuU+_1a%6q%lXA?_1BhJ%8tHKlKOhA&An1 z=s5K$IrTLJnigK2fc7o@-5l)NUgiZaPS-{n3d|1)%vYr4I??E5rbNRVfkMrcXuv2I zS6h@;V0EVAn&j~)-gXkrro+e^pmv!j!qi!=PB@alA#U2O1yBulfGo7bAdN_RB%}#3 zGNA#nd|;4O#e4>#-H_p&_h)nPj%(*`=EbRRMzn8+eWoDb0h)3{noD0mitFK55URxC z88oH5JePwU*Go_ks%>AGXkVBrDgtPpCrfcyw%7%hOo;Q&6M^n768zVxP>4c+E<_m7 zuW6(rBcP(3Sh4L5fju@KNC(e-NW|uu-f!9($?| zVNP&?k@#ddTVJlgf7R$aEUcvSvxtqsK;8QLuCp#c7s~ykIk*RC4n~1a8Kmf}#8F?E z<5;1}h(XTu)lC)c=waVD=a2v!Z>R<%pUVa^#VXUGkCJJGcD!VVQsKW&&z z_Xi1j&EF3$@0Tvkn}!Bg^Ls;Ad!&X72^GZm?gWn zW3*n%f&oec8z3?7?#8PnhgTQ_Eat!xacA6qGi%2Azp!ctDo>}eTu_#N0(L8Nw65Y( zVDG@cNZl`+wj-sTN)=hVl`&*`kK*~#(L9WP1kjsYg=^lPiIXz;dDAI2>m!D>p4?F> zcQn1Zy}EnXZ`e28twat+sPqFM%O4eHZ;-q6dqO~(M#gI zyE$=XPNFma5bvJO;60BgU`K!gI8*MnW@RDJ#75ODLj z2LA9X_66Pm^7qmk(2(7>+w3Fe5^TfNt%ptHuzFCsp}pfZ2DosLViHAufG|0spnpZ* z{8x`$zkyBYF9P%?uwZttj)FuW#E-XCTXitymOpI0Oz`r+ot6kO9G=w3eYgi>9rG7M zdhqbLR^jCk+!S7u`~%Zb4s1gktiVJxB{8K??IC#c(q=@w`ph?OGKmon|bI-I$=i5cNu z);e7}{rHTxn}l3}?gY6XZrF7#>&NPkN(XPDG%%t@X^TR%m<;V*$0i6pwG5E3Hsq0t z`-Mv)8D$R|@PV{GjG8SQ$Mh883^b6#lv(QSfByV&Z8pgnpe0})F@Mh6Ww{sAD|6Sr z1p#8=5ff++Vm*KpdJ$}ZlMk@$av{9en(fR(w;od!9ZI7i3Bw?Ss%P(<>gWBwSDT0 z`K^z2R)p>u9qUE!@gUZQ44fe>THyfr@$#<|lzsZtqa}&W@bcOvY~V7Cn`iuKs;1^A z&^lq7CS*KajI*ZzIz66VTJfZf8u94I0VEH-En%$eW2D06_O9Nn;{5w@ZTF-BEUjwv z-7EE=!w~Y{NiNvb)^||OV415{UA8>CUx|z(2I_h=h=Vq~8R$e_h72I+aurs56+%kY z6S|ZPUxBJ*pyA^f?#)=Qs)vnz2%wCa()-^oMb1dbEj<4@w){wBfeUIQ#kISl^TFIA z1oe427I-}+;tBK?!8}iX;sk^@-g;q_+a;7?LWxEf3{P=Sc33+{IwJkW1*rWDu34iy zWJ+rPcZjVYN~pvu6t`I(!xYe>iOG3#-1%K}re&;IE;J~Q{WfjC@Q0_NXVFSoofCdpg0d>u))Q<#o?@XE9 zYjp*+{lfrqe|Umfx`Xd0987bD&v29D(P;>iAq5=!xW5ln1S#d4$UMr&_Z6#g%GhfG zOsNat;Pa=uaaY_LbnlaJJ1Kb!a-&jLq#i0%|7Jw1IAlzVR9To^_5plPZE1km zwyEkfIJpJ5m@3IqSVt=cT=|SPSd3FJtu4GINunC_k~*b=>jt!-aR$+c$ea_`ibAF)f{Q9C2u_O93EugNwty26MG zPyW!paURxY#(;A9oLrL13V9tkam2Io#S#*4sAyOhkVmKhU3R~0EDOa^TGT%%p8Nur z0O~(Rt>r9veg$?a+k!}kea&rvGob^#I0q#=2P$}X%aX?IMza%zZ#Wi6QM0`x7-vtl zUo&gpA*B~{c+_2zd%V!LYug#ri3h|=k`7YFoW{hKxF)xDgEJYy7*9rRyGJxuT?0Hufq}^B{36UB|4G(B12}m!DlzT?jEt#VkP-gEea|iABB`fraqpW~7 zL`EPDHM6AJQN{SH(REf(;Dt+ZXdxaGLZOr-0ET}Djz?f9#9Nu!A%%zhLV0e zBaB>@pHOWuita9}MZ*R_H^Vkzw*6P3tFWCqeqePEN!Fj6`?%U|;C*zWPN^Hq8t7kS zE6Un@U%od3MK^Z=S1En=HsLcfdm%j|*a*IRFF!ojj-4iMI-ayyumA90(CqXfaFBRa z3pd(A2S2&#zpa($rU7ehdN=VUV0#;msC3-czVTPJqs2=eiQyW$6Gh>AHb(_Z-LpdE z->$;;Imk~;%tjEM@Nnj?(M@G=Vb>A^W|~TEsj*cCVf(dNBR&GrUIdjprtc|jLMiQ` zwD^O07k?yb_Mq$6Eo9`ahQ*J$>i9SuxNUF6$d^P^gvf~0Q@~`TNKH-qRUZW;yAw*K z<{}Cr`n~LZ$lpiN%%LF>^~;f;pS5~P6^11G?H>(=&& z^ob!_MBAUz7b$1a7NHjukk~^>hYferO6Wz-w=*UGbWfW*WgEsoT==EUY?Dz*{OlAF^d>+YJNQYBc{WzK5d%5zV-VF}MVrSS}G*qSwf*5zM=AcXZLkm0Q` z_+?@ii70TvwSH`w**E`e7+Plu*zE*Jd5{-r;}n>WTe*VL)u<6rL#hcoM0s)q_U^-x zwG*_%`q@}hzY(L=|2V9|31uK8s^?E%%*9z~A$o+HCodxJ7Pr`L$*lcKSX#-VPG51e zLR{v^Tqe+DuDAdx_3CGJawnjBDwI%QnqQutTkg)U6jNyfO$f!4eg>_(>Du+M|332x z{RNzN**0M5M&9uL_wHK-emGaC3vnOZ1}gU!8`k zm?Cx0k1`q{P~Oh(yeYdneMA7|9nQd5Z)0BD?mMX2;pQ(+H~TUv!%mqp~x0?LVVgL=qxinJbqL}(u2(hW&KY5Y0zX;y?T=yD7#3+AzF^1OdT4bx#x@#y37SiyN#)3o?97o(99OP#$!W2L3j z2zGU3^8)4vqNtU3w#*sYgWvr6eS%8L28$jc`04{y^U3$9rm1VB+^P_V(k@i-A#{1*ePthr9l1xaWY8EEpn_N|lg>Z~5qp((<^QMP+_-OGe(Y#*XQI&BT>y8Pl# zO|s8rwXFX%3?hHdCKcWl>Qf=(2TO7xbUBSPRtOn&@$>y|4nv8))K-rLVFr+jR(Hf+ zD3n|u?d)Vf&5zvgcZcbwd7%k@L#a)8-tq0=lDYU(=O^c@T@Yiuvlp^Zy_DZS6j&L) zuykfHLy+?#N9;<~Y;dAmF@#KBml2Z+xI!jH`z2sryyFDoAyqlK-^xK=$6zCXXj^n~)Qm-6J~5oae75RyCx>QJb6U}-hf3BYbJEPyQC zF0%0?N-(P3+jlDR~5)n6tz zHW{r42iAx+0AwXBPY;sk3}Z62s&`_NN3>ML%)zUu(FOkQJDgQA%V2h=uIu=Nz)KU< z#!EDf2B+#q%L^|M+Gl14SoJq?cuAWk<8vF53gDxpc^iS`WUg|7y=D|&j=ExW!(#u> z7B1#eq0|sX7_+|fSw7iL|uV&@W8s%x@-<$iAzx$|8s5YztT|#rvGv5725~fO9uh0G6o?AP@+|^ zQ~p*nS9 zC&-~z7_e`;Z#qm*UcAIZAaj5u@xBNHEx6xSEl*9kRl@KmAqdL!zTrzjcj#|aU4RfK zIh%iVW&h-d{J$va-%Bug+J8{ee|IbX-!l68e;p-dVECW9Z1n#mr~L0JDKj%0{eK>P zeTUiF5dTw{O_y`!3^$fgrNR`D=!auH&YQVLS;4OTSNGjyY)AcjF>`nankml3w`iBZ z`+4SoFVr7dcbCCF2 zPiQCJfU!R5T-L-5x@4MBIRXizd~i2aILB?knSdlk*JkTlN!rheG_`>B1Nhj#lJrBA z$IQftR(kNjhPf^EUC;;IRGdGecuZM}nP~~W(V=@~I&JpCB8Be8ecmu2%dsR09I`R? z;Z8X%l}?~!v!Pp|IAYRVOC(SCr5d?vMpr<#5R85qCQ_2oEo6+pNr~hB(bTax0As=$ zLK+k;w_)f{ssvm0A0!V^GBqQ6w4%yjP%{y<$;8hZ(*7|uDbbF~%sExE&7(c;u_Wf{ zEfJkFOT6r9?rXXG>ZiC%yl2t7=ycxXz*+cVf@xh?MMv@M3Nd4K?#Birr)~Nw1xpZy zBB4k&h;OAS})FKRHPCBL&><{Vc z{U8kXlWw)BN0|5nsX0d<_*Q9?pLkCoW$nI%l!r$eP>;F6VKp&3CElT*&B8qM|WQxgG%Dv%5DLF`#pI zp%EpwzF#i+22s?WFSp;U$#`wt$F3{Asev0V8_b-wRH4qSq#QKI@vg!nHUDcom&r4o zqpbMHt}Fn3QC@g}kSbQ%0SEt1B?#Ja@)8kDmXluB1QD!N8GQLrUWD_^Y~oNG%6!87 z2lM||!)ycpPlwr7{u+K28mJFL{pPtv%}>k#&SOaq4Er8VlL;NJk=_B#RK>4~IK*I> zbN_@?CR878o$Nap6Qv^%uss_t3jq#QFfdE9ZJ+;@#1f9p%Jdoez5Gg@=VG=Oo{z&B zs{ecWrT*9QOI%&#=D<-F%MEkSS%v9vm%WpM5naG<{3V+<>Hzi#h3^q;XOLWlkQj%uUB-he9 zeT6Ri$xfdLZFEALsCO7N@0>KXX%N*eJ6wHSEyC5NVEb;}XV*cHBtXHIN6V(C*;lvu zwCHUO3J%ZbteD zI->R)4+Y0EG(m_UJ*!^?)-#Xf&FNj!jwn!>E4jFFGI^=}>?;16?g(cdmCwHA7|^ke zHN+ya9WZ%)icQ{N=g362J;&C(kvqrM@%ttAZB%65D+Grunc##R!ArI6@9oUAonH++ z2o5ZO7iFs>&RiwSWMkRG#~(~!kGdybitcvAK!3cdLkd~URS9N}-5wa?KzpkR6r*`b z3@0=J)xjKBEk-fKyAD!j{xhncl{s(kf;clEvoD&p~&8I~6;Z*c_>;E^WqV=~^@rlt~wOHqm#@XUM)Woz2tdGVFH;s2;l-c*D zb9o%D{>3F?%;iev+o|Z-($%i|?Npq~c$xclDr!~FEUmq}Hf&j2FaF2f{eKU$eX#L- zyxu*w%vdmgEf_aw?Ua3o*|@2Bw6NZ;v;016e7+yy_3c!oBrYyq2oQW+f3G=|5au?$M{E?4F{J! zJ}SJZ$p%u>{4~&%dw#bjYhdnAW+-CvYf$p~fOay@Ls>$rh;)7#@&@}j#dRje1)i!) z?F%Y<>m0a{e>i=+i&>ITbwllFnibNuii5me}Pj^SI34l$)YPeRyYpL|OLWlGV3 z@LMFXG>L2tS5@Lx5zMYxqF9A8m+p}Eedme<)}5?bOejpYzkhAMtf%hK-qLaD0DmK^ zlLq@ZNVxSQXIY$@>nhG?er|3bs`}g<|ko$2i=PwM|{sl0!1V- zAg)j|`LS{2cmnBl_Il&4b$r#Ie*-xne8R7IUyT1cj;vRTw11iBkPuMv1vL6qRxDUf z6qhdLO&=g9keXZ_cX!NZBho}GEXZs&?BFE%YXCo3CMUq2u!j=h%|=!uaa9)-PA10z zPRn592xd9Eut{rz@R`gSUGK24G)cw=QCNPJ0qV1RLNkSq2*W1RDtFgMYjjS;Kj_sN^BMn z+{OgTJb}93Qzl~k3&#A-?B@Y9o=~56u@O0@iK>g;$u|k4Wv*6HaO=TOIlgQ2cr>Da z$a3b9eB%?nIR?sLT4U+`Ju7z3EfDnKSEmYtTxx|lHyudCkL%oF0l~d}Zp}SBbv!O$ zRd@s`iHi2#OYmDqB9{pBt{n}ggJvJz8uoxwiaylh{bgBpgs6Lnz$vm?CwhxkCyjK7 z!5yOe^{zqTmZKW&B!a&%9Q|slLGfOHV(#!iv4yGi1J2(-YpJa4n7;?=l4_wRg8+G! zdJVp^p{^MPtfha;wtq5MyvFouYV@a}B-u-fb5Y4FLUBn%qeQwUXSiKPAOx|P?PDp; zE6dr@n)^8`<44`da|xq*W1O8`xq`fW(3aA6NK$nRVnmLa!>@( z_6EP!WX8NQXS}KdLww2$V)aeK%IxoMzwd$xR)qn2)sWd%X@$1B9s#Cfn=`CJw=>vp zWL!Ml#G+V+{T+bPfRq+4cuTTH@^-;gG>`=(i(XC@=M^(p&a!OV~ zSjr`w#T1(-w6ToK9I?%=5A?Pvy}bz|PJUPI1XXY{A|sN7gm3~wK68>IT|ioV2(U(v zCB^}UQHZ|8S@79h0&UKzmMs)#X`nV{4y^ZD)#^hPmsWl@ZliL7R=s)Z0cbxH#l?|> zGI1ozQ!Jf9z^xR-!3N}6#4j7H!^~VlM={1e?5mvFh4InNZ>dHUM?n-%Pd6M}^%8?^ zAy=}D9weKx=1~&|a z_X#qph_v#re4UAz9Fv#$EeA{JM1lCkG-k(8w=k&RUhVb;-3?^Qysr2EU3o| z?aO8pq$MWXvxF1-h-k*o8?gDWSw=|+#Np`g1czI#t|bzQNOgkl`!>)zMZ|%@6PPqk z*|xg}q?dDO$MNSfSnh3I?R>x*x7xHSWE7(U>E;>Qm+I)rJ3}NqszI8H&FGns5@kpm*L1&NJ(ev3K zQ1r3o*HI?g9#a&;G0d*!1hHvOeT1aC`tg`?+bA*n9e|YEG5z7>0(t>`+I@Zen2%FQ zj7ta|61;J+Fz{_pWx9#3$;#D}^oF;r0J(^vH z2+S4S5^d9r^jk@YpX7agOU*8j>Y}ZP^NY8C$t=Cq1!1;;Mg4$6qn_l>D0gKDDZ=y)~PqMS&*Xt9WL947L056V~ z&fCQa8%U}YG}Zv=M3v+MqyEUH{qcNbe!UA~WGr)1obWki<@HHs8j6TQ!09(rhVnoD zZ&aE5CGflMbkH;lBHpVZ+B)<+9PK>vs zOD|l9)de|1l&gK&b?v2 z$|^ihmU0qfQIie%9;^4xMh^EAebF+JSU`0 zn$_g^N@)Pt@a*OM3>i@Bb%1FEyaQAAeuKOGcuQDcufXPop>8t^w2sHQz$7v;_I8ct zPCQyPQ-)9;L8eZN>rG#fEx~yE6>oUbh@#X#hwj+PDnw$WV^X#5tEt<|Vif~nL3R(dJ-uQDoGKwTpPLYar3F|UpKWF1DBSgi+ISH|H zYQ?551l-b&c?8^^?KkFx7hn{sw%#3mb^if>^Qu6ugm&sytF%DlF!c;=(1oOz4L123 zq1dR39!1Fi#l`UF7**U9_~zS_4xmsp1YsYftxHHGTN+4= z!^Y)Az;b*kw#iDYXt>teCK?~g;_49dXhb$ioDEss_qP~&Gg96bpGqkr4_X8v+qf~p zP^j$O8Sude6u6gbOejzasiQmf;n?)z*7*dcqf#?-a^XQbGg6mWdcGj7E@)B4@RP%Q z{O(qnO(xS{-@)=WQB*$SqkK=}3H*3_Iol+8LLnkFwT?dJ_2ELL&}N1|`VmzyV7J!< z_?!hfU^CHvOJP~=#?UUm3odh1f+uM19b0R{`u*nLzUP)5}p5swJczQsKJG25n)&S%87GiTKjlQ z9+IbH4hGsSwJUEH&`pa+79i5N8djimI6kOCpG`GqySG1bT-)&sy5bzpAffuh_V(8M zej_92ldKnDpI)}lycdF9Q3o_<)Y}f5Znde@B==G{hjemqFHdBTjl?2@`Lq zs60dtT03B|V`Os9x<;UW!|h4Zkc!dqpT`b?aH)a1`jFc5aex2N%G)@8kD>7CXcZjooxW+Up1sjuiZ}+A|49+|_uuNj|IhgMO%*E> zBi;W-3q}w>r*-OnjKRBXKBe@2JL05I0mQOGg`gom@f8xQdgC?Igfo41+>D*CM9bsc zcw?J+f8H5e+qgLYzDQd;8XI^#ezouVjxYWEjxS9-yiFy2KVi7!DQBHnSa_p7?Jwar zDu}1@BIm9^kkE-27kk=f6ZnoV^=y4U+7%@GtZ8an;Vs$^+0@YPY;9^;+gx7#9ch?e zJkM#^Rf~le#*|WI-$K_}958-#aS}mxtO@wZ0#fv+W}xDZ0Mz(~ws0KFB1(N{I@#p6 zdKHhJ_YbfB1@|KEDzQuk>R=WJjwhPKQjUXqXg0qgbIt;xZx{zd7R6d@0~c3}_Bol}#RF$LhzE3B}ogq35Hi|IHDX(6FBBqQ+j}q}}>7 z@>a;S?B4UktINg6)BR~wgyOkRM40C`ZVwk0R1J-v2P1?nf?0Ns%B|WY$n1md`$?S9 zNTYTg;%FiqdoW-E^@U62aIiAOndv@Llmn(i^{Qts{e|)_qN&sYZn0Yav!5UMhU%`^ z;g)Dm|2B!VzB+tkKr+M#Lp_A!gr%?MUyeBVxg>%CwLNO;KaH}m0>~v~L7{d5efpWk zbQQ26q6h&)0|<3ECnzpji2E4Xy-K(SnG#B9h;?P2BvKzF`4_Ud%(Y9o+nh zi0j#lSTgnGtotnrsXl-%59Sw4UVQC#aaeF9cYo}snSeTdAufzrkFjXSe<YQEYn&(7q#6puIGw5g%tn(eZAy&K{SS(`Jzs)=Srh)%LGSBMj7En}IGkY97MeL) ztm0D8boS@OUlEDkGT9BD7#!q$JZvbul7C9~brF+Ek6WW>S;@kJ+MFIh1ilZ*>`n*@ zaGnOJ+s%&QVx-GvN)Y^lyyU6vr(+8WT=^@#Bo=B~1EJP&$oqGCsS;IEWSiQO*<2cG zGVI(j3CtPLE>xH!8lQ1n4|11oygL&hE^^VCpC920YZpBnIgo4sppjw{2Fx3C#gPyX z5Dthz@udfUpu+rVpn1G|V@ZFe{Ty!&$O%zSyHj3 zU{%m+CvY$`2cDs>kx?Q&Ey@vJpo-?sgyJeo28$~$6y$`$6CAKUIswtrkxxK2b0d`& zL}#4PfSi?lbo|`GO!w6Tc8S4JY<$9BF2mqxw`sY$^MB%qD`XfIf6_u8#j+e*i64$a zv?_Hvkg!OyvG7=VS?8Q!78(X<(k$&AlxM_ZpG1$^|7j1Wc+cgFE#8Ri5GQlf^=RjTe+`dW2A0Y|ng&8!ZO1aX% zt{vzO&qNU=*#VZ%j``x=2XZCeg%WP~pA~W0rk%2{&5Q8p;wtp?%g{zRG>LZmLGyox z(Jt#qwa62!S08Nt0tNlneK#ExO8P(9z9&(3>V0;bPmO^P%&hIH*)(iLs5nohyNZks zgL%8D->(BG>1`^u$58))P`W;|&~H$XKh7~`#sUjE!g=)r^)FCBLJ<573Zni31!msW zZHW9-+2#uBCKKibAsxhAlBojigkpFsT)s3jj&6jK@PH*oXA8at zV7>IHrnkJ5LP=)cNKGN3p-K+)_#w(_8vOaRj9P=A+~#*n|uO9te) z%On3@UW)pwymVa_RkTO-Z{?-IQUt8;@{$GLv7fA&LPE6V;=h%bc%~y?gI2G;ce%J_ zx0eg_#-k6E0!G>EKjrs(#ALLx+_xLO#`y{x*`>|eU6qP{qQ-a?Wi<=v?21!|^cwO{ z1^8;CtGC6)Ju<*IM#Rs>HQAmt=&{if5x^#r$f~o3GZDV|%VJ%V|1^R^K<=-}Lqs!@ z->3u|o%7q(;8?Z%q)uRZ`V9M~1jDJXX3p*LO#He_yy{iCkEia!Y+;OOLR^n>ml+kx^ z@0=ZLpSM=I37e~{mW=N(_sz!HgbS-n%0|W;=fnHUALwsPU^J+T!>wGk3>A4`aJd@e z4$6SHb`a0}UY|?J-P3l2{tynK*r_pV(4n@V zOt2xw^%jU?f#=qh+pQ}t4}4LlRx9a*zwk(^fRQX$`kyONGMOS2J%u;k9@TtB9vesm)4RR0u zD%uKyCWcKAtrbA)Z^?xqVjh^gwhRY@0%r-^1kYM`S0Vw3S(P;$Q*Slz2d(e$(ny9@ zrx%8IyQIovaY^=5zuRsMHnV&>JF)p`8e=!;Q!yC>)_6;$w}vs4Wkl?(31gs_Mf-HW zdUbK>e*S_|p1^;B6$@~DKzgGaf7R}#(Qny^0qJn^2skb92D_c_J;sHS+go+W&3P`2 zD`2pHar347VuNzHs`@ZTI7Rvr@wdI2pq~@Pc%^-us1&ir4mR}G5EWNCHi?? zBYH#)hS6V0v77-!j7_>)K8NmUigJ6Ehf|-PB#TbZ{egTeTH4AFM|r96`IxAMfNJ11 z(BU;WG|m#nPzWqA!~XqPkQLr^N5w>oiwJSjDe`VOD~I7>kLcQIIA$>P&dvse^WdV@ zx(lx-_Mp0;`oImws{>AR0H8eUqNgj=cW4XTn^aFAb>uc95POG^XrLu%6{S;dGQfqF z!pB)B#r(`(vb3j{B~fMCxKEU19;U9rYc*(*rM{LdN5vVLEl}01XWlb>?K{YN2(|~8 zWO|>LA)8Oz>YlUr!l>?(4mkydmEehv)8`nMXTka(T{k*^mHCKC}*LWSE+B zHwT#kJue6eo)L@HNwleFm+d+m{*RX&R_R(czUi=#Uzt^K(!x(;NW_Oa@#UCll3W?g-pTmgHEiDq*?bkk*vD>qmoxKy3_oNeK`Gupq-@d1bR^4*;Yb7&oE9EW2J!bN{IS?hnE^ohvZtYsXJR7Mp09ZB)T9% zG&S_b{VRYLUZhm_`$+KUqI=bFB}P??DB*b(6M+f?iUd}kVz=;QjFlWwJ3|xz?&ag6 z3C40LG6Z=YZjt4lVNRiRQyx=dfTAr&wiI4D+a7z%^bMug@_IOxPwQ-fN#+--$!mz1 zu#3Pw#5>c5#2jnBjKI%5E*rqpc)m19{Ep$ef)wX4AB|og z5T`7kt>l}Xv{KoYDIb^XcN_1T7+AQ&>jZ}Jq1WO1!0_Uo-EPcZ>{_HEzhT2FvO3#g zYNA=Q3p6mMnAYkTy)79wN?!IJ)s&8%k#5C>7k?6CCSJZ1N36w)GH4|mg2CMeN1C3? zl9m}+upN6e<$YtwVg(*A4>^tTAO3p=tw7F!b1{wr`*RYGb|$0XN1<61Oz*Iyzu`*i94{0|dyeti2%3Fy! ziR?|9y2FjY-!Q!si&WtSt9YP;Bmj--?P_?=x)J=I4l{lRC6YDni$1#s=svhlI($!3 zOvPa>c4tUap5>cYjqZCo;P_2Ds=+%UJMelCK=us!bcKYzs!+D~xsU@G(z>gXhRq5Z zUy!hlAK4Yoa<~kb+G`h3d?@ey2)c&3VET(w&s5G?JVa}6%BbWJa!T3x5#_hG6iN3h z68tg}5SYoE6_uxnl-Ml~ydQop{;GF}?qs=%AL{Xqrv}vOOJ=;-gv2%8k5rRZENC!b z?=Beh2AGkLiY}e4K&~|6_EeH~*s$y;eHnB4l1RgqwleN@cgI34@8XIkN${23SHtoa zUw5@~6JvHo)V{nVby52QsCX`81H!S6dSY;m^^1}`q>C=~S4;ni2=x#kOMbv&Yu$Ak zJ7grR{8JEXkB*iCNC{RouG^*)Lo=COL#8w=5&C6n zm7c9*r=T_3Qz~B|&Vw))h#{W@Ft>HjG&PpnnK#4N{A}W$mw}`mhsV|;1MJ>0Rfd6< ztTb9K52##j#@vqu@pYLo&Gk9~HD%XlPYfweOKeC6g~U)S zO-SS_4_1{ceR#2{ESlwQpB)0>h^j5`~oas(b9%|cW|M)2*W!L zPONPJt6#|On#c)WvRvfvwSwdgiI#em;MpZiNt>zv4`(^d4ics}Af{geXPWA2 zq!C$Go86J&+9n9pLAmjw#9cLOQzvy~MZy7_tP{sSY)1xZ2nY5rb5WKF7$d9XLuAZo zvS-;KGWr+L9Gii~A^9gbG^}=QpOjjsm=*0e6Tdf(sqCv^oP&mPM$X*f2W9?fxO8}TlPPwbaDWx2Xza}0`tRU zgZsZ+3i69^P$^bV^4Ao;Hcn!BoODKijy3?Tiw!T+=$=8P7mlj0VjlWm=NZs^>u0mG&db6!tnrl!$h&|=QrAsdC zwCO7bLo5=_krNMmw%L{QtLAf;wlnv>_CyN_dyXBl@Ai?U@ zLBNMzS|;b|l!d&O?DR<&+Ls!AbbdRqUtcz&#NkXhL+q}R(WLO)?UMe<{y6dX=0u#r zG_g;6do{h;#GJSnx+^U}cZ(L(b9Z5z0RwVp%7b(HN>m%cKpc|!ntL8MUs3c=R3Z?b z;VCSxMyL&Wk>m8`KaK4ic4Vd*JL3fK&b)#Z8zRcwJ~uJow8!tL_h!HpX8_^Nm2wJj zs<9q~vaUd^xizFGXOca!txvnZv~0nxk4bcKO>FEMHEQ9r_4HV>Mk_y$ z_1bbFqX!1K!;3B~E2HGN_sV6pbAo7V%_R;W`|w;^zn2}CDqq=y>aS0+dW9{cxZ!l= zZTW90t#lz5TXm0GYG)au7@?9gW|tY#MP7a>}Umc{3 zFOJ<98a+bnW8QL5SC75}6jbZA?BO$-5kl3_>YaeSAglS6BMR%iX6KNWA1MJ-zOQUN zffQ_bQb$uPNpbwuQpuqbdhpQanxz}U5@~_zD2;Z&o)o3f*zBOk*DR@MjKwj9dk&13pukx}=nB-TK0~lAhGt=rdS!fwWVb;S&8pVYU<%Mo=`mNcTGJpO5ah zN6qV2jRVQ&%ui> z9k3L1Ku97vPqF}IxW2Stc^%IQANGHuHiGl7yLP zqNV3`kfG$q$GZSrB+|hMBY>~esE&910(~NOPllE_Tty`(tJiEs0M3R?8AwLr4q1~+ zqu_RuY8J;idaj&Qt_^o5RES-^ql!RnM(v$@Zs2M7oaN4bX@7>rrOMuVLa2z;k<}lz zCol+-uDgJt;o9W;@XC#wI>S`l%y`3Gg^gfzTs=FJ+)H(JYmIWy)eBzEU|V^4kOY65 zbL)EEL^pY6yJKjD`t@#ZTszY`UP=?d-`+{Tn%1r<+GH!~Oe5nYg&}coRLbI{7wLkV zkih^#y0~^=(}13xc?1#!ct*=R>?W-fx{WBSR%Gvf#45z?H)-zrO3Tgcb!HnGnUwLQ z0FNs)yuKX;80-`|32fQE1@O%2mkhMasFo`wuHP zhq*5?T!_(3^le-!4Afq+O%-X8y{?p_E)*7%f~0@!2JFQakVhwE1Y?4)mpc6gcY4%J zm=@-boaSizmBQV_2?o^BkJcdg?IFEfkZic9zA}CipG;EI=_@H@{AcOk@X#gA9;(!= zNu_|Q2c$J%T6th{`vsX52|y{6*5a_YA&a%gg6b;UJHz7=M;}PazqKi+LR?!j2~Mu_ za}Jy?W5`bIv19y?l{Oicys`L#cu?PuWz@-V4SS~4i?Mq~jIWx$K5#d78!blSF_veU z;{m|yoWv5L`WXI*LbPY0@T(964q6IBXO%ASPo%kt!#7Don`5hA_nv|7wiT=(%_YOO z@eDp){X~r7jCmpsc>#GW7TdrKh&e{YO+1H9%wUim$1aUiv{WYj2-ygh9Jfl?3yeNi zcs(%;;zk-__ex!QovnD-<3KY8wUhKqHMDI9iYHl8q!0!l$~{99z3bDcXCKMQS8&5% zPd+AbOB&hb8=W6i8>Le!)|{@q%oa(ScBULu^e?r_me-Ir%P%vzzdlUSkx`DLTyHLq z*B}=%Di;1SCHVc;$HbZ~Ol}80`1^-^s;siq2JZ`z$WM3l?%m}-ue%ZUrTr01Jx?FB zrc8BN=bvMeLzF)alC-Zs@2;ySD(#s?^Eg2)C^D`e8Iok_0ZUA&;!S`|OT50D;uwA$&sdNO1UZ9koZSUvLm=HHoSsCohRs#VDT z)usKDp7kH#{jXpC(@c-yZ^7sP;_fYDDqRNGj!rcpZcXx-v-6`CmaCdii zcXxLyD4=JpwfEV3pI&FB)48`hxe0$}@`fPu&-}(a#`AwRc>g=p&dkX2H~G>({yP8j zyW^kvQg#-Wf4vRF!_HOzeT~iTWy2a1;$9q(FDPRqw#NIy5>vS~P8J*<4Qt zBIfRxS${6|bEEIKtF#iAS}mC*FT8o&$^lS&YvUam&Xuy5FT760-3)Vt?-z6xocx7T z7bd>8 zr59WZY;D>-@moRW_YMx^n68C=k6Y9?yj)6X+B7mv)`e*$zUj%II=}O!#oE37PYGl= z=fCr%;yFR(*-fMUfmmDzSr3^^+D0m8Trl8cu9;@u5IFvTJ+>MXJ)^V{ZfW$=irL&I zv3zE{el-^%JF04+Oj_9?tzLVX;r+BL+!!&nWlTBN8 z7j2$tKpaa+YXAqzg}r*E7R6eDv^d*uk6pymuf=x4Z@5a^M?9T2h$GD`d;BeZX;V>`tT|L{fd`G(s?0B@Q^UwP!#O z!=zr}92_z|1Z)TrBb-yOc0!PvtlV~ZG|_oowBIDE%ksK}*Hs-)kJE24&nL#Y&;cF5 z+J7$IB+#U$=05CGO7{cBza9T7qNIjClg2e4-Ufj?!DlZ(7E0TUJ){&$^L|7Ys$B(r z62nAs7l^7N4t+8hN<8P_K5aG}!bQADF%0V{rpb&SjTB;qBZShOx(X!eo=mQn9uT8J z`ef``z=%6dj`Sjp#a2szOAld9Qw17Av|15%51U45Au#mE9-BB|j}7Y!9A4trKVZB0 zAFy5Y5703~Fqt#)-QE~I%HU}1lp$IHNCY)=<-U*PnSg%;ccjZ%r)3q(c+ zG9#-12$+KB>NCM_Di-N+-I|z`DLBUK%L*6K&ey?)f?}U=#HZdMND(6DpN~O*GYV`( z+wE{uCHBqb5OACS*C5+heGtGP+Yn%oE#r}KA_=~uHy%$L%DE|qs<0>0f zvYZ4dHIq=B_IaQ-!|csWdgwzr0r@{@JE4T**k@;K8l*HL(C)Jo$@gt!x{)A{6T+Tc zm;9342_A|nKR+6F^%^r-u|uZs*O&cHS%o;1eyxjw8IT~jN-YP6m8V8g@~SkpXz>8r zew+gyH#DLTPFox=+|SXk1`QmFdNTy+SHcKbKaCFeD+s}y4q==wAprzTF^vGUeUvaD zZ)iRqnjs((EC8HimiRlNkJXSiP~iy=QzrI0f^c84n8oqQE@R@4zTn~-uRj;r{(lkk zI{#hF>(T(7`>@=O&J`*To-BBw5HcN`2>$8eB=3Ng7vRurY*=lKG6Zv3Zv}1QPx2bA zk9e0TTWK2_amfQwl411Yl@8d5rE%X<;s8R}(qiLzR%1VXc9XU8e$qiEj6uL%uclFgjns|E5D6S7bTFv$kz)uQL$)S?9=!n6g$t%!<~ zrw*Yo>HMI~Ib)aW0qJU?PeO6MYFA{iSldpu^uVnt~$( zS(F3b46R6{v^+n<#6Z_B!}(I6rze<0{ZrlwrPq8nV3SREXbO#8&}_iaTyo3`P%nL_ zgGN-GB+^DEd9uXkPxka|A1ubvE7^!7W_{H50V@oFE)qT4gBE*&7E2aR@X$b&^@z1= zZBMW}kAo(M8=r$Fj{?+7#W`L=15u@V!@PUL3{eq41bI^D#O1zT97_S}rPuh>_Tum! z#%iD^yjtakH6M~G`|)xm6b>ra-*Y34B?S(FbwQUo3kZ8Kv? z(bci}IWlo!$i?2gso#G3E`e^hl#H@&-O=)yeS?iKfkqs3@ZJk-VULJLCxB3-_TQ+QK z4;^Xr&ld}~7ZV!}o^>sX^g0H(bI{G^>GF8R7F_4ADr_X%ztd1QxTUot&jWj1Mk#F?IB?QZ?VQ~Qd{D6ql&d>ie|M81umj|skJ20Eei@E^Op!?(7PnsH zG_Z3}0|4>5odEF_M241^+U5gN4cceV#nTLaAvHO5*oFGtJ^Se(g&bHwcN8zE_4(7O z>*iu@2VpMSZzl?P3k#bM#WAq5^r>@D8t=j!(}>HPSu1sKx+wePoHQ21f<#1<*&_}7CkMFqk&#bBd4ye$-J~3J($%%#-Wp(r zcjb(Se2iHG%_Qq6w&|2fK`Sa0AJ%bJYtgkAIEXRuP!I)e<=i&lZV7`kxSBv;3c0(I zSi94^BHGj*)@hIU+{HMg{0+oRK%RSZpeReV#hWhb7Oj6OHkoqLK}X=(K}W;R8O&7& z_2#WX57O^MTyIV8z?xBb^?!8XCVT1FsMo4bgT7UIilx!t`YD|3+9Uj*IFLIT!cM>6IMVQM zfQsAKR3mVDHN;D4Ok6~f<3o6rM7f@-3Lw*4qS=!B^TW`m7a=xWxlo-Bs*vy9+CKO_ zM*KuSt?{^I7c4@n(>z-Ornt;1vQJxggi3Rb*W*4d)GsuCE#URo`*&z=t z5vI?5(N4#NSGB)Nx+&#{PZID4mCi-pd@Wt%-g;eTt1tQhK5DE(zsUlX`<8sNm|UN? zbz(#Q+{RAHw3syq=M=K(T)rGQSV}Sg54G57=0!Kx_s(JwY_1)9|Je&VXUrgL@mqhA zmSDKKh;)EZwa)nB_DR%fHc-{^3{fK2ofnIGsQzehQLn8QG-f61u&JA_fr))WQ_pvk z)yPfp`tgM^_FU8b0(1Ga5ME;T$MI_-**sfWS9sky)(my>S?xMGYQUe zG{y=cGvVE6i_5S0MC|sWhF%kq0-}{JfrEPBIcH=TUp51Al=OPzfdbZL-JW5^2 zeb;C1Q8s%!i>?9J0c6)8+k*u=2e0`}>CXd>lqqpG&(fBm_$ls&CT=!TwMK}WCRt;< z1rG2|n=bus_bB}^ZI$R*+7jp3Mo-7y&bWzBdBJy5TXd6S6fv9po=_d_Ojwqeey;SZ zOj1(%zd(n+c=A^kpj0N-ncdhl* z(U+AhQ@@V6fo8gLV~vvmc2-?(+JwPcol9|$ff5}g;V3{NdBi22`y%$-tN5wK zC39*(=8fu_=gQ$=8g7roK+i!JbnDF=(+Pb_)UU!rg1YTuKOOy>@)6_V3~iaN|5zC8 zc~)82U~Bn6pvkPsGD;b`S{12lGKY@WWcKEq(%vIEbp zzlxlIKQa+K(dwhrv!!ez$u>~TBbp~rvd5SzT7u;=KrMBc^fNy!m&t22&1GG(?CFh? z(!YSIHJ{Q2IqS8e!nJJLZ!jRy?ur6h$O1XwLlE*fe@38e5N$@zd2)^&Mr1W-MYMA4 zA7!Q`p2Jip*!$V1{mUOCkls6LdG?#4S7M!;i?-pJ*8G=~&Op@eH$R)liba8xn!|Pk zgp-0ncl%*bBcxA0JZ*u=7~`l2&zHJc^t}YCPS_}!vO_T@MfXRN%_hO9P=gaSIw)@3 z6sYAG5IUPo4jE^+6QPb|bN6U5=w$5NW?8d2(NaHn!@OxO5URG#NzE4a_-L#8+YW z^zV4!wojmUTAl`}PHa(*0{xN8q-ux8z>2HED?ir5Heg+ANvDvrcAJ;&?Zy%(#dDIb zfUjHX`wXQV#I2ddxaq~ScvC31$MT9;l2U0`qDZbUDZlZBe|OSHzfUvNj-i<4@mHD< zph}rGSKf5Jij!klj(MNj;I|XVI+u__)~=4VSuK9 z$Z;jwru&_iu=}%AG)J3X-|9CPfzRhi(y_Foc{xhqVYI1nflcT-56>BAGtC<4xsD%LTQ?syw4XR&EvJ{!ns zh41%fashQz_Y@-ADPf zLcL%?_V*dmw5ESjtvUmZIxichuiU1|(p};y5Q)0J1)`ty#cJ+=LHky9xk$bj>UMQi zHWG)EJa08~Y&yZ2eb7$A?ZQLGksNdr$K5FJ@yP+2nK#Tv9}{mzKVs8fv8Jf&;wY!s z4SlNW27WsdYv&FOw(Yx(!&UQSKyI$OH4rd$h_#y-UaVBRfyx=lO)Uu@N-E_x?4BWL zU3yTc>IcHEE;uzGrtsYilNSbV^DGiIVgD^#hkz5SRD+m}s<_E_X;%>mvWsh(1`%~K zG+E{bk*)-X%hutR4{%+duOS9kMuFL9eip;}RVjAk83u#?PaosgavMNDR*MSMBk7jm zFPWxkhckE$pq-S}k3TJbI7e_g*I5?5Q>}ZNjf_+d<^DwQc60o&fdEE*1a{kd4YZ?c zogh@HH$c!OP@thV%t& z+~!PNC03Y!r0sk9sbbXYqq*K0H7*z(I_V=|6dkr|<>smGjDJPs0!a?<8uv5%GbAUF zZQFMY4+yf=dgE;m^Rem@iX~YNlSt_RZ6s<>5LBbHS~bdg+G3%e;X*Q>`dMY=K}Q>q0^EyevmkvZugK~xneZ~*6AZzW=0|PAU&&K7+e|FOZ5+h3*Nz#C zztYx07xlNQRZi=%K36rsGADLHiwlie9ngG`y4QoU&HxoM#K7#hZW?2M;}ZduC#BJO zz|H&8#-UT*2sv5w`jdMTClDjy812gwlny5-eMsV{GKx;_`;^bm3pRKm`{%5pVQV@Q z2izfF8}is~ga%JPU;`mRWl|QhP5iYR`&qgYZy0;^wRC5Dt09@LsrO9OUT#WQH_X-XN-Qxpnn4Q&`vMrEpudZ}q#K_-{}M_Wee zfC%W6dHbv^Z<0?!{a;?`@7kfv@gL`YS#L!4d1{es1xTvMD+F3Ne`6yBtHr&PCSfy0Ch;^lL1slN_Y&r$9bM8Y;segD z{U2yO@#2oXD09;^=A;Qf;*&egqzV&~@r+7K>YdMj&iRgYWJ^ZNCNE*MwsHo*A;EJXU0<0GJ(jX8Yl|2jzWQG+f^v-5N)*C6)E<5+kK!Ifa4 z@RpZ-jeKdBq`ZgwxyPkR?)7a>02_kh=|?c< zx#Bzs0RyqY>3sz&_(tD*$G*)LiG-PI&#C%rCXGg1b5o?l_rp$NJA$9m_EqNVnjEWw zKP6eDGH}oIsXJ?YvtK)yd5ju71@F_n-tFzyzrcsHhJPTwI=K{SRl^6+fm#Uxaf7&F zTG>1aqbx^7Bm{K_lXedhVR}>#v1wg3sb1O9?LQ=+>3=R-z-}`bvg_lUrMm^@b?;}5 z4F9HJKAnTvh}HXsC169==!p=ywncvc3F%D5=irPa?W!#axmgc}_qmZ0k3<5pB1Px( zru0fT{TM{^DuaGNMuWh?8d3?~$o2c>#KlGd%5-d^Jf7l@c)7Mfl;u0~VY+;AAA?u6!U|~fUMORdmihIT{uyL{I(2i*5p_W(ost0}$h%ev1flOk=OY9~T2gXEW z;I1X}04;P64mSeJU z{2j;qw_@x692jM1=H&Qq9MchJ2)T1lbsU-F++Y*&yQH!gN3=Gn7+YMwG7TODQsOa+ zM3DdJZI_(y26G!BrowXg-aeAT@?~b`N$TbM3*%V+>&3&ufJa#tpDw<26ULcqx|DOL z)`quyRr1h~YxY8mocE|Gsp^ZomkLo*C&~Bt%MSbC!%T0$qt1&yQQD98mge>KvV-s~ z9qsmx&W>fBt&LI)`e-@1`E!E*REFPvE5lO%qcS|6L~aUDh7JCy3|IcOGMxJVOc_?K zBRIJN`U_>a6QB%lP5pnU47-N>p$vZ@{gpE8M+2Kn5Gj-~fL(n2ooA`8x84WWWbCxo zP@`%Y%1ANREpiTD1%fc=&u-JQ`2uI?;q3^K- zs9R%x7Jx&4A~L`_4s5H0vd{R#81@?WfcVoGe)bu@1uG-4`#c&5S>6=o7%R#*+LV=T zG|~%KK`y8N86x(a{D@CTT|&)vPFP5kKwtc$o~QzI79qG^m$|@u_=~={is!x$qR?mh z;uB}E8=Z@kx^xq<1ebHQ*-kvE(wgMNe8sP+H^sroU^_U^nXzEYL1{@P&!OOXc~&tj z!Jxr#g4vXPWRC$y138&{&f5&aZO&mnGYlfM)8jow=jws?+_xDAebWMz4*^2J{fW_3 z2S>hr%8qd{h_XXR2xI8qjn|9E{WXMmH9z1DyN zO-TV0_7q8NkU|Iy9$|5t(&%y8pXgP|KP>3Z2$fYe*TX=9WSO-mVcx?_;>QFl;@LQSgsEfRgZv9mV`9 zUJk*3(qRX4c7=cK2%v$xTV{MigjZd9h)5iW7nne&iJbq+wae zhPY&88&?q_gsi@FCRqJ(GE;Ic+Odh7h`*AC>BS&PlNq@vLb%x=G|3#xw`IqY^vEP@ zm*6~8id-CogtVNG7{w1rs5e~U2`#Hhb)~S`Kd;K44JI#AZ7n`l-Zi);S%yavEjZ+Y zph`uV^)rak-4{ac8GB;m zUSI3?*QNtSb04qi-#v5a!_qKfx;OsBW>}&HYw3x6$~#@a!gPThdT6wN29DZJ{qU6{ z{-;W@wUkw;36?M?`-JL)@Ltet(+{6!`PMX4!w&gDzy>3^4n?Mo)egSpY!K5)QrmkF z0Ak86({lK*7f6SJ6l+?*NCkk`7-HzV!{R80bYf1X66`zaa=wCN8z!1u#*h;AQS9rvnu?|ix!+H4Xd7Hv8Y)I{_Zzn#z<})u&y^KP=jI1;JevN?% z1f;myE-2C53_JaCKRtR!6j7rIP@_2scM8(%W@GJU=K}-2A;~52%p@pr2hZwzuXhbe z;(iU-#9ZX}K+IlM!|p^OYuQ(%lFUF8G^8+G(TH^?R9c-cAjWZa5FJ}s89`*u_aS=p zWYzSMDs6vr3&nEi7?n>ga*6mo!KkQOl*MYD?SMpSji8wJdxBAFU@v!8b}g6Qjx_15 z-$*d(KfGa-|K<&=v4=DK_J%Qkd&A)*WP!Ru#5AJ?jq2dTJ^a_SxK?bwi4tj^AN+m8 zD#V388F*DBkwAdam<1S%s!EeYa{Ix&Voh*CD`nDmB4TE6+(2BVkGYM%hFf7QjQEnUDPIz`fnfgLBkS3->TTGvl zFwgI4g-)Y*F;d?#vJi9!lA0f*K2UgGRWD*u$&tN9%jI&j(8)7*1`*b>V3IhjqxoLn zvbt_-vxM_}elX%%1a@Gdw@JxjTiC>NrX^M{-vubIb7QV@+sfHN|)0pPlX2+X)gC;M%I}*e4jPWukYTajr4jMZL z>HmO!Nmgp(=ZJe|%QJ9m&l=rU5lU#y(+17JX?TmT86kP!h#&aYztq@~uT#(B;+)%Ti!kG%vEWL7+vZocOj#7iisnoOP_$S$S? zbT*LvEy2xrWk^;Xgfh$g5=a9rJs0M1LlT(Lq)%)FV7s?h{>wa?03n&)Z}{6UxN{^1 zpTcvR=|WuZms*fVaUy7aaH2({Z|AiFPl3WS>RSs>nPJFx*!?(8SP7CKKfKpMjyoe+ zX_MSNi)9iE$5r($gYTbpLoWP68(QdF-B}Lk3EA+XmyxyPgpw*F5*X5n*?wv>aGy76 zYPv93&cO0$k_=8!Ho)G$pmgg$zbCu`Zs6k#Ue!Q(q-Nwh4Dv`8hN*c2zDHn)K{<_@Z9EHAAKXY@x7O%|iw&Smg zQ1RU9BcIYFA1RZ<1U>$`^Hi0K)G1IWx|gd}HSPIw7C@h+eD@PE)@?_3*26D3-zSEB zE*o(EnmHI=&Fw9LK`WeIsV>yt664yq+&I_)97FeP=5 z=GQ%Os0cN(0U9AXLWJ#RKxP0o_c*?f*p}Y<`nP@F?K2yQ5RyiF;aQv}`cAa+AQ2ye z>L%wO+rRqzxZGmN`5uFww)LyYB5$`B^Qv>Ss!*G8@x8I1SZ%@-PTj7e0Sa#n)_!*n>_8ZPmAt3r(EDN1gnu$jL z!(nZ*!G!}3T3VNw*x*;oomaOT(fu0E6mn#q?o_Lza$R6T|J zO$dlz%k#sEGs&KJ2|ArYb>|clam+dQ6DW6oD41-5AWZljji9|C6|^LsN|ZA@_*v-0 zouT+(l~N~UV(MW$fi!GFJd}*-EL{g!5?dUWj6HmwPpfn0zr}avvX_DTI>dK4?iYCr z(h#YI58*hLDQT{h$uh%OOp0yEgri@FBdUP4vdvIEwU6n*g0N;5D3nf4N-B`iEv71X zZC{yXdj--byw~7b8rZrt1-)$^ja8+G6*zu7+E27!m6<6i01i8#hM=T?I{Eo4)>n+) z6_k={*1jyKxy0CT$9AZxS3d|`aTlg&$A>`JJE*a5)$mYlXY9D}$UXslZk9XPlRXB^ zPr*q#NIF%VA}%xbTKNhOr?mbabzi10MBCF6;P*adyoDf-HM(NYoL_#h8m^jg6aZa& z(Xd$fDN-9O5ZN2}=!&pknD*CA*r#m-e^-i1T*~PwQ9H`g?Q{4{szzPGhRQq#MZELB zZ}gCJH{+@RQx)6Ri$`Rfrct2#joN8o4RjyYc&meZBdP2Fo~|)qIkn(Q&>i+lP6Y9u z-lcgmKvyc+$P}TC8E7TUf3|I7#HUKoKxDZb6N2N*4@r^d^Z0d3uMWQ^p+k7Uw{DSm zTgg3vjL=byUMLAL`~i)-6Ly*lK+c@I~SmX5blL^P;UYXyfj^ZI*F-qJ>F5&3uck`zFY4@1L5d@Vvu8 z>rBPkl82*K59tM67tWI8wj7nZgG? z?3o*$D44Tj%K)rr=Ia$%c(N&-j}EQtJ6EcKug;6p>6)mV9(Omk^n8=sM&2^}QJ)Tu z%g5S#!;gd<`*-4UtyS&UTDDxkrQpi(n?Q3ZxMdD0^@6A=NrIh8V64n*hYD+Eq#;>f}78!KlqML zB;#K>&eq#SAh*$04{FX}6doID1Z?P?veuW6a)xO|F*@6GUyQuF!05}MjKb=0yPU(M z&E`&c(?BtSB!c{)jfB-Ekwm)-E4$@llx$ZQk$S}Y$V0EdlxfseDN3_>vcBt61x2RR zibzv`R_~<@G1n$Tm2`bANJRVkQtLeTGEm-UV6eS9T8(kJBtS%O)6u)x_e=&&+kv?I z+Up)H>EBac;`MxbApmVn)QnqvjN0slydHjy20Ugrc2=7lTf4V4fnP0S=MLg33RZ5I zXRc{UXt8Q5wifED)b&91E9w3bRPur$PJ^xIlb%*1n2;&Wl>g{6k$r2KqPr|k^Dy%` z^=A!#T1b0i;edp^t)iE3!3Xa*{DC>F?ZfX^FF>$3ubcE=qBdF~XGmkk69iHu>rGw1 z3}-vHSvYlH>S+?h$51TkRj2`Xu>#XNB<-jwnXs6&@rtsL!QQ|}V8tCzzAK9x3aeK` zfa$`R=Jbt6U9(AETVe-#{A9N-?(+a_r1fc?rT*BI{1wZLSE#sshM+Shg}jt$0?sBh z-_Ie)DCTAyex~oF9nC>4kTF-_g=$ZpFtquL&8C|6EOH}3`hzM@V5Zf!5FD8Vx8N7} z*^TO~eqI8Vp{TyNN5Tu%+BK?&=czzU;3!q>)BX68%&{U8k6IkPh>o*pNoc#4a3>jx zD4XN>l8B(qj$4HtsmS^lGT)&O{6`@HvL%Eb%ORh)a3Pjz*Y zE0ybr%XFMr!?2;qtkk-ZTcWc<3=XjTIlPzvhe^M3|F&pB)*B@D`15@BS)T507vUjM z)cgzgV>JCXZV-aub!0x0>;f}Hhwkms$n@|$V-)jSG_J|XU18giL)E}ns_yFwHx#`( zu=euMAmnk}t2w1&Gkpucr`(|ryY-l(h<**)u;pIC?ab2$15$<#1?YIH+=p}9r6Vfi zFT^lc0?GEp+n>BdK4M;L0s1gR?{!C)L5xlgdcSRH(>&!g{_3Yk5Y8seAy#Z|(Ya4{ zzTTX~2rYSE#8=Ov;uET8#S7}E+V47*9#b@ci+bV@W)dDFrcb^`CEdtzX4>34@Cd5EKhud)0t$Q(MrqDfg$ zXOVyOtZn5C_g64?zGASxjwDNHe zAf+t`#gQI1gQ12^{MYO*{W(1$zL4+9Kk@l%T`-(VRWI>|O9hg!QX!@*YQJ>GL-kX1oxx$0L7um9%t6*d&l{U2MiRGvn zqobtC1`s>&3k6hO(4o}#>F+ZM+*C2vmgu5^+HavLgF5ilA@2H`pAQ|q&e967IL2=(&Yn#GILcFXcLfzTUIP(D{r0qeK%IMXz8v}_&0l&%#eA?R zT=GU~QYr$3$MUOjj6$%CA_k@Uk?2lM#|TJPxd@GdFKGUFGV0x=P`>HU&{slt&CKXq=2F3B)yY{Pyf;LK;e0b=ozMz)r(?icrrQJ9 z$x%rhG$`Avw)IJjWI-`%2O-B~R*^P2n2J}y za14G;Atn(l8%UN0*8Hm9@_M<@d1C-TF~U+ntEY& zUmD|gJr%`UG2~w5vmq&sLZgW=%_u$_VE*+buiAyRgr+*tu%79=J-OJeTO`6Ft|wT- z0b!Xx!$WB)u%gl=cgnqZ=4t*Xn4QBS&+NE&;MrIMw91!0a^2h5#LuG@zQscBW3P?f z54cmKdpsVOiL0kx!xlk0ypv)oYn5ca;JXeas)zLCs=LeR`U2JusPm6`T?YZ=_xLV@ z9ZC4}GY?P4#fp@LjV%LZ1i65#8iRTG0TpKMNqUQ63#eMCD+RUSa7)6C-K$J}?G=$$ z6>V;ULwZ@=*YrA!#QlA|#i9%fbz$t+Ms=L87@IR>V>7UAQ`-*UW^IqyRc|UKG3z%b z(|UScO=jgKFYLC}Cp&Md?d>jCob?O3 z?gY|*Rlgp-ZaCG=8w*-uYwl?EGkXRpROKiy#*ytBeH7_FBl?oS*dk;^ORq*0T`aif z8Ga?4!J>GRnHB``IMzmN=bM&MlXjgQvAr=2a=Glvw8iY=`fhWqe1*6*ZS8o+RkA*p zWVVGk5}JQ^Z+#qgQs6+=BN>;i^lMA9Dg!%YV6Utf>6+1XQ;`&vpDit&CYKSq6E{y; z&mGxpuQTAR^uvMJ7+`7L%JSyimEP6NBL=%aq)}>e8k^>d%Jq{o+9^%=9XN`JArc+6 ztZML1IgqG|YwW|ifkNv-Z+mrs;%GoXRe#ci&LxhurF@Kqylh>&gJS~uLTTdv)vx() zf$@J~_1`xN{#_6LqgDOCNe}+>dw(@{v~_kcGiSze8fv1lu-?vSDw08+F03}ywwUYKGktEh*m~!VnVV>LDPuaNTxLq zR34;L59JIM1R*Q6t>^q_XaW54T>Y33(zW$lHP|>BM1ZHCb1aj_ku3&yeuMRzej+)n zQLZT_VY`qJ=vIb)9cw@u8GqiQU4tO1VraZ!`!Qbk7)d_!h zxO2kh614i8fvYi#fK3|oF`z-zc$|>OqGf%S(1@bvP@78)BVWr+#g3r4t!7ZRUTNg2 zJz`K5$j)mv*(L?B-zc^`d{E+pur%b7dWGQ#SL7yrgKPijaPx~202lb;ic|Bi0*k-0 z5?*|Hg^DeI9DYON|0h$o2V}VWW(x3drMUWQ3a~vTIJro_W5rlt3Ltg*ZH**syW|!E zCOiH4l@c%F2JO0I1&*1Gm-}7*D#N{;NE;FBBbM|>hWm$)9mThlS%ra~&onaQ_ISCr zvLLXIXQ$Boe`dII@E!yzIF9!bz7^6;{)o*=yxgkm1JNL~3+Qgd4AtTzAK+&*giGne zoTidmLKlNj2mRi`IGl6L7-a;=aK{3v1rmXNh29-9zXV~JNDMpqs|`8v z{vg4RF*FhU=J4AK&IfqGh76dg3IjjC2IfaNasgM*t-Ck-xgm~; zJ-}e+;dT)gV6c;AQz?P>anoebQ?uCcyu-`3uCGG|>D&RU`1fEZx^6Pg@4-%OT~WV3 z20Paxl;cM5#K{o$NiBgcQKD)6L6_#A9d4rk>~MdBVr6Sh!KeSD!=1COl(MoXZ#NG3 zvIi%>Lc{eG?%9cC=rOxiVf>@RZT622_aB3ufDU)mpB?UBEPPArP`PMw4U`pi#tk!l z+9>FS8GsJA_$B-A4)@`Ibh!Iv5K(0#7Kc!yu~4E*!pIQoNi%*C?i|-9*sTM!U_gi) zRW>Wl?ib)*HZnB%LSRC0U_v1oE?A&i?S5EN!75`S!r9&qugY#L_WfWr#H{D@{NU{M zcdvM^Dv*f8u%*J9UvBruEh*>$DSz% zkMcBV7W8icO59N%i}l{){C{2I4jT!?h6D!ebvh6O_B!#+Fi7)=EqA6(LH}9e{)4D{ z2~fbfB_=V6p!}=E%{4p|y{*ZyY}JWIGSR+;gt=mIU!rS5P7O`Wq2l~M67|VHiMl%u zFo39s{EMi6)aOJUO`+dETkm)l9Zn7wFLZsm4VlQR!)(_DLwe}*$4>eQ(1JZ#y9R5= zM25E5B9_Vs%>U4W9e-=VZx)Ylaz)_{?k-&&ovmuN3kl?7rfU}iFB^wnGwJ0n8`s)! z9z5I~9G=uOJ!=78@ZxL_TFM1TqGtcK%-_?rp$er=OUcR&{VThSI>%68o<_9i;yx>PAMuQC};E zo{jtTD=;JH=PE2P;J$>}lHXXNt_`uBc)dFaWcFn2L#!It>6M)FmtM$Jie})z*W{RMN_J*BTbK&ZT)+z&W99KzVE@>+^z z&gSzOTlMttLZ^pchp&%Ud+ZC?ZcE%h`Un`nWI(y$xY}`vP0AxK!6RK>Nys#^$;doy z^zvuCpuOX`vxoT6Qe3q8sIqsKC;HU{Hht#4)!-uM(V7&AmcLG&a+Omg1XDCWM}rpx z6OleO%$#HUTJ`*3ov;elIAX1X=B4*(>8OXQO+jnIQrO;dHRGN7W4V0GD4ry@a5#Do zQDv`>JN!y5ji8OkV|^tgVy$OI%drv9YS^%YBemL(iIB?iu6}5PUDjbs&B6BW=KbtL z?1Oc}my$ODRUY5%F}==?-75IkuU$RJ(INH8@eJrs4^-xJib8M<=NOH=(+gl*A?wSWV!45K8Qi@2tXaA6xhy^A?^4+N-H=zWt@94#9+qyaN)n3rpMb^+?t; zM;hWNidT60iEi|V?gB!5LXj>T>OHf{H(#%0=wHTI@1pb6WFO1z^%p@QOim2!{VO7R z%Pr0rO&8Qn`oabc!18Sh+! z6@=G@QmQNY(d_&n)v7VBGz*6BxA-AQM~S8p#4__eoFg{%W@#!5ST_|^ZWDM=dkv)m;hd90K7tZ}0&o9c z+`UtDrF*-+8QZpP+qP}nwrxA9N+p$~qKcDBDz@#4ZCgEStxtRJ^?kkj;6Fxpk1-GC z*+gc>?|t6;dhQFFo&5RmEZ<<8_xW<$|I&yrneQ1AIqLiRc-E8xQwyFuO5;iuGS7Dj zt$WSP9tA04tzfh@-A~OT8QQ?qla*T7rzo^p)r0Md3dV=w7&N`OR$d;kB8aPQ!_4>5!IKDW!l{RC!v-* zOpT=Fedj7xFajNt!^amvaO=j96F2;m6HI{G#b)V^=90r*Nm*K`cieLx z($&C0{z!!JH?~J5gE|@DE%M*o%@lke|*sZ<7t6eR0~wvJ8@hv2MS@5JM`hPK81RA5G>e;p1%hB5#8R za^(-4YJEObyiiWze>!h~^pr*T9?oPPo5sx)drgU1B|bx}m2#o9OSF~5;0o1>*5nge zlF1Dgb?h9Ov8Tj1WO;)0(uhB!ijWNwNdkF;kXjB1IO5#N<`$r00J+R*{%ms-UyJO2k!9A7D;X2H1AlJl9%J#1dh6cY3i~y1~F#h(dWIB4vj3KSNOwc?=Fe(B< zO^f$NB)a8=s7tiV|I}F|0f7+42z>Y9U{#7<ku@8M=D$wiSltub8GP8G%H5<+-v=gm0l( za1^~EAn;C?V(303C49l27j84C6n(@#DHYHbg1K{jF(C5WZ395n2Pb>x>= z^o=k7MC7IVN{zKcV=aJUWlDV#rMH5V={j=AtNnAf@8(s86 z$5l9JPXxsYt_=|RR=Llf?`K&?iAT5w!+sa!&Qo`Z#{rwt1~}3Pc-n%DaQyvCWXwGq zki_9={+u{4W$=)`<~*dn4Co#>l$JUomu#Y+-TI7JqCUbA%)9LDDoF>5%Ggkk=nAk^ zpj=EfW6r=%%}3US$dg5Ix9{WKdW#ZeZ2Q$fH;?7}%$7jf$OrM|-omrRE?k7`Ze85I z*aK^VelOP$tS)z7fEN{;a?7%Xdt)&s75mXB2HKS+Lm)^b3xWKMb19H<@ zj{i#htNar}cuMu# z4CUzYDQUbky+`?1qLz~1#+k3@-BZ#-*L4ep-UzDT0uj9Xgx&6+LN zxT$ThEl769s2n&|%!F^&2U}=7ojjfWA6o)jb?Kc?`JHo5Zv(l)f`p&XySxKURtE7q z!;4aUJ~^nq$GPgQ=6idt@)Pdc&}yMP4_tnN^rx88n-}o_{MJGAnR>-9QTT4^kQJmp zpM2v@&n_-6#XXu;2G}jPA=+K<5N7yF=1#Ii4m3JjZ@2WG;qVe3tNHWr1>XFY-pf`f zT~<56bgq1Aj=wBE%_fLk5PG1!m$nM`mamV$;@LFpSi`owQe*6PA!73W9Rf*O89jL~*iQ$M@J%-`yv9E*34tD}cV|lGj``s+8NQ8cy$Bm3w_hN=W{X6Rs#`EP7!`3QOMz`m1AEvMz zumQ)FbE(bK9KQ%y-UZTN)USw@Ac7;ed461rMiY*_)yWII*_T?vUp0GX9pQWUNrmR{ z^wv<|)r+!A-2V3ph$tXA#yPH@k8*&> z)Gq>3*lGy-0md@+tm5D3vc^f|sij6GSRHU)n+U$XLMo4ZC>}j1k5Ew4XYKOjp=6cU zNhi?4zOBY&#ekJuIF0*e56x}K1aRHQm$3{i%6h1lav7R?;bQGzgRQGST56;LUZe-953^sVogl0KJ=?`R zWKZ>z>Z-n6%^XAFEg)wU!Lb0_1t)ea$NY53cA}Yp%I){=+k53SdUikk;k#ike0$J& zaxM_+k`x~3JN$h|&e7J~ZYA^+Ka_>bSM zLLAFj3Ow*J0|1Uo=)?bl+*|sISlJG*e+^C&tv~X0t;jWqyqe|F1?@E=E)%%Qc?0We zGnO&M*5-!Rkq9I)0HQkM9Waaoig4yeEiwG=XP3$qdp2?ZC_Oo~t^@}4JcEJq2 z3{@6-jTKZk@HZsly7_z^SOzT6b~322oGX~@R$Yi5nwn*GpftN5@f)O2j>wlcYTkic zNRFJ8M(LmTNeT>Sf*il}l$Q0Bb{)~0xK}Sd8~A9J&T*Li1W|*ZYl?&10LcsBR(cZ` zZjY51)>XvRr-+DUG*@SbG4%+Z+Np(;++OMKIF}UOz>6g$0AGrx% zWMv24j(F8Dst`I|xyI%Ec>s^ltwuzE)VBTf2DK8N&{<&-QP!bfKg3cETKN|9cnQS5 zxOU8b%+%nPyK??UqZUf1A4*x62}uVTP^YuRO4d$TP*OvvpsxE`+_(dUMZ?&F$1LLf}4%L^@nDTfb{ zIk;*>iQ?6OfdH&`;zlMOxhBO~JHo~8b-$QQMWryOJ4Bb5{<~2gwFOZh9Ex~vMjaA7 z`Nl3y?j)W5zAI?bFfJ78KAo#Vj_6D8+AC9RyF z8@(np3ExMa3Y?`sKhyx$*!?K45sDhhG{lj6`^!xjp2{t&lfJWlW88SZd_80S=pa|L z02FF-?n^J#sbKDZEcL@ZY69;|GlqVEaTZOqWVeOkIG~hz^>{ep$4Cxr2Maz}KbX-0 zX?Lq&hadEm4Hk($t;1+z9(+WPTGe2W6c|5btz?L0AC)VwW>HN~8BCeamCYMU+sg$r zE)<6tyD|MBDWr<-VLjk%&uB;`D!dA!>T^t~Qw$_SKtzna;#mthIvMn3ShjJ>qi)c3 zv5;`WvG2xlr2rK4fy*eQccw3EPn8jUH{*@^P80nj_i7usf7qLhcnL1qfRX(V z#U^4cy<22aT;RQcL(*T#@lo9uP&0+v`A#VJj)I@P}s8zIL>Bjd3^~8os*bgcXmKMpmAnEIVe0UD9R!o z{FL%bU~AY6jPG%m-eU@0?8ghY75jihl3(I%pdTH_DxBpqCE`sMpIjdLrxrxmmpZ02 zILZ@zOrCETY_TN_M?P1NoXl1ZEVu2`e2ZbY70~NR(5yd0bAmoUeFp*sx*6F={EI-B z^|wR$-w*r(qcV>kP+Ca$F!v;n@(@At?1e!lL$MFfHZ-ah3PA35NYA%09FR$oSr zIv&5AbJPcx;pTky#kZ>^rjifDH^@h%W{M3YR$tJvoqP6a;^UvSc|*Q=IYcd3puKaJ z-%c}TZpfbf;YlnxkDaOC1x=^1b8}b8T4=<)y1pQvd0%?e6}@-WIv?RvUiBd z+HwzI#@%t`Z_9g=2$Zyv5veFyW|xO&V}EG4F`k-OEkkS^&3X)2K#$Fjm-#{vtPAs%2dO8NShFuybfV~j7K zSK`cII)=*kcOi9Iy+X;E)ZU zbaIFn$qw9X#qlW`r-dWSq!|pib!C0Q5h~9dIbbx0NgjO7Ztsj;lgUlKw z&pUz1u0wJRB#|!A2lLk0@Z?vzYl}tk{^uq*AG8CejZVonDU_J_GJ!Q5Bph~_fNF%i z9pq%JFz?_=mvNNG3Ci0S;~1UK*`e~wbx=p1X56EZg`q0PkdYu!)cERnbUibDc#Fu- z`(!mt&D8}!e{O<%kmJAHDO_!gURk|HSA)kdq6M{QD+2G|o@U zDjJhZOpLx4P*1K9ZzAdfb)_z4QVYn2D;46f8)uK6dmOj0c#aWtX|HYs8Bypxg-V_s7g&7G>oa`c#% zxn^DstOOfjyR6pavvZwDYN!H8ZWJZ9gLbh(Xm}*XP|(g_Z-N6Q?NHFFj~@-`m9VV0 z3t?KdrlVNt(D!*0b&00iI-KVyOu*csdzU8lL7{s=(o69vKvb-U&`67Q5f4a13FSoz z3P9P#1`pl2s=%4C4g_(>0aw+z**lvhu`4a(hQh@V%t}#o!GS;riDR)40qU&t_8EX? z<+8IB=p7)x7_*?V!?|5yjm{X0&T$J&_@2*9fzL4GzrY*_)}en%%LOfDm=B9qI?$k` z++D7|4C$&$*)(mDjHCaw07i@a+a7HMut#Sl5x2PS%=H5IeXDJf;nF`Vu)*z*$HfV} z7cjE1w=dw~COwjhFws7oR@xNKXLF-MXRj)Hbml3G{~71hMvLQeiMNra4Q0x~%{y(S z?T}zt75wzU?gV+5g)4ijEPC#=d2N2&M7!pMOFO0 z&AJ-c4E}eU^^Qa$$6V{x*T#|N#`Y7xv;4gr5>u%^?a`z-%wx&1Kaaw%_cSDka#ET7 z<7W8?YDeu50&@9vahw}`q|G5Yb*3FO^9K*M-Wpd^IV+)R+W%W;ul1t;4BUd)x{`Yr@|J>7>IZP<@?u8EvOtRC)5Uam!j&lf;Oy{aOp zN2Na=U28zK<5U=j%9y6W+2oYSek79ZHmYw}v#Zbz-3C5*5up~{xCt;s_gRAvI&aK^ zkjyO|n)tMArfE3+Y7bF0wn!B1W(GV4(er+4I-MeeN3!;S6?N%5p(CiXr;3dI{9}>tu&r zVhu?4%p#}Ed1?=slV6UDUWX9Sv?aw=LXpEamEm;V9#%A}(Bmvgk;lcdp}^&B({p9o z!z@Xbr-rJ4gQAd=;Bnkc{#n z>vL=~S6^}_3PJL9+*xL}zk9)9OC$ipuOXwQXC{ldm z;MJDK>aHy(t}YP`xk#S|8IY^=frIL*KrNSRj4V|3PCh+nYbazirD3fryFp4 zzQDTAgMyeHo;K%paXN2rq=8FxJh9zv;y}uR^kv4`fnOZkHE(F?+O*tW?dt(MVc{Gl z03L;ll6Podu-ZaUKv2DM{4Wpn>s^nw_I8c$nUs{xpXO;5J&O0cbJnNKsgtz7B|BF) zhn<}4Ethhx3JTiIT{|{6ua4*IR6m~eKdn~e-ki;!#rwQI?o!)J8DRs*t__yY>jXRd zjp4rn7Qru}5?vQAZ$io+UypMP=U4%C))vBvXBNgU#ruw!A#rq{l}GNdI<2@W(L?|6 zM~8G%UY(H@*qgj8QMBiDYAIPVQT_<_Sf?29EYX9Wo`s2syuS(9Em77^h#o=t)KK;8&e0Q0|_q1AY$Okez znjTsg*52b}$o62$>D3qTXlf^tP5bTcBRpY|mOKpGq+^Q8<)3MDZO00Qb)Zq$hA>&+Xp>)@_z_+1sXi9Zv537PQ82 z^X`|snW@ArmE*W=PJ~l9_}Pbp#@0D!Gm)6xJ_>Dz7-%ey-HdCv4^TtLsD|vEH4p)odIk=#_c_hN>!o$_%1$H-bc3*Wm!uSTDh# zlGjRdFyh4^>H?bV8Ts;8v&e52_1|Ae|F?mQmF+*ZZ?Ukkv8gjDMRBmOuz}17!w5w% z#~Fi|^nn1>MV_e;lYjN{|I+^T&r#@aJy`!3h5j}g{r`Cs0W zGVN^i+eLGygHc2=O15fa?n$DVz>?eW&*WiJ5W1Nfe)c>>99c)5DA z*OuG1cW>cZS|BLMFQ}RtDF4$`c*6E8@0Sq|dk<~?O3Du)oBCLVDgl!KT)n?;%}&LE-lkAA$? z4UL&$&$tw7rKA?$`G3Oq6LcAEcO3Vhg z%61SExCyrZr|M@{+KJb2h6SAv@aaeHrA)a#D-zviv)GyOav8v_Xc<5vh&Je=9$~Vn z&V?j!{|WReX0ZBU@lgE@=vDd+^v3@I^lAWr-qB-Zp+8kWpXu*}8@b%334r9a^JIzn zsn-IH!hyMdgq?)dfTE&q{X_K=0&($8H7KDn8=Kumfn`(7ZAFAXH;BuN6kn2sbv+pD znrWmX52zq+s!8N0=84TNBN`=CVh*){(%U}qai^66jhs;t>q(0?NyMx`3GO-?xfE_f z(hwwdTt;G_2VgXs%xj7t*;7>+ju}%j!~8uO@d)^!))w6Dn1xe7=7Vt{E6)6?lgfvH zMI2889{g+753o>1ZlxAmDXD9=jF%@!e(8~f%;)MqO0po;9e(_%;L#?XL*K0uK_OM! z#BU(F=EAP@`dyL*9En9s4CGAm{z$~c4gs<_smz>oiv;@21i_PDYzqteyClm1`*%r} z4xl6pNeYOrD~aG6*p(?SfasM!NIsg|^dQ2K;8zh<#7r!t!{-o--`nxq{FCUd`zO(B zV=DbeNtTLOfjtZ3&~2hkhMWKqOU8lSPd{}{>6^wtS+4uo$4&Xamv6f}{M3x6{> zEj(-4zY3$(+NRa{_5@uy3mbXT0`-ga6m6AGYbmo^S5ag?)%os*9pHfh!$zTb-V31V;Ah zGYia^7R_SMjKQM|;v6%ATss7S4t{j$26J@%ffi%df$mX4@#k!GVpHMrWlQAfSY^?W z%6>SyP>)J9un>+Ep#7<^+b6J{3up0Q_k2qr|Bt4h**{G`=y@QlzJFo*!Gd3>k%(2( zmc$(~TrNB>!pMI~0R{8$#u*bw#?rXScpO+Sc#VfyL$jr7JZl%gh_qb4v!Q`|LB z%sU}b%$%wyx&Y9Zb_Bt=o6gQmO(aK7?ffVLnr1yXwH#v(@if$(16 z%06QD!*pZ#;s>YNg#LHhC$daG8S&)$kneEjbgD2ch>s!_Ic{cE6AV^ATDe|M#3~G< z-h}1%HC1OUSk&A$vs9{pvQ>Z_%2VlpQ?X(h3m$}37&OPVVlB^R)E2v1DR)`r_% zMT_AY9!ACOa{TroVs1wCbkBA`2WEYP1$AIBCo;$qVT@4Wz=<;|B~m6LkMo*6U)(Bl z(IL54koDjpQ*S?kOS4~mf{L?af1FE9oed8|#;f3(F#&GGTtpp7efsm@snkBqm7L`RQ~f3X`t35 zPH1;zHl*^#IAAym_~KZlI*Uu!mc#yP&+l9R82ra@q(uuDjy4!5Had7zE60a>@ArLv ztdv_$?o+Owx!eW#e`Po*%{}vQt@!)VPRgrtW8_wGRJS`^IX9%Wiqms9{<=N8dY1Xp znA5pr*YsB>dnr6L9lcTQ%im2up@erZU<6yWX7GZOWig754o~9kWFY7l7Pm{)mL>5v z&khtrf2+uG@I%6zce?6F!L*F1y? zBx`+!`o;ztcSyw-&iaUWKYuF4W_|aVZ%4O8NoO2}uV<&^aQDZjd)!;v(^b@oY*#HA zjR_-OcPgY^n1i&G*FUpuondz#%`p&uB^y#O_k_4vR&4}iiBC1IAi?e0ErQ2mhIyQ9 z1uNSLL&P|Z&DnU-debjEzFi58PWd3*cQb3Zb$Nx%R_2A|*Y;lgP?@FM zuy?AmDfJDBnk|ItZaZQ^PI7NES=0t>8b{&lShw`>tZAd|a~n2@txvu8h|OT{J!oo<3uu>@0~)ZEKcgjE+JsAwlwx=YHMF z*H5}Pcte#aLOj+(2o>r9V=1vvrxCdgE@+07B$vGyobM>I=B}7V@4p9t!+Rg2sbuV$ z@E$Y5h|GkmW-`<2ocIuu?Jb7ucLK*1iRWUq1R$~6isr#9>D`E*JYLkq2@ck}n|3uM zy_(;0JTvENa+~hkantv4VLZ6zvZvy?UeRBEbZcPqssgoW$Yh2ul09l=zYu-unByMB zu4?Q2;C$Fyz~yui+j@9Izo7y_9`E3M!x+8q88(2E4F)v}7D_hL*3wp?qfWRvQB_}? zAK!wDT+86Y-m{L#voPc`%;z}(X~pI9b{D`9pTe`Wz&<%eAZ{{NBK99?P^p7~5)?Kx z6gJ#8WA$e$fe{34-6n(=M9;t#qQs4#!VWn%FN(@)n+i@)w)9n-sUIM=AoE-N6w3J+ zGYZL^aXTl!9_*O!p(xk951C$<&L=C_jqbJJQiB4_YP8H#gZ3&ZuK&{AS`yKEk2ysH zbWAfcEqEb3y?IZJW2g9>fkuY_{MM5=3PGUz>XPVzo_n zJpQ5kR=VUuy&lp3ZiB0BlFlFGDP%Oag{v;RCe&vT3#u8vpYU~`+f@k;KocMH5CPi=3<%Iq>(~3g=Gls)CiPLR&!e}bWYriX>pXrRj=c$R#P?LR* zmUg0gC-Z#GJ*Oq=4C#KKOEj;*4CJr$Q=3tua-THZy+^$sHOzR#nn7A_Y`}kHweW0d z0z)1_n8IbWkhUlf8}EA>uiw%Qix#{%`brwvf_tx*)u9b02o~mzX3cYr=!4-TPUiX( zM(E(=G$ABUjPoX!rq0O(#<^C}UlWU<0c(G0(RTVMCwqah zZR_W41sWQHk{kz9UXRo)To`ewA<2DpX5*&al6&%I2v=zvG^Y-^1R*1(;^FT%5=R-W zv9nNGBxg1))VcM*lC!{));%@ujI4NB$`~$RvCnz#>rdS%b{)L^Wjpu?Y z*g3+ljre+|j5OoK(on>2xGNxdx$l5RzW^Cq=_l| zL2}ay+kwo^ui4EVs9oT+q;t*ebt)5oxbOhZ4)XDUFehcKF9p>kkS;E$j)9)cc+>WS zPR@Kcwd2nGTtc9Mu1`h#6(=2&sTU(^lx`BS^Zw20UHA*$n9mYY9-*mZOGoGv{<2rj z`!m}+Qi?H?2JKT)i54mnvF*w`_3?w3(zk+&ZdptdnMrwhHo36zAYE+u@NmJCs}_3C zz3I@J#&#TSm<4VO-F5WD1KXyWG|nqw0;iR%)1t_PA|}3`^Iv{mr^V~m7P7(N>!qmQ zRYh!VOvpkTu{CwGNqEd?|G#P=g_9KsxkJ7F(82va&VNGqHf^Z^bJ0 z9a2r4yIyQIi|=s657;C~bj+wV#UT{~ZXkah!f8qBTmWk6a0U$;c=84j#(r0XR#OGj zFsqFlfeUummU}t(-L~Y|^nCkP%S?}~J($f)0yoSW{4=Rq>nVs!bsOvG4LxYFLLc%X zm#&CSbxGYUe`wHRUr&E(I)h|+2U}tswiUhCsyl}`n5hDb=#iAFfOuzrh+zdoA|Ke= zUkvfp@G=--YnyN)Nnn8=z5TNgtyJ87Lm)nVp}r;b%Yvr^!Ellm3wRv4Igz9Lbiz+_K7 z!zW|*^RVd(Z%Nk`XmQf9m6jsilY^~upEgyeOcA~YDiMV}T+L)h7W-V{dv{<-Te6Zc z<2$7YaMo9X+?Mgr%uSSpJ0oKlwGcrCl=K23iCvZcB45*;Lw~Mn5r1dgAu8B`odbCB zkOG35(hCmehV!=@aF({1!)H*to@21mhH|zVS-`2SCYqqM^ua23!N+N8 z*xqg;xO9GE%Ud$%_?&7lS<)robYF}jzz?a@mFYeP^MJo<7pb-dgRd(g49i>?ai797j^IUe z_z@KLtJ%+iHN09nG9-)yQTXVY(e@K}e&s6en=RdzYGhVM3Ejc#)ANdA;N2H_e1w+L z5Nj9|?aBVesFn7J^;})Ex|wS=)J!K3X82u@^fafW7{YlG>3Wdw-3^Uhapz5t&L9#p z!LfG4&c|gs>auGFu4VTal-pCpT!z)}>dHMb1f|0M)?Rcz0GbhKzoXM0tRI!h3g@5$ zLH_=>vJlOM_t|vBE;DU)1TCDMs-FlJn!ePghN6n1m1EJ!5L=74uc8=iya>Bov`NyD z)Y);gTSpJ64f`0yH)-NYX6P~*#e%aKca;k^M07&Z+q4(~2U zXSo_Mna5jSlDE)6Mz)_257nF^CjKX(`hHss$y(gel?C=k>Hk(0MGS=2#{=puS#sApUL%9I{1qc?cQtdSJZYz@)L1vR-AGyMgY z>|R)xtPkBu8^O_w(Ju>s!xO(SYkA>w`FNwYscL*Ro2nO$J8T11Xh-o5`K0k$-AqUH zvGqVq*Ls-m+RTfPTLYg=cjeg(67MTqJy2&GmxQEbqdL+C@a};KT;V(FBA}?oZVWE_kPAwm!!A}uO&6$mk zK`#Hn=i#t_RYpu6N*-LDYq7C4(}MbX1;*?aTxF$g9+XYxkDH2E6Q}zMZdoWsAx*{} zvx^B8kZgvkNPeZ(IY^D!dDfmVdzJLk5<6*{vE|-!bc{+%8IWkZY!`fk+t2i@%bH_| zfgSUqPLWHXrh}nxTb08ItBpE^(&_51j6Ky#z-~?JUYK#bJ#NLbDpK~feVTKc`?FbL zSey$HHd?Asvh4(!P}G$_{Dk{b7~Z{W5%SE5k+Q-h&aZ*Ch&lWOggwvoscyk_c9aU| zD@s35r^grFSCiT$k^?nCLYzcafBk&#ubhto>osnd8)q1o>AynPsRTj}_sAaDml@s? zU4Jcx^Dc)Xyqr0G?+kyb-toz_h99?WvA&Fi|V#K z3%K7xNc>K6hi{zN``N|e2x5ycLQkyi9r!uBRCOB0XB?(zR$&_v)~dGc@nT+0_*1Nq zJch8i%h<bLC5;I&X?T+T#INx@gmAYh<|bg$mOiW&a1JL12k9NgUh zDdpfx?1t|m0#IefVB0NI)zgmiZ;MprEkC)mGyBB)tlIf!_!fORrTsT3Sl)A#|ye)iB=PKuKe(d2lKlW+E|KIUr*RzRj zhJtqU*Q;mG*)%>yJ^9~4E1sl3w-7sz)L^ZQ9sO!c zDmsoEW!MD6sJMEvSjbkY$sf5`y+?3R!a ztV!O&hv(MD5D^UeyGw-gA1)EdDor>L;UgqdET-X^fKnSftMHlx3WZs&Oy>QVaY>w6 z2XKtw1-5nL9P$`KEUlV&@B*=g_*+^#{q4TeJ0V^xXiqx8|MS1PL_j8BEQ5<@Mc9KV z6ck;McObe<@*uM2BlO9jjINf%>3Dgb^fkk{6cjhh6PO9=3h?tOPiw_x{Rr#$x{pB> z#Il{bd{((2t#6C`07gtH3=1oMZ5UMMATvSk59|?{9-mwa5Nv<2q<3ecDj;h}qO;7P zhR9P=p}G~)hYcVUZ(;fGq)`tsrV|c02^42rS~JWE6X70=oep~GJ zQGgDyxr<@|YYa(2(OuO3Wo(5UQlu9Qe!X4;5RzzP0YVZOKuFRm1NxUCiHC>?(h1HV zA&FwdKSPpgIWs^=^5f5tL>CZ}3~41K^+A4%OHS;w;i78CR9MwWf2OWD6|<$+l79VX=KA^$N$gippb=fK9^pbfOg z4m9MPnqI!|X2zh3ePQq!mTgT2nym_Bn-6KTa0H&yQ=ZZ?{5j^Qs+36>%+F8^G6Kp_ zbw}ZJGLlWC9WpQgV)=;xtf^mU_nDg-q7K`%3vVz;L%X$!i)9F>>@;2&LOju$4AlrC z7_5r~4m(K(Nl?KRGswC`POcQA8FZQ{I~oU~)ho{YsD=0#kMMxs)A|tPC@aA~{DyED zMtNjBSSiz{N36`XA{F)8PSZ{y`I6P@FISb0KT=;Vm1`;i6 z=4E^6lB6TODR80SC zk%-3sLL?HH|Vbj8>M7g6nk_PqN>yFj0mF;p3RQ{ zJ_rXsn5W@%E!RDq z#TUj|)*Fw5I!-yye48G8V`B_feLw?*_yWgM(6QKw5lAKiJxvZtQtZjOPg`&u1 z3#_Ee@kNhn1PC@v$q~K@JHpu#wMQ!2)4>}KQ~n!~h_m$Q{9eDIg~XfKsi#fTYlNEj z%M}{de-()o8F1JWvI0aR3jYv^C|Q@DO<}g6j5?dJ6b=XreTS|3H6-Pm4Y?v7eK@4U zb-N5SF)AemY7ULI5Kj^ZWrzd=O7QioTykI7rL9$%c=jAe1%gW*=_3XLNA7oUY1Ru( zFo`A{|KrHzCz&3o1a*At#*meY3hX`UyjrSb3>7JVjf&i5J$em6=Yn&3`zD_S2|z^h z_JvBtL`*=ZJsUt${T7MTgY&=txNKK-wKSbOz94++^_bR%TW17`dbSXTAALpjKWWb~ zG+oXG)Sff}YELe#{#kqC$L{-nEmF&2`OtP!ac5Clz^7es=5aFKc7}Ur;~mhvy7pTn za&rR^iKJ8h&Pe1P59`o|02v9_qAuX}&GkQy^+`|9wzKx%{MgIg>dETeIY1=B@9*;- z$3a#T7u0_a5Q!B17Kso9%+Vrwg$)7%o1dR)1UfsmFz-U3)PCwunKtV$34D864JRUn zwMuj0AJ|~SRTtlR>Pc#w418}GYB#QATlaL*^pG>qz+4+C zPDF%5Rpq)=(YSM5tvGwT_o&Cez4Lu05dVVh4i{OLNx;wZg2^?LEkbX#}&STOChxoED1!wn_!SB60GG>f1qa=XS* zSzocL-V9DRpyzT1vv=Q3?X(tH|MgecS?#F^g^8u_CrY`#`bYFBq2hjc1n)%f>cw$H z=<@DU-XGzCr5@=9(Ep5Uk`eKb|@0tW(yS$e(+&kWnR&hGuMfY61(B4|{YtgQYjX3@= z36ZV|PF>bEg3!V`OTh0t=gKUw+h24Zcd4Os9y&@|wOts|6Wo7#4w`a1}5Ij)XH`^B9=}Gw)&Jnbkg{^q64ODy!b> z#;W3@69v*P@>TCCfIHP+vi1u;AF;0p)4g!W%bEx>JbQx;zZiBS zWH@^OEe(To``FpAbu8P@Fs#|D8?`N3<+UC&Cjf~w*4}pqz5$+C8@p+$th+{#>>M2_ zk2)We#SQbLse>dmhWVo0EuHX-Xq8W(qM&HAQd-a~pYv*FKpL{*`$E`tx}f49>>!7H zh(am_hKI&HE+_6bzGZZE^K;^+U@5fJqzgtZ`!C8>R_p!EJ8`BjZ(!qj=u>z#qf>OF zRuOnZv3?;PwRX2@Qn&0*O0e2E!BY}^?v=8N?~sW5E2)->DEqPBaqm=_uJVOA4oaS) zrEsogW#ogkP@+MYv5{a|m0_pMEBmm-GZrsfS%rj^1)4Q5XqhXDVKCR}j z_-@27U_WbpZPT9B(?aG6zV2a4H{z1Xa%q^%+kzd(ZTvG{^T->$mo;I@QYplA9#5Y) z^k|hi)5rm`=9xx*C)rhB3BLsO2zG5Rk$ zJB6}t4Q&|5Mm?Fe1v{mmT^hlCmB5FSZ_E_bQ^kz03(7K<@NIifCMTAY0f2gxrwAuIk%e({2$@F`#T;y`Db z&X*^WZq}6}=~?D@&W(^}yOoa7ovH`uiyze0gi@Kc4k7m1NIN89*iEA*c`BR8u=ay) zaXaU%<(n9!2YDP)_Bu!}>qRSD4~%3#>d7^ECk8J73)@i#Wq6k1 zjhyuP=##KwY6GyP?*rsiiQMSawC#J6ft1Jb%f7wenhQR-qVgXoW^3;3Hh#GYk0+#B zj>!eGco1`qC|CJY&m%xT#9YN{+6D&1hnY}AAZZ`C z6tp|FR_Z@lR{6%PUSGHtiQk&iUjc7UKW7W%VShh&o7J^SZ62G}xBxG^sc?L?ifdL) z!U*e-nsty;H$L1cVQ$`}b4ePpmRc0E4wBYo+RGXhb(>H;ap?L?dELA6QLocw-g0&# zXbdlOz$)hE-gv(QTGwPy&G-wY3Tm5a{(EC1WdNwco9Y4ekW;;yc9JgX1^6y-)qU2e zgtS23IYz)1p>^G3c}g)R*XX8h)~#J*tE@v8Gx z&{u~cRye|whoy8mGGBAfoAA<$<)?9&sl83XpF}8EcgHKiM8!d(GtW{_+Eq*k| zn*lF4z$}Ca;e1ycuaqg_;jVU zlri;^LBy$be~T~jCS+2JUbC=ZwPovuH+u)Nuwo(fhnYYnVZJ04z_ zR`p;T;wuMdb@8vkj}IA#f^;~OAomG!@>|~vd0(8dj>Yoif^5*&@-VuDX~O`I-fbV< z50Cp!8=Nl}W}InjT+WiB|?FlKBTsh?F0!N`>!2PKDDXLoyikzVR7& zUm14s73eaV(@e0TUk(ZnWUD922GWfn%|f}YJYWgz#eGQhKnky`PRy)jlg>YH1W{yR zs0s*1>v^`+t81Yv1n-FNWU-+-;FV!6XqV)`F0t!TOxHCYABT1GTkJedA`sf)pEDYQ zc76FkZq}hAUi)qu0ykMZM4r4LO>M{*Vw&Cgdw5_e+!2$TNsn{q_Nb7!MM_LYTx`I! zWUNoF8GJ&Z?bT)&^06qj=q7!BzBl;;BEK`Fn7MICS@~yA;60Zxn%c*gtnXHY5079= zvupN*4q0ZUB<#9ALonoV=_}B;(D+I2!J~sJ+}dtFs5_;* z^464vx5%4@t8IS8U)1^KQ;7;#Rj7n##Y-^E>S59|>XF{@I1w?Jyn~Bgb!eT^8AV6G zL~`B)|E{AM-Is*OQ!`j-*5<4bJSA57d94ACz!Z#{pysIZ8!Ju?&tC8R^*Cm=HBmoTDC0uv6lIz8t)`^cTUJg-qW~#4G~J9@pXVboeRUozGS3>L7@mA{Bh8s}ts<(j6 z`Jo|nT**)hV`&1l%GvFMAc$Z2P!o3Sp#+S}4n2xF(fEstzpME2L^*qqAl&qyz|l9) zx+*p_ef`17D_B{dv@04`J$C!rwbugAy0%aNA+8HsIGcRSM9kk8Q0Nwrh_JUto%_SQ zB_yFV%>?!Fzd8|GF+j|N>37+a8DT`=IQqyIgO_9heLH}#O`590b;M4UEM&sl)xG&tT&lIUbQzGdCDY?n#D~Ikv0(N z67t^Qf(vRyxV=j_xw4Pv4? zefhXZFjErKxDE`=R|oK+X#YhOLo^Bfz*wZpT?Scn8A4%J(~Hf7x6QBSkFb~gr)Yqb zX$-=E^{RY$)?}6<;_CF~tAMz63PLCag2LKi4nh|b!JoLHbZ7}Lri6HH*X3$-c_eC( z)1~x1cOU8=h<(Cu$z<>AA2GI^*X}yYCd$oG1B8?l!W0?~z4wD1#!%jK*w4PFXJ$nP z1Yba(HcE~c0terFuGMMCRj5hmwgmtvV0krH6UJ`!EFl{Lg#b&6j1X47?&soaxwVFC z6kN*-Wk1O>Y8GnIZYYp4>T;q5-SI+z`QnZ2y-`_uQE}yF5z8JvX&clLVTCY&AJE!p zbl-!W;Vb6A9=Ut0t+LVh$sTe!D4}_I2?CWfIc=nWUWJauc`;v@g2fh;g{)NLBCH6AVE!2D8`Gpe1p%S+@+X%vz zm%ip|9cIG#_eNEJ*|)c3z&)#t(bZFVnM$bh@=Z$=nmUT>%Z{C%59RvmF`zuVPC^x- z2{XiZbDyldeQ?Ky^c7o+3Jzhq1!3-yBWBO8(sJ3x^tywNmX?!_y|<5by=b8Jn>xn$ zu;_QY&`3F82%sw^TlfDiT>760@c$(oW1wgKAJehvKBQiPFT%5`)czs`GosaLcUz*F zQ8|fri1x{i=}p5t);Vr#_5?G1?CKH+oZ{69*M($Q({t;S0y;8?`m;=a!2W=){CYBU zeFJ6u2XbIJ@@4L_IE~~qeM;rqkE}-&eW258ev$v?=V1O1?1=vzlKm?b`*%pj{0}@| z=>I=kqR+^TiGY!b<(~(ktjzppX2kGULGXEt<w>#J9uN=qi1K^vUF<{6d4P_UmYhWE-@UL&qVWEuK4dv|Noey&dz z1NK+Y!vJg3cX2+8#(IpuS=z>gPto5jO=Qlvq8K~YcWtI5%%^xw(R z#28kzOaDf;ZKAfu-g@3+)70V4$vFy+$Q1zRVpLl0yPwi&txmvEGvOTa3>RI3rbI(xgpqEK~_+goGcaX+HHC_5;L4Ot`T%nz4D zZQ(WiTEt>tQ_e}+UGQ4_bD|Kuthee>LFy7Rdy!#8c#YwhDHKubOOkE`jcon)&oIrx zx0h3i1Lkj-_9P*0`X9qIi_b8P6NL-}OB*Qe(t{ux$O1qx+2Y3>dd~D2rh)#4FfG7H z(iJ;~Gsb@68gm#|>RiO+BuUv6x7+|o;0fSECKs^woRCuX^aVsfp;-)j0N5KOZ#v0< zc&+c+aAxR+(;hQ_BVD{FH!UCCd7|%cm`0;9^~>NmH|eD>56Dnb2n{l_mYH$bC8%2i zih6>kf($6j#h_~(#lLwTa$$Ey#Ph#~Y1JryU<^TGTq0>r;pjmjpD>0JSzyk3Q`7lU zj!{39SqqqZYmnXTXlLBf33o{3kpc2oM-X>L!8Pb>-O7si9{EfHZp-2){`-v3(vN(O zGJ5~$smeXma7@|PR9knYBmx_DL87mP^qRcLYkdMIJWi{ul;7nfNU0cw;&tr%X)#Zp zj%9uT7Go39(s7-UmxZ|{oW_Yg<88cx* zxw8S~oL!7V;orXa$02~L+;((WeQF#nuNK$xZ->Chr$b=(Uk-uFzYc-9z}|VxFMk~Z zKZRhA_b@*JZ`Cxgu=Nm>o+fbuvqqMqV5tHGz_ma^fI{RSu@|i{z06r;#Y%x|{^pqX z!f-q~+#&VO>xe8VvA5YB%+CJK(&OFz&#~6Qjt*c(b(g1t!;=(|I3xGTgqI|yBVNCZ2*KqpVKaf~PmNxbX4Xu|*i*C8fANLG z1^#Dol=#o$=>9l&pHUd{z( z2rHWASyQpCuYje=*2{wCM#ka_TMb~=Ry=~KNP|I1Pxw7d6xL~<$c{75t1THR?9f>H z>i~1C*g0cHXNKvQQ=?sbrRc+X&kX!ao9?K($D6LnyGK@mT8Xw2qF9cUR2_|K4xvbC zS8ptEQ|e?Z0}DE@Hpo*<$YNd4*-TikHvNE>Hf?AT#w}x zDeLjwM_3avqJL`rMQ|Dl&O&`FcUR?N=@V+Q6dBTEgJGw}H#gJ>u7Yf30=e?mv2fn8 zgb~ri@!$Pf_vl``a(g_zxOww>)X?Gh;}8&SNvD;{{^Jm6sv^|hqNU?|@BX+ks7Tqm za(%rh_!Fj0zHDp^0DN0K<>~aYd-DI+;%JqeJ|&i;KNbKE68lTN;U9&7+v8il4-p93 zSMf1CbQ2mn@1IzANw;^h`D zl%kndkadBd3W4&goKJ;-%4~IO37L^=is_C0CB`s>$kan^%4eOS3U=5vuRKj zPp|4LIuB(idBd;SsV3!|ox=8D>jZs~etX29tnCci({^+1g%yr`NXp@rjbwX$JYPpi za=BV7Oyr{7KN|b|z7-gNoWo))n&by&A@p6NN}lxQU?s8fe0$OQeMm}8Nzz7uoB%qU z{rH~0vv)OSfR6;&2euq8v5*9c?Hp>8J$-af{2!!}Mm}S2@)WD&C4? z8$X0cIqmnv3W+s(z;`BzEjbey+d9sY^+Eu}oWf_eaME(EQanAUG-)_Axf-uXSmLap zEL0~>CmAy^SDJRJJSw&&olC|QKoBd1F{T1ZszLbUeCe9kbqt~yG8^>$f!} z^*M#buY~7YjcQVEYpm#-uWI@lQ{iYJ+YJq4Mu7U%Ym2$Y#B5{qdXizzCX-lFvXh=G zZx8|~PRB%A>j*IWt;de9*vNQW!Ai5AoOK%o-|;0wPd@lv6_<}E^XJkN^=m_?=gn7a1*HWfFRR^6-0#mxz*85K~F*lE&1JY;EM#w$(>m?VIvQJ z%mqeO4Y;jr2}*%U>fIulP^VxST5N^f+H!R?D#1OmFoZaL^_%#>0fsb>sClq#Q&Ov{ zq9h2LoH?pNmHcjv@GsNwgf>~~@a0r{h)C?+&RGP}NrDsFXL|}mHf`jn@{i3+AB5gh zkXp(Ph-sWw&i;=fNI_-NR4uDa&Zs%AZPpoiSmY#raIz7&B%A`?C;fqtz$hsDLZPpG zv0`-At`-16S6R@}fV(8t;?UD(NH-w*t0H1E1 zOF@8FLN=0E(_SAu!#(Hc7dorj>xr`WLEzJA>p34kLOHl`h{l)qA8BWK*qqsI{6d&- z)E=pO#74--L%%Fi&wLb`{pEW$wMPl`9IX+SwUlM?;t_8ZA$sAA7EkffsR?<%`RU!s z#|g;e4bx`f&L#QGHeC{?EBZ3%U=@zmqOTF*lzi!?C>1m!qeACFIrfzj0#4!fPb~O| z{(V%G;9y0QRkoc^Uq^xnEsx@C1K+lD>ijk8iaE|+U2hMq5WRRo%0Di160$Oh_bm5f1PKm8 z1`8iC!|544Uy1_IP^DEZmcZVjP)yBM%ZXE-ZL?x)t_u4wxL z^CvGlnv+8Mj}AdAohSEUr8&lzWOw`65QD1UiinTvS${gl@U-xcgL z!T=PaRs4LNTemA#)J=6JLSW7AeHTn+<$~n^8MN9kcoZ-u2ZbdYaYd|wBcUgD30cN{ zavm3$F=ifHg=NMxqkDE9{@Szo+Nk1XF#WjVlPFrQ$0hORX_)*CuoBD#CKGu`#qJ*m z1I@iqO>41&piAMl$f>?v93C&{m$hB$)$oLZ1eWWBk=5})3uy3HtDpll(%>IlMm)wZ zwoZ=Mv7@~Wsv-il^w!;?OkN0*=v@U$WFH8E779V9FTRtP`_CDPj_bl*6T$@v9U>H! zyRek;+X1cX2BQa{{sy|?TUuG0i2gaYsp|xVlxnIS1>A~-+lnM3Ut}S?8K&qC76q4nhR{{@`+Mwa1yo+pT<%rZ zSS)VLu$X5C_82=hBGfOo4;$x2XplFx=GEb#3Sl)7W;f0dp5%kSkijGYbobiBBj=h8 zb`LJ0d@!5_evcoF?<3aq1lcjEKPok}Iz59XzrY zvJ;K3WjMyd2!?~MT5lJL_>+Ei&RgvXZnW?9${wSV7ejO8%roAA`er`miv7t;Z^WlT zNaZnDrH@fIp1wIsEy&QF_!p&Wk8M!T`feImor$q4V)Bb{j|d6H8|ZCJi(g>xE-BtE z!dWZ-xwIfL19Oo(#Z@G1E`Hiv9RHP+;LkSXbRr8Js&H)V&QX4H1c}&aPEkplE&G{o zWG=M7Mj2XzH>aprnl3Ol8c#%wv?oABf1ej7wnOPB!9Db{0Y%k|A%XU?=LARWHHwxV zBR}7=&zji_GJC^higVA$EE1K3$h_3bv>!>)Z`U$l+kCJ#^Eo6~q0SkJ8D6R6O)5`r z3OBi^%WyIYf;ji!G`$8^>B(sFm9wQicuSX_al1Lmw3KEDl-gY-bpqG7CKz5OI2f0% z_IBOk7UEhc1ttBwZA-Jv;E$jRjWg6bKjALqPkhl34~JxmBO*H@FcGC_RUigV^G|9` z4NiJnahT)a3P~z2+0a9vP|-8E*)L8KOCU}LABAhfC!G!mcT%EPFxdiKAI~CG z+NQQyzm$4;Hx3E0hSLs0v`wmQSCw&>6p+__OTp*&5tpYGeflMs?#`A1C;2P5!D+#Y zQjGJ5pBm3dL5z$TSl1>IY1%~u#ql%YF|hffQ?6S7Q#yE;J3T1C(GmX6G${aQ-vR$F zVG#FtGBe9hhy}Q-Su06zh%n8a%84_}8w7wyQ3COFuQRJJ(n*tB+fAqW_P+MPNZ_7% zBVIz#9iHz2Tgik;-X3%6Qq!et!a(nE?@?)cifnCiIt-I`*~nidv*1fwa|S{I)GR${ z1xFNIKug(nmMimxLVxy*OMw+*f&WVN=CFX)d{lQe!#-$}%2K3$^cRB@TjPZgQqeX` zv|q32b-j!nj{w-?spV^Jg+aq=vEqc-PKI?Ne*3TxQCJ8B!;Ibmy)5{PzUqz8U^z2X znW&OX!FG7gp@(pzqW;eW;zOEYF+8;$Q`WT}i6Ey^SK}QKc;u2Ze`#2@#{yDu08N6Y{pOddoQ z*v$}fq`qjeHz9eQgvewsp^3WyExbNL;b;NGfor+wo1OYFy-8O+#8}UO$ap zCz3}7+NpT$FD6{)5C@Th8o@(UbIj^+V_G;t+5ocdGGTjZa^NwY+=8)4ja3f-try(B z8YvW%sbwVw_}UJ70)0D~yiWeYx1x5N!peRx7nhN(Q9gvuaV5BGaePF0{A2FB6oGnp!7p2gH8 zUb2j~hgVQx{W4PIU{kY{H%-5xoh3wYu>w6ypb`Iy>Tn&waH zcBnmAmyQ;L=>D5l)lS@ou^|wr&nX(NBR(*c*a=Odq9(q4Qe_AXKQ{v6*G!VSx`(?t zb(0A`{%#*E_sA%Rd#VC-xu)^<9{P8*tzVKJ6Ci9@Rg>(9E-SF;B6X}hloEz*PM4GJ zNNP+Fe2wB|Kkj}_K(OB}K%SFX za~s^;JXiOIXj~qTr=KWi4wGMQxbdN~@3i0~xqveR{YW*d2+)id2Xl|ko+N+f5%*?e;2HR5n#j#FI-!woqm2X#QbBzJf z69hnJ?-C?A4G)LuA^g%I{KWy81PB$3n?;E`KVFpEVK(l=~!c@T*IU3vUnre|{-(S;phgsbTlWq(?< zAyid2!npZ?xZ9<@IQ}W|#Ztp@tu?BkCesP&!q&Zg7Ug8o7*b_hH@O_y79osP0G>8t7ZC9la*b>$FY zkB|k+Z{^p-$6`^f^w2}QUo2Ydr&6Hd)|?c0?Ov7N4vvZz^vIB~FKFu)teb8$!=G7P zijO=uD@YojPa5}(oyg0@P*PMLJk`-IMJ*5{Zm>Xa63yliv2gQSwgzgseWu_GA4`g_ zb4D;xUljz*V|AFuB4HGTU8C%>A4GZW?)bBdXWa#9^VpeNJmVeoicbo#J}ig6 zZ4C&VmOVTI=DEFooMHl8GM{}eUxfhZXW=RUWGBm_gZs0Kkkyv~W}xvgup=w{Awpuo zxZj0WrD~!lFs@Y!yxLH)NN&hEG7B^j7G7290h+*`VPhQP91NkNlSgB|AibDQC-rUb z3btiU+P^&DTIVo#^sc@>Ouz2A=EQgF%}_I|VaA&a*+boXe-p?#USzWA+VJam_2nfT zxX6%Z`eBLBI;1c+3 z3XAW`%vgv{DsLNBINJf}J}6JKuiuprqf?UH41zmUyT!Ig-oPBS6ak<^898@~s^4qg z(CuOd$H%kM2+vD6Qp((1e*m%X?S0q#x$*6~`xF2qfryR1z&a0BE(W;K8>P)j)Zs}{ zA#RE7>c$JmhU`1zM|c!y5gMR|7Mj4(o#_Eml4k7Li_8Zu3AWO+71Be}udC>nR2Fu! zA-&%HTa#A}vhO1%&3a4!}QJ6!*!hhZ55qgmbZISm!^0ncjY_0zPrvdV`6z3g1b zlA9_uxmj^69ARctJ?rY!c8Fea6WZC_54|yn$kRq_viG74t{95X7ADi&5h1U~Sft7` zYqFGPYUcGH@tak{Eh0PgJfk)>yLG-ZH|_FS$)2 z>;imY^w{+~?TNUrzRysVpQGcP%Ox$euRns}xT3zR6A~+g8yquMD!y&CHvozl^fjGL z%{@i`XZ_e=&2%G{S6;G^@7lu9-Oz|5rcWVs5qt6NbF9Yu>klfW;Eg3mC-|~ZM^7oo zs0UyT2yzMKK%Q$`R2K3MLaII#Nq#EinLRSbpaZ;6%Nb*()?ate)n2?XO+qIVz4q#; zHOHWVb?900qX^0g(Uc7Mh&;rB-%K8;(ivg4Yf5*7_6Ee`5vKZ7-);yty66z>$3F%ST=Q5TcF7RF%kNp_L7XalcaAH zlLnyR>ucb}F@LC4>NH#i>a`@ZE}UtDhz`K+*9`KpPMDifpU({`gi4ONts!fEsJKJ? zXcZpzfsWZ#q=st6zhx`#?9LtgAtzF+L_bMA*j&pV3$=-&itb0}6*o%yT}Kcgy&zM` z9%%;LP=}XfTC;0S?y$H^n?^q^?Y9Ux9|dbP4e7=sL$jW$7tE$m1^|D$b{Um_Li{OMgbwgc|DsQ>)?y3#0GYHT1M-N^@oTp%Xf@WOK z8+OJN$P)>O`sOkxi~VZGFKx{iFi;Y$1>A`fNQtf>RwPZR4cvL{+S@R@w_ET7@vOCh zayF&B^#|byUHB?lT7ydbAvno?*ge+pFXX;KM9pL!DRnL#;V_W8>O86;NjpF}7Kj7i zxdmdP`4t|3asOoG17IL4aKz72{E#mvj2E{dWT=ih-|>#YZDe3>^0AGVCjrlU;c$=4 zptrtAb<($oJKQQ~7f?5YMsF%6Cs*XWEfL_8f z*y`8@V%SH`PaVUqrTbm{SggO+z*&u+dYLrD|1q`REf3G2S51K0{AzHu@e!D*#KMz?|g9?n)*zk=|G&;NW-44mk|L*`DwsVLzOIl&=tJ@ zxT(-@pHiwqaM_%eG$9xUk)nIQPKl~N0z=7H;wcBJH{~O`_pra9aB0cl0k*g$ zKDTvgnH4+9XDl(N-*Fe%nj0?SfaVOY^=vMWi+T#`GhFG_D5$8($6=8`smuwzs?LYX z(4;>^Amr~@m}mLfMVMVz*F7wXoLzgL*KBWMFb|%46Yz!~ntt2UZO~}`Uf`k_VPd@t z8>03p4fPI&vy8tjB%dzNrhcz#BEMoR9PSCj<_;a_uTry@XD6Dc4rTc0uF68roF6QIVtHV?8zx+ zKvt?4a=~>j)v)L{Dv=4cbjW(qdVo?JVafgPU3VMVSq|#db=tIvdlVw$u=i{w*pY^? z>A8g)Bh=qsAU|OX(*&jC+L#@r7!UO5W$f}4y7D#lc@_Na;XFTKgxYU5yBbfbhs4<0 zr+hMpVOA7&3udN{ErX%KuXPr?y1=)tblwfm*JOzyk@VrF zelNF}3d9TeKKFrfKBJEU9px}ZKDJkb;2qXUXXuv~1C(~B#`YU*+!?QdD(G)fNLE_y zLnS5riiMX0=!rn(R=C4FMF`9Rn2DaWzBiVrN2#b5A)Icwp;y1{Um#IEv-co-i3OBH zJtTR#P=l1K7+6^*%e5yK?VIN!AK5(;mJ?9P^QVYgJwUrUAAG}ZA)ARnIYjdk)lKm0f#GIO?htDF=T}qT|kljSJ2G}FxFqi zlA^ajR%Q6vJMdQR?zHDtV6TIPDx(I*))g$WEiBA0!lu@ zFN6<$AENv=o6(1(9P)Ta?T_D&h%P5Riq?#jVA0e#o+{3eWY7f7R{@o30m4g4e?1mo z@bzJ2HyPMtL|{0Re%M`lnxK*>o3me`zBFgog6bE*bo}NFV|2S#=GaOFA@N9VaA5j; z+iOPXre~&0D1XLEox_<_m8cehs(uLqEDCKSgKp88PEQA|n@Tr>p;_u+tcOdWAK7yW z;TI&Y7Vzu-s4}W+v*n?hL*|KdGW01&VS{GtclZ;L-&&unf-VKS{r|3p^+#Oue{KW) zmpT~}`~TD?`y@&?%Ks%wvob;aB}zjj8GNpwSwI;KKb<{5U4>s#{=1LxAE4I%y9xFW z!r*`55}5y>r~f~~CH$?TDbgvLIN7;48ksn8bAMtQtnD0?>0|AjsO>mw*J z{Jr|y$>Qau6LEJIQ*t(N{&Q07^CSZ!$3FyYv40)>d-Zux^t09Wc}7|J&l&cAnr+I; z|Ge2haESjzmHu}V?BBQkLlf+O0#38A{7)vHzmM_%{OkS~aGITi{=byJwCuEok$jG7 zmc{COIo4mn|B@8G@k4hQ?tGFIP$TNm)Dx)Y!rrgAwk9q)NJy!;1(5FYQbzGEOQ>Tb9%?&Z+~!DMgBt zO&l}xt>^E0&0D-SpTz!*$nnWPi_4{o$j7A?jJ2~4t^2pJOC8EUdjR$Ex+mU-l6Aq2W^ih7so3=PFLRI9HWH!1)N6$V!7 z*^d=R;eGq=538w>mC9T^DcIL;%a}z+V5D3Kfix8pWZhsAO3sK~B8~g&Sej6v(uhg8 zhKPxNP=K?T@kbM9L$Gv;QZuT;7#4vz8>12a$p_$D(uk$eCaK7$h)4eG9}!RCzePM3 z8=yEv+mJX|@4ccScFg<68W1l)xcSsm{312`o;+0Ci-QI&nY3IpfI$MpBzT4ps6^@w zx5}Xq*)J#Nd(6>JSVq;$Ubze#s`^OgGPiim8ravsz%b2iy%{6z@w~xRQb|vZ_(SlY zyyu9NGWJ+lSma00vob=i=vVoYHn zF+`Bv_SPqFx8D9N&~sL%w>&dLto)D}O82d0T0i60qpV-_r7mV7iw&4ovFIjcQPmFbINg3P2)HC-#-Mm;u{%|wQcCM(8PjEi`mU#5A;BRr1nWrx4GL;Yc$2 z{YnW6rZ|eL{*v$tCzm_k#i4674;MFYTO5uJsgrF#HxM+Je}58W6cS`)nLj$Pj4bOD zXYafs!G1Xsgd(>4h$5SvZvU2QKY;{Awh)vU5|mi{4Hqm(y?#GDrErxY3I1$vhf8%g z4*O2D7UHMp)BNGjT1nnf(i}yF-AY7k0j%-GfC-em7#SJlmC^<$&g!Y@-@HtxYDB(@ z4F?E?W3SW0y>VwsA!FQ4T7^G860+N|?>jACll;XkoHBpj{VJE#qQrldKTm>-A=u8wm@=_G~4R0a#>z-@O<1TjZVe5!b&zYzChfCd9k|5U(_|6#nH zlLt33R_LnX5?6rN*J5A0@}?2TeEU1RcSNN?t}8pM`cL_5;h*wXxvlCYw2NBz=PNhH9bM|a%i85F<8vJs50|1{TG`o~-YRxHEAi=&Rsf;cB7V~%(V@0Y zWs|e0`l{AcxVCN}KlAVtWh++$UhVPB} zPED(S6IG_vKtNP8y%#H^&$kHaBCb!q~Bf z37VI1g_-~c{LRC^=M;o1sFXC1aq-+|c5It#2H}UM=5A6X)4LH7o4|F09vrwubTQ z(YIqAAsHN@iF4z@^n(tXb_1}Qa5FX7 ztiv57Ed9gxOZdl(E)9?EzUWhTPXL=7WJ>d>X^$g}HL<6-tAQubSBOY{;-*SqQ)3bA z;SAp#K*ITV5>HkL?$b-6iiocj-Q|vGg+VfohH))}&Nlm~!YxNNi_wFM)^{iSvkIfwrLy=0wPFpH= zZgJ0>kzF|21H-K=F3G#Y%fle=NFn*0YgU1|QSR}sOL5_*7=1Au(iOyeD zQ*6sf^a~H>PV8~Ef&!gd`I0I!9dRfkX?fkxpd7!pH8OhL97Jj&`hgtvHsCoGdtMa z&oVm*1FQRp**TpiluL+Xi6P$k4f%UzC%?6D)Iu1Ox1bBZwbVF{loxxN%}>;62YRFk zM_uiK`98nhO3f2x@g%$Oo-m1IPC1J2DLh)-Coxc8v!)NUz59EDq=H-Z`>y%KUqIF6 zRe1KjO}x}digt`2>JU-ukkf;9&&2QRr3BzBKnK;BP`^H=11%C()zKAtEa^LH58uf2 z->AUBJ;IKwxrFcVOx}zRL8W}Ja-!xlL#xk7P)Wl^?lNPNw4qe3n#(9r@6IyxDCI)i zUd}Xoek-W;+K~RfU_NwUloEPU`tGFOUHU6*tM5iFJ=^U0$1V$Xj#$b^stWEgHNeN; zQ^IQVCka|7r`-9J&u4@6d3QLB=ffAtbF~U4@~HWEw$&U%^@QPBE&@{;~LV5C{5V0>!Th7In1vdLqpWNJQ&RpMsP4l3$5XY|Tk)rM6 z0c;(p0)S8KyxuBe!DEZMLT)9n^V?48GddCpQ8=>AEr?^b3DcCAk*lL@Sj2@sn*ySQ z*)*G50(rLmOD26%v7T3FzhKR;Bet(j4ZMATwHewcgwrUFsz>oKkA16h)JSVyIhi z`$R#WRUqPEkPwBrv5%EE;h^qmS?P@C+LNc0Ry&MV!A%KKNf=UNGxtRVR) zK#;RWnBt3lPV!TMrup|-HddDiJWE1lp`Tr?pPuC<@4h8E_IQm#kyK-pvyOQQ2s1C+ z=1owuFnvQz;Tm^7WLK$@MU%#(hL;Vl*sBbh0{77!myGA|4hdzt)!X)h7L@JVw3lN( z`#uS~W!?5I3w_96dvbVeqYBPvR?rP+3{q1fC+JEq@ZNR`j}d3TlpfP>0x%>?NJ#eR z%N#+|Gp!~sw8Zn#p27*c88T>%_MDllF5hC-zwjE*U*l#oeed+~5BXv=H!{F#MSjDN z#3fuVGB*`Q8}Bnkd08wOP0ZV{m#_)3Ha?+b&R;gT9CtDMjVxkWm8XzD3G2Nzk!MSN za5L<>E8gkCJR{zF_v4+oMsYyS`o!v#F=UX(E=32&4}~t*FMF0^!FE25JwK&C5B`SU z&wJ?u_8WbS!~--5ZT8xF^0#8A6O*ps9;|`(0J{>F7Ij8P+1m0tve4}r zhn+0}nh24K2);C)`>}#frw0F7$vLxAbed#U&hD+|H57EA5o)61wVchK30Hb!r{N=F zsep&7Z3e-P5ww&?J`G%oPNG%Rg%a1sJ zv9PoYl9f0)-gj$MjJT%G39Uy+r48$kr4?}z<^Cfu&tnPXR4bFD&~Md5w~<0Gh?Qf} zRPMpi+B9tF@`f+0)$!@^wUytu#wSBf9a;Iq&p#niIUXR$VrZ10d^;3YBxQ2qSZ_E4 z>NA24SAW4}XiTu%V+KPR_VL0JnE%`owR+$?CXB6mfUW&tY?)^U(ZLQtOZ(rgP@u zj51K44sNO4njVG`FB-!hONG{wxj*CsQ%0r2gzL@AKL{pN(;2Bz(|_n$h-rQZ^3u^C$zHfLfN+-AbYvVO-jQ%eaOUdX#vRSy;NGFmv{@bcd)T_ zn|jpq%JorJ^`M$| zYY{)*vEBIiCduxIbQw!2yqM~{AI|V!%HVGO5M(qnmH&ppFqb+_RR z%{UX+=@XfYAx{9LdO=KgS8(I*8pnea>@^?&q;J2$9@|AT&%z3KUE-1>N_>{a*COAV zI`ulo_i2Y(ICdzp?5~M(ehopDEeSV?f}f6xvflAH(A|O&^ZhturA9C?ZEgov)nI&N z1|IC(` znNJS^nv%B>)6=(UODQ}fns!V5yvn2)>6?$X0b!mO=91RN%Ko z&Y8()C8^AFnq}>mMcQotTt9=-I?pA{%b($624`OSAkO}jt zcYz!JDD2kA*kE(G*6s}uwXbAL_n97K?L0p>X6;l_N)B|TJPdOhph$Q5DaP{Q;}14h zdyo7V00O;>9j z*>_M|aJd3%cF4Xtw9;sgHcid(ry#A7LTJuQmg%)&wyGUqakNAHd>h&o{;?blKYrRO z8m)&DKgu4$dhuf2#0ik5mt!VUdaRB?81ikh>lX`$S&3jh!hSp6c}I4!fFU-6iY(oA zQ}(&~9$)g(-K#`+?_DUkyUqg^%mWC~;^%_a?;RBAj|)$DMTA*dzH1AE^pg70HN?bE zZ{#R#lhs5Cgof7@(GCZ{bVQ}~2clTgbh(*WzCXd})hj54@Zop2W43aL+@@ zNLV3CP?gSA(|W+@6Br(&*(#g!OpR;if4}Yi(y@EQ-xJQY3GaI!D{IDqlsK~pAkGB5 z_AMRkIY;+mudd+hw4yS8Q%pYUvkGW|O%>+Ma;Sw2?z*yf+B!Go-PITK@jxR7LJEt! zAIi~2bxN$5>|-ti>>MQK!?ic`yj(`XiI7xwZ{SYQOn3DvCYeks*+ZwU;Rm6*&*vf_ zc|$ZZ0#OP&1g}H=@DTBCsg&n})JNr@*xx$ogR>Z(uF_aiyOv%e*3DPcHu_DmM139f z)8*hbCLc>N#DeVl z?tt<*B3B4o4GI@`IhP*s7wlr9NX?3n^W0t=!4Ig%0#F?LPhQSbrcUz8Os*MxwO54|?fUe+*@;|Ub2(ZY* zX%!{nQN5nb<0&Bst3k^bwI)M3U?ug7W9g%U4!Gxl?B~H~g^P@ZQZ3Jg<&B2!krkDr zuJOY-K{vUGX>6!95{#=ifUL;qnD+*G(-kUkIviIdwqv*=&U}s4dE3GMkfB^8U}r#C zJTgE$iD)-tZn1+S3zM;?mg#joffj7U#3A(1+rxu3%*!C zSAzRQ-XR--&mpwz0nug%qN?t(jH9htiUGgX0}V1a-)89MkM0WE)89bUXN+}46_ky4 z?hvHC^8N4)YZ5hi+J-NQzsAixk?(!TuHcG)&yffBLWkH45&NKru4d5z*DV~QvnVY( z8_AJQ3bW6_cl-j*0I@B5yj|OJ!l7r7$DU9AsD7Df@y8A=`VU!1%8|SaVdU-1AgRov zfoL5=C+|G^^&(=M#UPQ)rh=i{+ah9k11^@HHrMMNU<=+kx7^(Y$&mxm zO;b8p&d|3QT)pxAaYd2(TokXUrYEW0fW@}7jDapvSTI_i{73@E_sKg!vfIQv5qk6^ zb*yp$_yIa5LHHm$W}RDlB&hHWw(9ua#=*qKZQ@wJwd=G~BES+WhN=)W#!D+;|3GCM zKhscj8PC}kqfXB{_+lFn>QXj(`cA9My@JN;nyKjy;N?Wl?qR5Nw;V9oTCrDy03-1qrD(9km=6v#A zt>%2b?oAfsR!1TvLUYv~WT_PIE3P_AMK0i!Hpz39=;~Uo-=Px!6gK`@Z2MjWbGg0A zqc{fZAM1qd?0%T-7f$FJo~luZGbp4a5ED?8HTzA?Od=wc$vA_6{xo&%a;;T$t8#!m4&HbvQ2THlrF{_(z?bwe{NzZS26}jIcKdYuq1Z6_LQy8?p-!}xdLQC^8fRGr zj&pHTDviUY9PX0@YE-KBjKVYv_d-xq843s$Netx1RgLsMLufUMM0d!X;r*9{K@{RX z6s2hpMy1oqB{H^dND;2L?p|ZP<~b6y3j= zpqJvPCp?4Kb~d7y-XNE71OaP)OS0E7^9Z+h32a5`>TY-5)Xi;H9C5$M_SgYR;H++5 zsW-|>B91Y@mo(J0lbM*uI{pq>zt!mH1XMKXhn0bmP?}%Dh(%!uD_}+~+*5g?^)cG?BW$XWw7l z(K3!RvXxY%W4poJJv09V-5ZEI-^8^fJzITnK9mN5dgQ}g(*vT}pf52+g_$xc-T3%Z z(wuJDINZF{>e=HUJ2&N+@QB1I4ZbkF`-{3Nfg{>4(>xD{Ij(my94YAY z1$^0M81Zx4=!`x~YbK%-OlUSR2KWTX4u_p^i;YpG*NuB;;0~-EOfM)wwVx_3?>-IN zFVJbH9#MYL09u~w5yfC_UAHYe)-+@>coWAoqCDBeV|e(|G@xD112J|r+gWYo9TfSy z>z>WaDDYE8p8FX?rm)p7-k3bRKw@wnsJ;AgNCnR8LA*QeeRyb-r=z3(^n9lNMuWMY zJ#J)y+F^~>O-I~pY*b(_n=naYkqSTJe+91PYhYks-Oy4x5)Qy|Yg%o8Z^Ivr8zzbi zRz(_zA=zZU$g1*Zu&Zncfufqss6b4i`YZ*O=U$lA5xW$$fTBiNB$}3x^{*%@d6nfQ z{kdn(iaqr7%Mtj9N+_zOm6xO3bX7FJ^-2JXsjvtAOo^{5ud-Vz$^(L$46vq&sZ)a{ zR6tBlZlY*Pb_r*3BLOMng09V^Iz=~I|Bp5e_fIJgs(&qypL7y2_cL^yn6vc0LN#J zfY{FXw5$O7VHt!qzfygpT&RD4(k!j;3@KWM0apWgt4m>5URcoHaz0(3Yz*DH$gF($ zYelAJnKjeWxn0Y8r&9G(o4+u1K2gkVkRMOxLCRGQE2b4MF7mX^%6CV_)xGNJ+9^AX z&8$3|4*U&d94>8ayj5;pJnH<`=6|MVyj!AhLEiS06^2ukzU@bPtWNzZzcdf{yM1zV z<|*o<`W39CZdfgU*12}O7OZjxoA$eXYSJl(wL~a|ip-zRn(2mQub62&2a?gN$H=`h z$%X*kSj#dGpiUk%6YVTbGN{E^)GPD;K z`4sQOIB~%)x}smzI-|m`OtkA)$IS|X#EOFdY@g6S+b8JX?GtlJv_SvsmXU^T-$
SOd_V)+fP}>zZ z+7jy>SSFV7(tvFWOtBkfXs~mdwDQvuy?RE6{g#ZsTV;nL#zCzFY_@+-gi3D%Ks93S zr*6F}8~}h4t3A|En7HFC9CmOIQge!SfPc33&3ynzvuWrFe-g2ZXICf*0=%j<+A&Uq zccL{XH^@joWI3^z4jx$SG4U=pzlx};)tn&in<&6ZFLV(y-UUF>J0so&{u?x&`bGSk zEkJlzUdamlkmoSHDJ!&S;-eka3EF6a#X0fek5BlDt3n@y{~7EL_$oN#5BN&{Pxwj@ z>^FRcxY2)SFgJ7a2Yl5b)N7Vjfb#iBsMta!@ESs8`aK`tCZB|Rx z=rZm!d^xx8_`92anm~FAq&-BR^&z~!*ftK`-^eGN*r~~W;au{MDQ9lA!snb;4Khh_k2@1}5^vd%HM3?@s zqe54RGj(1W6*Gr9aXrKvqCx7&Mf1ArIUsa9u4$!P@K`(X8m7O}nkB;Be2BwZQI1`G0;K8^m7KIQiiTp=Z(n&-@5r=pnj)MY5z!{*>^2Jn6!m|*SM;BMKHV!XIlJ?Ja<9UFyH{&W zNLW{0zDmE{tCS$L-|p4zr+c;VQ_GPsNQG;uq-iE)Nd(qaTrJH3<|G2oef1ikW3zLC zS!4@9^1>ve%zo|=)5asqPNT_(^Pg8_KFzDYS7d&=4~&1hSGb5V?D%^{8Fuq=cJqI_ zS1DY--K&s!eQ&Nm-K%Z1-|kiJx*A5$pYBx>8)eXl+(<(+-W^|AQ@OY(%jp^@wJPeY zPdfSoXFYG_+AX-k;YFyT-q-_$pmEMRwZguyxU6=x$G4{Ul;7=B#=O(bAMI1<|8AdR z{;7Qu`D~x&Xe5M0mk07^!R&NI1Te@X^XhDn^o5=S;0!e|-gEwThhQgNcYcf+1qfhthZRz|Cbr#9e@v*Mc+p&4OoK!Z&v~z3e)x@!y zCiQq@%f_=*hkAClHFv4_U#!S1-R>WpZKXb8Hvimk>D=HdJB--T(dq8)?p@!qxmsE% zJ)Sie{73l|bzpe8C)y3iQ>qb%lDrYK_t&Yw^qFAMjPkpawl!d8y_S z51JRkj?ACs)BNGj!vKyz_HpQ@Nt1qsrFf-{Os!}(R(JzZ#>N!s9obL#iuK!u@TiE7 zBh~DE2mA1*1>;wvPHNvKx|K*%UhYzM!WI`;O;^8a2yPE079IVdyi2ZPv9{xJDtiC;E`5|oqw0=#^6xQsU!60I4~l zctW>lobdkF*kpH6$+4>nOCF_i)lrvX1SO1|tzDl$91%KK4}eGQtz7M4mZ3-PVbeFU z-QC%f_`WA3Nw#a0uki0p?qxd9+Su}zYUI(1Ja%-#Wv*@P>~b!;D@o%gSGax6P%f`9rbBBs6x-I@8=1hsUi`0h zN(CXyN%AREG6NC*dCsJ{sZ8LLlu@}2{E)YU$|#THOc~4FI@IcPn1}ur$-*P2cM1ol zQMBp??{5!Rh9k$Z!&%~-mB<{DW>b*qrC1Y|&ph|qu^i_4*>xP$_!Lmy3xgo$i6QUl z=?bVns14HyZ6UwS66;}m{0d;NOv4j+gobEEG-s z`hwZ@%@6gtL;mcYuSKTH0^eQe;jB5|#eng&xY9F1b|_Ar9QQgX2TcK&R_#raju6k^ zTN@!JF=d`ZL1s{oNo!uPQ-#?KUY43hWXk@F0rvsbSGbF*ZYjsQRC$ki7a*G-AavfZ zcKp+jX@oR84*bgsTbi_~u)!ju401DUAy`dqT=y4%F-J_?1o%#w6>5 zdnJi?WRH|Ips&sZEVWFUs8-4@TNC&tGjy)4zV-}Ieh{`QEvyZ8YVbYPJR6qTFt=-U zeU+)snyS0)#?7^U9jd$LHX|SkM6wZ}O=)A{-KV#;?L}M52 z!j#~8A`W~aYa~Dvs&!8GX3RSm#@CYKq*zj%v@8&T#NP7Cf30pTVf-5Wi;SrkbO!?} z_N&U8dfs?s)yO7IO(PyV;eJLoraK|69_rWN08SQej_w#kDuaZX@|&y>O~JuXrRo%u z$o*ON-5}-|;|a2|PKXq=Wm9xvp=^c*=k4ymt{%e#W7{E5b^Ny>!0w`NjfVn_lTuFV zo`-EF^tqFFwM<|7y=6MVcg!uV_uF;tnc(R(O{ViWDIBmcCD9LxQIjr0P}1&%M?U{s z0i19ZBX;5u5xQfXvj5>s!+JiVXf?j?&Z_dA%{hjzeLtO1=iL&jfQx;1>Y^Tg{D7s^ zYKD4IOh$M>b?YLTNQ#0P0M%!X^%%=1$(?z|($T5$@snZx(TpXz`Q=dgR^;_a3r!V< z#lsHd@%_V0A)wnT3+()^WO&`r9DA|ua^)JI^ND6*EHLX%lmQ8gJoC(6!@T! zyPIN3M4}B!3eC^mfoN&6YW!23V(pf1eg=8He))Wm0X0zYU8K)BUwel$thjYaktBr2 zk?--?-Lhdd+siQ3Oh?@tIT~Elx6Cf6dGN!4aDJqz{@6@uuK7}{ps3pIh)AsLl!SD@ zQ?b}cur-rBSUPb;Y3`!L_cieBO9pX=<$73=R0|NcQ+)d75Y>@L&ZNwN{WICMF3!h$ zl%I%2PHWS;;<%5NAgUzC4qjPedy#4jqKnu>HXLqwFXV{Z3Qy!DgC29oI23It)%>>h zIeLdq^hc2Dwh74k$3R2CJsx5!dve*>FUr7vTaZ!iGFJ}8NUR&aZj`=pPh-KDemN8L zxN7!?AXltG7&|w_sDZ)3C=gr`mU1yD#rUA`WQHW-hByRn6QKiEOg`cM5@M}V$MAZb zc|(JGA)c?g=;i_URwVU6-{gs`3&F`n=X^nAXHXsLfJC zP)$0k>Uv`)-@VHxn6zq;ew$G%#vi9=1S6l+hdZ za3#Z>)3opIRc=TO02=?X#eG?28TeG z#|UM(op5Av5g+H2v^Am$!%|R|PEz8qr=drls=)O~KrHZ1)nqP`p*#P_}V1Ys4|jC$n7L z4Z__vO+HM|Bf$j8si}2>-I9Js8z$@)_1vbe$Ke2T3xtgHS#O`0(ZXbTFNBOA)tFw`uc?{ zIbQNDuEy=_0G;|hRsBIiUQIMvEV2=}ukk6ky5^*yJ~U>#L7XpOwYM4AztXrNsOb0= z0KdcJ&(G~dMmRCS@*~_4)_)MV_1gx@H}%ONqp0lpxn*cjoa32eCu}{KCYD8Bwk2Qc zZAvH+L0fDIG}Aa9Rsnw2B7kY`d+lPxMSIVy|wws z_Gr$030U*}UPgR@TX4KbJn#yjQkRz;36#m0MkRsFm}s~J0}if@^W2K`RvQ)gbteiV zopRM)KPK17|MnA4xEw|^Vl%VXV<$^Hy0OpY3Iy&Wv1PCc1o(`LsxPj&cnj!;MqpAFNT#M=a6B6z zO8eo;v9j}1oFEMG4EUkmp0XobRqTp#t&Vq&JZDR>n&oInuw>{%$Vr5wHun^~c@2z# zs}0;4U$rY-&v&}`KnU#eX6YBYqy;~Ow1)bc5N>9vH9VJk6 zL~n&iX?S3fw~X8=N#t(WG;y)p+6tzt%)S9*Bx?~GH{Eq=7ozx2Yek)GQ1EyQ-tN{-RSE{r`DUBs!l2hSILj~${EE(-qq(w?bq$N#<9D8++BV{+4Q+L)xDGSUyL*<8s7mEGTEI!`P&m(~7;v?Ap zCSPXAkeF;uXm;hPUyQ#f8f^bVLx z;HB1J7=JEqP=4YGzPJBIj`}oJ(nU<|iTw=Th``tVsfA|(oe*E=%+tP`_o(RR#FtdM zjBeCQa|BA7luSwWM&KWZ4ulAW@^87`)^huz7-r#?fy$hx%O%#%GpW@vuz{1|Dpa>_ zm4h(g`{bHiD3aOoU6$u{PHH-WIkzmgY_=;{U-=a)t0SW6%bUl|VfKzTPET+bwm$Cy zY)riNzmNM(MVdC0X;$0A!oLout&$WHy<~QFs@KdK{XoDN`q6+eH5-T6n6E{PJ7bbY zg%G4a#XuV4+F6YFJ(pPBUT`Rh5`v`>QK{Kysr}V?B9Izw9eB2P%S){~`tbHWLLlYV z``KA%&jGGn1u1tXXaUgwGMxX|&PN?TY<1I$r&g>vC)3;-8~4&tHGik;zztW`Ee1UE zCz#CBbXQ+7Fh$G}R}8bAZJ#vg$Wx_rf?KZTU2QXpM%y%O&M71;fL8P3=1&T*J=h&> zJb&)^Zj9bQ2k})aBLCx<(H<2X%e1jB5DaS=SpU;yCRU6z!+LX@bN!+8oos?{c1Be) zXc!Jc--xx*cRGHMh-(B7tpJ{9zDgQkXv~fbe_LpZAx?Zw?~symm60&F&yi{Qoa+0b zfXDttXA7nBS*k+#EKbeK%(}_4AtGC8sP#!mWPJw#cAQ^@zn=dv*DH@Y>=wE zI??6W4r<5OmYI=cG+orsuYvCL{a~P0c`42?Ed?#fVS9FLrXyC~+CZW>|G83Vk;m7ZuP7U;#+vP)`A1i{iDwOJ)w&6W(F@Al&MQL1DD`U_RsFt8h zw~FyqHN7Vh{aKx9_!KFryPQ2^Sr;~MEf*ui$~`qNT_TMn;1bAaOG#CgIM`1Sh!L)? zzn~TDEgr4*{ZKwS6awhLB(?ut>p>9o&Bwymf8ZN{#K96v{$yP#Xe*N^==Nq;@xI{} zd%B=Oh7Mx;h#o5;%b}sA5*3+(c|BV3FwRlR@%Uq3E4WZ&#_%P=cAbrsWfkYlbIXL$ z95}m*MW!~XP-NbW!g?=$cn}hsTwT7n4^ns(gP;MYM8wP@dqWA;s$;UHG=oYGCmAcjiaQxE^~{vU4F+Hk9=d#~IK{`t zc`(b8&M|PI{k-EUn4D;lBR_j*tygsCZZ&ADQ)9M@h}@TOWVJ92GALkzXW~dQP5=}o ztg6b;GYfb~<$V2`dLgwkEAv5EKI+2@+ghH2ytG`_%uxwcSIGHcNc#$vGc)4dUFC05 zow3Xj(#d>Jt`G8x0XyfpQ;^L&$;TlvOKGc{fS+50mb&Y=-r1|O>=mOm*tVP`GqhY) z%OT7>g%`qxS36tNvwSO1_b*TeXUG$?L~%^RSpfTx%skXL-tDzYjoy**{lpe{i_&3! zyh{i_B%I7C41N2}#`tpa2%EI|j9}nWL4)AP^`-!t4U{zasGv*^_#^ewby!*((_a!o zcG-=M2;vGIY;751sL+Wp<(ObHbcTs9?eye#Ih{Z-m|;#S%sRHQG0MjVty~uH@>oKvL1q>fM)4EL6TR5*tnX5Av$6oA)fnerT(oOdhQu`xvA>F{R0e!63}u zTHWOE^3HZWLaqrGBDncr_D~i|qHlj3s(~NRZd9b-Cx7dPID#_bSQ_a zjLLfQA7$c2-~W_)^D$n7T4C9!VziUWe)~!F7^T7@SlJ-2c?{ ziTP;VM67th-#=ui^{m8mzv%vA0euFplH4l5gps10$ouiU;0mu__&tk1Hexd(PO|}l zs^iAxNL%l&vDLq(PyHjuP#uWX%`0+j18!IC>(MObXrhOs()U(nc<=A0EmZpw?WVz@ zwmek{N46QI$*!TF+nW~Hhf8l4&0ixi7vTwiC_;53@JUKhQ%$ZIL@YUJsWD83QC3zm zVyJ6})^t5)XoCip)5#4owTFm4n2M_z4F|lR1gJffo#iHB_QR%rf!#fHKpb*vsgP|u zUP4GG8#}Y9Jdv`sv_i;9C3L7KPF6_o8N!el=_7^OB?w%};rw+&t%7~c*wb8&ZvxMt zj8upA*~B*46LV3%=4qP03ifWo7pJZCnIYy;i_YpyRtwW<`&FGRBI<@`{jsX$@_6>=*?ax)%p_oe)qHdS6D8NFNN(yZ7=Qv0SYClx%w6P zcf=7@(0)6DLI}X(3+6GH1O@qAhlRN*Gwkza3< zBFEb|k>HG3a~jb+ z@@v7t`$BwtwZbdqs~U+^-3B22@y)0q?rR4vi=LgBRaDsioaE3hh0af*)zWqshOnXL z?0{E+3SM-S={8R)I@CO`e56?XVS@(*rP4|tk@)0#;QpUpaDtYb^WrwD<-%2#-6UD9Vksu~0;UR7VHX%TyAoiK-a zzUHs$qJnpZOG0~!buCm)n%kB8^9%yPI~fvWbqKjvu36W2j4?F6F!;85cpno+5-;w5 zl?H%&Rwxkr<#g!D`gu*a2vTDg?kLKE2U8v`nb{{IJZzE1aYW;E=q!#m5=`E136vSB zRA^vyQ*XXT0{6B{XzAoIWysWH%$@7GYu?-vV`+2OHWA8s65&Tm zNj_rz?xm_@c4@KWr4CO**y}#*;jEy}w^ybU%90Ry#!Qr0{d$)0*rTKTjC-X#i%1V8 z_#rWJHaAZsvnfUj)&nvK0TM+eCWQwGO!d;7tA8hA7_p>VIatTXI#X8=9- z7>Tr)O|U-8T5v7AzKt)&#f#afOv-Smopk07=A7HG*7R=X+GUgY?d|ckjlVG4ri_^% zUb6OV@pSns>P=hg*FM+BWU91}*A>{*Id=X4=HU25u zS*?s9$+2*GxZ9@w_O71>00U(mmoIRW;0v~JHktU010{bjyMqcmgb`}{S6V<5O4>!w z3c20aitXDC)MT850Ht*$qPr4SX84Djpdi`6A0GEKVdi6^>+MsbH%P$?N%T#!P)2LX z^m6n+d)nOjrh!drKKQ1uFX4u1=vyxqFC23#8ykzK2ru_?J6fWjZ1cyXWKN!feWekz zVWKtQ!tM)AbT`SLbx>yUwexMw)K4k~spg%ra?uds$O>3^^t>Il_Yn&(tMuvkQH9AZ zIN^hn-^EeN0f+exU&mHXA2DC7uI118V=5Oe9-X_JGC)I5UY4wyPJanc4i-rGr^75~6i;<0v?SF(@I9lCdQ_U)`7>m19LoX=lgTVsk3#Q)i}Y>RGnO zje&c^hIY-4ZO!7aL-TvtHjS)oYwlvOtoMWnvFfXwmkNGL4^eW$Ww(9EQTE5{-R0eA zw1!V_d)NAA<>xoN+gdt1o7$H)Ev~1BlMAY*7LQlz*HmNRg;69Gf1y=xr5%M39V`7P zm;v+mD|<^XaX^jFs0zl>%_7ydrjw11)ysc}TcOP1iXp>uCNjs{!C1bO{@1Ct)icaiIQqGjwKg} zRwRLOb1Iqp3$22r8{Tu8GR9gtokC$yS6NdKA~*HJyBG^dpZ|FHy;vCSo}0|5aUAUF z+x17d7293=R1+n8m9t6)%DX!DgOr7#s}P@bQs4);U09OO+Gj1f+$Mxh&EV91vGzQj+o z%I6cU%KAjB%)qJlVvLRUex$4cw8w1UFya(Y3ihe*QN=lFl!46+oru#IYyzl7EdVsG z*F}N=QsH!j8H4tijb24Q&pg7<#MrvW$*!?(^0G|yv_3;2mvwf|4F z3icmpm5jLYNFjy@+$UP)dP~%%U1pvh5sL?hZ0uP?k40w$_xdMVMGJO;RSO)7zg{hQ z9~nY!;co)NVmwJuw3B#9g&P9%iB@5K#Vh$ltLnZ;B06e`{>V)18`$jd0Gbz+oR z`)qXlt27J;gcU)KQJZl*2Q&(f1|D!sX}ZL(U3i-DWUv#w{(P6h^89HavRXjCn9M3A z=2Ak(^a-~oAnm*p6^T6aX1HU209a+4_I67ScFIN7@7V$fgi5>EO?WqK=v5w&6-4lW zp=hM|0QLmGp7H9a!3b7n#p&aw(SVQWz?vrJn}_51m-&osp%}vKmxT&1jO#)(6@MLAXW)AMcJnZ4mT$2354t}M=QdD!j(tHjU>JOOz9xS969H3|Z z_T9fFC^44^OZyQahLd4$DE{RjD6y0Ti!z>rzsKQeu4nQh&i$SsTTW)L@+CSy%Gi?s$Y0J}F)_w!agCi?_1M%m z8~p*Yp0{G{mQ&&Ibq@{Aq5B_$g^H?$Ijq*XjBw=EF^U;9I?Cpf!w2>AG8_4{cEl-z zLq-D8fqpuu8tw7%j|{L)kqF=7o9%uy0%oPg$AL{CkX2y{qrvy!A%Ss8NTw5nL+q{0 zeTif!w^qUaZYFw7jb+8+i$XNr=VmV2J1M>8X3zc&b%U;0=omNevGEFL;< zy(a>Le5nL-7k}zE+Hs4w>!K}qGOO-Ti>=nOLJD~KG|#0q>epioG>t*@OvHEhXixWe zdzYv+C%$b>cTPUYbi37atzFQpT(PR28n}0CU9_}*t`^=qy@_kPF;F-oEZ>LN$oN}H zX-7MD6UXh)%=*5&KfN4?P=7RRU9$Xkt6Eu8S6E$BJ2O9TUtVtSk*&qX(D`GUo4kY! zuwvR_Ntj`Ri1u9C^L|!!++m-va+%KZym<^H5bL)1l={{WBbWOM%#sj(5q%FlGdlxfkd)?h*?2Hb7IeVlGDSTUqwArQF7S7VP-~tkysa z=hu6mIySqKS44j%AW$cGKR>~iEOpLMgOJ4Ql@EDh6= z49b#wS!B?I&9KKoQtc7Y`d|vlQPlDvYRl5wW#z#+S$Cif5BE5v{TO665lCrfZ`UJQ zJ_aWq*1&c#`K4p)DkuTVQtbnsexkEejyfYASb;1oZ?8}-60|WMXE*G z+CGuCZM4Op^+yPTSaQNOI_dO_K&~7%WeBnAeuPq_cC1ICCXpA700N&#Vmp35UBn)C z65g_lAJ48iL4iDB*4CVW|afRBZFiY++@9-~!Q zCm(bCr4rl##OnGrDR4k(r~6r{2jHZ37o=J64biOeI9Ngp&t-TT@JF}Jm(wUZhC0wS z>4RU`jy?z}Z;u=HAH}M~@AH*!T9_lan#tU`8xy26QKFem`GLDTGD525WE^D43y~qM zzgOtmIaWjFt6Ey&gJD1&1;a#=IRUPyxt6(GteNYyrM`Jm%oXLWvKI#J8+vbXzVn@> zWjOh-pftuyJ8Kv}4Et#!t=5DfOrF`n&B1ifHa+a((rF$pHs=oAFHk~odq?(ALb zuVl*{lnqeH_HfknJ}2AKpcHt2Yo~#et$4iW;*kM%g9qWV*zr^SY=AKJWLGRX_KLy$ z@WDppiKRLvQQxNnesULfi)PqbmLUDTpKl-?vn^r5iY1fXbnsX3r7VO(sL3^{4Il8g zkdEc$Vc4=m=~TtC;@xi|U5g?=)qWvCv$yV>$gi8Y$QYU?ZJy9VCjizCCH`1g0 zA)Cdj?p`!CM5_z$%nH(VZd#OmX^JsWImEMgsnA4mr_%LfU?&Y#dMZ+}iY&8ILDZ#iHwrs30ntPx-aO|_&zV)F5AS~I|yej3R@~QiE^FQeVa7+ry;blgV%-Y zyEd=ZqX+`7bS1iMC4lzE?ng({_|n~X3n2}33`*T%x=NWOH{b#p4H*4)k2YXq-B5pO zf@_azY|(M%qKQ+*J3}0@U)Eh{Q~neVc&6ZsKeVD@HgL@0Dixs#qLgL@RC|#-xB#wE zrf!drOokz*mTO0?3i91M`>a@PIki|xnIQ)sq_lz6*OrWN_ZH?i*hycF%;Sh14%jd+ zkD3cZ*qS5PZ}#3gdp{lRiBkcWfAJ*2{QLFc-`_X=_d7QF|HB;{!(Ws)>tB?27(67L z9)O?~DBZvM*}reI{`%Q}KYuX)w#ENHVs_L2!GZr}cC-HDh>d~q&$G49zhTB>_?E2Q`kAq-9;5SIRAEbvLhSXjd|`KLPI-gH}!3ZCl#K7YFAj z`A_CEYtvi)nCIbhHBjqB@J2mY?KMG3qT^`sm0?4l^e=$zZ+3m=4lw!xi|a0%I4qQuw`bh-QG)8PLobu70SA4 z)Gn4n|I4S4Km6{$pF-0A=_w@rpPoXd&mA5S-?8(_A*wS>R+yOQ7P;nULissu3wai4 z4EICTRjFJU*2@48%<<1qvl_?^L&lBu0##HU1vJNPkOdgGh~3NuB)SdA&=G~ZLLSZ9V#1PMAFMAD#FDJ2PfaRRR7k%m3xNmO z!+g%>g0Mlg&?wm_{30a0hGPx|4uu}XqZlG>1wI?e&pCS5ryu5WhVWjXAEA+#9w@!m z@a5#mhxuZuFJMZjzH#C%lmQqisQ^(=;q+!nn4k~{j4sn^0BrR}I*Y|Dq`vSUPRU>_ zfcDoS95F^FUBD3E^^?5W0lCogFM@*bmYK(N$zmAVP%2NKjA@lH zY2m}yWCx#RT-CS%%MX2{HZeL!|EjT`!c>;_IP#w7z*xg9_uF4TuVBL0Oh zD~y-ti&dom*n^`2lo8{IAA8jQBJUl8D{X_W(b$~W6Wiv*b}}(`Y&#R%wr$(S#I|kQ zC(rZhRGsfT_xxnWx8u!V2ejgE=;e{cTGH%sh z`y$I6XD|{6+xw9ZU~XlV#l=Z{A|9l#emo<;Es)9LNP)&$ng49ZRT9||VcSlPZGVls zm7oq~z{bfvW2$Z)wN>H!^2KV4c$|Vg9jKfQ=4iKWxojf_Nt(>aMG?#m;U7DKOWiWZ z^kZCD;xTOl|9W25+BBs6k_VzR!?5tJ3fK_7Y2QL(Pc)iszU`*4akROy^UUKS^B|4L zK)g|_q-Q{p7@KiCHoW5`@b*AO^k-T;qi4h%4}R^KC4yHPVM(;ao($`X!IhP9M{^+8 z(B{|^8~6GeST9|&Zy3*^n*NPb>kb?()5?PpYD%qgRbXQ$)C0b$B6g}X{P#ZG?Smim zW}-VK>WByRd=n{~a6MdJM!Ipid)|%U$(rIXEeh?4&{`deJ=@#}6#4{N_9okC))T>0 z$1&}0gON@@ltOb_CDLm%?VrcZq-8u9} zB5B+xr_XX}s^IXhlj`Y>au!hg?R$-Z9^2bQEnzx=(ln-<@=8qa{VuM z*zi(qcmKZI{r3(V(f@Xbtz%dcSJD6f%?{grL{fSE9D#}GhvYe;dDDBAa_g%j99*l8 zQOUScyNKjF{Yh!L!csQ9Kff|9Cd1c|IK~*Lu-k zx-2E1O~~^8Y4WIDt7N}w#yItGvu|G8*eG!si;r)oKV6SED$T4ZVt4d}F*G%|$~dDX z{IGWWuh+YWlS}j3=D+`RR8?2mEVciKJ;#XDYRon>2!Vy!OUM8Rt^DL#}eh)rh> znboI}fRm?}XFvTZEAqB0?1IZ$VBoB(@bQBV`ZR@+cZ4pkPpo^J$%TI}`OO7M7I3|% zW&Grk4aPk6xP*0%b`wY~SB&|eIcZk*m)zeMfZySzMa^BIU7C*P$jQOC($(pZ6P0ZF z{7q}Ov=tAB_YYEOOoA5Rs{+~9@+J4j>R8&gw(5exij@BEVOrrGNA3cjjt?(h3d^DR zdTEb)5^x36MDgjb-kJkh=Vh`O&e;3b7T0`PW$+TMh^ zM63-u+j)?Yjc>^jo$jpi6v8HAdx|9mgDJc&ET#j;yxgNLBCE?EqNMUIr+g@%_YsW< zX?(dD^`3U~@ZX~e3UztG*2%**y^(=QLm=+tBKT|A5qgMn%}^`({bcJZ**9b;wvT>y zS3c%Ult~myV3IPewy&Pr^=sKJp@lMiy=)uajqqB7aHUt8e0Kzgeiz=Em=C9Ojw`kxHt47}2YS+noW zmrzUi(cANwQNK=43ff)qW1>CWN>w^K;$lRET2dWxYLJSOf35hUilx%KxwvJ@wX1Sq zS*7e7S2VS#396{pX?T~J931(p!RHwGOfjcmz6SRA1`*nl|JcJeR0649a}l)d?fP*{ z9&hn#0{qe7=l1F#j9+ANwB>H!G*J|vR7vi-k0X-|*?0m8=_2`3^RlBaQfW(90a55m zLeZ|UCymP*S9@FwGY-1YpcoWE@1MFY3m-$@uuPmXHV-$-7sn7g%UU? z+?_Vy;;zy4p)~Ij=Lkdl{Km~wxLcps>RP4m*_?q}SeYAJ_F3$$O5$!)p2I#b*$G9p zKwOfPIN6}$q+$eg50rbyXB18p?4h~-Da{&%jRV-NNMK*{tZ5q3TRTpxo2~|jhVDQG z3~_umFcIcxi1%ivJtMP1`Z`^9qX3fv?fHOmR7oMe>cN8T4k?XtO%|d@&I9-NryO4- zB(%A=BQ=i^PyERZEx*iPU(Bqf{mRB2n%=})Zt6PEqJBTud~^vqpgqHN?y5z-8Id3r zk5xu8VqSK`BUjxfgg}lip`HWF@m~do=k=%Cno5y+dh&05III2*G_3VF?{xjHp@C`c zMsI$R&Xu@n4B4fMkj9c(f>0r$EpYfc@R{inJ2~o2r#UiZKbv56Sckyllh3pC@@{XH zS$mY}Z0!QhAlNWF*9XHtH3W~nNtigpephdSc-c!1U(fp-_};hr@F%AhU>%J|VZ%T0 z7h$?q*8*8+nJoa7$Q`yB-hr@(o>tpHfbb;JqwCU78V8VP^XTCMU{1x3TPJzgxEJZ? zs$vXsPJjW39*UMO!e%zlA{L(1*PAI!RT~|o$Z*?jlqnMxA-MM{pPCSf{EaSwH?|vQ zm7+y&f}?NC5y}%JkytG%hq0EUFGc7%i}o)qYY~5C zEYXXO0d64?fwAReRqNj`#1AMR(MMJycDdBvesH*a20ih0 zr_j*mPrbYAl(FJwZ#?*k(%$Y()67@}?JJP_ z?Q!T-v;67_ydj@)FLSN z$GUDFr-j!Z(5Nf&v(7Y;sqcCvP8L3@&1_k1Pg>!4)E0O5s}a{)3b=s#=KxqwabhAk z1L-o)(7Bb14B;J$S1|jWdXK$o@!K@C||+O@8YrmH|?Y z4#XlX-9_N`i#~;mEm`(NoGr>Vd`D7g~v-5+Mh86I<7y_?9+=^Uwv};AX zFIrB1)0=1KKXXTM@}{u*ijzA$-EAWnMPR+9Wyc7E_Jd@$J3$XHw2W;|L{Z8XFl(TfNxl`vAC0fOB8@U2 ztN)w{6p1?2p?rbsJ~1b^fuA?*OxU+&XKHEBGJZyRGPoWgL5?d)i6KBf4)Ve-hNrQ9@ZV!S#$_fY+t>oi%n zk2qJpkR;njWpqbbJ;Ya7fu+i*m~~ z`V+?s(%Z`cH5MLpZbXk7vqZ!tf~Xf1iOIAEsU+sbQLD&{wEy;RcZrvem5^fJF}9-} zJWX6Fb&iJddO_2*^VPKvM&W(l%Y$tFi>^_w+adNj_^N5mMC*OtZnwg?60|H!S6xi@ zY$~_-FGPf<*c|^XPL_x}*p4X66kP&PLodz+-vMBSoNfuMW+Xm%vH{LuZL zZ!&;nEBs#(djBU=zW*Wg{(oe`_y38|`)2pp{xt>skAW{`W%h5bkM&;`@c*9cWBtG5 z`u;)t|B>rsWM^k#`QObwz4Yj9(fjK4#C4`?_xQpyE&~N2+fuGB_QiEDMJ{`1JA4aP zp`uCQ{;au;c?kPQuuAD$uqxbyeJ$Lj{6U4+t>B&`EYj`7NdOb6%*aMiH)Vl22-yB^9F;=IKWCf{{5jX7dHK9 zzpO2n%xl{D0|}_mAj+W1`qP915l*&=*l{EP; z%3yhdjV4(6euVMctW=giez|f=bGg_@;U-@XVvGyp^BW4 z4@3N=b#TPR-<=`JxJT}AV6AOw&cp|HWQ>IGiU3>HLpV+Um+e!wGYfncY5k&rK(P%7 zSV|NJ@|TD(t(I3m8i@s9Oqrnw9g?uvL)JxS75({OF_}*Z&dz>{jb58ycKI8() zDbX3VoLSj7DQ?BMgo(hFFmT!a9O1%U%nQ{1QQ!wUw1Aq^LiPDfQsapre3G4S|1(`1 zA*CO8nrf+(_7YPC-uW?05Bwm)%$#%^!AH1<2oFn5GUb=-7;2AIQ3OqKQnSCg9uqMw zT#M`Z>g*0bi%Z_5Sn4bXxS$MqEztvFmwwWyt$e9eC4gzcXnrKr$ysNgKSrT+*T7IT z!jsnuyvf*6JDyD}+C(!x6irZ+osu_>UlDt)MEGL$etw7oYn|g+>K<9iV(prxnyXKg zL(<#jP)NV0X=q z7_DtIVY<3}K)OJZ-f~aG^oc)yHtM9>7gyHVqa6_EQm746ZrF-uzJ|eO?4WJP&E8p^ zCfW{LcoqG+BY2`A6LBGk75pt+<(BK%W)eQGmQR-;@zi+s%hkSmC*UJ!?@6g_V9|DW z+IF`kXwUHS1cj(!J!RO@yPwjt-_8YF)?b|{3Vs+4ei(Pj_O>#}Alj5e5m}TYaz-OF zX}mGpHX?v0gb8xj3Xufv%xPZtO|}f-q{g6u!{Ph1crdHn|{J!2v)W zzi&(on_*8M-JIg-Pct;ZGP|2I#;fP9X8UT{*}a{TyPcw9ddvUj7k`ENJ^Ta{#a*{O zfOluxJ7Vz7PNIDeKlMsfZWrWk7u13WLo4vA--^rSxxiKaJN#7X76RO9GT_Jc?bugc zxI?S2sKFNXdi2!1n%9Qk%P=AxP`(QBgLhJCI781})&L(?LHm}qMpI7{Qv z-|-*S8atv=;~rUp9GyvugQ7u;pJg#c`p`qq@~hY>Q-rIL-eS5F9ZS_IiY=D+sj zTDJ5;CF%U*{gdHAsXfJ=ms5R_MIX?}uR&+_c)J1S6vu@gX!bq)WYpM^A-!PvG7NTt zL>xYO>v3!RY7cQTXTtpcZg9w5Tr@efvUX4%Z#KWKgNVFcl5_^+BtPa&xmCy$^72OG zC?WI9#<;{);Q4Hy+={23Gpmz;0YD^Gg-0M*`WRH?m_8~x2z3I z`pwF3r={At6b4nsjP*cQ9s7RqTnSveDj@>U7P8NdAOQskPZgdF!YEr7yWk9K zgI;s#C*@eift33Y9!5%pDv*j+Chn>-GOZWCxm_3R%TFq2wPImT<+bvZ7nQ5V?qhGdak%OT9of-FN$kn_Y8z3bKiPiTW?vAAMm|aVMP{x zK~Fp50Ig*CL77=Fc!p zzek-Z*WUcx)vx-hTq39i4I01OYVQy~VClYwhaA7lSk(KHn#rSJw1(({93gF}Cm_>w zuY=h8`lfmalnsBFLor39Jbl51k1D?+%IDo!)cIUy9fI8LyJ3ZC|IpRvU^I^c%=^-u zqjgmyckl@V%98fDt8%7Jzt>pQ2=wGM+i1U6yZU9wrH}L%^Rvh^w!W)ZG>?0?<#^_f zJI0vu9+6CrB>x;!AAW5s^Wr)%UH7-AOsvTmt%W$!Khesdi*dpG{y*TimnGipC-n$?i3X__|i26+rn`7w%^ycuyaxO2RHkJg&Sws5okIQa%cIe9;` zW=Q>cqhIj8zL2TyK|9dknmT$7bTJS0wR7w5KWHB;w{dmJ-Ck=KXXQF)EkUp45RCuK z9~HVf2skvXY`(v8O_l1vFp-}u>u4lRrZFODntR#uOUlNk5~OBwYZG|?lBY(k85vMP zdF$moG93@TI6$uRRSm0migg#^VFSI5==gMY0dHPSW&tV%s`(AR0pl${eB!A+u8KiS z<-@(PrZxU;WoGZ`Re($q=D}|DF8HxA*Z`fx)luG^)mY1!#l|^%yKpcZN+a~KO-4xg zTx#=n5b9-l8%2IL@=LcYK)2|I6fc4rL(i(J#M&KDMbufCAOX>%vt#d_!**|xPmwvq(?Q-)iJ5W*CB#4(jb*|Vi2Zt-yTXlEnv zcZ=oat*sV_eQ0jn80_D;KVG5^!JNe41S8j>aNU`CCG4-~ zo3dG5SF4Xt!nmU>Qg4P->Cd%h9%VFLf*PssMx=biJ*ysU$(#(?EG@orGzNI{_G~1j z&1+-Jde`rDegg6ylBBh$Ip-%Hb*VZZp9kg~-u_x_LFsf-;{)ea8|ZkDRx(wuLAx?= z9uAIUeRRPRpgi8~Eq!{sn01-YJzJD~;4tbLEIq)HGagZ%?NaE3c8!4e_0!&>moI;C zoa~x=d*Ae4E&U3Q&glWWhw8&(T8xw=73Zr@g*}K!`sOsvpi15;_E^>ml3gT}E_Pn2 zkKEbte1b*_wb0QHFZk(>gR@d$(k4@>ZEFvAwdw;uqqJzX>$ab?y)N`*6C=<13~670 zXIO0fEe%iet72u-lYGluM|stdo;J3>DnhuWOIof~@4K2E|V^cie( zy!iAk_BaATXd1yanMtZQZ3=}RHM8$){T9j*UGcRk>Av^yj+SEHBnSkBjNvGJcY`3k zfe6|R#HA4SDA2d}z`q0s?P&LGiw9_@N_iA@O`}P*9@uP2AvHRCrGhQ5t(|`IKuV2R za3d{_Hql%nG`OQA;pam4kK*x!HaIuMYeg`-$_VtDII z^M?_bPlE0a4gi+(6}^8V&1n^uv@jwo)O%-J#Bu2Wd2Nr z2t%yp$xST9RTYFi9j|*SVWCKDIoY{jTbA}(Wm&Lo9@K9;Da3NH_z0|#Dj6?MtquNt?hBXo z$O{B)z5r7{u_*_g9~W|!3By1asm;mDvFKha5U>rSqz=BGAoe#X)&V^i@>?rxRl*@o z)ZL=H?>W^s_yGVGr;);TBoG%;Fcq?a$dkot!4O`>CLnLu=y6ZfLR(eIH#G5+?kLO1I5~T-Ub8!7U%yA0ncZqD8Ae-JZ~+Pl?=CwD|vniib3LaAL=c8EN4c;Fi8;Oade^e%t!oU zJNx$+C-SYF?CMp2ufLyFWcLblvhG?l{q6X-mQ8SNL=t9SitCR>=Ry{nz>c712#M?6 zN*@(1-Z)_BA-}a2kP^aRi%s{%vco%@g1(Pbd(J_sO1~}B+zQtFNkCV%L}B9AnkT`L zGlTuL1!CXv3$;Vpk*+z`1_|Gn;8uIKdR%%Y{bI}cVMav*;XL3rv0GJ5HEg9J9f5ZE z@?D_6r626-1LQ4w5dXUN-3D_Q%!YL!FREXqE8Ep0y=dRJujR=oO6~o#JNPC>kM4l$ zh1>;Z&t>NnPd|_FvoLK~@gv<|<{bSwGs6HHlY0U@n&)C@>o4L2f`Lhsm?wI~x$&(C zM0B%Qcm!Qag9wJ}?!i3+VQ>FDHt+8%en|)9l5K$;ziRp3V2IztXy0<+K@WITWAY`x zn|cb>PgO@hI-&-lTF>@TL>cQg6TmB-!WM}Z8pgP`WKUa0=hYeNH05g|f|88b8)Edk ze`8yxKc2@xz|KJDW2)OIH^_GOsI&2XI@fSj5jlP5f{tWu>qph9*H~-NXxCz~UN4Ay zjzYZ{7PAmUqV}N(Rsrd3`|fm65LGUn2~OM&4nAgTs_rawCU~3-({I$Dh1CbhK`NWU zBtCn9w^C@(D>e1+fZ>k#k7zV2teLv=pvAYS#kZX%uPFqtnwV-+YSG2Byc~E=>Q%+w zBw))GP)&%MLOlR9Jhhayn*SL+W6Ms<8p=6DVpZ3DSd0o~qH+ZzX)m@CI1Y0|JXaNy z(-0QDQv*$YuF(p-Rl^6VD0fElpSX*hxy581##wU^dQ&Ck21=ENywyj&L<$teK}~QF zSW|g*TmQ72?tU=5vM3P-#}bb|3Vw}=aKQ=GBXw(p46Va;B|&^Yw4&3N6pG-&jlji}ihdL{xnXvdPI6{nMUXLVCO4 zrZwtM>IpO|Kouffq9|CR*MlKJ{9is;QDb)?i8(7rKf7N;qgTA|7KH1V;SE~nphNBWot7f)XjrwMX7mlp2+8Q#5NoW!IQ;inf zCCR+?ICpCv;j|^}-&FY3Y(Z6$HfjW6Em$s(oM=>_w8^s>!eSpFfX~pW0UL_FZKO^9 z|K0bhPCT@Y8jY=5jbG~vSL(fpk2h%L15^o@Xy#_};nnhEK#k`Dw4n35-1AI=PF_Bz zT9<3?44Hn7 zIb)H?)a3C$Q+fJ62_hp+F|T=Fc4sQ`&xWWw8Btu5{ua?Ri8t>pBdRd(&DsFYg{dIF zGg?roSpC_-8;X-)Ie8jj;xqxs>;Q3={MB9*fs?wF#hm!A?;FnTjdV#1bpFc ziZM;+J&}1c-&oM7CxC-k$+*$e@t9D$f0XXu8w7mDVwkB?G1pVhtIcp6%~_6;Rj1^? z@QcA^^C0>h`vc;zu~?e)*A^~Zc+5f(NV&{4OYf;i8bdBGvj!WhTJmd5p||Ff&|=r> zRR7bTXcMPShom^&b3WAAz1ghwWM|42MTT-Y98~Or8I}jew*w4>)y=pj_Tmfhwb(`s z^KX@G&0Nu4{*AEFIG^8cX+Nj4@NT4fl#=LAXN7r#AzzcNaRvCOCjU@{9*?=z=}b;` zKH3E`uO69?ZGK8MDA`B&ki`2G+~Hnr{Z6rUq$cfN#)C2hDItFnDO4yCMv{{=_DkzG z%e1RTkX&WtG#z$}d#c{}+wquDx{uNBufN`WblBC9fBAI`p1u^@f^mMmb`|g^mK&Vf zqOOjM`mDp(?SfKigx(nvjJnRA91v*Yqp3b|Cca+AdxOfcqm!`c|Jx9(JS0P#XpqXU zf@sj)88YB6&|-YMnrf#{N6(piTTRZdu`O#89xT-!Dy1GO?uxq2|G*!k9aJzC$r-p@ ztusI$jV>s3uxvl{8GNVI+?Pu%Z_)u_t=oR@c9ps48&8BFPNd)P@=oYwvbJ2=_|nId zj>?VvnQ2z6r@iJ!W}DrE<^dI=gXT~0VYtZ;`&~6k7W&fQ)vt~MxxY?PMzG|xM<%PX z8p_|eTL8P2Ca82NvE@tDU0nb4Xt7{Z5XF{SjsT2{}rwYZPn(B%uM^r zqwpIkMBpw}@}oj6t%UGi(qErgT>Y{qmc@tHD2pye)Do&Lv#eo`@Fd##;;N5~_Fz`~ z2&h1>s-lEoAtH04z}XB2YooYtByZk?8@vKvI@ zO~KmwULM)G0z3rUCtzJ(^VldD>yJPaQ;3K$x33dOwpn)}t5+)C|Dc9L21nF+e#_^l zKf`kA*hbz1UuXiN??Qc+l83vm8~ez`U4ms6a3^z&w}2BEM05_wk|2wpiIS$}X3~qZ zQgGHE!6K#r&(GApL^P6NB6N*t2IBswxOae9H=;&O{;f0otKdkFW;i?22(uX=S~z$Ji&Pk1$L&QLxwUhae~D^I2z&RHz8?!)NW&PDc=S z_o7alFic%dp^mG0ldXQTbWlcak8I|R55y6R%7=VPH)tcQpGOa@Aq7IZ!P@?3!qO0{ zZsQfAM#iiurkV+Wzlu$ZuHA90nQjM>(oh( zvIg}%X!3UJ=l=Ki*sNJgKE>a${~qccWxX|gKv`^;lSTQVOiS5}Ne36;a#iL45|P8| zmB>Kmm=x{}q>k4_Cta8I4l5-VBZT&~5@P)50+q6&^ghJsU?jaWSjAQB4#AwtFu|jO z?VA{+vT9J6n+Ro3Z5qJMg|)>4PQI63!fpx0A+gg~#kHk?>D7~{#m8T1iHz18^T&LB7#nQvBCw6Rxu17%pms>g- z4?8;Zb3Ki(669@OGPSQHdVPo zpvhi?l_K$92S2W-(x4l2`KL}UBYC+GE{j4i^Luj`@)&E-v)>!FA2y|=)(_A@hIygw zTWg4MtJ9sc_$tg83Q8I3Hya8Pg9rV%CO|rb3W5~A9vRXT{u zq(y6@dKAufrgT&3vFzvAN5j@ zt>)&3m9vFY44sy0kdvxTzd~;MdlFUWvP_2Q?00p59{E^crWr~THd!rsx1L!#kddFW zBd>D;0r#k_LjrXn6RHv&oKXvFDs6{h<#pZ|;0%ObRT$HC;1BffKmfOY|>bLLu(_&8lwV-pLeYP9@jY@?%wjV7qzlsDnO){2O0d zEV*U~wjbPD_mBoW#((jd4Ygto^A(G(%~fZr{SfrY;F#6v#^IJZF>(6jsxjA~#QD7$ zTys;q$kI+8+^v9(6STwOTLP0RS} zB@wN&V47gg1J@vSrRN5#=d)*0?XQ0lpqk zKf@&i&dY=L4~diMRF~T3L7kgx4@-$cNe0q>)Bwumm^k;}HId+Yl71&7P;=OXGIMUs z=^!#n1!gcTu?@UIIeWsK!zTqmvdcdtH;61rC>v71?66e_W+p@O;cXit%dvbDxsiXd zoBf%e3_&@-Ew%%-AIh>d$1oso6^L#nijwRrCEnRqEXOl77fW09g;3SNgBB(2E$MN~ z^}~yur|IQ`$&lXmdMgQIU;@`OoSjJcgVpVu82=}*TVl-sic2=ZAwvVWSOtmGw^-mr zybfJe1a~IMR+4Le-@2Y12vZn1rhTG1!C#mV5;-ti9<@EUR3VI4S&e$zLuNmTKjjA$ ziVwrgLPXDKW=MAWe^s;t!O>zg8`TSsf^}Fzihhcx9x}Hh4Hr$J4y!Pln-IW^Y37b2 zK6gc@DbN{*tk(E%27{Xrvv8s*y>ROhcsuhnLwrm^!C2~TKc&t>3!MOF+SU4CD(AxQ z>jf9zbrr(aIRYoqEh9=){y5JAlEN@FOGFYK7RJQ;AUg%Z#DmHQ1rV8O!U+V2+RM(B z0l5rD&Cgeac~*enRRwUAnPpP;&2w7oWL{8-0tRIVrev5fg+mpi@?cB?sl+pS=5lOF z)V*0N>sDu($`jOJ>JkuW3W=podK7&MfAk462A9GE%=^6>=F5V#ErCEUmxM?Dh;qz8 zO{7zTu?UJ2gZ<~t6W?T+-Pw+hBH}%9q1o68N$jw2RUXjO8_-kB9^aD`1uV!mL@-!_ zp^IYTqv^T!sP}@hipCMBx%HHSeIJ?z9c1oD_^cptm>AJhmT8JbsK!fp#Go*)XWFMO zfC7;H7HLM2I5aa($%9f-Mk=D<$(008Q;aQA&Iv8JVEl&Ow2v}GsfLu)sT@EIyNfE4 zA!zGH2Tf{yL+MU%N;z+|QjJ@E_rrfnH~anNL_B|J1yupa*?NLAXwUQNF21?_D#ZefmI^c-7$E&_4a?8=S4ko3+2C#{22ErVEZ zf*>S6{gIY%_hH_2z_NX9Z|i2<55Lnn5BDaD*mxq`iJy-#LVJ^~1sZd;=_ZBfsp}q2 zD1fB-@DveFda8t1hmx19N%-=m3=LB3fj0gvl(Gp0aKCG8g#fDmHiFPJlN+eF3Qm9$zP@k181Iby?45|}~c#qk!5)82*0wW6_4kp|Fu@XviXp@ESqCjNPbcZZ}INtt6 zfcVN2-A|^B{^O zwEC2lKLyQOagrRGXuK?#h2_Sw=p@_?-Y19*s3M^tn1l3#jr1Zt&z242Vb2&orfAs$ zYu`hjzBl20PM#-!oaIGP2Q+~2UiUEm9;oP=cYblJeR6S&V|Hv$q@Bn6<#zn?^tQE?RsD-#RY`TvSoaEfZ+N;fZb`f48Qi-PuYFDREVyZn znBc8-f95jx>i$Ca<(`r2^~2lc-r3X$Yj3GrWrcC$d=-6gt8AQR;mv<&d5eZ;cG#qS zr4rd#W(~f&M7PB5&fwe%vnC{nKJQ}YU6J52ne@HxZ`QD)oOQ$p?e9yFjrxt@MGmj# z#~Z0m?J9&0UZ*^vXq6!p{0aKzYwB9`fG4?YFP(NqwwaLon-yyz0AK*WNoQvLG+X}L8J6_dt>yLYn>GhxRy+$yy=XW zXpq>Q=GhH*e>M7D`4N`aa1nMaA0PL-?7b%SYvsdhC7P?nsc<0v?>h_piE2rQ?lv95 zi7S?Nl=DeL7rzZRtz*n>XKI3GDi^sj{x%Xl-#Gn0o49FfL#Ni7SC>sr^*BpzLEq1B zIK5c8G_b(!)_i-m@^~^d_9v} z)4GlJ=2GFqikx|b3=5H`N7EYMhwXP)G`WJ;vA5na{}9|Su4Bo$vUGbn$99fc=}{W1 zN^+O}cf%*?UN2^cX_wBDsHYd_gJJN>4j(hLs=eUr?@}@s#$_3}<5!@KO*)Oq(-L8f zMTRoTV(28)a`wIY?SqY*ZXKJQV%jVF*B2c;+YL}Ek4BH`H$?Hktf}Q{G+*e}M`NZW zQgg}HMp>f|Rn1zy4d+$c&)rtf{^t&9?mcg;4p+}^L`yE&L};g0ZDrmBeD_fD*=pjV zY3PrJ#ges!X>+56%ak)trSDp$$9j|fMia;hDKrL!`gkR$V{?7`nojZBh2$R{RnG68 zEd1M({H0JY6S3|)>%VNhSJGbO&zVg-6ZtO}#P0}LmrEE|B_4_fmU+l02Jst%F~HVu z{LP+P&7MFP;+~k(xq8+UxvhAet(t#+Ymd$)UB1(=8O5B}L zGSh?@SSZIIOn}c2vfOp#Ds?*-VV)hPM+h4f=MDzGzy+}KJ8KzWGUOl&;W(Qnzsw$d zvD{+Rcs5*xJ>7M5EZkIS#PZoa3?s85T&ccY(}B{1YPUn^F%X5L-uSCBisy(+xcr zdi~8?K%%@PQ3#8`Z_e;`OFn}e{|8Yz3p?d~W9H2PjiO`ucY&N19uf~4pnDZE=}#|{ z5T9-$mb>$jljzY!Mu(^P_2X66X7=6wVXf+fcyJEzOgwzjWDpRdJk3z@Ux-Ez3` zKe=L(MYO=0IxX&%)XzHU>t!z7j=B0x>sdjZA479@H8*^ek~2ql8jHRTEC?`i^{s-b z;ecC!Y7Mgn0^eaFSlsm>jLpavQz=!ijjMdXc-VcFwUIRwt_pul?>9`jW0=~}#a$ARYYkQUGvF`w;X;-~?sPztFM2I{vAq$y=!AFs_PbSRD)WXU|L%w8^=wM-TW8r7BHw@CEf{PR z)9%sWB(+m6x>cU2KCK4bq4)sVv4jRoiMJ2JRRYKVz$;o87u8aHETBPJWK6lv4L7h9 z;@*fy;<%yHY}y%5V67Yc$xCC$S!mrlaBWH@5x%Kn@=Y5TuB|F)j~wqF^+?2z(P zC20w};gDgAs()nnA)^O+ak4mdupx3N^OfIZKhf#l< zvYS@FJyP2OjEE}6-LZ|5&IYi?(#Sj(qamzCs(`J5sk*{Y)%=_QC`g+4G zV9Iv>!b(gKh(3dAx0_f$EobPfKKJKSnpRMf*JKsZsx|M(VQZ zG3A9+>$U+m`hWmj6bm};LT&n);azxP20gjZ$bZ6jHJIWZfyIS={m6StL=QOj1pd(D zkP6w>BzgY<4Ms!^DwMu)7QDm3CJZwuF+(;5O@j7r)PLM})d^1r=R(r$eI(nCmmr;C zFC2tppA3rCrM&sHkY8sjYoSF2d%{aOViW6D>ZnsP%bh6Y?M*7zjh7&mVLv1u=Cee~ zOpli}95n1F5gEwlCQDU(OrQDrnGB5QrrYX;`8bR0_vQ{+h*F%v7{~N;e&svo+Z(qf zBlxjtvPmdI)ORD|D@4fE3X6vkKO7nK`!(R@=TaoKFafdjjmu!YUu;Bh2Z1x>|783{ zTHs;DisvWDRT1FWqp~IdJxEy`Q2+-P=-f^a)VPqaW4s9rb-?Lcu-hF_A%n`)*_1|U z$*R=S>mn~`H%duN>2Fbc;rt8k0!rO~un81t)B!|d&>L1;(|vzRFsR%ksKD85)u9- z=e3I-ldnV`V#bzC7a%@2*`KA)^tq*YfpnP*EsiSw7ft@Np-PjO6398@)(`zLQRApB zi7r4){{$}6r!G_*b>21;3IS(AyF77S(b-bLk+sQ)=Kcc$?b*VP&VQ8_-MsWff4nrk zYxKwP*k(O#2cZoT1tfbrw9BPmT;rqEueQ2g`yKz7sKrq))@ea^nJW&r>{$rP>G2D7 zdPVcYFid=5yk{-*_|Fl>^oU=n!w3sRY7o;!oEZg*1f4@URIZeFGj+?oOLx{l)pne( z3-`>E9CaMu01YOcAO$fPlOP9To2Uqf2uNyPba%GLNe%719!}zD(Qg*eD;FX!suTZl zzufqXzG4SRhoRJKR=Obx(YUFUFDSe2fa6zp({af{z5j_VI9nSr&h6Lk>DZHgWvz;e zk08mm`^p#UE19dRHu=KiF17NLh1k3jepjA_T&c1lPY*TIc`hY+G7At~p$kasn>FPEP;lAmZ~+ov&Y+*0r`I+L0ybl; zbAtq}{N%w16K2SjbtTc>1IjkY8-)C&;EVN_df-gVAmj(H1Rb;l-?YI4$W%{Bu19*_ zGEMWP>4s=9xK?N!4}mf{Yld1z5zl$}A&<39q(w4kxKAV8*EfAse^2z_WSQ1SxF44T zpp4p)upN2|QNqW|KL-{afad2l|1Odm+C!)IU>GWj$mC>7_@?wM#V_=c{Rxb$gifZb z;S?zFl_BGtd9|2>vr-1PQtlOP3@a1lUH}=1Kx8a0l&K#p%7yoZ%Z2ro6iOs=K`Zp7 z(hsB3@3aRmA6<}(A&LK|U2H3KmI(ke3ZYmOS8Sm(>Dw3dZdhnJ)YFHIk1nKu*X^=2 zUv?hkUy1h$kM65qfzE^^C7X5>A8D$?3cC^En@;ZCj;=afJcagdk5p;CPv5Lc;=O=z z@AQas?%d8O9mkK>B2o@&e#pVkY+`y0kQ zW1$rmue{vUb0z3#1!CSTCzK5lPV0YdR-H;U|UDR|A$`ZRb+MxewdXCm|6)2tUKhf_g(k}PeBz7(J5(-+H#>*OW zv3+y|ZzuTY#=xqB|H?FfdO5G@|E~trgU%Odn$n5pQXVs(sjvC|o`LJw3VkjuK(vQB zHLRabmD(N!=OPbbnI0iA(Rddt*5(pYFo8OT!}^~~i|c;Upjn(N+aKFtp#cxMB47M5 zgEg-(95FrY_`8boIVCTe^4V}!EEQacVl8m`C%98z?ldcXpF&(;OzD>3(DzRmX5c)( zxRuHRT*uBo506%a%$IM1B{Tqa87jSIWf)Sg>rGJq9QJ6vAF{)>d!LkJ6uZ<@*;u&B zCl#}e5VsYE;9C~pk4YfN5f)L@KUym;BbfWri1!hWjiXKBW^I3X{;jI2YLT;z8Z!k0 z1v^Q;eFN?00fJw_o{c7J3q#IvjN7Xxn<1IpFU@tVfaKYK!}l|a8o^GhR%vNM!4qa8 zHy!j-xl94UAJ4SqjDHg}<_Tbk(uUoFFG)MXXZjN(4}__#x3zUg4G1>?IH7f?xHO6h z*9jE^fTi8z0hUoo=@R0fE zy$|uOiU*OUrU}e0B8f)P4@gdUm5dYXZA??_p`XzTJP>XXfjN?#Kuj6j!+X@|K<=w zX}muYz>x~|7urFPN;@Ex#1A*VNCLr3Uy+3oSVmfJ6<5%qS)xI@5POed*(^>dZQqBC zb38<8#6Cp~l4Zm!?U?re;O?EGBkLB0?bt@g=p-H6wmY_M+qP}nw$rg~+v?cKmww;9 z&pv0Iea`>id^g|a95t(EE!3zrs-E>cy8#*qB>Rkt0$>?2h$IHTzIMd0Zq44;jv#RZ z-^aDzRczxln&lX-ZN?-8QM3fK^%#+#OJ=o%%WYlz+BUg9zLcG^z5oDCLJ-SVAzhkT@DySj1Kz&`)N{YE-gtTtSI2p?MY1$>* zp!z6%Xa`tz0OPN-Y#XNVn><@T9iXj*O^7~FhUy@2^!2bl=Wm!o%mZ3h9|;*=Fkcwr zff5f4i9$YnWX70U+OJDs1|yFj*L>Ce_>jx2X z3T*hr@w~Y4ytO30dV9W)C3)v$Ipdm`K1j;Xs9}u0F6S)o$hNDN04Pd^u%`VhiE%j7 z23g?~E&ypSxQYo}Lh(@n|IT-M~@>~8vbwxV-p%BO& zr^G$QV#qG|410j4enUau*~{reSa+|)N5YEdNRx!#Ra9q5neoG-%aC6nGG&CnG2kK; zPSNMollwyrfJN#d=YUvg7;yqfc}A0uW&zzuH8K^yc8z%fwXUzFapK2}wcKkyh=)Om zzG;%N0mL|WrW^qJ{QX{I_Egb)Bh1VvdDZQUl$$t7@%_`cFanJojFG*S#Rs`B_^XXw z0r1g$_!JVjK>OFwT|yKn@ckx*o1B?ld2#EOS3)Y{9B6V1Y)r6KCuB~UsNj9%xALLV zCHX@#<`ne!L#Pm{p=5nUDk-ZFSsiykS&Az(NOPUnSjZh}==h*^Z`@3GO>6qbhxHqzglYm;puPz+>oZ5WMm=7bE5p({Y#U&rk`8{3Qf`{Pyq_G73BrFsk0I}*$_Sz?mT z2DdF>XD8>2m#gR9)7|mRNvZa^-AkQtAIba4^DLg1I)S#)nPOz$j&YxJWJ1fCpqk!Y zhAQ>LoU#ZH_UFY-@z_#(qWnbh$o0TwcJUVO`#}R3((NX=h)|SeuHK63GmXOY+rmsf z3CY`Y)JD>3+s_NmgZ+`){3eBN zy2t9b&*rf^#3!o-4^dAWrInEp5~BHmB$<^|77|AHF8Z5oOyCR4ZCEAbmj$bPExTvE zp`%5+w}^Q42U9k!tOm5NH`l@0v7y>MHFoX(5!meBjIAA2?KR~1^z>v6v3N5TIYqv_ z=ji-$w$V9X7oLA^B^HM&+?Ve^Im<9*y6QeTy7U+=u5pqu*t?E(x~#jtW~E!$8>#gC zzVkE^MSgrQv}V}RmJE#sxuEw{p^|lR8eZ?5kwa?T!nvEJa&}=QNwn43enu^6 zH@0C+K}BPqeJq4Ovm2wbN3{c(7w_ZnnD z!^7=nKrD8BNfDx%D4XTx@Vd=U=#OGh z5+&nTl^W~vke%N~=ZZhguCll{xOuc4zV~kqK8i53~w;ir{F+@{OlT-{K1>{0SJ$s416a6Jk1 z#_6{+e3SR=3r4tS5gk;gcB3z{TADOlioms1cfQFiJW~ri?U>fd(pcYlXw^IRp63zb zLnQ~ZD&rB)(cw-#9`~+O7P78Z1--eD8Y~$46`r1Nc84^LJ1NF9-OP9E30v~olRvjF z@24jgianh^avpn zn)XCj`)5F0IWLaM zMSRarcQ2v1xwLoUtHKbtp;#XPX-JI=Q*9!ApP<1yX%T$Ix~ zGBXLhr}VZ7gQ^rG{AQQ-c-Q4pK>cIfk;2+5r}q>!+!Y`$HOM<-UsXPR_#N{ zq*twyvN$cE8rx%}49~tv?Bjsy-PM!=lUC; zBh_u2%vL{Ml%I}4%V4{c`>CLo#UfDxXL3&ZKs|h+d*X1KV-5SIWItcCz6Y-_XpKxZ z7I>b7I}Q9`(>9(Qr&M0w^du8lk;maUlLQR`X55NARN}E!*JG53x;$tiI_J{hls4x& zh)L;-PqXTanJ50GC~bPoqj~p{Jz~nMR!mEi^E_Zcwihzzo;T z0E5Sny%GPM2X$x8{sO9i#JNKQ6m4!Bv^)d3aq8b2|HHtA7_y!~kH*CfE+VgG-ejDW zUk>4peGdqV`aCj-Y}+y|YkLbCx;oB%p#5Fvrov*WXODTIHD2|0?Fw9I?>3IGD6|T5 z!Vwk2|4#l``1*?LXhwDPd-kwbk-;jPH)#%5Lhuq&ezag{kXPp=a}1gfXQr*LPBJ^t z9oTkOD)8LyC4xh*_!4F$L4ux4=xJ$jOKq_7!aJ8(moYmR1vJ4ewn^g8+m5)yk~n!w zFnC+pZfZfbv5sZ&1wuF6o4c4SS5tUysQFOPpF;Ki$>^wju(G~JBj0VngM{Mf42YZ| zgxxSOj@^JM>6IFppR7P4P&(%s7Q~xUmPDx$s3!HoIFzK!SK)s3sz+tcs;`+q)1PP6gnUF`_kC=beBht=7fL9?8-XbUyMttrY#jJ!u`fd zk^|x=Qpf-?c_ zv}gvp=8HF)&e8pLOb{&7UY7saDtL6x`n=7Ne$&G{(q}9<(yWSVbDmLCT4M%n0scy^ zFb~pZBv^fR83)IuLw*jPSzmHrL%h)V5?fQERUuvv29+sg!DCT2)v839QO;WaB zc8+`*birtS8!?Ia%&lx;Vkw z>Y)VJIg+}1<$d1l+)}Ug7-09cP_=cCbA%|=Gf#MBqtw2xaMNiGnYJN%rOIFh6r1Sg zRey1>$3B-DIefK8N8$oO18;fX=J@>Q8l zi#!(2kFrXOK=Kxv64hQdcoks!FFARprix_{4zvhjR(HKjcZ=NYaTs3Q}i~K`}K+U)J=&*nv%Nc-8IF$Ra-Y3nmg`o6tcr zX(ao?W4XOd`D`*zd&e3FtS%6vt93-!&bs+}Ox?jP1_Ysin+Ozs)pBUjmq-(0Shcz+^&UVC|wbbWqI46J2uLK1pC?VRIu z@lhl;^1~n01D#B?!VzMs8p;W=B2HL$AH8mNu-p@<(~u{KJ75C8yTzZN(0fRO&C+5_ zLyIDIR!`X7misG6Ba_>E*hY#47Z12)_+Rlt?{1W^5>nsYY!3mj!H8#a9oy{CRA*^pNnFl$xY zgMOv<6nKX`xvb;u5`S6ePa)Ah02UHl;6vHDFCg_xT57JaV;9;{|NRqg?qK9z1u@ow z-+?FbXFn->GD$H8vbNGmI5VlT7kZ`SmO8s&e-6~sCcm?QT0|h)%2>BBJwvVmVm zq#(MgkOplHRqIfVJ3fj{`-`BQ!cE0K5$%XA$Z7e_6~)y{FALQQ-lv(vL!2&6q2@^i zsWI*_wRAb5j=)OGP8lGE$uyREcb66CfL6r~@(Cnu2?%ncj+`?m!8grWfupx`6~K7Z zUo5t3DLZ*8TeptUY%y=1ng!!LN1#3Yi`tlyS!1HhajFc!rE0t^^aQCjfP``tQ)zzp z6O4H^)%{>P3)}MI!6<`eeMV2YzAyv6KaPK-m;){2r%`-5HqA0XCX{gl5qhcYE8*VAm3)dTkIj8kAI~6oz zX0dp(%L>^Ks4C|;<=ujE{O~W*tD+3Qp*J3%K;|UGQ-Q77#VFMc$Q4uu^*9*O(MPDG zzr~qGQBQoALa{(wa9P8HD4#vA*u>;=2rqXecRXZ>%(J!tVhEGDnJ)g3IOH0C|4kc@ zHOGF}FMaT6JRHP-BB0qa#EoIgJZ+B=`Ijj0%4*I}o6B#sY{k6s+t&4|?5U|tZI&fr zNuH!*7)qsKTen;74sTKL#K3P17@n!E7*3j3We~|EKU9?;-wG9m55jD+I_hGFn-uUH z3#~L|4cfHEEwlTc4OV2nb=?fAJ+0a<@W!5eG)MDFKQ#jY0|XNi()~L%4%5H9EdNS> z{SjdQr}P&S^WWqDE9tMl*OD`|w|2DCH?-&E{7Q~lSlh|l=;|B(8OYQAC8PO=tw)~j zuhCzEYHn^CAr}V`c?VsGKQl$XX428K{n;S7ufg9F{~CQw6#mMXea%r&_%ny~?==+^ z{+`3~*E19p=>K?b{yAXyv(De%od4Z=!~DNlZ~pAK|8MIJEgdcGf3@EHw8k1i?7CIH zi?vVD?Z)%@vfi+~0${s*2ZO|a;+0P*4E#Y(pQ-Z6eXn>w9+RLv2IwaQS37=^mUVer zR-M)9a@*40sa5HGx==olzG2nawW3ivHemOf!%aCk*|arZD6RP?_SN=R?5pZe?5o2z zXi?MSy~W*axnw{(ePQ8HmMZD(!R!9y!K<--W3zmzdTKMPe1*rjhk+0;f<8_7z(LtV zHadM}Yk`YuIuovG86lyl`&;#7FiJ{)cMQ2{1(TU4i+YB(N#lp#z2+UH^jYFd9kJ6W zEf)Lu$$D!{zFFiLH7`*3#*+t&xg;s;z#MJWpIS?Y9_%55EUK}aL|V!f`BN<*`~ z4$1j-bC%|mS7A3Y*BZY_y}flosfpu`#;W` z+TMNom@nrIa{S<3{a=Rb2egsxIK;we<^Q- zg?Z{@caUM(RFizY0D?)wxnyQ2T&s)&hhA(|sM^PH8?@*kKnevSbbE<@9%Yu*=Hl?P ztFcP%X$+=(DQ`?AicwWS)aE?G4U>f5cz^l5YitV{Y>0OEF8&brP=nWp{uBEm`igyx z0CfEo`>LSe`=y%uC-x-_n-e-4uhHKESc6^+Xw;~S1_`9X>4Z2ICFQmB4FkKFL`HE4 z9bRlIY7+WkIPXUnyqK2GJ>ZWD30C~h3o>x4e;TfdO?XtHpy_=7VYuf155u+LzYN## zBWOMbjUuPr|ITo&|F_|qkgRmvMWHVQ@ZTA(fr27@|6#aJr)!FO^zB8-TqJ%G>LdJ$ zeL?*d`?4OkOA7qXM|SVe57-}A`Yfa40KTfe;WSf zyn*-+!!^U-hU+9>oRkod=!Y~KfYpLU%w##r`Q*#{=rx5 zi!5b^(k;Cv`+my2s6v)$A2L#FAxkG z(E$#?W>qJ*kRflY zqO+hb^TQOuCd38_=<7ow4G*+7#Jm5(Mg~@gq5lhe$VW-Ft%((B7_;P@%l99OYpDOK zxE}lOifdbedG>Ed49=#>Df2 zys(y0%LXben~Rk7C}j#=YDT6>10~DQR^>kx*KRq`rQDFmyDcj<-FW||_J#OgYhTzc z|Eztne$~FXnA{q27b9sZ6T2%fG|$YhD3?%D1-#z-5iIO6gm;Ee>?ymfSTb@0 zN!q){ffx=)8*7@-ayNk`#R+G$foC!z96ELUoC>v|0vWcT8ZVHs@>GdsCnc@R$!A=0 z@7|UEo8$V?U*!G^}-ya0%2LIvO+-A8jK)Qi8bnz;w%pBxmWamXFBHU!4$pPk>^Pcs@{*+xFJLR zF$tARLaM)_7UN1VU#lE1$b91wFtbTOdt01xD0CzM6CALUy1|a{`-LIyAhWoj z$YO8q6qt>c5kIEzA+PR6Gz4KU8gL-s)T|P2Y`?+w(lq?|Xu(I#DLx5@-`Bm>Mz_X% zv+0l0Ai`0Yo~KJID{{RMQHnUGtpTfJXBY=!!zw6_&}0SFqKy`G@FWe8z3N%02j&Gj!i1ZbvRpC_8NzB zCEAuQDNt3sC>fC36nO#_9x*~g#N&6ZD>Nx$en#-Da)e8EsGnIbUuM^8MPCRzTO#y( zb7U>(y}8R`C0vAB`ZQ@4p!0TQKN>0y2Du^6>r*gp+Gc7)`fSI%%9iM7WGl)faKmY@!^rDw7PR&asKRz${mi!5CC7b@l$w=vVNJN zZ;9MBv35zx(?iakoerz^s2kHRY8>mqG87f3Lyn3K-Ri*-;Z?&XMHmSi2FJpCX^ZSMr8k{{(4y?kX>$Yn4gNI(@Q>j8va`sw<=m@x)Z0bMZAGF; zZl!1`w~cRT!Zf=YIlMP@4`vtJZY44Y{8c^O-NpN|)I^(Squf4fX*W8ofLcqLG8u7!6Y(boGs8>n;oh$sTF zXQ7_AL;GlJG?dC~B2}IUNG}ga{~1+MC#u?(Qdb!lM{emWmKbF|Dw6!2-N@0&Dd_@r zMOrMZz(TX{BbC6f+P@uFj454BmP2@^8anEXLsQ=gN&3i* zL?;2{>QXa6^BWJ1L#2vcJ8d0gri4nH730;$wK<$95G#~I<8A}@p=Ge$(s$soe2kjJ z$a&Z^1k483%Q{#dBsJ}Je_C#KA*G=s&Tk`z0ywev2D0(=dy-O2^{l_BQ2-D;vv;QU zeoLuW^gu;#&xqn59{}_3!xEmaQ4x;!B4vlqLG|YcMX*GWkZyNYlRH61>sseS62__1 zQyn~G7FwOzjLHOZsHC4?zQcy&5!LqB;!LAw8f)bK1c0-eb+_qb2G@m7?Wu2+m~bp1F^> z17sbUkO8%mpLRV?^tN_R5Sk$6RqIy5;_Ce95JrlnrAIixPJu~G$fprBC+$yG5pn0*W9#vT&?((JoXev>uT0gc+95jmG6d1PNdEIubI~9%{ zYyByc(uM;)0s9sVr#w>XHKbmUhb2M>4^0)sY_!+(X@cRc9@fwjXWs62C49&LJRUpoGCVWAXvN)$MqIZeK${iEPL_cK+eVPEZ@dM z!o60TiidZt#jwT60M=y&bBn;Q6<>LqdZ9{s;doz33FLOKq^;MTek4s|fks?f!u_&+ z9#0Kv zToqn)@@p_{aAZ4GpE95OncN^1qFHQ2mwIIV`x>5PEfA~m5{hfEKfP&02RFiL;Rk?} zihmG8(EwP~CXojP`nDR%0E2osl#!$QMOpPs&5lTFn3!-p(oY&5rlh;8r}>mgLB=n2 z1aVl<>`~FIiA!VsE9==J*I8K8S+B#0)1(IEjc8eOWJCbc11)lu193&6kjg}%qc0=) zV+N#O?(4l;#qot(GeTg_QmkWJyDe?2KVv1d(Dby6i9RpVA-c#T1n%9ikE z%SP#LOIg=tX#iNaO{Lb=LX_0==oBj z;x3oHx>v#yn;*Gpt-nTABuvyNeuy!ml@+fu^UAE77 z9fV`tdB3rUquzy`LtW{Uja~y4#-Z(CD)(pw$TIq+tz+>0VJZtD9tk93hSevBNo88? zHyO_ruO?*?;!+;5sJ5gG&}o=eCQ6T_**|BmJ~Zz;xx0IziStZ;iX$6%yl?`kHOBo8 zOr_(6e+95r{*45`okOqvsXs2xYw@~(-Dnm;{mbz@QUMqXt?^lRJ<56S!|bkXj^DA% z(_1#JynC2A@v6qoo5OruTlqD6)9cLymaXo6BsyqpnrXhch1JXX70IB0{}O_s6Z!yO zXXeP8N`)zv$+5+|_Awf|+#e0xsDG3u?x{KgF^*=36D|0D6R$8dN^V4U+pF;M6@sJe zEFBW`K;K%BPkr3Yt7`u60-Osb>dePCC^i$enzn)I$xlQA+_b)@`sy+meP@bJJL>S~ zb}t_tbs_waP3ID5uuJZ@zF(AZ`LuLw1l&Eb)C(wZOo#e#3xj4Q#rl)hk<#)Ud>XQq z*qfv{LTeA)riQ;V?o;YJeoC@5Kx(c9eaxAX+H;J?QJI~-6k<7L=o=JH%1aX}%(~BJ zn&JDTW|y>s0#K`Y~^iKSs*39YYivD`Y+2so`qL7-)3WP7(l zESKe|*nrSGderLF5jATLN;732nZBl`4>ed?e8&tm^Ei6`9?H4J_wdDDrV3_Z02k{^ zGnWTl#xGtK%cT3f6=ZlNwJE%_^dAqc;!H=Q72FV!qLBx4w{lBgH(*4?>g^O}O8ryBG=Z6oI$B@CPEA<9h**8BGz#1C>|$S|j) zbBnf1f6|%OG6L_Nr{Ph0^5Ao}ZG*dobfl8W)9rFafvT z_1fXXR4YJUDwoJ8IzpM=@(i*6BoC&^1#FH2E)y=L&-dpM{sfnn4kDvL3rEqJZSl*OR zIz#O#Jp4amxs=s7-{%%_#EU7Sn>&#sb;)VVeF9V9oh)jzgtMM`!|*S>32|MDhHDeg z1~uw~-fM3L<;O0UMFzd^8)|$#^6W63ry2}?agNhO#H9UdygB!*E6EZQ!l1$NApYpw zp1IucD?JFnSbBOtML}?!al*0iXqRPKV#oDzx17oy)No~BFcm_8UqL{)W}FS(zldNY zx=Tx|Xq?qeW`2{zDM+olByr3_(^WW<-J8U;!4a95N~-eGheL&|(Swx1iB>Ax6XSyU zhI=OgjM}^}r*7Y3cX1>r-#CI{52WG?aQMQjzHB54fp0z|xa+!spQ{D`vNMZj$Sg$s zoPGF2Sp!mcH8lBgbjlr znV#30ZQ+-JNXP9xLj52q$`>V;Pz648D`px;k+Sln!=?@kNH>O zDKTN?1{y{YdUaL7+B$}bSVs+puYCGW1i79I;v_h@)hQ;NZCh$~{l zM`TmqJheNg7n3Kp!8To-bn5-4gh{{=#WR_^$T>TiQy5KZa@1S=*Z|HSW&P z@L{+cJIRTeXVKz5w@wn!5}^FAIudZ~sscw9&SL5QOz zuom4_MDGaluGBqGE|@V<>%CBP=N+Jw+;q(VeHtbvy_fboS4#R2w*w2jdvWpmsYIVl zJBn%5ow=Sk-|ay>wf>s*2nwI%h$;!2M;;B!=+)y){~oG}Vk-?3ufiENJEm;m;Q!}dO0U=o;ec;HWxlh8QOz`IiY4>{e1%6H?p4Js8} zlVPSb78P8U{Y5%I6G&kn;-55b_>v7%J~{`cQY;XkYe~U#=q2bUyoc@=3`@-t#{GBa z&xXekt6l}!m@(~kU8=#?{$ILX!Nc9NwE^cxqe994N0_@d;?;<5&A^E#=OFWzMKa(~uAw z(B`v6>3Ew&uIUX{{g~wl<*(BZD;ZBA=-)3BLKe5+X-V`p$U5|etU@z?Kd=@B=*{zKtZX8h1kXNa$8 z6^yR@{t;`~B~G7T-Ywlt#{l3!wG}Cd#Id_zy^u@v5q9hC7VbPy>d+RGwu@!R{ zV&Fvt*n?3QrIKEy5liYT*g{2uBJ^iwNUS4#7-JDs`Jw9K-3wr@gvca!i9;$%czE!f zHZEZBxU_|h6BPCfYU9zDe#%-)eJV+#W6kX5n-QIwZkw&*AH*Y@RU?-PwUF{Dv>Q+t z3UB>nb$|k8Koif;i@-O|TT4uzix4#FJS zWPfW!(KPOm@wUHjm}}B(1paiZr3|!Q7yDLBMB(LqWt6fhz!i2V88mwdgRM1FGqO_h z1cDX~=oU-prtPX&6wW}kd>T+p(Y!{s!=R1PF4ejPlb-vEEIEIK9?lX~8Zf+tE zI2zz{q3edn5zkt`Yx2wqwLZ&%_s{kuOOy{u^-I9*1=ujL%gFL;7{WC`fYtYPEy-&? zC^K7?uy%Rqc!Ld?ncO`m(ulI$6|24{#F-x#1|9O3>CnF~>_(8R*_k=438G>Y zt+xpM^jVR6cbcR+J-cB7)}mbS?f!ge48Zj0{7erc+KYP^UO5~iwmbNY8%~V$(is=* zf_}QcN_{rV?+WZ$KTK|SZT%W}qpFyj6GeNZ#vy^CeO!*GQVch*R@G9)?+jJdW3TqnW`P(b ziPU=FCaK$JppTKCYU<_vaEYzLP%Xi4PHna4&uDw595F>b)XmtXJF=A|sBh?joRsgZ0ek9aWT${suPy1SWtGNA z%Nf`vpx4Ce)I?Mh%_{oz8J{`cv#b)n+5bq5pvT!KI!;|N@`W8`IUuu2I*Xyc;lPX| z5;vO31-3(KYq-RV*c}(0?(y7Ys>J0ik$QWeuTJltzPu3=2N{^96sb{EtM<`I=jQJq zdUaBQimwWZ*uO0Y)o*CINj20Vez;zMOq69fd-{iMW2hkZp}KL`8AQ`B)*bo7JkdKL8{v3e2FV-tyQh#>R0d1A zsiQKhmQNMZvTWF0DG5}5gORpx@%hzNNA;oU? z6V#ppWsrES!ol1^vFfthG=nw@Xq;)%*jJ00`o|Z)eL=aFoa=AG^urQ?2!SgRq<|cW zl;1yV=I}D2!i`s8*Ya~OYc2@yY)$;;rB&pI$2YviF;jF}jkD(&e-<+zi4n^;tA;Xk z?qYyOsv?Z5b>SGkM?pOM<+>S|N*gXu!I!Uf)khGQazZAldfm zm#H$oYW)FMZyyS@;EIRSKwJ0>Q^|sWZ_T$i{gVrvWT0!(coV0B>Gh_Umqpld3yc&7#wtd_+>DXzB5L z)W#R&%P2)PNMH*Do0yoZCmeG}J?bvVo)u`Fs^?@)BzU4+a{iah?P^i*1djwK=5a}$ z0^m@Ere3nE0Ks)LQfP*C+LdebC*T3~(0g>>EJ-haY?@fwunYjWan_z|Lns+k3PAxS zdiv=+h<5uhAc$6>d7^`{rO zl~lR|I>bq6TRQ>}838jNi2As~YzF+dWTMIogCWOm7<4H@NWK|bJ84{3yfYf3$E}#v z4DmSYqx)e>Tr(6PjMl)*DDIpii1YiuN5epy?y7CtdqQxr0EW$nC=;9d!zcvVC&8cz zm=>6uKjXI*PqX78Ocn%r<-mlJ_@;Cri|6*+;HLRY#l!BGbI$)f&s6XrnWxY`u(8xm zSs^VfrJ?5Q@^~$ydoYVYQ(}4c*#^+M#c2Vt`#2AN9L3=P?5Awf!6!T8^WPRmZBwSN z{_S;=9t3KW8o>3PVB2h)5B0KyJ?A5-vLwupRW&Ifvi+5m{NX#aA8u3yFr<34tOi4v zq9bs8f)$9kmieG`o9sxli90mz;hfM2ttLIc8f7sWnrhfpE?6+4)s7I5ak0Pt?k9~Z zn#rM&z{*T8ajx0&qiTNsujDCbwQ>c}o*VEwl&3jas5Sya5HY@A!0?oYOR zn$8_uX(w6Tf}l~g!F?z}VE*!VU(K++)3`Y{g;X6bHn!*=K$7zPbUX-yQ!B97mX0B@ zDP=POVuS$&ZFgDuvA@k8VZ&;wyJ(}U19+vw`t}%wxsM3yZ^x&j64ROkP6;I97O~m7 zp!lW}KMFW3bx*#dz*hw|nrK)Ip%>-J8HREc%&i-Q31BsVf$92YZq`3Pdj_m_N|J#| zV{i0+AL#I~wj!YurR{BVTxIg;?z~FZmnmocNl@gjw;Up@%rov}MB`Y19VB7jR=BW( z)d-CQC<+nUcgmhHD)5u>U7hmvkbwJ*(&1G<#VCaG?m5|AYDIkW&{^tQ%rLPg zzGBScVi*|glI1-ZKy@C<93R82SWACQIy@{ON1F~vZkRmaVGD&7$(&Gp_iTRVER$N- z^-euh;37ilvdgLb51Unvw%Wm**Hdw~OMk0*v`eaU-Ecv-myQ$ECFnlKj1H3)asRq> ziF(l)BBHnm_lZxjYPUmTJ^a;M3WdWk?Aa3sJVPDYc!KyKI2K!vNm^tMK)sMpuJVPd zF0Po|kps%i2DJCNtf z48=qphM049S~AR%nUjIU9{CEm)UY)XD2YN$Vxpyh+nirZ5_{LbW~ecp)pH&w=*E$e z)dJ}%lXZ6J)(E+rvaXoOP`l5i>55v!2$H)t)(TXBZ7CVp^f9mq#FLvg{#0m$k-If- z+t#q+)zOe=q%s;A^i#OUc!D|I1(xA_@#UaIhETny^ip?-d}2kadZ^f5id2_gM}mM; zKxek)s+~PnDIL2>7JpaS@~=9gKrgtGAd&~Bdgzh6k+%r_fi~3NT@sbz3Ro`A1~fGb z*G+!^Z5a*LOP8QVjNup`U5t~!1I?HgI}{S{IekE1XD5%Q=9F$w9@vLq(g11o)*Abm zp}u;EiRKAqLkr(b5fad0#8pTRp_!tmo%5(Ib3bM5O(zvXx#lq8R~YuCF2z%Kyo*JI zzM~;wi#6B~L5eCi;^Lze^EXa}POjFrkC~i$j?f9)BQPhgCcO916pWhme(g|2{~yj9 zCc!)&?Uu(z^z8H(%O~9#Y`KK1poGs}Z--LIpDn2A@uE}pT(hMo4Hitd)bv6^+DofJ zjZQ)vnobD)IXf%jTrDQm9dtxg{ljC5VI`yAu5cs?04kSnpb$!1pvl7^d_i@I{U?X< zbdn*)eiq`n(P<5*V=qWOyUVyKkQEGKVO15X*oF2=MhktSTMmt(AZU1$8?M_jOwF{T zRd_pnLhh%8<$M>^NFb$M7OS8+e?HGD6b^BF2;oxKwGC>z*qmLRw|Ufj{N^vqY=u+y zgBAP01T;#wP4Gi}?LkISG`0CajYhbF|GYEQt@hMRav8oN9YHk6tz_7AJ247I)$gfl zP+3pF;dh)6bp9MVOFj9BAi%PVR3R!nJZwA6`Zb#of7;uuOgjU24RA1Qh6A_1r(Ljp z`A`-x8IGTHa-XI7o2T{+s(sJJfJnhH^~|m(Ozc79ctX~k#B+nj`kgUIieC&hXw-aWR6OZ}IE%+eXTbl@#-gK&h(2m+L^RPVlm~4PlV}EJE%I95pul`SC$rWzTuv z!r>en?W&d6%BSs=oI3bONla*fC{aD`>&k3j=kw+6U;_^j3?RoC*!AC$k$*_Q|C!wr zHnp>Nz-OV6FtjpuFu|v1qLIRJEM zkoA8l3&tYD@0AYZWu#J&_`2yS4 zLX&#Mke$Xg5>-nOK5SWMmR(}Rt&(EvAfETB9V$plJ3B6wn$u`=Sy@+8f7Ob12W}Re z+-twk%(B~q)17#?ZcaAWr?N@UQ~5tV!r(tW!n;2`!mCII#;fzO;J7__aNwD=xxm`Ej*U<8%3Ey_O3k@5MRHV;;hQ{ z#b&mo?^()Wns;tJc5SxPb9cJy<)ggm5awqu5C4t|%J>Ru;r;qPsRyfYC!Tets;kBW zS8wRJ;=4!z=9}XXLI;y#VA`$E#N5EIB7$6OnlXlMKX3>fY1#}F&TEc&MLU5s01rdC zJP0IBa3=hM+C*);JLi^z(-6Rs6dRbYZUnr~?lv^#5>f>NXdGD2J8PPY$%|Y}(i&n5 ztV1USJZ{!UjRaETa!infllfLf!T*J3+I*pzkE&m2CbHA=7n=E~nx%7(OOhwCtlw#w z;m`OY+jaj&LuR3SN;#$_Pv*5>OigcFjUxNnY%Z*eJqOj4NIsS9C*d zDFA6<6OQlSLmXjm(87=dNcvFlO1y3n?zI_7l!@zRQt#I(5&KN>=BTX0kPIkAM0foa z28TuTn6MKzp^Gic#orSYod_6|R!~XK{SYTau8CCW;Pxn{uKj^h-qjv0|nkaltY4 zWHuE}bx2|Z2&)a&dm8{-vx-V2DdqO!Rbkt`puy};_!Kqu^kS*WKV+uLw?Aa23<)fb zxRB%-saFP^86gef`ej%UHs=tF;kVut$w?_jwWRdDtHob$pO`Jc4id1({AJQWZLC(z z=T{=&Ka%O#NrO4S{9{M3DO%>}k;VnZ9?~{&f6q%8^(F4qId1sx&uQ+zjAMgl2WTs z71-DbagS>vhneaGD`-!DbMFVandnA_GU7oo-}Hl7upTBaBi*RnE$^EDWKHhBftf5# zHqlHc{HYFOnx8#!9H1oU->|!}*7o%bic}hcv$mr*XpFI1?tM%AQLrDdu za>0QP!GI27E8E;?_0)=>U{69IM}S$Q=KmtLuld)({ z>y^H>pNK?IyH-e_Wmi|i;#&Wqt2@e8K;gG<+XsAXcNMjS?g&iTm~NUk$d_!qen-30 zVB*QWlk$BhCG9IksNOpwjTA-A0Xc+|YO_21X4^X=j~s=E9K}YkQ;=pe8)Gv&AK>qU z@H2^XCQgAPXja#gvtvjcTh4zIeUaAqNoQd%xMmDV(c5t6_>L?($= zUDi;zTU*^Q=X4CM5qdyNmnyS|pR*LGWMxp$ISzc0C+J{6h5cdyaAZhS;9Ca*bta4` z96$pR2pBypS0t*(|HQ=DnO#Ol_|^GA5u`?mXSHNMJ@XBw%~{Rsq(a0+FEaW z?RCFrfy-1hMgV}lU`8ba-uNQ<%zeN&zV$6v+U&!%-i9mGO#^+-ok@9%MszoM4YY^5 zTf=00rN#kPS8X3e`(RjWtI}wzlS{E;t9~3|LYFd(3U#As_{!`wv z3rU8B^)%1&)t<6%@{-n`$nQ1B%Y;>5Ekdm!zN}5eFEX>szi~6`<9Aw-f=T((`K5xJ zLsGG^Nk(!(2^)GS7#Q^rDqW{)ye#Icdv41Xr!1>#Ufi}lYv>N$q3$D(X|JO_ zJw`fk;e}$4< zYZa^JxkfG93AknlEMX6n+*AU3&QicT&4)5K^SJ<=@Wd3wYje#M+Z@goC!fr6sF1(Q z#+!e1Ubv;4^|LDtUlTcqHWqDaaL%V}POf;;oOFekD3ipWK+JPD^q~Aq+}5nz9CUda zCmn^V(F~SzX~??&bE|dSFq_eKl~hsMUjBhx=A(h(7chC|KdvoDue#REb-&`;@sNb@5c~ zqF_z{&nmBYHK&+bIOUD3g@d(sNvFvE)j?0MNk(@(AC$|QxIv==6r)BM^nA`(mxJO& z{q}_JB$DH%_$IsGHPcD-qLLd)yDyuQm^w9v!b-<)m`|T!eNTqwggA=f?YcRvq^6b) zg_7H@7N4giUN?+cx5*7B#8TM|E;^)C4tU+AnsEYk!Yl^m(85TB9Jn_?A{S)S?#f;6 zD6b%`N<(yVVxj}pMAk!Fg}`(l_{1x5b<4cFl40Eg?5wbb_r#Kh?(rqw6XRQEXj~Td zDJ+o`+t-8yhL<^IeVN&!omtw0E99oB1i`j0StB4@m%M8?5uM%}DfEk-=6-~(6o{XZ zy}+Dpmia+f8G*CunI7B)e9#Xfv~bTBZbZ}$ z-?oA^Wx-V>Gv8!Jn@qK@U>AJcd*>aynOhS#s{q+Rg3_@^Hd&y~x+!G>ejtnQ;b7KV zcS%klgEjB0Y=+$W!W(W~3mGVU_O>seZHr;_$X7d*9{my29nyvLR6T%GcigUX2F;ZNKgM@B@6I<6<;*pGNTV8vu zH?I_%bSd&`yA7v}#BMS5HDwT`I;S;hA@&JeMQSCHzUlwdw*&45pU-g6RFte~bkM=} z4E5p+*av8RtvEkjcy@ap@NXvq-2VEZ- z&aKyKG1&)9W=S`XD?5NZ3?y8q!D_5W8?n^+bqz(9i~d|Ord38B6P*yhInqY zjWt>x9V!$jB3%oMLanyBMms;f1(iRj2?SOsa|FBsShtpRU7YENWp$qqEaRz>w!#=q z-z+@s#S_r_{-a^Gx=S_m*GTen5W8QdA1}3IyW%T;G;tAMR%}&g+l{0{d8y0XFXrqa z$v7i>atnHlYz&6R9FN2AGBTTijmj{rp7@ZK*FYwW|zU+LGZ#!l4*@8k{WwjE#cx-KkNzBjrK zonn!fG$SM!rva93-T1WyctO(V^cpG4Jf1>VmCnEu96;?wsmi2$p$_-pX;}$YZ6d3K z=CbK}TYbPp7Y%2Rr{JnhgWGUqAb_F|Duz2Sxr7l1l0UpCw8~!Mt-I>er=>^rtLQKl{U-B%qGKBPNtqVHBA1qEN>gO4 zUaJqHLD24Q>TjRMLQ{Y^rt3R+EGTUmV-F6zWFDHtKD&iP?;ZRk@t|ae)KvchjTrR$ zOC9s`OrLj3@e~T503>tG<+&W#`&K;PV3xvRsRsNg5f^oSXd$`xSJ`Bi$}~Y9oujBc z7<9qwLwNpgs%knFVPeQX_*q-22LusTeZ?!x=I{)q>?Dg(uTbrIdW{KW+cK)6o^J8S z@TX3M$gR-{J^GaZi+bHv5f>zt9?J7tiYCp$P_5mv#D3lb9-7aI5-N2G2?qU8A6%1p zu&_Ym4jR4u_isid*J7D>o%b2Fi4X;bQ8vs^y@x9L1}= ze;Iw2)dqF#HK++inJNR1X^I!JlBN*e|JJHF4{kd2PQ&{7>m)R*hBXT_5(h!>`I2cP zm79`_hAmZ}N?+#w)zgG)-?GhqPicLVkxg+c+$M4Lxg)biS=~ts zUP5VMGc)yT7K6DuD(&mB(Ed%_U27FIANU5b$Mte!|Ci93p8J|!2D8-?2!0OOul1qE zpN$|{`tm!N6v3zq$O_aoG6a41&`4L!#je?P!Ls`&eYyy~VG zlt?Iw51x`JI6*PQi_{=H;!@>oA+aR8Y~kK-hWxbP+u~o3*(@&@Ch@x$=3I85zOkuZ zBBAbkn>j?SP&LPnLT$6_4myq`I&%hya=rzLJ3a*8dX~B<)uQyi=e|+DQ-+=>><04Z z5o5~i?v%~-k!A!6B!BygXm0U>%A#1Lu^Vjr9Xv~JY|A#8YMgY#K4TEpSbrGww3Y56 zArnnB2r#U*^{kvJ=Ov3Jt869w5b@nL_n&LUFG`;;O@oKgggHnXHQkS3zPS||=GbCa zF4s}{Oko-6k@JK*KWI6L4${E%PCBW5HeNQ`L5=bV%&Xywn~F%-nn>&c5J?qP(((YZ znuB_i=ETx<-Ux*$WJU{&J)Edpx)PyAeJp};in{1iu8MbJP`iGjN>t79ui?0IU=H>> z9ctF)bj>Q%>kv%E@yx*}E)tU1J-CMMrf8*(=di~o6EvPy3O*Xwh#;9#byMB)SA_R9 zgc;Xs-bYFjU-6-G;&6rSX>YoME=PjS8kQoOXbDDv*%v`#7%=fB7rzgeH#%SZfhgTX zC}vo#F^Jg);hDHn$=b^#qROT6u1rn-{5;cIuU7 zqt*U`x`~vfIu|6$9P^zs`0;;dCaqc%ww5J~Q~PcJ>~6rG^po5Vo~jk)7F&v7sytn6 z>jb$>&YPqBY?Bn>)T*2X1ASR15)4E-RWUH~o>|54Or^-Vuq8}wnaY^OG{-}nR^l~r zhrHRP_Q7D>ToojvAYB2co>Cb|oiRM=cTiqRZj+;FTeVr(Waf{R2x|VEm#&lWBl{gh9P=YB(8KF7wi96o*$!{0J@ z-CkL(z&ofg9;&`mgpF?Uup4VJWIu~iER{mh^UP6xhH=sx*@?3SuDtEFG~H}N*lio*<0f)L&Hi-|nvt58_~ zh*&4akN@QbfzS#}ynqEqa}%U^UxyRs@1LJp$(3*VeXy+S0fG*+-Z^q_u>o3X@-%WqcXS zr8XAg^-5e*Zpk>E?zb}HS_V+&INk+#&h|+FrwzA)NbeUS)knk%I~vkV_G6kZ(OSAD;xv{h<(d>g+-aG zo-p!)x*n_#R-JVEdw5xnG2oe_8QB-Ye`oJ)#_V#8jrF2`n1waCArS=zwI`l#W-#IY zAX=bCO)T(AHFR8K0gy?8O`FG2tAn-aRp-0Rp|f5d8XDc3hUSKKch$^JQ>l`#yPv%? z=exIm)$08m|G6P?&#UhzE}t|y;ltrkzhAPq7SGn0+}t$gu`8QLMO2z3;+0js-IWQ! zfT0wR%uiATA&@`o^Ll@3NFvB}Gp|=KFK4Hf+QF}Z4!?iKFd__9-1(+$#?Xo1=kPoi z@jF5HRT+XMXIMB#U=!W;B*D(@N%OAla)gqLy6c)F=Z zOx4Yiqs#qM3$8?QM2u<4^v8-SP1$haJS`*a>UpFsr;=R0m|icz@hMP9Y(=iiQGdH>11 z=3872)8fQ^c{o1twHdlFfcqng&kTYGAyE;?tH?8maBlaz^v(OX=Kv@CEF=G-^!{K+ zt!ch$sW-|5KqjI3m(6jt`yA3xg~f!j2piKLJ+GfA^gpd&5FH#Gw*OI32fx zmvO0b)3viPhE4O=B-syDbNqJ-4`(hKqi%Qx9R5zWiGGv zA+NXK>6y9e3n0zk=ixFSAoOdjTHlL@I;jlEW!h&>WzD*9Vm-QvVXm#5CchA;nG_`E z7rRievl0zhxMJAO?@I|5zR-Cc;&(UjGdd@3uD(~tY{FnBNp(>_rw@1~znsH=Ac8h@ zpIf9*WAI5qYtw8DLP9QJ2{sWq9Mt0ir0d#DaY`4{dLKpkIQOnW&3jbcNYAUV#7~xS z6Jc3bTfN3vWTG)AN3wn_vt%uEc+7Al(PJh>k+K+5z7`Py3Zcc~BSrt}p^{;gt1!%E zaw+KU7KIgK)8m%1V1v$Z$|GrgCMK#G^vOJ|f9UMc1$#@r|9 z1j_8B;26%xA+dsMJ}2N>SK>|xFPOZ+$oA6~f}$FdHr~ZTEAr)SuGiH&sbj#E&uK!| z67~sOj)EnSS+}@MCrR<*G&~i=8*JNrwJ9zvc zBgcz>H76r$r^O7e`Nbhb-#9luH}gATA@?}6aJI)089flt80|?JS^`s4sw1V~XMpwK zQC8Y-CeM=34bKI&w(Yob)l|HKj6)%UOYalZ4vZj1Y6nrVf}j!OFM^`97wl-$Y>b_F=V+cPrmTFP2jH&;CgWi4fOx^e zL$KII9QV?Oeew(8E~5fi3Ok6KvwK3M26!|iDQAn}1xLamwHrpxgn6TY8KX^s#t2jU z#o%X9b3AS?+e(kjc@cW#NF1>!mAyZO#P~g_sMIRe?(z*0FOkSw$FKMzDtYCU6w{qT zlda62ACf7VtD*DGez$AJh(0pdsI5b^Vsu~7K4rKKeiB>?OMVq=`nnW#XK8=b*sayh zz>l4~4!k9mC`KBGqzwMTzYDW)4>rtm$ihT<(U$>1x^mp4qQWHLG0ak5V`fYaO+{9D z==S8Uvs*hER0p}1Lv||(Nkj0eFLN)_I;~_64^e$GH9fwS%cu;%sZUNV8NS!lHS2Ik zgnG1TabLvew>U)k^tmWS)NJc;uw zymrDWV~ZM2C_?MsPfx2$y4!Kap?QAh4VQK24|@Z{AFd>Y)6W{O%k&d6j-#5_wI!Ty zuFEX4{{C_L7?RkN2By$GZaF1Rh_PdQoEDmf_4rUWd#&zKEr+OmNAy7|k6T`4q$dA1 zr?L#otY0dt1YO`CNa;dh5oGq)LkW5M(5~!O2UEoL>Sw$5&M+-na$2O_Zw^>g_FoE! z;Vw>3JA;t}XVC)E1N`0J(=vLH>hR~ik)D)H%o;%H_$Thv)FpQ&Q-=LzPdTX^Yv}Np zOn(e-A=8RlaFSd{H+$_`(B{)p%wT2ZD_-}?TQKM-XjRlF5-rh$)|^8K93h;;kLxa< zcoB?{Sx~eDff%N<{3apqzLZu|Ofq@?3|sQD%EOm9L4*%aw#zf%MjXWrZVHr#i!KN)q>Ne8opJ>#wN)qEzE>g6-V?4YLB^{0ctMy`w~-v`tQ!P~EK zOQX6P9XUVZc8tPP?b&ab`?0JRg8UYmnNKqktk^2cYzY|oe(n4qNI)ls&RAG?s@@{0 z+mr`&PYmpb$ZO}^ho;6*eed1HFsSWSpCH6Z#@dRVMemU! z_K<3dXJw3_{aHc{C}8sle7*hN5OT@H<`eX1xNY$8OPCU`5@y%{F~-nYDe+>R$g)?! ze*+XqU_x{*&@L@859Pgpdn<}ax(=$eE4b}>?@x@;!utmFlUOaeVjSQhzq`y=*@=1U zW9PIZeWFdUC&ebIU7hnq; z!@u(u|1KJ1`o8!dL}P6KDjxg)4s>DvpGGp4|46;C{wL~%?f;Q_;rwr?mw$Hf|CM@S z=j3Gl&(w={46-=duczt~@weP{m+lJ0AE1)S5+Wk|6x31f3`0F46R@yi(w`r{woA{N zhU2-xtF#0+BS8&^z2;EdIJvgH*GsT+I6eR=28lj<~rG@47Og1Q`+^n?G|tUtUr`rR%%rpT)wvZg>$v)n*XT@6tJhBj_FdXcr5Z@@Kd($s zT@h*(xe$s@k*-b*#W~FNjw$M(PIpgUJY0ysXXQ`^vdPwCv#>5KY|KxED0187^DWUi z?X_#F)3`CMl?eQ(Rk;6$kBqbbfT`}=N0v}C1e>o?#p@$S$3?;WUsc z9HK-P=iySeM?4>Zoh_#Tk#XS3vQHas}a(sh1RWS2QHF*g>6W)&E}Kj@Sroa%iUAA3Df zgAb1R^5P+oRy7!yz$u0?4#TKXw=yf6hN5Oj*gVUWDgHO~LL61Aohw?xI>aqkpYtA< z3m#HSkYKPGs*iN;U(Q!4zNRSMX1rSO>`ZNwqZ8ux8CiTX1jM1uDNT}9rGji>?-?I~ z!4879&(c%Dwnvl;f)=AY5T4(E)ut4da4%AIim|V|6p=q32-#^&3L7v~H^?Oh61Dy5Nf`wh6>ioZxcVx4~_d z9*ENFs+Au^;s~6zGn!!wJDRiIk|W*O*I06xBYDAyo-#x^Z^B)zU!w0C?iOA?Z#^hE zS<#ycM3HcvIqJrjFky$8$>s;QyQa(1zc@JglhvnX+5qX0lWBis~o&d!jNI;2-H z?sc>l$WbaJykAr&JurdG->wP*?YL#5*c6u5`|# z>1mM*%ax6$br%vTA!*C903VNI&7Of}gC=1L2kba!Qdq!GDNA?>`YHN@RQgImQzyXJs?|sY2xCaCh|0yF| z9ropn2Cj6xT-(bwTrx1l$1qcPOf514nF5jkqnV5KD-FEaZ*T_AG#eXmw({UE!6IW; z?Dvfr?xMkE7s*Q4??|AF(j|yK9Z)Cv>Q#$WvV^B{DJ7K&ZfoG;WSn*tMFX=;qT&&LU>3tF_^-CWV z!8h6xs7>HOQUINNGWg^2M8I)X9MZ7`nE@<~rnZl}l7LXAVpK0;9XWexGz!jPUU!H> zHQwg3OB+bDpY~9T$=)l5&0_OlZtY-wY0IVSVd^1WX*kuYP$4d?P?W`3gDAygUY#ms zol9soKJtc9?#_Lvs)qB~GFSjV;gNmOM82~*?W2>nf$>JKwR!bsOAYD55z{h>JX3#YNiWb9frauiZ5M0g$Yi=1K<1&oR#+pItex8=Y-G%`EST)X zyz(8g`#bl(Ux{CjLU%l@R+nPmJvS1WK2Z+AChlK+WQ1-X=+by7nf4m??}iddD=>06 z0J%&x`^ffcAR+c0+=AK#ixdsA00IJPPkBzJb9J-q|#E z!`9SS_wV8aNFJEqbh6vD?qBw)?!nI*FD zhT2<6icd)~z5)>f0&~2{p9VB{R!|mawPg5MqiPEOi-GwJy=J?7^_IKj_GtgxN9LL& zm?qv1PWqX0zc5*H9MGiCgqX-yOc@oSuDq7#zM;Bi$^8gkHQ<<;Dr4b*xN@#U-E3~< zl_tJ6h&8L&V1H2$omovx7^Ol&Pyso{0MbPQ1&TSSlq+MDG-8br8p>q3Qo%Vg8od)@ zU$gqI8Vh^$0q`r;zVta8UR^Bz+V_ zXJk69(3_+?nnsJ|``ys9uMgPfW_4qu)2rzEGns#M-xdla-ngbx5}@^={SCe-PRK{h zkh6ZZ=(gbH*`g2K>rF1Y0VLj?TY)a_)B%&6+HqBsGU}YY?3^yuc53x@*}Q6b+xhF8 zhvyGw#dtg#d~26W8O`hJXGR{b_3N8DrRd}J^%>J=ef@P7-$F9DDtX{wG3$LDJHPvg z!+-n2uV-XzKC02G-#&VO9Nl_$ws*9uA>e28_6GY-t2~QHQ;UP`yH5y<%<=-s`8Mn2 zNM`)j%c+{CuRajv1t*MNR`F@p)u8%-0IP0x0Tzq<;QTy;id@*wc7Y1c96%ty0ZF~w zXE-(b^!C#;gl`3Ix1k<5lPJ5KG$?CrbgQ%yFV~V|?R*G0$q*&SyLr{fKK%}Xu&hU? zaMk83Zp`NW^$Mo^II{+GSt8F)rQ-Qm;ZMieMl&Nwg&Nx(WZmmUhkjkm{>K(wH1iw% zpiFZmQFj4wHThM+bNI0AUy}qY)r=ene*C5}LTE4hgH{UJvdkf9xA5LyT*(#k@%(c= znm9zedtdpZ$1c=QUY$N~?i0CUDJY_O^Xk8t)0wZ1gut*WK) z!&0Lsa#w-W>j%rmQY~ zUjl)P3d5^DK}2r(AwC5nQ-HOkAL-AFkJrkx^$8Y9X{p_rQ@f{s>R7hNeK&B zpf_XJL}0g4$=?Q2*mh<<0v$|*fb&5w%U4}?TGbY;bgli;K}LG$zYiCE&8bF z0AErR)QNK#8cytrr2qym4InB@L8W>uDn@LoMJ>aBR46o@th0B5LHh%jj1)y~=uiu! zA*Prw3Wt^fm%N|ng4$Myl3Ww*NO_4u!>8U}UbDz*nydvyfq+e>&Z^+#(hehH1yFIl zc{h+OqKp(&_hVIWrl$J2FQ}nI1BB+LqOBajs@_grrcGV3X9QeRkRzk5|6Qk@+6o(? z@4^tcB(X?qNn#T9Z@A~GJE;h|G%L*|B{HgtlWBXA4vQYt?4VLZoxjzP2l$fCZdK_) z)Vk_FZV{d?v!pkjdLuVyJ=wsq21o&~14}byM`yOG)RMM3JVM#O5fXDyFvDK0lGzEo zt*Gi@fSOJVl$fS5TRq&nihw;aZO9t0jl%nFj+5N%P51ze0TZyLo_H}8;Ds|AlpJ?GS{G}wE+)> zf_~#xtLJLd-~b;eUOJ~$MY;2qj;xJw4lVTvMENvOBq9n-30hCRUtH6TSrA=zexn66 zh*B*~YO5zYp6J7#0!20s3X48h3g@hnf-6V>w_mOrBQBx8wHc~kC0s0UU`LJ|l^>Lk zM&}7had-vY6&JWtLdD3HyLiH)Y;ph9Pso`gbQ+xl$mPxM?XSDpYY>WSF95I;7+K#% zh+joNV)&tlR7nB=SV0VZxIc=)$OdEQ&7q7NK`@sqWVXPmD~Y@8UTRG z>L4t4H6+|@sLCdP2E0vt+LJT?6mZ~g`L;oF*ux&}qYNk`4BMBW(geg)t#l`52d?-R zKoFo?ogHz+M^6*zT<`~I;3b(~9wVjfDzU|~!!ent)e9cwgk_q=^2RqzD3O8LWX~zv z8d~uSXnp$3-9i+o`b$AFncx_K&QX=XRlh7uo^n%5fgTSoOioO-#pLwlOia(qeGSO( z57ghzD-a5B!XV15y%C>MYRw?$+}Jze?8V)Co75{C%y6?l*km_cn9%_zz|zsl?dcQS z3{nPQo3s}dcW4!yI#|%2^$OCWU3drw(rRywNcTsx-!i#nnbhRJb{zK*dU)K*sq5rO ziK3qk4Djg8!sGAhVXC?jk-e=wj1dr`3cosR5Mmn3uVFqJrXnYj(_id?49*E*h=E&l zMdWf}^=BF|!{!s|K=p@F@c8C(|L7;sNKbY|p`8Zgf`iU2^c?~P(#HfN9y=Lh?M3Q^ z2JbU=;tTPe21mkLssSxPalu#t9U=gYP@=by?Fu*H_0e++pyUUTU8Bytf{|>@T+a}L zqnc*7hi=mgZUUKQ$Oa2_d3*r~zeigp(pOt4IS6`uAb^8DaYxJvbQJdil{t`)sI3L7# z-S-2gza~r6`&0Ev=Jf)Kh-snA07(N$7^5J`hh0998=3UeSM^{9L;<>{KqP9hLrXey zENLcIS@D@y7!(BeJd<^pJtvp9fk@t3MhY}NYLxFXF-TVU5{0zq$C{*Oh#D3^Kz)AaZF3P8aM_cEBUDs&jZEO1Taf4x~WhL%oIPj!c%<3mJ8oW z$u<{VfH#pjZa;PkZnFSLUE4WFfGAK9>If51mc%US`zXdo@o+c2&c-@V4?n!yVhqe7 zi!BP2mf7F}9Ou~N{t9i9FMzH%J4&YN>*kRZ_{-vXQ~%uhc3z&p%je}tooIBLzxx?A z+m9db!-Hbhf=kD;&{v<(fL9I@?(1YLyPFKqA~_ILa4IAMnYgABRH2DI3R9ExUP_x;@%&_7oCLO zx6jEFz3Zdl0ZI?ea`%lST}Qyc*5o8&;)DFE9LF54j0~Sy1$j)#67yOn#CHB;`(BMq z7y#c9>w=!CXE)-3{v!bUc~aBc`%gFA*Jf-4U5^8(ugAu|io`jw_gFu7uiC4ud_u^y z3ttV%k@IV2bYzKy04eDWx# zlH1k%LiU6v5&ZnI_wUL~h?8)`tfuoJ?n^)VYfp~DJ47-W2zEG7(0D>gpuGLioAuA% zSyjE$e@l8jsO{q7k@&dmHD$J}ao()k#b!Yz69 z_ms4}D6+jM%sMx;4V_@f-ZAAwI^Y#2>xg#T7bW5yMSr^aq@`b3#rq=hW-|G0kh{bEc2Fne%@1xARcY$H%e8&o7OSf{X-6kiW-t}Dz^%jmhimj9Hu3C(UjNTdSjZ9Ia`jrN%KnEQ*NZ`4JJzl zsKV=3v%A-fH%=uQW4v=TK|QBVbKkOwIi zOuEjzX?`3|?lI8`9eTLlV^t2FqK%=qi6xs-!A!ZVWbLJRHUxW@rolb7@Kj7(L|b%} zC{uZjyJTrTrf*M_n%g_JF;3dBXAq*VzYBdD1db2v4>xGVMO;vwpHVvoB?YUyY#6HF zAq?RMLil^=it=+cPmc;crt6PlHI}^6&=>PLfZ?L$4SqkZT9Xz?>6{px{M6jI2Qe+| z3b{@GCxl{2E|9|Seut2LyA)Od$>r&3n%9=;eyX*N6CK)z2vbwnuV$y2#fIc6_ zsctg=WNuEn{1~rl*TmfvcUoYJALU6TkFVJ%SqxaNUkynp`HiqRgCidl3NW>Fs6T&Vk~DHS>rB z5bk|)Z}H0mNUf<0Meq$7u5YZ<@|P4w8{6Mmf9Gn(Ga`flFDe&kUk44M^2t|(m$)ZG z$1uGx&j`iL^iAZ6My9+)!nip@#u$K873Mqktk!SiHFo@nJ5&+5xWxDg>B8K1WAC`C zuoPQ-pagQm?D%Ht_q&4E3Th`W;4CU?df%+4N$#583~~Z+*#r3$#M2|9qj1aSYJy9h zSGexApLT6RZ;*WoZj&$lW<-CzMHmeKPLX)bdA%KXRbLr(Iaff|ERE1_uET|zzM>+X zPLVvnF`UC^;@4=pob^N9@m6G^%k&+DJm1UC6xO=1!7cyqbpNc&8`BjC5+?3Bd@IWK z?VSN9jwowzn&5&Z42KIC2mKws%U}L`0lB-)l`1=?O3`&a0#>O-6X4 z!55l(?!hElX#KapG0{s7#}0->m$zC|-3@&fYB4ldWH``%u9VWOmIjh@qGecsAUNX! z7d2MWK=d5Z!92iNM|WHrdgp&>YzwoM+-RS@IainIG#w?v@Pwmp9IEGnb;6Ys>rGR7 zq~_QGLtM2D*@ub0pg9Xyg_C3tUno%7YmwW{kpQRD`{l|Bb`?|Q1F1^j7;mc}3!h3+ zeB?R<2ks>I5=@k$ym==XX$?=tjH0~Zr1=wSK==M=ZPttNc3{{K+@l47du<1I9G)!E ze@#pg^DpqMBG7y^UF=keJ;=d5yG`YZ4H7yQi`@j?d#`9mI3x}E0{?grImLEhDQ4X(qAUXkAhQhSp}j7_~otzCEX z;QZ<}--0_*fb4IVO;xtofS0kBr&SZJwKr+W+UtRTju2~Z1mfcx?c)XILRdk? zYJc5&Q^a!BWP!CHv9oxhzkhV{+jvF8yS|LfqX2}I=>uBqF3EfTa$$Mu5o{ei+h*ht z9@G6+d@!}9iRV=T?&Z;i|MMjvxmrTP>7CQRC^FO{2?~-(6+#iCefa*=Z zqn@JT@QX^zlxV*tJ^qWV4zF{lEu{VfIj&8>OPMN3;t zcaC?oM5Q2oS$g=9QmC~p)N8>G34|wta2?;Kdquo-SI`VqVV>#|wU0BeA>Jj@YN{%< zT)un+)k_z1Q!a-AAFUgrr6&N-*9Ce|xGG^TE^s3OHD(F$+r+gEBMG3I1hp29#5Q+;>}+2x|#Lzbt{NKC#ido@_rGQ z;Xa|XIIF=ZNWCm3fp+huK%JcX%lJk-Z5f7Lc#)+rcsI}bPjCpxs5y?x{G7MdP^;0BQzetr=M-ueYSyZMSw5$|>F917i z6ix(s73<-AEP-`aI~jUeAyD|hS8j5m)w;iAs(|1i(2;L+GiQo1MVN252fA%f>K4=l zoT8E{zQjmZ%#Bxn*8{ajNN{-Yz!hbcUy7lFy{Wb~r@HdCBz}Q@z4k)psa0y`e+6LQltZ8Zs>1ZGWhHTUS;~r3A-= zqc%ON3>H`dI-IGj|7ofS2UV&Jm6B2~7^xTh;DzU%chB*(wYOJ~e|Mg1E&}?qRmf7* z(M-mA-w1}Nv@Oj0KHp~nLNkdnE=6W*H;YrEi8^;a&TqV?{%ON*Jnyoo$I@&YDC8fy zFCyymufpm{4gKm=^AU^D5gfzR6m?n}^FMr+W0wZ(f{K=Qq4eR=-w->~W)lTD2hXR{ zHo%})qifVx>yZpviOKrgp&c-7S{A6B#BcFb=gp8aNNTZuRnoTdG&>z9CGDZw1EXh@ zlMc?_L@J^f)2|KkneVpkh|(z;(bWca3uzNl#l2*V2r~F22Dpx0nQ}t0sT@%~y5j6~ z22@3>ugPcbJ!39JG9O?c_k)3F)E)b$Vx2*hn~@kx5J0(kd=MxZbLZys%<6I>{zc57 zHXM*>Ml5Vww6#Fr)oGkO%v%?AJ7r^fB{{PVAfrJVUEs$#u#m>8yhi-ckc1_wBm)JG zqKHeSIDtm;i|ESj#2Yt75%W}3ZFnrNy+`z;kncVVtayv!b~ZGG7IWRY%S}Y-|I_}1 zl`Ctxsh@3CAGw=@VXV;^p{!^;j>&i#j5473wv8Bc37p1CV3s7Et-q|;KV}_-#_ar~ zq~Yf5`^Zj~ccs7{o4w8MxxZ>gqumV{)NJiz{X|Q?qBb%c&dNvXvE0M2suK&A{hZL` zd>c+lsg(s{oM4Q84*2))k8}QS!AAzHRNjBZLHq-G_&=Y(|F2pRw*Q+}MCo6&A`HND z|LPTK1oi)~nq~g?X8+AA!uGGBYX6_%7T=Z;hJUI={@Y+T_HUbup^+24^1n!36b#Kx z`S{>WZB70$c>nCV|MMvRt38CBjhW?t;VA5|hf#kWSEq{C_0S#vqWrdpBosmK^aX1c z`0hXk<^2RtJ{W^Ndw=uZPFvLt4@b3KgYYRa{maSC?PNCPR#pGYwYl5Vt9G-TTz+Z# z@h#%=ZL^R|-_Dl5jQza6etr6EqnOV$Kc3o$g0CD=T0dS&@@b1Bz@z&2tKrw(aF*7W zi`lWT^XYz6X4aN_sZNqf1=x=S6{pN z7a^{(Oxn&YC@9|vaN~WLqv_Uej9TP_NDc_i(sP(9AHgP!k3dvb$n{|{ zM=JF`Zkklkn;5GofJW9MD;KXi_9RMuC%Z+vI~VN`EQMj_sVjn|?zwaAGR0WCpaIf! z)K`_tl%@~`FDU$j_P$&dTRqjV?+CT0EwZXN-oB&VAeKCTZ@+n7josh>_4^l+;+Mhy zPEs^S{P!fq-8V@Q-fDCgH?&eYL$rXkk6Wy%@HsN$->(rXSz|j;9qQ7n`e%vwvV?TA zR)z+569$`Nc{huHpR$b+Xi9DBhh#DJA{bU?_94+wlif;Rieaq&=|dT@8r6@ zN@b6PH_n=C$o_*|n{G4b4a;FLoEuqp0_DbT{lZ!oj z8%>@NGlMK_&rHY`A$%4T@La9LIdOx9D0^YfUxFxPJND4k)JTvJ2`969g!QhYaRwMO z*SyxECkB&5CAplGfeEvxSa3!l_V;2q#b#z*$k0cI$se8|o=n2)sy~Dps|-Jl9P+MB z0&hXjnqhOl`Z70CDa6p1r0c=N=J<-WR})D`G{Ry@AT1+H@q4YfD7GRBzTF{j-|i4q zGn+bWxs4!Vt>`V%D)bK$&Dv2z@?P08a!gB2t1L2U1lmNw;dvqJ&sZeN(Wu}x!Fc0K zGv$CukkSf50lD;w1(xBsLK)MpHyGU>XKm>mOe?}^Vc_gC9cd@_lLMQ=F2XC61Pp~- zHv_?V2aF02Kskv7IAmlwp@4(x`>CKo2u7$9zxkj5n=)+bKE@2yauux{Y&`_^TMt7( z-7pI)vORM?(1JOk;`cANo#nq3a z2w6Yw9a5W7s*tl}p)i>N^K9GRTHs5wd@(=6lp(JUfaJCNq4wlVs>wJ$-pQ$ zc1cz#1}wH2^xzfw(XCjNO&HLb6XlY}m$JrTXE-@@=-AaCKPeR+;Osz^o!_*%6 zX%6eHi^$q$SuWW0scc#ygJD8Fb5!#AWKunN`JEqmFcjQ!N@tu%CE4VC{=Yz*wY3sbXSei8P6kJYu?apEP#Z6s^!eV(cAx$CF)4{cR7)CY%{A zI4w%QD1BmM-dq>LM!rh&%D}z)iTZ61iBt3F3me#5cO=Ga8$(&H&L5B|kfOD@6t#E~ zNSGUdT^3*?N8YAOJ7&xL4O)W_i<;Ge)SQ|$D0j^}6*>(m{MDh*mW*uNt}t{X zglVovk%hNH#Jf}hFr5?r`-=L%a}?_Tfuo2)&tyWudCJ*gNgdqRu%GxYmf+;u)Etk2 z{=}Gu!Luioix$vHF3GbtSb%7^Eq)4)jaYK&Bn-xI9M-WQv}a2X+d?EG>TE6LHM8YK zu^2Z=EeuY`HYSO`u1r02Pp{5Vn(QNan=;I-@NGPMMZ?hMp-aQa(U{25mSJQu&Jr9i zf_<}x1iLNB$a0yf+j1NpwtY9seT?KJnF~MUu#n}_Gwd-C7vo8%8%V5%HMlBbhM!ij zLM&z=#aK!@L*)B4u)6!m3lE4bF1SWvjHX-r>RQZqo>pwRl++jH7I_ zNeFl0NPp~!GREk$3&uxjT)sW&obb%nROV_?$*10b;7^sBua8ITTxLD;X?mY?60&!K z^3$tl{qyUf6X`2&`?`K-=gQy31oCt9w~xWckH1P;)zh|5Z|l|%WRBd8zM;WUgduvEM+9XPbO+2GotnjRe42XU;c&6Bqr^@ybRSN2`${cYsvETTTvyju z(d2iuKAvBk=SDqzZ*0QzE{Pscy$=pq7QKGe%=hY&-5^CbNCQ{0u@h6KvEgr*>ZOJ1 zcxh4dFGQdAvjO5Qym98v=zN}^WPV1?57JuagLih_-v$6J?Ofc4Q?Vea>1@>*Qt=dU zE{Q^rTQhJcp?-Y69{%17JgZ}2+hPaR${b_tlQZA>BDhq+n^VMKC3v(f`mc^~UYW9N zL+Ksp$U|83>;FOCI|WGAwb`F(S2`~p|jRrzuz%?=?!Fj*H;cK9!E&%3)5B zgh#!IMz-uHTE4rccOt{GBsT8UTj2rRVSIy!z)P=$9XN78SIBPoU?K+hXHR)tty2|ul|<4#=qAP`_E4m}Lkh#ty1@J*t)BE@gEzy{Y1q*WX@&NF)-83d$&SdGZ1Ux+Q#o^l-Ba z+9KqkdL3)=wBOKITRwb&Z{FLRQ+mUiif!C)JtOnV-P0C+)Nic{*uKc)j1*j82fG3m z;UtX+!9OU^>uk;Xh^h$+eo{|yJk_oLDN(d#^Sj1;aOPw!0ZrP^n>RRbe~g2uuT+h8 zaJ;TyZ^H|#VfnFVf{lXPleWP&@Y_ey5I)j-*n4+Wb@qBdNMPRjl-6{E0oT_FVX}wd zGfx5ZGZv;{M6(P8YtsH#cnX?93=G4d2ntL=!lE^Jh7hpW!A>2mKzyqHub=oALQdqX zzwo_S;C?B7=nJuJOPD1~SJq9vLXc;6x8*KV0J^M>hS~FW73^O9u)r{zk=F!lVQRsS zLCFXFgTOuogvPohvSJ}!dS4X`nRIQjf0htH`d)-)^<|ZA+mJDdD~(Mpt)CeQ$I#Q)%~G=@OgJq z*P<{!l4>UtoP0^r3u9>L*TrZD!T1d1Ee}0Ez<1G-;(v;1Gdq-;5vM$*p%G&ST$U%> zkNA!;BQH0;%vNka6Heqh3L+6?j$jbqW>*c0^vyqaQ zz0G69F?IDm6;^LpIu%%80O+SiDL)A`+i2ss7r5|0EX!tEya+fD3kb^C(WHP7Ih zA)C?)K9{VRxklVS-C~s;`i96YgX@tXjF>*sJ_4mRBG+?}9gy6!X`C2}l~$@;^t zZJMPJWyOM>cJ0bUs6@0&|E+G82vn)>VdqqJFmd6!WR*G}kEnLRp~|&px|}RLFz8N! z4I7NXnYo3>B~n*kz1Nf@)%swM?_OP!^PX1Qbc&1 z4BTBJ*|cGiQ;G%d?K<|64LRW+)3;>s2U{*Qsps+J6zHfH`vo##xL==Vc}?k_;SLm>~jTtVFBYL*-n~-+*UA?9S01`+Bzr` z!Sz{oIZcoz)Zdl%;QQL)tQbx5=xY_ujRYed@|#kHj$XbW|Z7w|6YwL_pPc!48t;D zZVe=xZ~mN?F&0?e&R@$C*fOt#TJ6B~iT<$&WZS1-xAFV&)QIu*S^x7Hjwrgo9^Y{p z=RzIR1~&H>M#(lW6d;lozIW14I_$b}HeO@Z2U*eAx-#2DJQu*<1$}Z zBgSLcIfIT`K~I{NM;JBuhRYilc^64u9>p`c4PB4G6s{pK?d#Xyf@T&<+H6S!()Rg@ z8WPsH6gfo#Q#-op1U1=xlHy~?Z(rc_Bv^!n?eKbMF)^jM#2_Y4Dv1eP!7Sb;KI30& zJ2L(8or2Nm^kt9eKTI(!#e&&q^k(wuu-2g}Sjz8+>5OhR^DXyyI{5hyTEMA%J{_$v zsM0z{wl*!BI^cH3zlCoKOw<7C>HY^GRN1lQceSaRH zD=TC1vtuOfS~_jRa1)6c>J=XLl8%dz`KufD834px8CM)TNR1@|TSjN!y25%V7`EUR z(N!(#DRpGDY1J$iZ`~6j9HNA=hOWl<8~8~23-Jz{OgSzV4(DZZ$5xOd*1E8fB7P7B zizpo?j%UH4qOY{8qX~q}T0jq0`5EJuQP7 zz)&#YU@)pWaqAtjMZ&xRcPDBu)2D^y?+QKTeoq89%H*D&!R2n#_M{*7TWr)W`k@Un z%z$?S7ZC}{_KnzV??KzAwnwxuHb>Mj5t0WcdeDqr*t|I}Sh3kTT@?rNoE8c44l$l{ zXV@?YJ1_WQ3b3jST*ga!62rk$YIWL#UV4 z)8Zo-f?z}c427}f>J152l(5PTejr4G zENwEyVTtx_U~g00C1|#yb!MP&!f~krf#gg!jQbIV45=y0FU27n80?dg(Dr>s3MZ$O z2GY~?nuh)X{&wL|oB5e*d-T5- z>-Dc<4{>xZg2lGE0)v5q6^{%QesP?rfWteH4dIxhk-|4+`K35yfj~Yp6t2e%AP)^_ zPC6z0t6gSxw0aTFK2~DzcrwUU9rz*PL%fDTFCToooIB*c-YDJN>nk(p#xV4>DKY%9wr!?Cucsj-p7PNr2`#+=1JDBlgukg;TM@ytIJJjPY(Wf zr^?o0BzbnrqQkt&t8PFOv8`!@uRnDS3qc=Rrv4E$?E64(Nf2rb&Qq@8{yNzd*ayWu z_wG-_sPTMA&$(x^4iS|258PvvSJ)^P#|!eQIK538lsDL_=FPP7K7~@- za`;tm^Dg)fq5SB}lW8h;$RghXBL$5iokV&Jq+=>we z-?Xh>o^(?#G}r{_mos+XIx2Fq-@~x%Afe8AXn0z4#>z?=docF&8w-dN-*HeliMUmP zBz`q$Nplo(@>he-e>LdjSA(*1hkNm~_DBWij8G-MDuB6O*AqO-LGNG8KS*=9HyoVs zI$V`O+v6Y{UzXGFZVB9jUqz2S_P^68jZ%t!XIrq zlE;2DRcm(Iv@R!gDAcW&Z?0U*=@L@qDKW>qiokgfQqmJQJS>!X*+}*Xv&UvaVv;_2 ztegVey1;Wait-lalt@|Xq$0^x0TzTR5_y94Y1A|$n3AeAWg1|nPU;Cs;z2IYXAr4- zm~!~AP$u=2d-ar#UMwDAJ*D#L5aplv>DI7_JpS!EuZz!{y!wwDK&R~@dn|Sz)th_}wAtuC&3km*a5@YrUa0jtzq3`5EL*Ri^!Ecn z&y5=+nPkE-L=V6*OnefTP*mb|Hk)>^*|uB$jw&f3&eA~tVQf0JX|9`*@8@YvlMa#8 zB!ir^@Pb`Qpw2Q1v8SbEr>s+_8@&5F2vxT6E+C1A;-gIcIP%oP;;k{2j^7R$OSjmG z6z8?DLYm&15vNwM>t5$g+@X21gv@U>h6-C>f~rB$S{E>Sd2)8*1AxJPK5M1IR?iEF z9YFtq6kR5s_oT8`(LTx^)^5aRRjG8#)mzNMMyEC|c7c-~UF#^imWA8tcgkyU>+PKRe20x`j<5*O z4b9(S-7v2B^PK6&|9jRcD~%M)@XQBWiRfh;{8$$0d($?3iPJ_`Vm?&1(1#58ZA(ee zHyx<)B_h*^rInwV;Fv>u$}XVfc}Vagx~4Ay6%SIt6`oOJA=j&xIp))gFE_yD;NOCN zm?3`0;vtoJ%6FCuM|7`BwPAlDzep+qrm01cuVAx!)sMeweSbdZ+0)EAqD2=af_bHUwSFLV>PM^6n) zdwnL}8~k{iKnK#oIz^B0w+c05!Rw5hNI)Hee0E(Znh)Pw&lqd5mork{PrJ6w!p!#V zI`4=Rzvz>~V>BZX1kk=ERuTFlDi5$dl7fm>A&zBdYu z7zH?XtYN8#)UH$FF^On*PQV~-AJk$ZUcL$5h(9CDZnurjcJ?vJo!+?@$&O|4wgi-$ zP62JE!KRlyoWsU-6KOI1E?at~Jv%Y|l_$|-OBbh)n$RX%9oUV#)>s0A0#bLP2E3}* z7OQ{d5YC}mFVbC#&Ma}8ar4d<`@?V=4>Qk4W}Ph;$u!sj*YvCZh3lmlA!`-6?^XVY z<1}xk$awr@MnI5_46wM$sfLTVvopKY)&qhd+Fs3tA09ygR`fw55SqVNxX;5vY_#@K zkEjL_AyuvV75se0d#65OsWUYhLdWEo+Gh0)3oQDG>!u)%b8rTRjK%*57JVH{d z$I^^LC+g%}fJUyT3v#$gE;x`cHwh^Z=-9%<^Oz>bYV1V+d0IySM?gDV&PhbTnW=pc&g#lR7{hlqaB zACL1oM~lxWxxAUtPC#iBLAG2{{z+MW9sPw0F4PsmC+F^(Y#%s&9$-p!LEX2rM?zh~ zfqE@Gg7NjOLCt%p6rB%q+NJM6USEge_1B^Nbh+j+I9U!yn4`8p%mE2@;Jt_8y020R z$y+EaA50gpPhD`p_j;fNKe4o(Dp+mlVw`h{q{DnTxzNbFN*%|F zUaaO!>d-vcUr0>Yuz-Zap{cmrCDwQPJnd7%=95LbC%I4Il;LB#Jt0R7Tk>N`QTa8} z|6QI(w?;_(!v9O&Z=IJ!`0sRf*8kAi|0Cw$FY*09#~iTzWBmUI-Tfa*`@eV|e?vRI z27mKB82_;>Dk^{TJpRJ1DE;q>Jk$TC$p5vb|3^ihk%5Vm@xMy){|V@kG1RLMG!#HW z?g+whUemq?6oro()s&)PM6?*uqu=LR)oCtaRqGHS+JIo4sm#i|y{uba*Tdntwxg$C z*LwDRH!|Vo-O;J3Ul~2(beHYb#lgGnqlC`qN{OASb1HPJ8LH8lq$1UMH;S3%{&9PJ zdHi$5>ekBFv&By#nzXN{!^hp*zoTb+s~VkNPCu`5;yQU(GXX&iQ&xp@8%=*{$m_Sf zt2~NJc`z*-Sm~*Kocgga%=Es#L@MhFR!e6#4FJ%h<=g*8_YzY6Amyu($YH7hXM5yw zr8BNL6Z>zV2NQdt0e3dDY(uG&M-ADaH}cHl5uxZbxTfq&qTd2wQZFZB?5C9z?{nZs z++0eCn(PnjEG!EvJj-L@`rI}}e5-WE+jkQ^8n>@-10ZBe!gKVTCQ9S5iBj`mH8pax zSj%FC20!-974!y1Dy3iyb4anW^`;@z!mn@xZ=R#FIl&rZW|4X#ScZ{3muXX+)wAjE zOqy!zN+Oiz0R)%hL75Am%OV_{)v=!Gsmxl(p zR~g5=)|iVQLv!2ABmaD*k#F#dM^PlZXxw1~2x7fc{PgleP71};l202Hfg@Ot2l$6I zB{l4nC4Pk)0GIeOiXqD8z@5=t$3KW3 zGbryxhEZAtnZdH_O;D%UO*w}{bApr)!NS19DV(Y}G=mGnIIBo6Mw+^e?E#5AK1A{A1meLYvD+P%`<{96!UQv|LA5%#p%>!y6>jiNrVFBo6Z4PP z0NAxu4{DT2FPhaRGIo(Bgd#xphbr&9L*cz`B1-J>vg`^tk`8NDtzF zAw7y?%#`*2LV76vKan0I{|V`_{qx^Q55t-Ng7hf)LVA$@6Y0UVm+?jQ$g*&f|3Z4i z{|C~e|IKr7!uSj6f&5RThf==7!rDKP9t&SckC*=j>2cQgKO#LYa?+~req57_y$fBq zTQ&U&`;z4MFfg2YCKWQv-J(9*tzC+n^lx_@n#i?!-NP6^if zDD+8pqTj>+F-OY!-jLT3SHd$LbK0F%Wp74uac~)fn6Ed(a#W*P6UIR66jbkIQeU5z zT(6f;sdo9x(A(R$W25SL7M*;E4Ju+dG@87bNLRPoIHE}QYd+`61kzQ`N`3j z+Oo9Fv8oC3e7XO2FuOV1SG&A_K4aGP-+j3+goWfF{nC)05+@nm%jhytIuMXRwo7L| zn=Q*XQ&}i)bAK!9B?W=HE_`w0{h{!Ji{#~-0L~&6iGQFDX;2bZr_p6_Bk98(Y2I&x zzF87|nvK~4t(=5yk=`Ou17ETMU{RK>4PP6mYHh0;QCZadDPnKt>=t$|>{+(hm|i|Z zkZ{@RVQN^nYW}mggcG#Y4N|);ycQZ4OD#^_4EjLBNPOlS&x(73EOL$n2E5P)Ss(0b z!?$OBHreF6^_;-xw?H7Gf>!1QlsbtaP1$P={#8o=c=tXgCLFxX4VKJ$e-+D(xU-Sw zvp~DM$(y;6KI#7K@X7TAy#V_%v08zctbeG?MlW8O?SuO`jjG!je_L&<&-lVA>SIwT zq5mSWUGMIU*=)EJf`_F?`5GFvUrz~%z;SnBGXcYGn5-72&UzZ{r z;$2yqy!0XY)CAQZhOi26198whgb2wPq_>x5WdU8;!hJt2q&!+V=oN9e+!UNd2d8g(=F47M zz0m2IiM9v+oRy!()PBO~TkKj((UUycujT#vU_tTs8QpwRXeF+$@gJFs3-I5=ZD`~4 zww~_utZzLeOCoszsNZkav8nNHtA4SRS27HUFyLB;F2E2%=10iX(n1?E_O8k6UC+~K zf{pcEPR}MMCwV-7le{D(K<4O+DyDx&To6Nj;0}-vwydFSP)BKkWu&~#so#Hr!_$6R zp?c}eK`go2s}_v6D9#v3VDTtspY1s9=G@BzZ!9=gkVl1KYRohD+I0)og@&^=3U-o$ zkcByD%yH4VnJ+|5L28#RoVjOF`2~SWwC$e&E;OxwB6jZF11rV`CSf-7`D>maka@c% zLbc%(8q}DuJHn~kc>CbyQc7DEfNIXU$IQJsIV$_RHnkA)q%w2qtY5qbt)t~P&iZ2G z*Y=ASNuw)$kNHBEdVS5Yk^Y5}2T5d22ho&15_Q*dC zfCdl-(K8a0`mKJB3xe>qP@2zJp6lG|s)0BF->_=?u@Y+2KR5p*Lu(RE-Q*>pgX$$< zn$_n4woC(V~ITTjD26ZJ1)CsVO2Bm_sikmPt2RhQ}j zN8^1Ln5)(`cx;}wngptVP{)*9sWadk+yd?rd@5aZ)vF{4h!+r+?O+Ks zm$p=9clWs5t)w_ffDkQrw!-i4)RmhfG2RorT_Lykdx6;H{d5 zr9SGk?S*NFUDk6&KU343w_-ZxH3dAC#TR5^^riJ@OPKWt>Lh@*@L)o>aQbpiQ>d8Yk&~Mtx-dfs;liMuIBSLD?2LZtvi66o7 z?sgMrYFnE&rt06X@!CJXi8kV%eTQ;5cOr6J=Jh&h!FX5xGd&W7(tqLFsX=%3{d|3} zQNvXuztRV?0;ioy?1(ep zc+H*MHz2E~f<#gJTp?+u4IVRclSSrD#j;UKMN;xbNq|(8#2J7w4vu5V`CKK5rIx6! z*ca6$Ke1EPfNC#q0@2B_UD;EvD!vyDS=A78k_Y@dsH?q_zF7NxWSO>YLp{^J!}$|X zol;AD^{B}cVs>}k`4pDj1twi#xl85ei;wo`OLP&;@B~fmvp$)9wTBwYIF43+f*tD> ztZ4JQ>L5?P&u0E;#l#gdx8|VNAB>Q?Ju-oTi&riwdmO@=z}cpVlyeLde}tS&jtMVL zwC5K9L6ou0M2QCZ*;=-&-yJU1?_xfX>Whwy*>l&P;6tIqQf^prtUXaeUu>3uO(=c1 z7TZ+Eg12~NI^R)fB`2&pCajvF@}f26Sw}fp9Ukj?Gonh{)#?=VLT(-0d^6=}Fg z&pQ)edx5#9!YdEDHwiswlydvecW--49tBtqewouU^dd(2+b$Bg!rQ|nkp@CnezcVw zC7G~M4G%%>9JL)Yc*9{Y9)kqX*}^t$%w6X^AseX;AJqh9$kT-#OMGjbni z^(SCSqM@X$xNgn2?5p#dg!C|o>78&u6g>t)>3K zNu6>8yoD_WCO#igc!1LE0I zS%!t&SO3VL-@9W({{L_r0@U1u4 z_ohw*xL}C6&a+V?u=FRepL#`%+~{q0G|O#UdU4{->pD|InnzQ`jjHIoGVJ*#eG+3ZS}rl1R7J3To(A>O-VUOVIz7ZmLox;H|P^TVA9X)6{?7zv!lD%10E z)?UbQ*2vb7++}Uc0J57O_e&6ikCvlsNXgjc0UI3m-XxT>p1+CbUjtS)OzAeQ+?1?Y zNMA5XaV&PcOy>-OSsVWc8jDB}KMwM5}zLWreHxy(S(I-jR?dWS%IJ z9oT^*JBsD_;Y`d~1SAPa;BRTN?Oc-gd4{-#q*h4@?4?{DBk!DLH8p0{1^*mr@?3{@ z>ZN*cf%=WEn_pTn9zjZ0pdLjm^H8F}Hg&ctK@~G~(zL*gQpi6RXN3VL{>Glx#S1k? zdLk7MYti4r4m-h%Tj-2~g?GZo%$V;wbhZ|M zkf%7h;jF_4PyEbJi#ug0Fg!nKIdRc_-c$9swbm~WaEUVi{0c3N52#kqU;ZV#8u##_ z+mBm#hGEusmv+pP{f6GVTbbKWO#HUGi~mA#a}bD!xX{@T@fyI{jli-2IY@_CNLf=# zukA_c!_ki$4p|*Mtbb`#LO%BECMAcL(vlRE5lKa@f0vBZVW%zX>H{(I>3{^#f@Z$u zN^{3miD_(?sAreH%h(bfv?&@r zmF|wy2L47{tTulrt9faQoLXJ$TgqoDd?Ksrl*l34raXP9y3JenN8GHjBE~IS2)%MeSu^aGR6V%y^pb7D8`xaa~I6^N%TXWC}f6bhLmKQ7 zQ;1K2RTEb!a=&HdSbp5D|DG+YRkfh@jTx%o}H=UJ`4P1Qw zk5hMDud`EA>phSfycW!!tC%k~zmmthA%v=%s(^CXH4$i=CT!y4ufETwePV&MDR!}{ zfQ0~oeG_T4W~2#-3u3>r^RK=7+>fh!*n;3Ue0{Zfzu-Tf9mO`@t1gW(-j)t)Dx1(A zry*%)%~@}|1`bYZkh(3^e9#!(a8GcX25O02jR4m0q5g~qWI9?LTiab87hg6lX7_OK z+6|>y{n5KZF0Bowmgt=ghdzC8OiDs7jWH<^2ymkMA}mQ6? zIwrh^yJw{sKX@HU>G$asjEn}3*GmTrYHx>uCt$)I?F2t^pXw}ZV+ z#4BWvG{FfxJNSL)72GPR1yQ@N`LRhqeYvhQ}Cj%Nqf5mXTCgY$NN{um}O))14 zmbjL?@}~#J`Z>G~+|~3EbrR98wmv-^Xtm7~@*@KtTXH?ATaebsjS#@ft8E~U)}LBC zvNRfjviSnBxxzlG*hUZ8TH0d>5BMNx<$8@^G{G0h8*)e(5`NG_q}F;#xQ%1KNR6P@@f+Sn(WZ3x?;~D1kc$gBbXUh| z(E;jOXdQ$nMfSx?n8#c>HQOJMQFgAV(J{(p@avW!6o^u9R_ztgSo*xXVHChAKfFxF z!WS9*(smriXx&m;c~!ct*h&hEEf~@GFwNDq$u6{3GNQRI53O3hCzDc5`2G+}v_hY) z3TqZpb&D`7X*m?W>{0czD}J<#6*R9-+LwKMgYm%p^L%ImGjwH7F6iyQ3t=3$!R2^w zzfx_(Zif@yJF9-v2jLEy+F!Jz4dL(B^bX~A*$o2ijnPDyU#`K6ayQZmKb z5f4rs%~KsO@5}Q`zhPwkp3G*9Wy&CNwR7+~YLor7S2PdHLD<%l-y1x3;@e|5UKxLd z;B|6_)q9F?E0}%yAlYftK!iPYuO0lL!{*gUL-vYo?)*%2@Y-|f3yen=Z-o#67tUO3 zFk_x#oZQiG$`D2dfr!1;c$5rTeaPMI98a2ox-!@v%%0`PlT--t-7aVMYjB4}M9DCy z52yoM{C-QmkQ;eEqZ0%5YoPrNc4UNR8+V{a>_X{XaS%1sVZgO~WkX0?-Zs|olOrRQ zTYl8%l!{HXzc+zBfG>>%F6mNDz^)CViH#HFS1z{?euDJo9IT5v!RIgII;-CAn;@PC z!bJtyj5#|lV9wD4S=s$X(OgWjw3Eq=CZ-#nY^HrC+`Mgso~VZnY`OW{R@BfT$(6t3 z$|4|JU6TMB$=&XqU?wu9G^D?9EQLBc!JiZC7n zw$C@P=D%bU!ItjxUPL)< zDuldy;E0;|xKa?6dRzKJa2P?f3Kv0S?B1!R3Tgg1p~x@Ut`og#%OwTO!(wx2_Y=fpms8uEh6;AFBP(V@dZa)Z-a zq2GF>l`_| ziR|desAlaHH;Eo9tcSGk9e(0cE{;Z3H4r5Zy%(po!g=grkePt!Tbjvki-q+=ihvYX zf?|L3HNEjtbe&o3#IfW^R-eEbzBn|8R+Tni$3}=G>n5%7k|qyeRPKm0np9_GP!)~N za+AB9Z|3Lc3XM`b%S*P}$j{brE=n7?qqnL|LbYdb{n?7E$F4~OfeWuTBxrx6#rtvwpTYgd(?CrcjUJE%gJo*@?MTckA7z>Ev~8d8e4lTr#}*gdfI zUN-EnJb0yUJjmBbMYEAm!L&sSDcso8BK%=I@Wb zy44NbKa;^!MnZIljFR19s0XhG&5#U#{^W#xJ<2-EXi(Oe-_jjSYOtObSi8$;xW)|$ z^>wzCm09DYvb?4fR9(|us*8hq?FERgv8OMMhUgY2IbEQphi-?)!n}U$eBG+PJQCu2 z-A;9Z7oHvB`>8;5(Ho3p=pW#Y{22KW8`1+18{9#Knu0cjM5ZVEI#{ugZB4OIUaPY* zPo`Yt$M#CO85=D0M~_lF4%NkohB4Z06*j|4Aq;y4_dY;#3vX(Q>dksy^5CaooeSr{mc)InI>TRwIEB&KMHoysvJYy9V$9k2nzX zUdGD49-54@9=MEhoT=yFQ%|)B+Boy9^eoDi>z|WdTeI)_hW>!o8}&e&tomp)C1tXu z6thfGudJ!^>jb=H)VFZL*)#)frqwjc3ctL{qu_Ka35S3v82((CQc8!`&pmqq6;b2=|ysF(QDtvW7}SO_ky z5`o`BctFtx2J1W8tSQ{AOKiM(pK&YV zDZ(2=`vyR&;aP&6W&CWz& z+0Za4dEr#z?x^^-iWks8&s1L=C>OW@Ey73weTN$YIp94z}=0Gi|mmkp- zvJLPK;Qw;(AwU6=12=i-i zIu@L;+NU=af!fj8JS3DP1*s1(>#PBx@fMBZ9jKf1(rzq|+zX8e;6zI2WBD00hzoST z`tqn&{Ah(8CK}7V`M`aSh2>ts?Jw!kdS-aYG?DFq63jYk245x*Ty}Nk_dS8S@r2a{ zdy5uB_jI6zKA87ovQt(H`vCJ`W82!4^&4YnX)#V(7Cj2jZeMe$Q|r`VqgK39#Md<= z25Ut)8W}PwLCgx71jzBuA+!2!Rb1m-K#!I* ztfN!%SY)^TQsj8VwFQn5-r^z(6d&jvd&uS~A(QLl4$1e0k2Re=z{bKrQMbRPr^~@d zPYra}eac7Jv0CR|vEm%VA#1)=hWutM-($~B6ibG?c8!nHYoq$qX#Zs%4Y(kOr+V`t zq|Y`d@L*kUI#2Y;p-#-XiBJLg^}>|w;{XRUUL6E&QHR=r)wo6)r%|2o6q=)kEYv*G zEmJWgzh`}z0q~&2Vp2-MgQWjX(RMiqO}UW06M~xi9-=L*M9PLv7hZCmk@zWbB12b# zhSC$GXzLpvaw*m<(=cR~o3zJ1OkmjPWHqW--!Ytb!sfIe7hjmGx-$xKntfvcS{V-| z-IrMEk#fBd#PUoAO&c0$jeTO%eJE{HmA7OTk^Y!$@i2KNX zFl|V{lJR!RBrU|x&8zMo%s zWq9PbP$^wb=6ao<(W6djwhKYco^jeGO-}1;1@9cgd$(g?z=s#KUO4zbXYPv`CZ<_032t}-N}kbt$D|QPs$4h0#XOg@^Zi|_?Ax@MmCOWor{9;C!{m{rvYokg z@@V^L)T2vh>lfefaNlITmRVZ9fzEsThsHewE~W9C;B&(<^D=}&gyC_xD4`GtL#GI6 zznpjFC(&esm8GvW9xh9Hy*~CNZ{1@@fM##C{DGZf&h~r18alN!B{-O>6m`%~Uylz( z>4o`oOzs2^8PZJuGr<=gJY%eRh#@QQYHB@@Y;@|4Ve<8tU*jWX7mrlOZVKy6&?4Mx zIPc8l$NY+&F6?gIW2}1W8~C7>m-zYOayiuud&-px%-7Gm`9!u{_TBfyEL1h`JOX$v zdApxVT%byW5mPNopyLWw)3D!fmX;LDRsTWZ6n#7DhL zx04=iC_J3IzSxc3e%03FnFF$6bXkoGpF3^1LPO$|sP5p!x=z;92jVG23 zyweNW6(ag#&^B)#MsGaf)S3{3)FoXw6^1BL1SNGDHFiREa9w^1E>;<_y(dfPpiM^$2;F?V7_vJFz{rx>&pzyjD@# zcNW9Jb;_W!H$sX|`^J+!Kc|2tiIiH%DDkMD*;8p^;{`8+la*FA)=kW4(b!{>PQ^S@ zn79v5@fnk|A4flvS|Qz8`nLX#Eg_gtY+l!pPg8A+#@Y1Ah6fco>94$MOdAk*ROPB! zD;k1IiLwy>RD%XU{!wktnrJH{`2_c;eWAPCVZHnYA42;|BKJi9VQj9gN!gRw#C)ebeAzn&t*ubon}=H#w{lJdb)mH3hBj*^hETS;e#E|%#I320 zTYJv@8uWrt_*ih9#ULX}Yf!#%&Q6SD>#0*##q+=dK5UTasX)8|b)X`2VlaS@tAJ7ayp>tTv|DEUa zFYDs}Y(4zHMLMxE{+}Y9)czUi1il~$BM^oeV+e1o(T|a)5LOBtV+du8F@#~D+X(dK zL4CJCqWtgb`O9Vb&wBpDgZj^`Cwn^=Q#%)D0=B;skm!Z~Kk=ac!`P{0>TK`oWNhlp z!$U7@Z)5MI>|khY`qx02;cv3lKTA?({Co8GgZTL9MLk@^m0b*7{+cQNHItEv^Dk4a z(%0Z06aOB4O%(e&majP~Du2!4_!mLzA9Mb8lYZUB^w(4W+i1%CpXpkEdr<#h1VM59 zueQ^_mhpc+_x}unVq{`uWc#nSQ*C?gF{G~~s5SB5dpK9`Angz|>$1tCfhdg@z#|kE zQB7zXMbwK8@7LTvr?2)zTLwW<1@W=Ob2z=-j;DQ`x1WE!Ue0{&G**?*o6fgwn_BLA zNbSs_XTx7Qe_mfditfDsZ-`oRz0vaat@`{m%Ww4Ohs!T|Gw5&kR?m+|b{#$ITb1SV zvw8W|6MbX@KM3$+n6mys)OvmRb$u4c_Rk!s#Xk6WKsai~h(Dp~iiY*lnHv*--<;J0 z((CI9ieIhz*+JAW<&e>d@xPH;z*rhNqvxBF=0XF4kO6nY<=VIZdSziU1(N7a~|Qsn2?{!N@wcBVRR_W&rHdl}mog zsMa+?as5->g?Z{V8Wh5UiSIii`rD3i~+vM`G;PHVK~Q+UmK zj8I^u!f}QJB+@^*myLwULtL93b4EHYoa)wmOc;G@`-vN}R=90`h}=R#;MrIQvM0I| zzoR|UIU+B572wv0aHQcz3$#r`jJw{)@E;I%GJ)lw0YD_nL#=>hbMVlJM!$VULBTUr ze+!pD?B92c(13S|>Z*fs)XD2t#Wvk>s??(+BrBo?C4i-VH z%aWr5lsJm>miS3-^6=n}mlxRFh{{(M6tac5vx4>CSx}Wx&eSFPR8QCI20(Izdo76Y z21dw48`M=bx=sYmGIP6}*5D`O*`bQXdnG_}Cgs&E4X_cyEJ<8SnYVBx3gPpQqM#y7 zN`Ki-yR8-a=Ki*wdI-K}r(1j!@qXD(bH8k-hLIR_uWNSC#TJ62PGKZ!U$#>{-R@>&{b;_Gn*&bV1R=n)5G^CVNt2ySpy zAYcbWMPWKaj0)HdQ38l&FcG*E?D>F29(c`ca@@(vksA><8Pn3RAbPBh78gMz*VuLE zE+vdIVaWxDTIX|IeOw6-KBQ9xmP{#EJU2(dylW5=Bwi{geh-te)k_Pu#-zFC|03_5 zqIBunHO;hbXRfqu+qP}nUTNF5GgoS*ZQHhOc7Fffe|7Dys@;9wr*E7*5pTqpW6p73 z*X@w`d$=LlSTJ~JHd+dv3?X%hlTx6alV_130-O!z3&*EtVU3g9rGRKvJjPHXZFXiy zARETn9JQ&c@YR8%>~}}iO$!y8<6RbSk_LnkD+kw{g@$d+_C>(!H;+C1NgCEnurxlX zvjeyFik%raYO0Q_JggfG7*QgJntiDWaay?I1xqN;PI=-6J%ZZ02drZ2$k>Ap@(7l9 zLM=)3x=3UGY&2c9N>}y1&hhyL^)iZvQ2C)KC}~Q@?1;gX_JRyu65Zc=pjqvxQxhEY z+Yud8r<;8o0VnCTP31(M$1PLW=Vtk3cF)%>(@?KWf|Wx?`S@WRDe5jfHtl>7($KCd zAf}YD&bk=%{4HP^Nv;wdV1}%V{~9}m!migqo1K!mS6MW7%YV2m!>3KES^6h->d^A< z*s1%_zhbA0HQzg0DTvewzb2lsx8>fM$f5WQ~# zF*L*|B*aM8iv2ZjcLf;>PAv#gR;V#z9?4}%LAJrdoPEpVJ8yUA_Y5^8!v%^e%Uy8F z&HP9Eo&ZFldxen2CQWHH-c`a4{UT01s)S?r5vX+Q-%_opF2IzbN#?n|ym2A}Pi=e6 zW?o(U33+>I8fK5Y>YW2{P_fj`z}0SGn84$0YGUpvPCH1ED<*ePfr!qJFtHLk3YNEEN>pY& z`v{DwjJ(e!75x;wfVX7)j*<3wzx^>m{lrc)OC2JkUi9jf)Jk=kt?-=?Fl}U+eqyJK z=2DaUGv=k2^#8<89Sj)rj|6(Eper|G;%^z@8zU2D}YYWSbvd=XL$XXpbnJU)80HBo8y=T^%waysr8@s zJOy2lIMGDr1)<8Pe?Fh|iq*Zpki|k#BC29cgA1V?K(AE)wK(UAJz?L93(#Zwl$PmRo_LLIu&e8MsZ1{XLs6O)BvTi>2V$r*quRL7OY(IQ5bt=u_iA|U+R&GD0QNSfNuVmGXk(XAS;C6rxd^A* zv|{Obo_R7^wI9~Pn_}jP3+}OY0aCwx#Ft}J)7580H{IIK)Oj4ZYgyWw**l{}ybZwntn+@;P~t?pfI4KFKP02a_^-^Yxkc7{PGC zvk&w+vYr#auBxyO|BwhuaOXS#3gEqC7zB$KHGa8=k5V2HI$r8)Fx=0a7%`HnqaEKD z&H29Q-+S_}+`{%L?0@o$XC9CHF$m>GZ{J`k6_>7tQE;1SM!txlyI$-rA!x$;43H2V zIa%d>vnL6E4*y1r^_iGHT5=TBH+naFUgvpKw!_ZI0iAiqR~~)Q5Prds+KI$bgyr?) zs|)j}v+WWg8VvKU+tVXDl|F5KXTDmVTM%jV`I+EhLTX)&Z>Vy@i)9hFLvcs|kib_3 zMPUL%fwA%9N4T|Hfy3 z4Fb6#v3;>qt)f<>yzf}R$|2&&8rgLV?#&Ok5lCJHR%^V%8Ez%Y32NM)S$#q0C4Nv( zEc2^-?9kXYnnC+{!RRI2Ii=~X2_AarL3m~JCDP^xS8I{`sdR9rhG>wUkmx#p(REQ` zfNEjyw@3{DWfMkNceOGu<{LCX9mP`Yiw9uM4!3F5ngbYO#~sBnNZ4BQ?oQZkrB~7Z zZF}smoy}z;pW6C8{8O)_B-#CT(dAj9!y05Q)O7tO%h(ousCW|FTfdi2Mh{~(TDuo; zHSQoZIbO$UKaRd9x-8O+IyH~Vc7EoK(<-lD7O@Y&CLrDRblvN$nX^8Y7*_W-pC!W+ zPZQ(`!Fg@3&AyQtR@bqB)0+VEoUI7Jm(f+~`VI?CFso!PFflD?!;)mrl(IL|KnD=3x@RIXgGRuMCky8TkhXpP zA_)|3G1ne_+E}9d{Z%aTJO|wbt3EW)W7%ri64Lrd1*Gc!3ai*6hwu&^hY4*>ajT)=a@&K~1Fi^$eBG#^&Ft|tqwmN+;9)HgnD>^1>t53GR5n>Y*EE{n>vVFJFp zHJfzOxm}nPV9ZNmYE|mK?>$X&xP5TtG^aXTRT5 zrPwmdzSLeHX!8a5VF-jiG&$Uu#FzN^#={Cc;K91Uore=5_Rj%){4 zI)G9)^qHlZIPmV(0O960dFU0Zl6)7UycBWVH=93y^-cApD;bWW{{%JO$7^%6p8SEd z-05P|#G#fcaqvP{Z_&NC`|ai#m>G^nMK`8K5qY$9@L>Raj^>5o z50rr@l~FLr6+l|oGH%zAhWRa|*S90QwTTuHh=*6jCRlAlp&|J!DP`L-TmhS|2Ua1? z6j5528BLodOq~vi+y`fG+fscc9yFFQ;aQN}?h^O&gfr`Oz-%6n3CCUFF@Rrn1Ap)e zJsS1ps9&Bcz7l7zjUrQcDVECWE7qLr#>afC_r8H2&u!}Za1sc2Yo`>dR_@U&j>}^@ zRm=S1{E=R`#1fL~~9obIyw znFal9esLbF)&qr}Y9w*U#vbb=2t*C2*dX;Yk!sVGP5rQ*qr z2%8#V8_RE`IC){p{LKlC(K}8^fx(st4-{8n6F=2c(h8ZaHt~qschadYXR-zmsV^~b z-8uW;SDT=inpo6wwXYPDqhyTIzf$DO68N4)n+WJitpaS_QGjJ^yhA)AwE~6k_hg|^ zf&+Gnk4o9mE06zK&F z<)P}ynAmS;cM-0jSODEb#L;awSomFsGj8|2pmiw65Q$QH-)yy@cL{qdvYbjIPGvjkkk)MwN?G}3gi-_6?$HRZiDE1t@@U3ZoABx z+evD8ns|U?wUL))kXi`jVPPHuIJeX`@8D@;&K>5t3>1M33@K6H6C~i@OZ*Q(EhpM9 z1#vRpsXx2GU84$!q3+_cs~dR(o0!|>h$opsfl~;cqvnB}CsZ07G=Z zJ}OI}$n(CX9QQuXzKQ7dY8YQxDMyu#1};WtwicXg8xM`&iAu62smC9lG^Iz$NM8?R zHaj35cOAO@arI6sPd{NEy!GCbs$Yvzr9X^0mdDw$4?=9lVF>ok4 zM7f;1(f6~d+|MfY)Av(<3nlTloIN*!D$CM&|3*^6 z;t%V^pgN2mRC>8zigJ?<$6(>5ZXm@@z_L)earvOmOizN%^0b~Pl^Okcbk*(M0UEu@$>UKIxc4m9CR4z^)Cq{cg&?ZdI*1YJ1`bSEH`6;oYbHYUg{V+{rxW_t13tLlO>?w?BcU~X zr(|}zg%!sG&ZCV^Z25EI^yZ7)gPW>bS1?NPIe<0KskCxWXd6p&CDYNHGba)%u~al0 zkeriL1~TO>C13Q4l2|!Rh?8|5h>coZNCKaXWYI3xPHA7UAgc<)y6ud~nh`C?#@9v@ zT=$&24x_SfkFhOL!Y&oTx;i{gMZZq_US%!16ljVg`nwnyylbTmoPDv_==_W@##z}ba59eNy z@NBpPGm_02s1)$mdfw^{SKE1NO1m|tK_<>w;n=t9w9`+;WUT<}uub;gY#4)CxQl`; z-d)e*tRMF|fJaqfS@|5f@0rktTkOl?8!F*U#KJIO_1Wj!ZT>==*x-_8h6lY20U{`q zZt5~!QI=Jqzg1Gz&427^?n_6^v2%2U8}GS9orWLes?Vy$r9++`mAL!JP`!THjKvHt z`8Z0?9_AIpF+(ct$f`a#Cec~8gEB+eXhGF|FO(DZ)Pq|5>EUKpV0y7&sa|u`r*e}E zcYB0H-~Fw{dx+Oy`a-j6c_`Sv8+MXT%{>EdnQ;lxBhVY-Qj;}nuNWOFZlCDh40cx= z^Z~e0U^IwUw>pl~A}54g&0Gi1h9@1o{OBON>PC@SJ)+s?U|tpLbUhq!`}9M=bR>gPk=I+jG6NXl4f;@cc9?2Z6Tvd?podM|@=w zaN17lR?vyCv*R^oLV0xVZ#SIBLi6=rtHw?NS}`9dpqARYeZX~wAj%1p(3;z>Y*W?H z_-!`5nNj%xo*JpYU0qw{pF>eyX7=U%q>px(+B(yyxMV)#z|}@wg6q864DHn#Td~i7 ze*0Pfye@wzw*LZDCcuRW8=^bbuh6~pz}H7NMqP(=p+t z{7CY_~Z;wRZ z?=Q>opYpWLaD>T5MBy{a&C_qc=0^ljF9UX$GIc0bj?A%>*EMy&^V6Zd3P7|z{PtrbuM-cP~dLn?q_425k#kQ;L0-%G*qOgM$gt9NRs2$}eLLpB%)!1G zK1}T(6%1@_H2#%}e?zvO{JA1Yb>X{U-QF9{VNYw^#L`=_puT;`vXPmV%v*nW^_wp% z*7v{+p5u?qkljW7@Fv*{IYoB1Kx_Bjj zMxwfY$MiTfzJg&>nqd!#kE~A$kc|5_SV*m=GmXBC+X|LEax1$|l1#KXtJ#CTrY7<- z%@n&NiZ5611n~Wh)r$SoF7}1!*K_q9=fF`s;dsROJ|flK2W}a*na_D{be@IOtrVaG zyE1@<(2t=MK+J^3Z||j|Zy`#FCxg`DZdJI~o-n{!D;D1GY^03Ax{zQ+tF@-l9=q_J zA8X9AZ-CoG8*NvHCUn$Z_@{Bd*KP!x&Ek4&TAYBSV6l~t)&~F_B}wfLa5Eo(qrPN= z52XVe=f){at(YYSD0Vp*S#iAkU`@jfX)+o$fsOXOSs(h=`p6feq8+C?((e>k8YLrk zRSGAHRrvtx7>ISEHPH!YE&&kp70N1Da2_N9;#se^d>;J^yu`+Z&Bl)tYM^-DuFi59JP`x%64; z5}%Bkgk5xi#`yFkcfqv+J?-!mS@r@NkM?n+Rcd+JQN7{&kjn~dtF;7rMYg#g{=VA1 z0q<-4q-I@I*F#SsUi7%tJM?v@3giY*)6tk# z-Y_LihqcV#y=r(JN)>fh0f4Za)=Pmrhet{bAR3YmQizW!zU>2&Rh&y@E^n;k%m{Ob zvQX^_&?$7Km-$#->G45lPX?Wt0I;)_mPj4y_z~v5Vtw-_LwZbRxo|=p3x&!MWOzeS zbVO6j->Bq(C^H>9r)IxVe zODWcz5caG*@_tyu`M4H!LrAG&?B4KCpiv?rsbmCSskZP&W+Qr6{jqo$Tv$mN_*_lc zo$n)JUOrV)wD19?qV#0!3E31(9ryN54`k6xZCsXVW8KKTe!m{?3Ano_jS*&Bb}Skl zkq?vxsF0YzMHq$~899#B*+WhQI#Og52bQ0ip_eaYx~lk%7e|7s`p$1Icumvxfw9a* zb+ktMQ9}Lz9<8|JvG)gsHWZ1_ZcQlGs0`U^KQGzOp^v)lzW%sbK$jgn`+l z74Iti+1tmt=B&0R0vl^LpP&O0hoP0$+l{=Kv+{yP%VmA+@52aai0s|CQ{G_9lw&e7*Lm-d|w((eY(dm=^S87 zF)uf&*+OCzY3yp|-CXktS5va>g>6Rf>3+szv$T!ZOVbrGSB7bd3uX{d5J76V5Fg9} z!Zua}1M(Crtea!~@$1pHieFtzT5zNZfDt=&$z3=MITPTSD`3Z&H~@PMu`@x=nutSvUS@8Q0_(&#oC zVv&BfjTq7X_B@zEPn0acbpVHSTkl2{1qL=5on2y4{!Gg9kS09Ud0rb4_Jl|)mA;irm?HD{pk9&lGB(*@OL=Sz7Rv&+bugk z_n1T%bKS8y=0&7z{l0z`SkYvC%O{F=qtyN; z;7=8*SmuMCorBT)6PVH~2T%Cc-Wz=PHxSoDWhuUSt8XYY3&eMpZ}+QxB(&>-_i$n+ zqtDmtaBc*#4qk2P+=g8M81E1Ru>;@cyf8jfniY!FzB{6;N_5obo>yVn9G}BsFy&`L zbJdxG1IaJGUH9o%92@ejW28R#v!<0|f5aB+*mmWuUqf{9Dk$esTtnrwI*zFk&*p?4 z-E$?6TE^wE`Zetjm2Rh#wtC+lvDX;y?2pTFL_=db z+eU$oENnjTEQFJeKmXOs1dy)tD9b*g_^adzEzi{}cmO}bG4Abl^Rhv#s@{7+;W#zu zZFX!NkfoP>t$Ng6ZFz0IGF?-fAApbrCiWP$@BJ$wgMA+M>!pM{`CBS0GTJVha0+8a zsBCHx&w+3PEI_blnFdIQdx5clOBpKUYx*zyeYZCjwc0OJ>r^-3netU;-=ILiD3U^;JhaxOOwdcx9iAWf<@RT`rFn?*6&Se5tJ`X!6= z3lr>#n}b)i*1I7uF!EvwY_J^?C;LbttWuaBzORaqQ{gC z&lg=}?+|-FOKy!o?ul*b>77b;{9UK<7vp1NLe6f{Ip8iws$mBg^Ggx9wf8Ak+F)Q(=s)^BO%JOsJyR;EQF6NdJs#v=&BKx7q{47=<6Fa5i6aYB&xos@f z9lg!|G9?&=T>SX6xOJYtIXWyp3L*=ewok0#r1CQQNyo-#_8z_ZVKXPwb2?FZ<8O8t ztFlKH*ZNGxx~rI z?oG~pJGs0F(e)02R9qA}%l0+w0-mA{Cg1khYu21+ar5m;X_zZ86EGk=#t<}wnhQ1LVygtYbsQlY>3%n zxQbFDQjsBQJ^&kF-QJmIR*TjMv3jQ++Vs}0{$#5LhuLpAL#Xn5D6={2)TPpxJEkd2 zq)aR-l^_>rBM>r>@_;duWu0)r#;DMAd8T4Wwb`TI$*lK#e5s(T@YAaM#^JuMQ5W;& zqoHxl?FGTbgf}#Xaal?2DB@%;sjkSmaV)4~48a1{np74KQGeH78lhb#jN{TRpi&fa zCwP_?Vp+n#-7ziBtIwdoJw4b)fY){@Te`22S#Bz_;ViMxf7K&zBZQb5S z^Y&KcSqjh0u`{90^VirVQa(kocPq0>t5${O8fi(3nIf0$Wv9|*iGpm!0-%x?1U#0d-hNjLc|9wGG%+FrXcLk5&5H{ zJVet4q)Fi5dLFW55LP}^z96}difwAe81TH2Dz2c*#a&cH1+L&}1vgGXiDa2JVYK|Z zume*5PwNI$eVROhVT}P=re;pXio!AH(?Y8{t_!WWSk_$11cWl7?e*|loTbL~J*pxN z;CNv5g{C4bwoK8O=)0QtytoM5u*Bl%Uj3pyXLMfN&sd3+?Mgo?oka0PseF<}R+b{o z`6g9~rX#NH1WITY#qtX8erFZDY$bH1HiaA&rBsCqyx7okVDj=PuriZ)^xAsCKgxRf z^~yT=&L*@vV(C;xR8F|g7Gr9Poa*_k^Zt+#hBf{cIe&KlIQc-A7!*QEubMxL+NlB~ zbKnSsg|ncC;^$6$KCNwRR+hd#J;VF0Fj=kyIJpy-GCD#Pj%?Ex)^i0b^s|O5bfTb$ zzJ@474&S+83<@SIXp}KXsw792RNT#E{rbi$c5dJGO&ovx6N*g#Z>>0~IXX9HC%B>fo= z1Vz*aw$QtW4_EY$yooZJWt5`IYsAlg$Tzv^idmd_$Pt{uBU+xpjU%sMmb4&dl%#bF z;2C9DK2=7of>})E1jsd}O`?-dl`o~#l`w}`DA-SaetiX0XdMN$?q-3>V#&lnxqh*A zN^cQG=m#97d3$Bz2U?URTdn|aPp~3w#>fa&JhDk%T+bH#&qRuyAexe(>+%uuoB1fv zDv~Doq7*7BwCNRN{m6Dwv5IXPcuHlfg-I2o^MI%>k`g}5k{VvyZ%KybvgOn&6#vUW zfW?7UydAE-%zo1%jYWzgu|e93cF z`Vkw^hLm1P^emPM^ovsby$f^tV?$C)y*~pF@~i?t$p3#qf(ZOpcorxA8A5c}*J+0(&@SvMb*#x;m<~IeDt86jsV*J+>H;@*7znfW98v`hUYg zu+jgw+5GG zrUQ3!9k~F&0J)WX-~YRt_=juwUto^^03!ZJkvH2vrQ$z=@&7--9RHJ0{C}Vw|D86R zm686x(T4x)$^F0c8*CgL|3`kK9svH?7x1Ua`=SIgZYgHvpC<2+0%OpWlQHI>ChsY> z1}``X4n{dmke?>+ob(1WH`n8*$&0>D9E-6@9vdSU@%ghqnc%Q#KwO$t6G@kb2%SeaDg=wP<5Z)N~2ravs z#L#~**C0SuiQF*Ms6ejXohf||y@G*SE)dlKOf+x3Y7`;ApA_E7^B>x9w*RUP$NRT7 zTwh=<9+av0`#jv<@u$g~m&)wF0vz`>Fml&;ujHWH?;|H-yo?=%`2^#FC*b=CW`Dpa zJxQh?i|DKI-&gC~F4C8%Sb@^#eht&>Clr6mF0RZ#8Q{v`lseD~O`&GSGM8*ZaRXIb zc*j_zko)TI^s=J4BYCjR)z-O%C#Eg&WD7|J8DXk}w3)E-*A%*aM1`J=#qCzvqow?K z!$b1J=L-b~TDJ5VVwlnvLxhOKdjAb@cp&a!`~V!d{{e8w{Ttv2{4W59nEUywL<;r9 zjOQH+sUd(qAJ#Wa{=dB8AyK@2abFffnhZskuom5>{{S2Y;;LRdU)UiaKLCfz55RGm z)_`p$miTm`KKBP#s-iVDsZi+$j3^611Ubci$tHv}K&PZux@FSyD|a;j6()_5_R-F*HA%WZ!bTgz zgFO%f^O7oLY2~JpO<{|J(Eju+c&4USW@&3yyfd-bAL<8l@|!$aEY73|sB>~(nE&Ao zm(7{~w>O;gA8$CDmHw9>>;{vw^yEyiGQP>lUdw7-cQ!5vt&l512p21u7O7Lsj_i1f zJ}K0S6`WUEsjH)pkhaS)gSbgt@>M%&9Lvf-1P3JsJl(v$6#)%6d-feF0e zm=A<3HEDi8Z$@iB4}CaZ9mUW5o53e)pAfHg{HM%2i>NGCqF;t(Rq5KswB>Iw=g{o< zGwb^H`as~lwkPFXno;=R@?i*~rm^G6IJxzZVk_Fx6XAkao`i+|8VkbYXwNbCXK% zbncF|J3*g;`_D=h1Df`RdiHxQf%`4j7LbURwi8C4Z97S-_8WzPN|QeTN1)MLpph)q z55Tdrf(!*m)CW=eOLNd7obsH!baeq8=eomB*}m?VY)TM@D-`}e0LMk?YwM9HM74W` zj72t0W%Skc55QpncN#(Lv2p{@w$VP%BDx76b!m!K?l5y0PR|3Dl~OGS9CHddteiK> zW8LA+Dka&H0O2P$>DQ zA^5^Z*O4c#nX>T9!hvkh^_t#`r5Ck6!!t)&vkWX`j;<=sK?JA(TeJqPjh{pA5>Kh4 zxIj}B(N-RA8dsQ(>yakGF#tKIs3ne{J~Jio`Y;p@^O<%zS)N&Eb?W?-R)|KQ)Ck+*?+3rNeQ6g_3^7w??#7jUq4^;EY0E3>R7o{J$K8ba%p8>zj9sYdUSPgZT_sHa zgS6rlS}x^}DOnn7ok}R)rlsZm?0A3d7p3{?*t}wexBPSPceyfi{eAJu)vGp#kIzd2 zJK;4dEw-uATi6gMrqxd23~waMxMMLYqw(|@bMf)?61Tg|F1#n^S>ESwx(2zAP>?RZ zF<>;(o6*PF2u69K*HRb53vpNWKtu08=J4^;bIeDmf0@Ih)Bb7l&bFw@NN|Jiu=J|c zlxE3(lzDJvJ^mNK!HMhTu?7RQYF8Wc@$~unm(IeGrT&T$RT6HQ|Cg}}b=SN`de6XH z43@g3L(Mnw99pdxX31!te~G^&r)$6(=^Za-2#_ptl_5!hC^9am-~s8{o&>V5;xk0e zFN-SoY=wiKWrcla2{Q!OSC6LAl!RblT-WWM!-tYDQN6_nU=o3f!tzOta4Xlp;!R%Z z4*jF0zFCii7B@Vk7iZ4tv&7~22uhFhokeT2QIQ%!Rjvh_v%WV(ug8XtGM< z0+Lca0prd?*`8vl6$iLPr)@h$7>x6~cl_O71{%5sl`it)jJ`{nou|3EItF@F4AP;L&(A6RlMb76YuibZlb))zQkpKJ^P*rI z3}!y`T74{rtE4sX=aZm$VXxD!Riy5{JLm-e2XjS%X!CQIKltaOYqv@e^-+G;1A-YcA3?XldH}010ltE5L9^!0M2?01Pr+4DSXkJ zzd@b%#kr&qP~vZ*zy_cEM-ZMAB?Tr*ip&WlHnBRAS-oTugwq~%A~ znOkBkAbUgK@EZ^7ay=d;l8e_1DVX^{ea+_dzXgh%v(tPZ?-F?X!{U3COTuxtk)K@n z9xq@H*0Q2+UwVUF$qCH+%8D;Ml$zd=wShE6RHZ;_;`AZZ1kkHz+ugWJrhmGMvR|Yd|B+C*t8lsMtRmGJ0E9#HXoYoC<6_sT3Y8tR0F~ z=2k>8GwPU@J!wWw$bxGVh5Z97D~VoD1fiQ&Mv)ZIb`Bs^>TJdY8Ko$zbRu>+3b5G0 z6^bzqn4aMX7|g?GqzBv4)EQO=%xcntYYG!~I# zUcvcyR;Y>TqMwArjl_G2+Ewfi4~GbafnxUccycA4E8415Rvu-exU%}Ya(EI3SHk@o zI;DbkOLk3o&$^A4)JKH1BkIkM!$R&^cw0MhaGW!Ys!YbZoL4VsPW!hZ4NakEy)#Eq z9UW^l3x41>SCD~?>jJQ})dD@P(5gUs?To)`w>$lw$J_C@yT;+>eD51X(a>>zs2IWb z{_*Ah{&jeMgPshk6i%5gl2)E<9LSnq0`ALI3EduqJWr3vaaUHWF1&&k@ZEl(Z!f#I zT4+v7Zr+DmTs!qm!L}V?Vn3vm#Rn z*I38&Q@V0mh%M1mq5xh*WA@fSo4=G!8qE0%AaV>aJQX)&j>30Amke)Te%zRS{=4PHs&l?{P;;Emz zmt89Bu2WU9s$nRR_Dy$HD0hEdYOm8)=I+2ZVB=!~{p^0$LRwkOA&3{Ro)QMod8G2f zJCJ4#2b(W z-2V3>yz6f}Wa6_ik}kZE|27keG05AEgktCLsa-$3&AA=4}i5>L1Dv6y@$ z^(}cbsS4%bfCieGTrUTsM5>}7)2O}kh-ltlv&fqx$A>B(IharOOi%`_b+4GzMqj%a zf}M1~9)$ZY4}=NQR!~chahK#aqVGtxm8#wTHmM?A?@I9Rf8Xy;O+7nM1roPO<-G~Y zg=zUK1$DU${AJglg7cZPANOr3$UA7ilFtWk&F}7M(M~f=J$GMUuapOZjP7 z)QX5{vVh=I!jhgg#qx~uhKRPCyE%_SnU@d(NF!wUT8n?c;XusW3W9R5jGz=8O;aMy zaAbl(c^x14iUqzGj|-a?lqxpn?Njn0@v;^ zcx`6O$}I{k(dR?YUO1R^*__;EHFvy$Ky$h=!GTZgpVcnyFs)K8=jwrdC1Na+ytI!kr^o0r&*wz1>p+vsO_JADIU=%FMtT4 zj7;wAFO@;v=Z)HM#=gg;Gz|A`>JVtfZg6;33Mz(8(Ths`%)M-D-&kO>E#|CL#S=I^ zNB4qm>-|^H!p`2Hz-$aQ5~53`JHf0%k|(#;@+j&ehG+d%u+XQ=#L5;ES>_4@X;OAr z5UHJLg1Mt{gMn?4Mrm3T#e-L8;e?3iOnG4&ZxeO^_N*lzg>4!J`6v_r`b5WG3+brrOef&s)h`rRj-wrJ;V*}5b<@c!B! z^-J=_@4R$!hH~Tc<(7L08RyUIE;j|okGvT$R(4dG$6ER(P=qErmDMmuxEY03n3S0D zQqh72WSLFU&m@q&RAE;})kTX;Lt{f0@-ZT4Cq9Zq8(bX=vI(9@Lee0+A{E8OBwb!COiRGxt|E=K?Uj#}PQ7 z6mE*r8C#adj2Y3w$4X-kx}1S)%_yKHogdB;^fAPln}QEHVyOCd{(GDpX9`h* zWaG@BsIK+WLZ}RkVEA_i&m&4^_yt8 z!x)#OG@Q1wVQUEkhU7(olb_n(zMr2PVv^LOs*(1c-zB9lmXp+HE2@!xI4Yv zSAYHy2!T9zTdhN$+z}G!|LH%FmZOM=$8#vSl)WNwNw=8AzuDV37U9d^;W!#BJ`~~G z=XUi_m_YZKt#x;!@#L9qx-oS10ns~8L2uOx?O=z6rE?tP>L3TFy)&#CjRvFBb-ubj zT{>Xx7@6eU8?>>3`Ig>##SN&(&U%NXq?0zJLDhH+eF4IdjOP2MLJkZTqO3}u5$zo-8p3Gj6X5qRzD z08BA89dL2Y%Q1i2zsKwz5-iJb+`n+R{DLtrjgD~#!(jqZ<|74=t_=-rq9)ega*dI| z?K}7*o{fwdW{7W#C`GE)2urM7TmENj>F!DBaZ3HDQ~6LL7&!q#c@Yu zdLp1yiv^ws?qQepiCx~B6r413FkmSZ60{m##ZolNYa-xaKMZ)1Sh+wU4;e>XHimsB zq6jGz2-opH?=BM9-P7|tZ@JDxuE>m75gB>n z>@C62#V177M=EHiPBh@xB{US6-BfR|O!qVezV>ilm<;NyxMMI-)w{}#jmAzh{-uO= z)n;vF8Ly0nMe|V-yDk?iuU^cOPR(R-S7=^3@X(X#%>u#P`)Kj=ps-|FqCqQRP{BWa zNfc*p5$}UDpPwP3mUc*qMM5slVj()&X~QEJbYz$Z_EboNb<}Y?pRZ+$HKmpWb{z+c zB{SD69h74M1`G9!JGW=(B^Bgz7Y=6Y?gU)%`_#hWe8E6RoaP<`wiXsv%%5VnOpsN- zXrNt=L-w1v=fdl_-S*7;*9gxI%34UT8H;j0ykLBxgmzawEc%Emp54|ZN%-}OTV(#% z6Dg_ELUegfT<=y_7Y?2hiYFZSxkKxD9_v_(+76 zC{Gj)%Dq61jx^%3gEOrtNmhCI1Q})FQ96gB)6ucB$cwEg42E_j1=)bKHbk>9BJg-B zkyL`mK4btJDkH&`x=1gDAY#QWc4T^WArYFIBqH`q3z1oBUs-sKMGFxV?2h{I^`ivh z`2eh_P5%h?xav+x_~M+JNclp&IAWP&O}O9aI~!`k6gjFR)3~Svxp7T6d4VJ%^COz_ zn--#Rha1H~v(j+-1FmQ>c&rqv$}pYXB_6GTo;+JxYyXCIU#s+(5)=rUcldknHhXFEMOWYKBi~XaArvy&#N^jC! zu`i}qRFY1OZ-dU}20J|Ubrk3BJfGKI{qtMA0+$M*pPE`IJ&8T^0WNY=u5wLcSk)Lecwm-SUzWKR;L{+EbiOArM(saX_N6k>~{D?Sxev99T3h zDN52fcIie*2%w2HY>9*?zB2bC!@s(!JcJBQBB%>)nK$)`MAhBYmzy`$ z_23Vz=B`YfDKB3;=_L~mt74~zyXeaC+ zF)t?2`y9X}De%GH;nIV@D}+6nmVE=!VrYTp_pT0`=`-Wd(~44teUY4?(t1t-I(YzM zV-F1+PQ3#$Jrc5if8x_+vTCPA`a*5JWwCz98KIE}RBmXnm+#FOG{Gfh1Wg+|qM8%X zHYaX)BDYTEHJdAVBzUqnKZx;YaU7d*K}%Jg-K9g1U@?2wLb2Tdwuw zuq1~O%tsF_Tr{Ibtv2AyEMKk}PQB65t45`(jjT*s;bgLvGb%9Mv)06-{l@ZS;;CPo zU2D(@YGiB&D_dCH=R>w-c$o7GVTE)UTn2S$lbH)&q3 z?E6zU|%!(gY5UsdYD+?dm@kcm==I5&ziK%;NE|!x?tMt-6$3;$Aj2oGsinAEH z){^csjsYg;mLTRi*@X79N0nVlxx;(+;h@yNf{l2k_sZyv-1L3DVr2YN^Mc4#2n8^teGXRJNI5;DOiin zx3eu0()ElC%*^PDa!4m8I^{*H>~RU?8-MIE>ZEIXprJA z;cBvpq^pt0ibo|V4y(Odbf9HGypj4+;11=DB2D6e_|`GN;vP}kl<#K<#F?1a8V+S# zl5jgyno#Gk<61_=`HYAcb#a=(`zqVvlxN-4kuhc-3+xZbt)^qkxy1dZH2YuXoN)|a;B$`yhzAXSQ5O7V`@#J6{zuH z3B2IUxilooNbZ@C3}?6rSYkNKSuG%ma6v&>A^}8*8yMxXB%zZ}tUf=FG01Wj9z%p{ zS|lP~XpKcWpVGo8BM=p9qLW&Iw?VQ5rqcuCRgbC^AkG^XvSQ)wf zT@@buj4p1s?-tk3;{wQ(dRXBMt^*#~?{mza4vtf18;o@Ue)Tm-HPf&DK8y)6h9YEf z=-BIEJD$Q=Ipci69AXMgA5UlS6&J{P=w?#6EHdHJ(9Qo z5!Vx1x9#kK(dYrYb9Wep7j}RI{o=5XlS?6ndNBEQw?8^a z4xLrWjQ2sQv;MnJSP284SP-}GrVg&^!Ti;#q5hYa?tUe3HS}jr7lBn$C#J^bA?shh zzk6ol{3`O@L-@7lrOItJ&iKOcY3KZxb$HbGs&x#SuU0~wx%MX44JV=t_Fh(ew!cY+ ziv4G#khuT!mv6G1)qebWCPwZG1d%P<$KC==W}LaS58);52?Bz(c+3v$Z^uLqqBBN( zPtHFC2APJRyT~^}V;CTlcfiPKmQsK;Jg-+7bT~xoLOlk~e1cm2UedS42s|~oru)92 zRb&0Uc*_tk=XCp)=jC~2*I{IzxQ4(<)z{1mzm=| z%r)j}KGrVhyi`RPlK;B$ogUHG?dJ7rB|*n{a@ zg@W=vi3^pUO98=jH|?oynx{5u6$r7F(GERcLI+K2U|}m{L>;R6-R}fHRXCbc$Yoyr z_iWO{IKZ%s=f~BNjXq?Vav;W42-P_Nh5~l|95)F!b(L8ANllJyE}FPG49=$;lMCUZ z`z;UZJ$yR*e2!HI$Nrvg`2%5sO|JWuH7?a?+Uoq3yDcF|w$YVymR3I)5v;M5gM-!! z+|cLXO&skOvcie5ww@`G+XY>T*ul(HZZoz05J;t>PX3R|u?*km?5JMyWs;)oB30qF zFl`&nm8m1Iv?O$B2^c}pEdvNbMCO?N5mH287PQ-h+>^hjqh9w8Z->8z&J@7SemWpp zzd@`iueu&$SY79$>NV24uK_1WqiBd)*@njBm(~+1v3F?7N2Qvd^|jW!q}Dew$P08l zqyo?7O;{{?5W#LUZg))NUuVImWCmRm8I5jnsfw*5XD7L^T>96qy1b!_`(PS)!oKd9 zs2k(0j@=i&O};Rj$Os8^$gZ?r;qPp2HD}dx4^jHRW8Nv(8?Kvk`WPZb`fJlyHg9rOys@8|d(18ziC$*0jCe-#0Jr_TiyEJYHJ}0^U6#X<4sfY2ETZ z%0p-0R85R~Tk09dD1XTcDy-`KKHh{?>l*<2Oa9`rMl{G0^{J)|#k{|=Cnn5MqC+*D zAai&&dDL_NRqoK$F%!|o`!Jk|?&N-^B5ZgtR}DbS>%;YSW^zF3&&q@%o9ncu z0YK^ZeN+|`QScgyQSsQ({RJA{k3>u%pcfA1*%bo;dB+ZO!Jk#BFV}X&_8NVrZS|I0 zMN*kqX<{Vny2z;Py&u+S)2bxO$y@*=D4;Fn=r@HuTZN8EX}A{{y-CMe(oZB9#E-xZ zYMS=VtxXH2O**GAspfDUREw)3NQy8WQk_aenAM6pi`>O4t!9~RPsj@UZd>cy^LhO1 z`#n$mq>A1VHo-MXU5cpX*IYsvzJjBi)zt2<+VbeSp|6qE^}|N4L(anB3e4#9r-#|* zd>=ct4HdO5s}fw(65H{s*)YHyEqWdPDE2%z#L!J1`Lu&j1&W(JPN8E!9`75RUQ>mEVA|thG2PSr{6%NLu{w&>sEk0SWaCi+=JjfZq4R~Gr236oQu$%ZBxW9eQ0KFf6daIc02Fc@S%zHyRl~|^(Xsxsu|GkuG>QI_> z>*q10J+zB2_ye%#1v`2eIeZ8XJo})j-sOXn_$qIlf5rX&9!46f_OYov9Ezrv(armHI0*Xm7F2R^%Sy|?Pc>1{PRY(`a#pf5 z2i)U*J;k_Rf8};k4jm{@49D7b;?Lt<$}FzWrCcPB^Dbn~rw-ehlFz~KdfewT(RCBm zc_bgZLzh9yMZ;nH+19Lc6FJ4Wl;fMeDaSw60J-b(tl8JKoU^(4bqTNMwxe5lGXp#4 z*}kw~d=(+bz9nXcb1l0P1#ngWcfOr-r}DR zcbOqi;1~Lj?1u?tb&N~uz0YDQEh+QD5RRh-sb==st?PTwm1JpIT6Zsb*yEWVG2)~meLIhPe}w>rYsdZ<;epq;24=XIS8QEw zy|1M-vM1A0p1~o8q8T1U>X7)3e!4GRyxFJeql+a(&M^Sp z9AX_4TY=oeNvdLN(rU4LZWd12^HRTkD_O#1-|66GE7Ir(*2+W6TG_z?wN@*Zrjb$l zk+2>2ctu8PT>=IK_IB>s8;tfIzwK^m4yDZTJERSP@9u4%hZTWBRg`e zG1w+VJzkg@3;vph;9;~(x=$11Dn8F+UXAe)2fAXV7jS4lF)VpKL$>$`BVEx_q-lZD zDmusvFLZ`@%XI?e@o^3`lRs4xM%3_4`m(87zQ(B&O#CYojr?eZICiXDZ_8`zxgoiC zn?Uk@tjQ;BLa?tD2TAteYE&Zt$JoJAcpu6w$SR$b#p#;G;hI#MQ@t(Dl-zmJwBC8r zJzROxl3ZrJZ9CDV$?4v*Y?psQcZ-kr_ZR8$J+vY3gaXo1Q0zcFf344KR#PMJK-b&B zFh?59)iM_$qkk81>D5mianju-)tQYc!i_@Es`#JPPg+kuv#jpC@#KuW%)87K$dK0> z>Op~7V{{+b4XAv*v7Go7N5Vo&e6|`~aOy|t=y$9n^WP?4P0XBrj)Y{YW+Jlx?7hKO zcWE;!n*nrTxfLlh@YPw7XPu}y-1gN7;X2$O)$DWMHV>VDMZpUI@%Q}Is(cw{uoQZ3 zSL$w{!t1t94h>T0p~!XeN*5dEq0<|#an|Lz&#p_+)$dq7X!>@l>L8mbbwVTlUSx=}N)~MB;v>V*(?9qHrf48((>d4G0 z(4$#!KWQZcCOVG`@nj=1x~kIOOOr=FbGuV2rsjZoSH4&ycc`HBCxT3h20GMfL48B+ zRk^GtZn*5CS4@DbSmk!bmTPo%<(_$UjHgM5d|joDUWa)D^P*4FPzZ5ZNKQgAA?#6FK*1?k7Iy>*L?s}M z2Gm)xwYL-J&hp5}+zc>EvbWh99W|Flo+4>(+_E6ITn<-@KPe%b09^x0uG^2uGU6w# zE-6yR)7YRY=E>R*x0Z1|+IHH}r%fBsp!F2uom@61Y>l=iJV9Q`rypMPt3!AoMlIajFH!_9+_fIDjm6f$*Whj)|g<_VIXcq!_kIj zoO~CHaR}@l+2BMj9KC&+IlOl61bXUiL<0kX6r2*yO{>z#XxxK=O0#@sUDaRmR3ViA zR#DA=XbZvPIy0)pm6mho^31p}CA<=f6ZwNuCIxRox4Ynr)@l%OJ5_iqnU-*(>BoQYt_=PnXb%1qb2 z^o)WFhTiFdkzX^&_;aQ1f>F=xcBXLkvQPl6VI`7WUoh0=%Z!V*8)lKh<|2X0T|BfG znhM8xj?0yd{PIIeVhV!0ea5R(wb5B5M7>IfD?`O~8uaD*$y_ZMb}XjC-MY!TcogV3 z$H5U^t(6EfX1ZuZqv2-eQQ>6fp&eh%&Jl8Q77BC65pjM|a*@!ByI71;8BOQq3p+K) zhrOG?;;QwAx)@<70uF-8gX!CAQxG>6}j0tJmKUKbj2= z9D2;TKtPcn$UbUDs29C#%bk86nA7cFO7HCzQ{i;~jd1vxPoRI0E#`lpU$=G-hM2!! z7cc9Mx!gPD7imMC+aRZH^IH|Gz)N`0?e0B=q}x0E5bm$Ga#b-N9UXn#lO`TZyxcLTYW_~r(s2$|+YSWH#zl{8b9veTqfJFoE+ZGeOV+|jDsgy2MaWPv=^3j-y_!xg%j(h+{7 z1I>w5MR>U9I`7Pn!e)3b)jh8khb~U23LqvZD3ihK~G+d`=WedsJb zaN1o~z6%!R&$;Zkz-C075}eGZ2@OdCT5X?7L}Qa`v%)SdbfMO3-@SksQS?FLh~et( zT94?;O4TYWv4cHDD%YJ${8qt-IgvDLih*M#A$Q7ng2yi(&y#a%CE-`8-gOUU3_5X6 z6S#dS4w+cCNc9tx7Wz{HJ(aLkj+qG0Fvg>C?}>IAX)}V%D3`M4VwapzX%mgCx=Gw1fgxD-X#Lqev4)WCapuU>HhnbK#JL1Sz8YgJ)ld>D`XK9=c#_rrWPr5A z1gFa5)L^-b1U3EQm}seu8$^N43Ifz|eF{M$Ce0ZAIqQhcE?f@_xfKaCoK znO}e2H;8Y!+bSe)Ym}Bo9!GUZZTC+b^rGp|z{bvTHnpg7q&M@n1*Z{Gaay zo=aYz6N0+iExdF-o82~qc&~Fegk@ZIJ@%|JOSK*TxAB5+ACcdEfI*=Q`{;h>hd`Wf zWhZEI{xN;|z^{KW7l3|ehF$OY=UIQk{OYr1{ap6}k0OjjugB)&vv*`!*k`};aT~qF z-GXA<3PlM!f+3uJJd|EE%nIfT(O;pWNFL#XYUzAMEF1{BCz03-a=T@qmEV((!t~{- z*e3FSCx#7=ROjqsYR;iE72 zWXjisLr(r$1r=|^il?m@r`P-%C9`Ait}y{_j1h=uk%uTKV^v;&$51zp0{_s#aSD`= zb3dO;RnV1W&j0qh-fX zrE!iITtFKP^QcVQ1=#~GolqV6*rrUQB>^DzfF)ZRX&bPM#3Ag#Y{s6)0{49kyKz&U~4$*bTJ`PXrm4VY`-E*$XRAA zAv2s}aNmVfsL=%r>#KYcF@&T#D50z>~dpl*(zshu9GxF4fZ`Vac6kEQ>zw3evTwUzLo;ts^#GZJnE+&Zc(slm3QCF;iC8Ql zP*B&*uNPX>jD+tHhL~Jk4QKY1m>6;dshub;K-=@m#48Lfs1_y^1ta`n80Zl>r_nPf zl+r_&%Ma0vFP>C0ZuT0FWRx{+UQvqJ;f8F)MBUe(Mi!ZMW3BY z3WIwLJhfs)aU2iEYA~eA)2ew)Aq1?2@-B@1sX0}kA#81GhUafGQ?uX+omKJ!iAlWe zOkqX{3jJ-qBAWk*T1ie~Ovrxcis+S=tm9KINE+m#XlRbWpT#1S#}bHxcNPG*3cPEK zjeR*jT2epA7PJP_3G?`PWs04PKdU|WgBd>euf}Wj4$HjwAeh~4#xn)Ba%JH=?8Daq z3VG>%n4k)Q=M1rL-(m{0KJy*E@dyeWYU_flX!t`_FB;p7so;8|`3|~kA78So@!&Tz z@p(yigyLk>Ijg9Jugt_64usp)?99SJt$T6kE!;&1!_iU!k7X;I=9SaQl8Z+tSC%(d z`axlKs-^bPD2>lM0A#Ru9Pw=rqR^|O3~*;cCF~(gzoJ@mA-i*?J*aINJ%EOY65|(m zxy%B8jJx|i8dsjByx8p&*8siO(KFPei&T5(^GKuPdZoIOz47O&0^-%#PzugN%sjhH z(5yf$-WaMhPE0!01?Ci-7B|t7)xVwM_2!}&+mjV6zbQ&gYkCOT*kt))MWV8$CPCR+ ztUzUgN6Ct1Mg){7!8#O?xuuxW0=9<_C34uaGMr_obLKE{L@R=sorXiWq3(EYW*K_c zQ-0<#ksaY!N+>82Zkb9cCpI*c6q~f!FP%qN3iTHc3yotp@Lorys{hBHg$0H9Aup?g z2(9%NyX()+p<$(5CCPC{+K$X`E1X28$V&V(IpF=cB)5M`q5%eK9bQpv+I1j;u4SQ} z??IDD9j~trkUvM6qXXJC1oZ`4{n6&qjrC z7d(>ll;p9@OD(@f(>0r~BldVr%(`>AT^kNK4o^o6>pmBO=Z75yoy!WOTFj=MvV=vI zc=mX&_|zFNDzE^x`wWboj7boP0Za#DwO@1TZ`A&wA(OD=xA9$WTlGxS<#3DGGY zpec5nZiVt&3IdBUnD|~lZJFn>n*XIt+98$QG^%>pB5!(eSOVqyo>NANct{bIl=T&q zqez!kW5i1c?i*W}IuW)%z@vc~s+w6D*8>)0*9mF?=^40_HMyGEsb_gY+eig{;T!z< zaw2gTEN~suZ$UKRiA2*knkFBCRKUP3e2DKxo5{W%7OZ>`B^FIp9f_s}#bgtG&m3$|bBsV7!y1P(ty7&Y?Y}W<8PF61WZ6os5{JBWSjZW0g(^|pC zw$^<&EvVXz>aDUEr;`%}>XXIl_k=7~H?udLzoxVwdyOk|ebps*HGkB+k)#gHcms!* znq&NF9!WsZ<6())>H@k@P)xlI?-t4c+#fi_I*tI@$B*lXC}|} znjs(PDl;j@)wYuNIec=}No_kPcKL9?7dt9+B+)C5_8A(Lvg{&KI#VFgHAKssiP>71 zkcx4IS*@?8lz6`zSL_`$qmJ}fgkIG=Yk4COsbX5|l;D0+1J8^?(}>QaGdZykPfKM4 zDi54^rz64?u`d7xXj=6&JGbu3%cQkQ;0p4@#UYvJGf|bm3OF~E0xcGB0=%vh0IXjA zzzUau`Fl;J6@)5Vu$daB&4+e#Q&`+haGBogVAFlopO}nYV5*Mnp}p3oRGnLMR5ztd zJ=HjZ?y&Vn{DWFF0o7}UYI=9;rIo2IbtRdiz2%xw>r)MF(yZXCE!jnvS`7oPH52_b zx2FgdGujVnOgRTRcW*u4A6t*J+x?$ zLm1Jm#41<12j%r?9-`xn#^mu@?rht1@;Ymm%3m&96l2;=PGmbAD0!&UAX^|eFhL?y zs8e-&mYTXDariwJlg%=1eUZy;j_d19>t_S|T}sa-_a{po?gW!qwMMD3(PqkVyAkFT z_ZKGdd#SU%M#W7zT|ZY4~pygD7VDGAmjzLs{W{e*kGZ}q_fK= z++{<7ul3etagjMIo3rl{PtN9|#17t;n9u)ei&7mb)exG}p;fdXU#<-O;shZfz?&8E z-%yw4T?`=my5Xf7o7z3-oaTc8;qBn7+zEvd$#A&Wz{y>nDJKBV)hw&&kbk=^g(o5~D<{jC(|be2YaZ4S2W6q6*?|K2@$cvuRb}{hO(5AAHprC1BPz5#@^K_k41@ zwp5c>iOB6pqE2OAK~CXM&GH{)4=z~+b->$b%x$8=kChsLUx0Y0l zqcb#LSNb9 zHPPn0dVj5~uk)J*6Fa`N7*(0pt(7_yuFk!x)yS@?eAr$f_Z2Qr-I9jTiPwy}b`~sO z$W;lBnoGe>+q-7+K|Gfia?vhdoj0o+ij-{EZF(tK>aBod-n?-9^{UG+24d!|_RyuS{_X6QNd}6c5 z4Pp@&ri-qHI;7&>3myqP6U^|2%FwH9;X3|=xe=c;It_d=6bgNqKn$aa_nIedtf8C&(NM0SZFb@yN(^GDMN#Iu+W@@d2v(!%L@A#)u9iG|a8j zUdoFeirHy06>8)6J1hXb?|eLz{tF5})EoLZGtOo_rPFN4b*F*Q)MqrzXOEMZjzsfK zvTrx#jKSA;%=ORNooRCO+}RGT4(A-<>UAbu8ZWNWAbIfkb6PURts}&JiFWC5muV%j z5QwUBXmGh$8ba1QvB*oMVS#r`#j!&e!ukX%;kzfx0Hz%xk#`4?2)i1x>26Hzd?ZKq&ZWT zwA(UhGS)JU1^zByTIJBSk(e!soLpBi`jY~UVEbaLvf>;_Ex(d7R;eT5>>6dzxW@IAjxoPil*bLBfkuZ}!0|65&aVx^#3$av;K3#f4Edi z|1GnKnfbqC7X9;v|L^1DKb+GH98ApryK{Qe4toO8_f-9n7}I~KS07-=pOiaG*8tgj z!~+<@Z%-+?I)s#qDaPZQ&$Y7CTw-#?DbOGxOyfjFR^HupwZfWSkLSv+PW>{+>)Gbs zs7b?*r?;He1~>srd5BbL|%7Nj8wf-!AFfSwU#6$@uu4`^hDR^uj$L?Sd7-E zX;bG0Px(mVu3nv;-K~1p=5>$P-_NV#j?7PIBp&EL0~AFORApTUQC@2@erhi)g6>>v zM#2i2e@{GI`HK5#{)VV%8rLXZc1;|73ROLkPu&*&to(ILWvmg(p(Ar_TLL6h+1{5V=jg@DdNg9cdp-~?Lnk%vr^GI!@doWz)Dt|hMbfW==7HgLQ8-IC* z`=6E5$3&w~|E`?w`bRn45T)Vf@~7*ESj52_P{lRsG4AE&l3PE9Mtko&{s*KAo&(Wz z5b)CW5T|(lN0)Uzo-2VTr; zk_V8ujMx4R7C#Pc<%28Ot&ZdDcId!j2|tz?>qt{Es;3kyCuurbge8U$Y`^FJhooJ% z+%h9FjsOAG)Vr7w=SMmHE`!O|fXhPoG$-SPOl}?M#cNdr#AUu za8Z=_IZ*hgGnGXKiE3n~A94xpW`m%etSl4z)0H+3q$LP&Uj%76&Q0I~%4%jy6Y^4S z|1t;xxNNXR7 zWI`h@aS)_3IxcR+ij%wpU1nP)^_8sZM#QYPj!J$Nh&U~tM_z6I#i3a+AxIt|JDdd5 zMx#_VFenUd(tppQJb}+MNZPSLfJ?%$WXHnVtO;<;3NY@Nlu~)(VnU~kd8?NX&9W^8 z$x?%Mz=NtYA={VLbQi)NCHA2H=KtD(mEn= z^v+2I)`)4;k2B`4rrFub!7z?heib7KCK_i+f@}a50MbtcgBdS{z%S>F?r&KdEmMZp z20YJ@k$?r(=>cPU-cFdw%{K#nvoHs`z=-<+b;{p}T9}*#TtK%R9HDTkMoxb8@5G{k zF^4z|ZN3^vH6pFi_Njq`btwiyVTa4niZI}CWn6@Fkr;UoU4C`MG_3ir0dph-u=ql9leCLoevnr zPKiInBC!7;7V-RNVv%s=vCxlm8f!k>FjnX2U(RVJp!w|Rb7P#-`|y7`r(pzrWw7ij zCvv^)nTL94ml&V*dfV3j?vO#uIG8D}O%n>E&>Wc+nN*`-!Y(^>E29T8m zSgs1NkOS+}r|;=jqzNTVwF%j38ws=gCYbE7Y^@~6qD$_jefkPnSkA_{3}JdkpXB%> zbnapd{i0Dsmn_+~^@J*YgPL8h@9^m-k)i=DcLgnnqwaw7!b?ja6cghqBafcF02S-4 z;y^WZOeZ5KQjS+=ANoNhHa814+&=*}-L9n&W0T7#aNJ1z8R+%i%NLVG0tGd^tGjJveEU z3Dv4>+6udoo3wR=!(L}d@l+(YhJlTJg(|=YW zLxiIxxPJemoF2oJ%bFv_bO-vwi{@Ek zrr*Ytj(8#7kDN?TcG;ad@%7D3tX{s(49l5~Mprofr<6&ZvvIZR_-HxqH1f&&zP_tR zug3jiy=f$B+pfj0uR|#%EZFTHv5u>@XOBe z{w;0W9-rOn{`A_d%~K(Yw68~Rduyl8t!>l$>GN$}dLw?183^Cn@-2Lr1IHQvhgk$6 z2V~D$L}vSLD(33z(M3b*L`4^*)3y9d&Wp)ERNd90` zk_XtVYmH1-PJgysJw0(tpvwlt$dzQ-tu({RYOz4o)?PKLqO_?uVrS;$3UPJpk4%{{ zy?mA+!RGaH!nPmJ_g_-kT7pYDgdby;H3kdZ^>d>y%#2# zBtbaadWL_HMU8xb!f<7jHAbYQF=Se1D)V2_dCR=r*5O#xW~VGp23O_W7iCE^1U3&3 zP?M>JA-uT#_69%m%&($4tM`OLg5~+8lj>o%F74rso~iczGi7`89to^Zf5O9Jev+$G6o=Q9hzL&-VeAkeJrhB!m1YgWv0CAR%=(0HCmq|XNy)BSN2I~Xc^~9l zAt}SfRlCV!>uYX=9Yr?X=#AIeFb=$>c8J_i-}~ik{qV%56dv=No#$DK3%!A&$*|?8 zr3;wOpX|4)jo9poBELe$4hlG?LN+xI-GEy+?Ir}=hrmE$bQ)oQHxhUX&aTSSU?xa1 zNj4m1bR?^wW;BdNrJc2*Ae`;okOH2bC%KT|9rE!276=c29o*lrL(_&sYd`j__k_S) zSNPli&U3|eXl`I$ML$_3f7k@n)5J88=zsrY?I87V2{!n3{~2ip6NE}Xdz*Z@ z)@v@JEYZ1ObwsDM!ad=|ZinR|IJf1z@*SLOnSjlklx_d0dLotM(xM+Uo!-KB!i_5+ zX9y6PKFFFs?qO(%XJAFlC!o(r?9gKKED!y8IDNDF>qnjlCgUk+-=t!=wM&`(T`LQuWdXL-LPY|cxPy<;dS4F8VVu<4x2uUE4*` zO?+qAGd#pa)n2XD|8)kEboCHvk0}SQ7S25^>vvG9b-a^pC3v@umEZbL9Vf3}D;=51 zpq8=Fl>j7Svyap=C*}TOGYFC!T*>7i{H$Q8er^fFJHJZ}=*~@%_0f>MQC5?io3|tK zxg9Rz-Wp!4eT&-_h^n-2d3%z5hJsKXPFlpdkfX2qwE8{}5C-y)v#`8Mym;TaC2w_f zuOidA^_jG3FkBRWhOeS*bO@_`QSh||vqe0f$1Ms10MuQGw|qTBbzMbzB0LDBKXSUM z2v|CDa47wn7n~#D-@#@-EYiP>c;YWuK}G4B41HlHkP}NL(~RVhsYqE@5!BmI#=V7E zi^Pb62G+)Ye+$v))*%VWrgBm$v~`tsLUqeVhpLt@Qs4OPX%CsKe*?!Xw6MY~1J_jX zOalLkwYDa};=IwFkB;e&WUr&$eNK5QqVpA8m(L4&{64ieUDN5?>k`4s-%pshyavXn z{3~#=UJ-xu>*vfQ1f`1xjHP2$37#>~wMANp=*Lr_K8?P3oDM#I&jfK>iujF1%@+k$ zu~i2ad5UG@2<|~8G$3#Hr3`l3_K>KLK>>|nhkY2z@4QqvbnT!lyM9^oaxwZ`hd+A7 z2oH;Vw6q;$-9ihvFV)57r$ZmL(l6U5JF^A`z%&G&NvacBHceVEGiv~ZW=JK>ATmfN zsC{sLTlhc4?`v##;TUXvV={R>2pY%&Uf@|1duX-u!rJ#o6(;?fB#6HCQ;Ss*rlkX? zk|<>C!|OcFRMx zvt4CnTt>dcr|GHHUf^prZRFxAsj~P~_N*NOURL2Ww*}c(>A1_plb>K0UT)(EEC81f|7uc0(7YnqtcJnuf?OBf7G zu!jT4jIJtF@VfZN#0||`3$7LKgRYXVfgDGIx?w!U2c!l#Oy1c8)bY)^Mxo->`bL&& zyLt*zMx?29T*GO}#k7n7)!$AF{Fh!z!)_b z*Oipaa%a8RCF5&&yPTGZy?aA2iI2SX>s>Ck-#P-lV(-X@o(5ss=+2e~AK13@D~DUv zt>t_q(e{qR!f|Zv;p{CI$l59~#%neo>3G}J@;Qqti_zMTX{YIaSM4m<->~4L@C#=E zJRzfW*$=`lG0_`r*MDetYFtEb#o(elKOvT@G;hWT^?t$I7Y#LN>O~k^MC94o-`YhK zuE=SSFWV#E( z7e=u4`MUN+Vw1&av{@e%L%Ft@)m@lP>n`_XB2TKplJ=Kig)qAjQaksX&@@Cjgzw_G z2Ind^TDU`Xv%Yp{ur7n=(w_;}+HZi+HR&QO?B>I{ zeI2nfkv(eT3`dS>VENTfqdMu^I3Q?R!K^L0ru;UrC?WOTl4f?Nbvn+%`8|D@O4fzgBKW|;~Z-2nz?}>87?(8o(jTn^bE9VEU;}-cj!H@ zm!kh(PQ+# z{uOIIE1np!=A1EO;hJ$XdWJK!C_oFzP1ST3iG9`#X0c^WJ4?ynS_4zES%r@lGZ1)M z`~X*vPOo5T%r>H@88>u-Ek9=2Gp-8t9RaGofup+~EB36osGOyaD@K;QI(SCeD&RU1 z+07ybqW&!^9ne;#QZ6xH#+;AJ5OfTB;)Ik-eUVX5*)SG7o8E<3xf!XHQ_gi(A;~ng zd*7JXbfy$)b(K*}IpG#|QOyy2S>2mXzCe>TSKgPQYbC+*gT~ydOl`5-5w6O|8t;ukR1#0{*(e|e>iJgCP0gf zzXuUOl>k^X){i-sUfvns5Qp)lBD+w33Or1aq>KQ{K*d+#DtKE$0xT8qvtX3DNnUA&1~)A z2M?oumqcx8{k)v4S_+=fcYtuJ09{g`vW6Hjfe)+1374Ny2g{988HH%v|300$Bb`9m zFacx|K{7xiAgm8t(75{aw{i8@u49dV5HVDF8s$_QjbnD94qsfL^Y~lEY{#Fz7Y%HQ?vX;GyD^nCHQIsf z!Ppl|s9$Rqrcr2-;&*LJg#i>0!rg5Ua!HaJL|U&(lzfn4x!xY;Cw zm(_?}Svwrh_MeE}Ha9`<_I`!EP z;8wu%tOIyct^Ng{f5v$<+(h@Dng*2Maqz*WFt8b2DK4bBp4?MZ|HQ5_D>H+N7WM2Q z&x0;EfsyWJPe16P!C$53+vWk#7?|31pU> zG~AC%zq&5UE%N(w^;}tO>-eZM4dtT924z2BpopoWks;8vbGgESH&hFyG#o0t-6!}# zMgD;`w?)(!>w_Jj0V&wVi!{`1rxqmzz_#=B6gvJ6^)^ur(APZIzp!&@=inB?zGSl| z>mBB1>nw+1E+-4ggTL%x#mRNa*=F>%pZ|l$9xlNYc^}*h+cox?$^S? z#mBp9B)~L)lju^CdyR1DoB_en*%?vb;zlsEaOED)nrU@5WWwUC{t%~trz5|q{*{@g z2uXzWE;`NMKX?twf0LI_s`$-jK4=jgo=(=v?(T|-bo$d?@Z|06!?>4S3oXq&&VHYi z*n^(9+yMYy9`f8RI4U?M@z!7rkGg=)XL?O=?vf_xrHg5EN!dBSPjD*{Wu+{^tJQ7o z(l@s6G?uiaO7y5ggZBI`OoZZ-I6ER%Tho!j4Q2MzdONAw1%Lo;WI|B`eBOJgVw%`i zI_r>)K<8WAQ}~J1dTEy*`l}F;|?}MqkWrvd8!A4WgSdUZu`o$#)ABk6+GipF$1L@XwVGBO&TS^k|!ErfreWn_3hmrW;ExzviKK4|vH ziG!~eoIk&^Pfc$snkW6&ryv(_CPo1kxmz{$BWD&Dj;uOQgI!E<+e`zlW@TI)i+r!! zX#(pDqU7M6>@1~KNY7d}dcvB(eVn|EoYkdfDk3)~E<2oWN3AIn7ZL~ZYN@K7QvVk!+|5(UNt zidO7=-z}hV7~6DYe5yakpcv|4X&vq+xsfZ$HY@a(vwbElZ_vi!mliGxW ze_iUu(1{djF>Kg_u}uAdj<$)rZ_2Gu$*nMFe0%EcA~jqw=gzyztQgUi_J&?^umgG` zvHPy2My5Go-`725e!i9|@%R$m&H*pbi~FS5Y*(5smk6fvR@t527c+O?ORmya!CJa^ z7pCF)*TkDM~ za!{Vb=+($1P%b{#et$5}!c>h@RQ8LSqN}oknSm;AyF}E8WDxL9oygUjzX$l^kAi@G zhhWBO4xVMe*RZcaR8^A*#E>jS+V31Qnz9JdW`UQK{?4)CDZIA`N%4F9Fa^{Ai61yu zNrI#t9^tI-x@~sFB^&VKX_S3gO(T5WH1?>-UUxXJgm2gY-30Dp&lUt3!+`7vFY+P|3ty>h;>zhI!clE0BI~7y?Fgj_Vb*yJ=do9whdQf^dQP3$6-?`bU7T zz&Uw#n0}w!jyqPMP-Vrs7QoGNdQ=<~Xi~tsMZlsh9qC<(TM%?OA7k;>vvA26l2+aS z(^9F1QHF(^U{0AWx1+iQTw(Ybi)1^Y4VQx~{^(w-Go63duZ?s6W%%mHXCw<5ab*cG zUfg0diFNu4S6YJ?bspkl(13jpa^LhN9hYnt0~MehYY3b)q8C0h6tEKtc!W>i;ak?r zPMqJGg9SL%aoJ|y4SrQ*tfe9>;|J>hTu^H-2mCj=r~dv#!J$e2mVm!Hi1m%^=}B(HLevi|p-tThQxmL)YpTQL#gS_@cb0xk@&s`m!HIvL4Iqvit(^<(PSSMvufVPGz0ZGX zv~#@U5E^K4o*~z6ZI^#Dc4`;yVcxD4SP5o^iofhp-ZO2Y^*0{d)~v(Cf-@V9F88ZS zYL(7;YimwWORRDv!8;vZbiwB9_y<{AX*99XK<_mYLCe50vb|JMySt$4J}m_l2}8&@ z&M4n?QPPN~z?~KU4MJ-L@rCRE=MWc)G4ZgO3{fycF8a&Ow{njSQVj3Teb zbHAh)l*sZeD1#_VOSR|$to?W`@m-pVA(o;X{}<`XHgTRJjN3qZh{64)4+SgRq(PzQ-Y@T!~gaOkT4| zkK#oKeF1ZDl?9^I{M^j_{+CnqfWVMKdgH6St2EK|r08bbBgMcy*etNiJ0#8z z@QhAkTdGSur0#tYr`yI9)?=`ivLX--qDOk{>;+HHbJdhHIBFx>%^PV+I;(+;$M$8@p1V3OT ze$IYwZMkx>%rRdH3HIOZqv4}>J6Rh*862=|C0f?;C?LDr1So z?*ygkl&Mh{l69*_s+LWf)F_oH7v)X-`N^s?rHCxPBSP2; z1-!tIZ6xCPpcGVQQ8L>ujinhAR51nRf^M@8TtHcX+l3QSXrkaf_TPr>cu*W#!bevf zf@EYjYNS0IFhdHuM$=?qS$S8?;xkYqH`5}g!BsbvSOud6#a|eYE!_Qlex(8sW#Y*+ zX!tbfNu5Yb!IW$BI1E{-wpQqr{d7McTcWT9$qEs^qxqrnWi%OzhNdH}i-PUP#E#hO zM-lK@G1`1Keb*s^$13sZi*0GDoxqG>p`JslrdFoE$wjcL)yI#7_j~Xr3#zmhTj-c_y2Y5mjD9vqDjsr0?9YP?d_}XJ-6UI$Suf{Q3)$Nx7_E&-)n>@$ zs2g36F3|f~_!vcgd8+v~*tS|Unt5c~h3>mprS8NZ1mGYBfH-t^okse>9U%Vu3AWSs z`V2?h97osoPh+=M^5!^XU-7;A+QXL)~B6<}Urm<#|hr-dC&hh23%^m{XP+lmZhGt&2 zMy$Sl&nzeEi{Y&H1IYM#@CeLq^L#@lcRsL{RPI zm~QcE5z?tAbODMpkL=#H2HJghUy_1CH-IYJ?9^sj3KeR>AfEC_9v{~wKRIe~KxvA; zkC1F*N0V%6uZRj3l?Hp)+u%SIw}Jt;qLy9VxdU3?%G7S@&EX;7iCHHl*NX_Dqf#*i21}Zq;CpFua}+uQEIDaVht;lt@F!O(FF?b zIZ#V4a+E};?!MQf@@^bNB%%CqKIc`t#Y7m6`tK>z%0i z4P6AFr9rZOgLTDPKM!4Pd2*>)0mNFRy?<;?*Ty^?i-~K#X7CF(^3CP+aB2NOM^JIW zTL!OI$K>WXh9`c|IhLPBFCL7;Xw*QHy)$JaBD=`Z)&3yL4;5xU6in=rxXyEM^ak(e zB%k?HGTie{-!^lcPYh3+hEesoNc9VGn`D+9G}!<-_K8go6Bvy15Z0xYU_pbuUn>^O zjs$JInlJt>K4_+kZ6a891SWa2FS!U+oq?psqW-CN|FXBWq%J&=y1Hll1V>b;?jTo0 z-VUr*E@P45&NOuyB};~+n!+f9g0a;{>KFD31~F2b zU#aUT!_4@yocey*Uhj&){_e|mC?(S9@ZKfIglXXnZ9;AqQr2NBEVfxTfsp+c0rCdV zwU$(??-k4WkjozjRZ|g+^~<(ed3NWuA3H%8SWtoS^)npnPD*E7ffssi#WiG9Gpp7k z{`zw;Mbt8>?0d98c?W<$?67TIjKz>a^tL7s$6IQm-vSdYdjOp9;A{O2jl+SsJ3Y~Y zGHttqF(BObDUM>jBxh?%r8QhciBlzn1QD_vg+7KP*qRMOSfK-9EY9uJj9ESX9V4p0 zV70+U9@nsTF$C9+e*Rb|AXjPTt?rGerT4Gel5ckdvaS(wf&KFc#^o#_`4HLJa1lkp zMy&nd_Tc}HUc3v;@>VOQKzUU}%4B6DnxWd9o=b%kjd_w8hxO-Z*cS}*suzVD&{B8n z*ZvFpTj}9F;;STtZa0L(k!<$Fa`M=zYHME^y_5Yz5kdK2S*XpeP~|ESt4x4q^4Mi$ zKvg>vA|;znka$NJUA3J7`kXHV@ zl`Q2D8V6g`Z)!FvV@5=hVMB${$P%K&$h80^;Nw5+;*!?-cLD4)Rry{2b6Aw!9i^5vSideUG{Sr~v^NY({)S!at;`$IT zNB`%yzq7c5+mk-^i!Q}y+~ax#`WcLl4j{XaM+XRR5Tkwi5GAT#ZU2(x69*r-qO0;t07y%9rBh&QRA=f?z^o`5Hik^lV zJ;aAQUw=2AsqN;;!TWLD_Cxr<@3nbS^G=#VnigZ?9}}l|MvM9%;W*}tw6&oEZMDix z+bVPdyF0oVEU!O6Mmo-gP5iKJzrL)ku@REBlc zdtgTN24M$@*0>6r+~CNhJtq0HoQ#5{MY2 zfuCg%$v-WCwMm&Re5Kr9!ESy!_TV93VEX%7dR{v#4SLC!jvM^4CI!N)w2p6R80a@Y zAaoj0R%46pU=%A%e5sP4g3_wk1q&8oYhf9e3dSL~JS-_leN)#~U(den!%jrig?_z! z{Hsu!C{?QXUs1t-0pR~Vj{5(=m01}6Pq?zuKe#drJ;*<}GD3s_kl{D2Ttpv)5n%vp zjNaF%<%eDi@QqL348ouNSMy=}AM+8gv9Wb@z-M4!{Cn~LPAUHfp8Nj`#ngYp$4vO& zimCsml$r4vnb`iS%l@U5|8mJp|3PvqE3KdbN0?KrZV~$$j+tqc&*(HklzJKOjE&l@cm@;t*3s&waMsOq{R8 zDHUA#5$=U(?o4cKzlBvVQdbVbgEuB0-0PM8WYOrIJD*JkUnI6^S6%w3+9sQtKK-SX zz5Y_lum4cWU4JR%&VMLn@8-AHJ5`#mE*(81WC?n<@Oxu5}x z{-0({#ST}3QDscD?Z1)KD~68NG)x71omoxO^ra52mnQ7&VQkLG#~2gb`~M25R|iJvj5{(>O<9cY zx6;sgls-ej@|8Y`F+`^yo%JrZurdp*i6WJqV^3x{3Y!$eoU;MN^<8A%H28;7cKwG^ z-u$MN&vkZ*iyrv7%tGy`iNg7tC%{IW?xV7A;B_zy1(e;?Dn z?$aOB1Ip4Fg}+sf(2!k!1phu2p0yV58&kf(t_BV!Sgn-04-cZS@HK(uHU2|b$QN@&jTZzfISmI3 z3a`Xj#;zVv7|KyabU!Ka)4)cDr+0c#ne~}*W;*IMM-|)AUMz?d`AAV*&hIU{f*x9y zV5botR{tj=;VPBjA}t3zCh6QZk0t0_EE|+oWLi9s10mNprmVw{o%f9?k3_hr1F=Wc z(UI{J6E$YWzz?*8JqPZB?2OV6f|qS^3xA+ZjwXhlJDTvYNe$4S8@3(DDELJjKudVW zjG@1XSBU1)$Rh6EnpB^-%mKugpIC^HsYn2j06CZZOri$>{r!t~o0~gdO`yHzMmr~xgQgap9 zR+Tt6Y!Qoqk)!I76dgxT=5XcKk|4zgX|{pp$>4{MY*gtxv3OEmBez+fes;L;Em~YW zERAQ1i`pko3dDs0#hsTB3RYAwz!{9*`8T9I`fo^CxcD1V{!C?7kFEk)f$%NFqsDGTX| z8Zae|HP$qt z>4*nGj9@6^4A)%8w%S0C3JJ8a0xai`AhM?)vdeVr-h73U6`*9Wpk&{=sZnoPN$|Oc zqG)q-pv4V-d$=eW7A8^b$kWBwwl%7js=^BjqCjY>&37QQmSxcT-X;|OeB?kmUI*6+gkM<{lPakY%RWY1` zsavqk2}k!gBH!7+)BC;WH8a5I%RYXnGG)cKbR(X4-d8%w?3jK&80pE-0>& z?KE5EJ-}C7%_w5l=_s2`3LDOym0ioFvm;3|)Mv~+6yUFhs$3rt_ecd_6&XDq(PY10 zq0dN;jR6}&B(KC0!Ho41Act{HNMZyHf!tY|h6raYwN?e*-{-%k!LefXNs>tO_!90P zQ8kqI;^Weiz$B=#z^_!5_i*AN${4^1&$A&2oHuQ3%bZ;J`7jqTOCb7t>eRxS!>1Gf z!AZVcFY!tVci`O;;kDo}y9sJ3z9cx1 zp;OJ_VbYJ-yGtWxh zA(lZ=?y17d@LIx?E7a6~g||r}`Hl_h6y=bZZJJh#p_Vhw!edUGrTJyL-1)j}P7z~&P88YunblweAdokCUo%?Ek};8Gs4Gxg$H2kRD{}j zUH$DjLV~j9_CSGza;VqekWV>|O@H7V)$6{%t{@)T_$#lfkPiS3J2V{=pq8NajfmEo zav*Jhu7YT~6#XuA^NKqQLmR*APN$$UcTbQb|LnbyJSqJmrZc0=oCo+S zxkSfPrE&promY9l9OquK1n3N+0RP?BzS6YjojT=s(#y0+mR}B;0Fy+l#GM;Yw4GP9 z@c_c%n0MCA9p=3xoDPq$oEJvoN=S_7)JiG>zQPThC-eg2gxA}-)=%Z~8_{3DN}Byj zKW6*|4tY9uCk-*9pDV1N>kaR)+`83zKcwr3>YAK8Ca@LQ&EZ#NqhaNFVne9XSy{qZ zky@DXN_k`bfv1=3lQum7II_586}LDsL(1jc(;NXwi?7!$d$&A5ir_#)tas{rfCt z_F^8Zh%~g-sc_H8GqG&8YYFyx!HYB&7`!Pj%g8x#QP{3-zcnu`w}1+Fo#SIR)Fi9u z3e_igA)W!J+DLv}v<$i(;~V9Z6SsQe?oTyROP_e^J`Hh-Al}h;xQ|kwRm>MW&Tdgz za<#E<)$3eotZZLe4TlM55>r;p%wj>R(A@qoauI9oH2#S5{*L@;};s=nIv5J~);JE!HF z32pzTWVUcs8mi=MO3Mo&Qau|%I@F2Fni?!X>}-Z-&P}PmxyYNh-uaPkR*d=DoH(wa z1$$<+pPr?lyX1Pm;xIv#{o0R48=5pLjRUd|Fb|oRG->0Y*dj3ndAN^XW*w?+UDM*a zilDNRH~^|R9e#!blYg?<2WDwprd7lTeL!EK$@Ky#3~34Q;YJhrs@!Wh%3qteL^ zR0?%QUV%2{q~49-Vcu!r%8^b!8qoGdgf-0aj|eu7>8d_QyK!|(BHN>7Q6PFsGQQ#p{R$<^Hd*@;ym=k2TE%Dvf$|7ruOM}kNVKR^IOOq&phYzUU6QIvw zQm7)HkCDnBRGP4!;5YIV72`Do^9*|Cq+lIj=QgYWtxd2Mwq#DqqZ3wUo=G067J^d3 zQCSwzdVLwzqLvZ1uL?Eg++|uRHqJ71E&WL_Ac~d8k&UxQK}Hx%L^?wYFt(<02DuF zgVX2jS3+@SywfVWD_R2A0+m=O$D%Hs`U}&ma4ZgAv2HPX&@x&u&UTDH2r@@h{cpny znJ+)l9}7#(EM$1i{-@md(7}3(MC^yU>^4vtq|u{$y#k`Pvf8PnHpK4hzug5>llUlE z#AFzhG3DNIQ03`TOdp_ss0$;gu?5A_c=uaZc(R=<-B{=!_QRBRr|Lmt$@(Z6sUhu9 z>O@+Kby(bm$MT}ky{hCuurieAr80$dlgT)QcKfWA$NnJF8&H21m&qJ9lg#i}l!W;Ppl=8{s4R4^xb$d*Rb z34Ed*xHrOplpaBRFVv#i*#}IR`q_z3@@rw7z8<`oM6@lu_k(=FBvp%TR48$IJ6B-G z6)Rex~7`)K06lR1wssO)q2SM+oDC zJ5+)r+9=axB8*E8HEQ;bgX~rCm_A7`Ws{OzAz6tj-L#YTjb!|=cn-d=v1}fqN=*2z$v)lt^)e z*r&LDUwlW*4YWA+gkbgq*JELG<{Hiuh&~y{qanCSfyHxm*D)dz&LLwV8l*G8qc`*t zxVjG#NfNl^Q5veqnXut`hOneF&UTn_HPr05NuY1YnRcQRGj-W><|}`mupPwm5gH{@ z8kY5E*UZFrYhovV!p=cF7)Ev}wEm_XmhjY$=Mh_C5kI!oyRxtuJhPbhpXtnm;89nC zvq>td-RbS)laCxB)9@WN<6v<1)idH29PrKi;Fl=#9-O`ocrMe`cV^68ynivRJSM_b zOCcF3+p}C^0dc9hwlHiFx3uR91Bf&4Mg%vNu*5X@0wl1Vt9DiHJZt=Mcp2uz)VlQH zAC3N7UqGc{$LskcaLUP+2&&rqM|1Xeyjswbq~>Ro8Z{$Pndz@MHR#^6KJd6?kn$Si zWBima8ZjFLSfM5BJpj(y*~-yofXuU)mu33`yUoDpBzvI`LgHvM!}Cu~t*Ad`&i8Ig zXEB0(9^+h6)BUGFH6X2Fr0+BCIv?^%#RT*B@3+mzuh&Bd-@iv8sJVH2IC!=5cDKD} zEHs@Y6P!~C{#a`YXevcL7O6DXlJkP!i1tC~Qib=pC8Pe8=Kd|=xfR_ZUZ9nb*03%j z1Ds}zKKnR?9j`e=HP6qz*D4C5ILXAInrLa2{Pnb!31v3H(_DtS$) z61n_tgD>73qO3T=2r&~{I9pflXqr3}fcuDuu_b@aPf}}%uHWlza5CypMnJqaIvVfl z>?@W8@MigJuPSx=F1FPR02wvQk#V|6qjlnkwuPPhivh=bk{(cU6z%3LofF*Ir^wDl zCsWCVM=#S}qveN)&Igu{PdR%ab|E|}S~gdBg5Xg!1`o{S7`}T=BTLGF6}J{<9C_e( z9W$huCE1)%EV;WZs^qFjQe{42Y};A*z8zg$RForg84Tw(s5$ICp?drip%+Dwe6XNZ zMI|$ON^jn2r>o>emQJwr(s}z_GC0Y7kwu_y9(BNenHLjpfrWegI4YHIt?~TGcD)gO zm^O>@K{1Qkb99NF3^>XH3Mc64>Ac6F>Wl*K%DV?SeXg4@uQ#~-G%T`kvrV@XfLF+?|L~J+}eiHd!xLU-VY4BE=yXDI!s`_Rbf`gryQ>YM}2{rim@|wViFJ5Sq%(J z;(f=+iUi<9<>PhiUX_VuHC{s>x8og4X;54nEKLxcB2da=~#e9f+`k)(la^A0BE+pa*b)4$iN}?p&{D<&Pf__IlTa z^w!Y_M%EAH9%UXPzMOM-4<<54=-6>jE#@#Q$V#G;&%DmB6OlCjkv7GMhaf*Y%~pPM z5$3UJcx!l%1Ds?b+{~=AW_`Pta$F2<+>^r-78>|RFjpmptk;nXiSdbLk*w!Fe!ZG( z0j}0CYvJ>lV}|1Wv;8VZ4oNATPMI8Fd8A#f#Y3H8s$P6ne;5qPvF@zz^5NbI=SlE) zeP}7Y>ZIq)?cL_KiYIcmtAq9eTr`^Qh>IBmP9ei0_C}-BiSR@!Vy0&dE01N<&-TQ$ z5rm+~;|Scy#T4)_ZoHSz&@Zn#w7W^FHTiM%mO_=q{X`mgA0Qi&MAokYJq!8GV7V9U zus6IbP`eH>JN$UKOf@`@ux(0g{b+z z?moX&ZylQ)!#@(#)AX3$_-qk&*`oH|A3K{T*)qZ0KHly&edv}v4zAjE45KeqM;!j# zzeRmw7wr3t$gjgKQyl&e|X(qQz5x**z&)KSxw2jFD)0f zf9ZX_Y<=l4KH5U29|fQ20yv+nL2cO*ytwXUH&1z!WvUJjLv1BzGt$|Ib>C3x!b{X? zz1)F6Ht09d!7!|U-YG*?KP*vHgKT8WChTQ$a@n0qLRQxY@tj!vGG_TUjisknXNdvc z{IPkjS&n-IKToZ%hxhI#2~Cyb0W$TW34tE$aeNd);EKlzwsPs5m_(uJGMUkRgFZ7n z_mIfLGFoGo)3VO2nf9p}2V_12&ssi6{+~Bg^_<4(9tlg2(+8+G;fT$wa0#a`sncI{ zrP|h39(IK_vB3A-Tva36cN$vaIc`&#j=oM#b$wt|?o(~zYnQBB%gIeFk~yI>c&vo^ zM-EN-e*G=okC>FlS$Ey*n7n1tZan?G4Ee>N`2sUnvVVK4mF1{qP1Yc^-g38#L5hA^ z1 z6A1qZiNQmI4ihqiST5F8Avtqe0Np2wNNSuTRv-L_4ClwJ2C&m>WsO!D{y7q(yCyv* zJnmkd`}sI0SR)UZ3Os8ZeF@MLdGGPvYpR1L6pAz#<#uPHEzPFJT+7$o?dKnlM;_hc zGSj1^fJkUZn|zOioI8z=2J0ylwM9+SuccjMG*)>ux{=0~FRCrgvn)?IO6@tsDi$k{ zqy#L1rVKkD7Cy`Lg(`JxY|!OxFSN(df2RB6O}>01{z`!g%{Y;a$x672d-CsF>wfL( zPTYS>gDb8qfpNwXEbcU{D=sXe1Yi*NP}ylnQZ=3zSk7TN?ctH6f9-&(S(xiGC@1UM zT+)s6(*J8+K&>kiF|(SkOmpqI4DGWF4k!mkzP0?{)228$6^fu0yXIRLEl~#9F*9?1 zGrwcn7uX&HD}`D}+k6IOkY2A5fAgBd!GxISxKFuA!34l4=Y#EEGXK<8K4Hq0REs)W zPx^M41Jy=8$lhmI-Zsl2kQJA^wN#bfGTG1jhKyeRYX~$J6%bpoS$YH2eo<`$-HrU; zwm#=9;%_DR7v3c2s?~=7ibj0yA>#{{{zplbC&{O@JylutKd~IYKWD(?8-s!7ulzTv z&M^MvTaXJ4K-}~aQ3aQLpgyn)1;BrzAQNnrfBB)fzy0r?5WG4Sp z?~$N?@8)r=uL-Bg#Tmk;8waDg2g4D`nX-sPa|RH-TI#>z#@R2rKZSIGOM?EiboXep z%0gy_cDW-mQ&q7dGFs(0TFrq(ISv3vk)tnE*C+|5Xfn=#Bw11x2M z|FnP=u_`JcZUSaauv8=2Q4cQZa1;n^woijn&)8c^_N}qjn@>9o-R1az08o5=+dTZG(lVto zdB_Z$i%dNd0PfAjK+BY4vc~kAg%jaaC2A@FWkbd_#bhc}A}tkdq?X0~?EktpS8mOt zMl)o49;_WFpfT3K8h()+s5Z&0@JX18hvu|Prvc%mOZS?*x22mkHOHDs*e=X}WA(x6 z#1z{@lNbdkx`?Utbc_xKYAWyqSJ3OeMMkSH}3xLL=fHZk6Xd@kLm%q zqCrdwLHc+R@{13k!TLN^1#yUCVGDtR?3MaNVH2=Ua6vu47XYYqxR#~+tV_8t%VbHB zaOYbDda~1F#qX85G|XlaD`A^;$?uHO(oGxMQMDq_Zii6aTJ@b|P5vfX^2PH|X9?L0 z#8Y=j5qMTYD3R^Iv7+Vy-B6SZ)URUYkEZ*#>!VdtmHS);aI}~CMQ+1IE_|Qpez4$o zxJG;~$b!yovVD-}<_a!bYr8hMNIy0-C_~|cnaeO*YtHAv_ko?~!9#P@EA@BG6#F$w zf}fSbIdjojE(f!?Yid%0!2?%>z$`jvd+N<0S2;;e^&uY)Ct^hKR%4nSq~@Uf@d$U( zHOmT7yi&Gr#ss9Lqym)tElVqrD5WwfA#d#7BnHW!-4qNl;5T2tc}YY!3U>C98axAN z8TxHmaAVa%8u#YJy{177IYqdwPuI;2nN<^Di@SuYBt(dRxl1^mrnc_Kb%~%xa|7Ll zVZY|8#6K3xN_LrZ#>u(xrs()iLno=7nLH}prg-0T2nT?Bkze@O8HL93#mh2zA_qLy zs{~TfGUNXcU8Z4y|IfT>tpT!OQKWytCGVf)Rw|n_MaFD5_BURk|IRfjNfL0Nr9{Y75GO6EAVJ`J4Jd;B zGh}4P6bdCfN}r9}THyC`gBjKQj@ENAO;agLiwVnRi1ieW?_gcW`#^DCWC5*!YQ9eE zxa{L4$S*D|{2SP3aY_2gb~52oIvn8Pqc=Mw08e5*emD!tz7{Qkd$q|%|B-|F=@$Yl z{)m@6$^$=M+XY3Az`^|!u+>K!r+Qh=r^!pJ<{L5EZt+mKN`?Mw_z(6`0?elw| zI|ZoZ#%b7<*t%%@UNtFyH-em)VzSjTq8Zf#SmlGEfJkm~wz+?H7#d}KOR&l(iO~Txb;=ES<@5Qh>A$k41 z*B2Tj3PND{QUy-)696rKlB>4|!OFVTthwQMxEn6d%_`CSMo(_!OH+Rna(X(lEh{Hk zvquiHCc!%9MZg0-J2_eVYN2Bny)BU^`5Yl{^PU&T=$@c+vLnnozTwWiJ8N2+_O*rR z_TgBra=5^zLi42q)XG%S%0w1Of9KzOZ{&PY$POXHt0C;Phy4D7$AZl}^Vg?|{(D}#%jrjE9uG17=4&J8)X3ULAf5eV!rs9!!=;E1 zhfVc5&=deCiGC)YX+}4JqxUq~ZYZwY1|}lolGI^&I+BUN=ay3N0X+ zabcNQVZiP?Nfq)&sB4}8o#h|C?qT%JEAYtg0A(DDgLWeBjFEY_KHO6|1RK@Z*ipKZ}=lAMW-XEz~p)SV^Xx~ROs$Iw#5MWGj zm6a;f7rtm@&9~80Br&VTGOH3`uJM8EReB+lZXwzJF{Bm8TwC}=c4nk-W@(D<8_Zr0 zdW&yEW9-JDOimzUL7RqI80)#!Hz}3FMFgjoA0X!smyGex!fd*#7(1MH6?alfi6#=!4Zy z9hr)`0e9BgD$>P;zipOGg%HT1`A?p7bv2|r^b_5Y6vbLAgCbOu2KsSS6N|r(R{E$B z6oAi|YqDS}%6*+!=%twJydtgigf1+nyF{C+b01mezgxQTM`H^;=Zo<;uhiw_QZJ3B z>evfQleaVrz4%9#dM}yA>e9dM|9WTj%ADN(#AoAtH|mF_@t5YQp45k>$=B*az=Sez zI&)1bj8&Ph3`+(^aw_xHw7NfTBAA#kmg-XzYHVQu+@i80uw`Ce49L~N^2e;TKn zLfD{=AcAUxX%=Yh{+K2DV@xoezwJJYRew2y9gL7}e~zX4fcTRjX{Eu4CAuRF@ZT4q z+kyoCY29G_s|>a=zX$n#e*Cxn9_+bNMr1T$Rs68(KW+(6fs!Q5=lvd;wI_2SAnt~& zdFQs^GEjPT;M&%!Sj}OY*My{>|MO`SuxOiU0nVMw``!WadW$BorLGT+Z%pNn-epQ6 z$;y}sxQ+8jI*wz#zm4;@$g1|rn(Jn6wDpu5LFR2L)Zs4`6`Z9O_0@)FbiyI z0aQvNt`|&9B)L>oRn(Y&ZJ8(CQnOap$S_ovQAQQu)o1lt&EdnQ6<5|((17${0>w+U zs|^;I0+TG7?v-^%N=3;JAK<76YAU^ksOzE5|Os&XdSe&fD}oo|sB25}2m|1~Gpmg-B~07!5r zOK>+OOzL^w$}j~gPfMgTUPEY$Sa8% zcolulxV#m zFS^L<*u`E+2*dGhEgFTvci7WJHMRGyc%B{1xW6(^QD-lJ+21`lwUs0_L*)6RaJ#f$$@nmm0D%hm;eZkE)vd z!Y_JCst_e47e%>{NNZ)()}CX~wfk4pJ!xGCzU^4tJbm0eBi3;=au~w_s4gu&E?6ci z6Gj9v^Kp3m(sw-Yil9tKi6XAHw<)@<$mX}p z5Pcx#io~!ry5|9}u#MYh$9XyQzd8DgkKR=|BjAem2uNwmBchEwJ@`?EJJ|V_Q2S*- z8Lhl0Qc1N=lq!>6So;gmqOqrbFAm3L>6aCwTA@R5ssI-nY;hWM<;D?YDx(f#iqsY9 z(Fm4=NGnS$=6{yxfRZDTVPEu=Rgt4fx?l&5Y(XV+MUzpC^;k$cMWzAEjKJ*5@8k1J zo1*P}B+^Ivk&~S7%PJ?(KYjs^u}sBo3h()E37-0NlXLwkGDP`K7iJfiwtxMha&9nv zGDDdVMQu#>gl{0(|UE}thNV6SOe9i`?g)Lz^k(n9oI{8 zNTf@=jp!wb?vt}>T)L#$2_0qx0p4xa1lii8uT_=q-F5HUd)MwxclA|7$I=V+ z@O{?|Pe*?IXusqCM4FlscmLihwP;p9*G$F{Eg-L1Nu&9XT*xNE zS)kyMC&CqVaF^C`iak(CMi5<^3k|nfQl~Z2eIbQih1j9NbZhr>3#T`hewub)ly(Xl zlL92Qp*9lMYvbehy%5@rr*ownRd^?LP*pai>N4aV{|h5&tnX7vBe2HsE#jGmib%I% zM8%-$?0K&fMJ%Su+zE?Nf5ye}TE!V4XAcpAOl6%#mZ|9L@Q5jTrmLJY4{I0?pi7E9 zWC@E6!|9MUwWWbnxja_?5R?@y9s24bI=|mOF&gCv_bYugXxQDzV?=l1|)-5z9BV0a(U0vrT zX&hQal>hYJJ+X4X(k~N4x5W2#4Xbl(GJO${@W3%QjDp^JVtg0J)v(4rfsicNR$q6H z{hnGP%xt?>x0V6gh6_|y6OjNjjJ=sfA^LVyhvN%t9^j<)ZxgkN@tF9L3-ZTH_M#NM z=R0RYwM$1vNa^U%CG{Zq1_iU6loidL=LPPQ8AI;LiZ>+jWR&C4O59mnKF$t>>Q=KP z@&MUCf)*m&&Jcy&9Sx{A*&K1Vw1wZAlH7$0|Lm{m!M9BECQItAqwXc6Su^SKwFAQ~ z;WDeBomyGn#6sn|s5BUk2$Dh2DC-8dfGnNAXq+_2Q2s%q$s=9?f$HaMnm~ni){I1X zZetr67Ej#S8!TWR93a>$>GHxtS@E<^v#o;BRINfB$~gqrkTo7`Ax0mhtMFaWgd=X3 zws22`OhSylIxg!2)oj*@8AJ0G+NoSzV4SG78f;G|RvNVx({XynI{vx34FmUVCErCTBhM$$#6ZQlm5k#YEZ?vDJyP# z^^Ka8(cjpb0!}Lw6w|RP(Vn>VJ#lO+%n0Hu=MWDZ%s6CscW5Yu;`VYJjH)R8*+4_W z37sv;p!y$`QAC+}dE&RBt=w9MXd50-znO@DSUd<&U>3}Jif~t#8|%&kTykk+M71k) z03Rhw?=Ks2+P`ewXON%J?OiT@v=`u{H^1z(BETNCJ+syy#T2)DfsovGBwz(P+{Nq? zqU`5lk65!Ls@&!ARz|AFPh^3lk>xLyMO4>X&qVI9rri~f8As+XT_$K&5iq53-)LDA z^(%m~TpU6xaLNKvsH_>B8yf<+k$erilZmaj+|ow8;DM-SMbMzWHBilJoChlg9XgYM zOvQ~jP7x{$?bBxk+U$(nA-gcK?MSaKM6&owh}*bppSoAsq^6!Qmzf4dzAYA^oGSxi zKFkTKGp8hA%!cIfuQB4oob=Fn-YM4T)9G0vD&E1;)Z_fp@s9Swog5IEN1yPMcsM^~ z_IRbJ+>s?v`b-9~v*0ize@Bc1j3H>1xJ?cE5eUj4qZfZU?^hRzK3hX9mB5_cOZ)WU z5&U@#!&WsVpA&pbRJ<33V4W$;`l_`xbFkC6Y>?p%3|dX%Zn#Z?(^pibp{Nl_nmg|W zRu>Mm1{|$-SS5c818)!Z+U7chZ6cpt@l}(E^8Hc`iJgkA2V zCtlU;htY%iWvnWZ@T5Tw9T4;3U&*w$Q0SpOl)W_AAr{P}LxkAz3EjK{bR3c_?+uSp>Y~kCvFFTG<|)R44;Aj z+})p+iSbDaSel8lh9^uOzKERzEuXywf2o?Hk0lN_Dj~r>kqq%566OnzpF$iOV~!gW zpjbP$V;9bp2QgxSK*8Dynn5}~yN#Xr#$FpgQHJt6EeS;>$VR}Vj)+x9(5y|stnIs5 z+s`StyeYT(SdqLaj9_*g7;9Ga6*EDzeE%uYT{M6d4v1Kbh*-(>5|qs{^QSoTr&5Jb zf(P(|2O_IN)B%;C0XQMUN~$|hW^b^(dz7FBSf~n2K1;|UlYeX*H}Mtm_zv3Y<$K;e zcF+O^R7J1l%DSI)MD)VXd^V>#ljw!7copDcmfh1UKl1Al|5VbsGysRErg6Cclt1(5 z57|1HzzdaY>t6KD+0-=P6<6Uwvk>JhyJvCO3We#W@{)xljv$>Z?&?tZDsqd_Z|iQh z&A+&5KxeCb<*o2>(-2{w=dBQxD?2hr9|FAW_~L;hB1d}1$}E?UD3`xwj>a1Wkn{R` z!q}|jU0p!7$`qhv4bK)uD-Z=!BxPZHVPu0T68%OTp_q@Tn!jbyM_rE(ly&P$3;pgV z8(o>4UuNvYwmx?=Sd`rRcjISg<3c<(a;mrb=U_b?12v)Q>uqBdf41+ZSJVd&`VQA4 zj}K1N11+`VLdC@4=3`aW=i8IS@!%wd1i$awWoFm$XV}c{j3V{qWt6wa zr#J16cI&5)s59B!f^Nj~8z(I{c}&?yc4GOd+&F$t+^@BX^wQ~7QR>nO@n6Ki?oWO$ zNp^29mV~XYk$_iy3e{vvZeL%)Eo=Ph%PG7yy0Zx&R6J<(eA#}?IaQ+mVD#ozqHqC% zQ8Q4f-lq+`M2))DBCe`eOV?OP_*y{}P9F{OaMz)Cu7anil|`$H2dYXq)vOz$aFyHu zcgg%^$jq`x)4b-c&MN01kPh}ckvGGF1Nx579I8jcT=nGP(aaUJO5DeQ#^fI2LaCXl zBVYoQ#yG;tvD>Nl^5(+pPJLD2t(LluE{4m(S*E%OY=FWQJ2PD!%kyK{6Yrwinnpxn znK9q-Ydc_=?-efVLpBuu3E;HN+ z3%u%0iyNSeKJ9csE0#wp&yreYK#e^3?n~G4qSku;vt^B%>C~HYcDT_ISm~Sex_B}6 zQs&P9uJyNrZ<=*~e4{UYSM9F7#Y#6}@j27J|3x~l%PD-~lnAZvbgebYMSGea1E1FA zvsSI;uX8w6xX#|l3Br5Ol6x{6oFaowo?mFDMD zUi4u`7#(elY(42CU8w1}{P927SYpMDleP{Jo9ep9{bJJ-&*z6DkLCdqiW`^I`V%)*%)SjcfiBb>7%kwk(hzt2sY4p5Q3UDXD|Sb5i4v<2bWIslIjc(M8Vq8wqRpNVU-22K=0K@|1#Tgcd8)5dDLHJ$9MtU$zOO zofQtgOxigu(2qa(dC4cMWv{7@TMd%CY?bY4`KmEj9@{H8|4@EI)J4g}aB_$dFFxvhBT&wg(Yh zKM@|d=+$`KS-WvRW$3uHi04=Bs^X@|eGU}|+P09IGNKVHyyD+V1Q}7OZo|>}MlGnh z3Z((CtTKc3ifl%9qO6qA9@NSZe%*T|NHMiF;QNC|L0oR9yEH(A58fPD=Rnx=(>?LRWBjQ!hTaogbGLBW)IC35DY5 z9%lJ1;(d!u#8gu^^djQ+A(+C$Fl$o%op+;L+q3Io_R0H?bqkIq!dgM|Eh#jtASo;Z z+j!bDKHBPJZEycXjSj$Tm$BGwyKteK&KvUFURAY(>=$^UV3-)A-4f4&>$|-i=`Lg+ zSK5F<=?84drdL>uvM2q+I~Zl=q5MzFfx_HU`fA1-;QleeDG4rD^lejrEi58-HIZy^ z)vvERy>7mG70Ts7<6OOQh9^3=o)Kdqt5nxN%?{NEh(%`Z%kzrLxd2;)*YM*Mz`!FXcL^G@x6fIyDE`Imk>Nsi zadZ(zDR@+U==8NtN^`0*bySM!<8S|u|Ae%^@o=tigf@nQd&EofZ1$nf2<5I;DtE&X-G&c)WzhzDmggKhCD^m}9pyub;3H$@0QnD5R+%Z0jl$v;2kh5%A7BwHpRJ9oFLTuI8YJsn)N{%r1PN3X+x z6(R#V(|~Iw3a+^-EyAyc&3O!?gg&bFA-7#x4sd4og}nV}2t1cKeCNY*g&eT@7O}s@ z3RKN}4M@(~sZS04>iV?J4#dZ*mv;M|y?c1fR zurdXLtE{hR%PA0p>)D}}Bfru6%r1Xtv!`Jt%kDs&-0SECt+?W#%qL4b7wl(qS1s?I z9M^rBmMs3j{@f;PoBHV`{J?F4#D1S|=Ct7q_Q3vGu|1c`_vmA~!8@wMHwqd~rf;9g zwGb(@9)}JZn2EKMer_A=rI}ALEFNRdFG>@a=Dy3;l*N^+<^BD0B2Q&Wkng31<6`FR zUnNhI5*rXh-B#F3lb>Qh0pbLO=f&^Fs`649s^+T&7H|BRY9qp*iMOYus)jLB17bp} zrlPzyUNKWU{Lx2D>QQ_`uY8f=$AL}@gY(PmrC6VGAP6(d4C_jxM=@gq9!3o@XlS*S zV-3UowDDV`7oGn(03Y}rF>c}Jmvab$sK z1S95mf4)_FzVxO-5$_1vza^ld51x@^-X8}ZrfJu-EMv@`f6Hv+(=6jx^Y0To`VEX@ z3p`^Oh_(H_R)?M=HLmH)m&y)YmhxPwCJ(YKyXFT>i{@IDcM_(k%JrCcNWk zStj?|lP9g&$~u$O3$-tmrb&ynBd6-#i+}2??UH3`{~l%j)BWuG@{UvW{rhqQzOqXX z6?eX}w(NP=|ET|mD0P+T{pMfE_X?$`QFHIy%+|kRZP~_S&MQg?lXWAf%PuG93%|6Y zKMz3~Z>IS7d2uz~XFK;N9ZkPkpF7XqZZZs}o%MynFRHIOwHDrfzLum{RcsGXw$$P` z*J|D(Ggy0}%@( z$dh=FiU4DkW_CH6C|CU^GE|EVCIMwkl=$j5pz?A&p)`PV%#j8vSW~+82|0%Ws?J4( zOCxOUM&3>WBQ%mAcu8K7i5L$2qx;Ky2%A~Z8+%i4^P^wQS1i#(Bb+Q!LuZTskVG+H zMi}wtTsZ!vF4w9bW#arBH{fS~^CytvDcqcEjpii9O{nxr#IlD+g{{Z&3%!nTMT}_F zMVf{Oyd~@CkR?<&#^FI=(V^z`jJUt~UVU`>`}}+jKS@41s=TcGYsm#<11;Enhdz za#l7mSbw&|qd)1hA|BpxzxB+pbwJ95`Zp1AcV=-Rv_^>z6Wb37n}jseNVXX{<`8KI z+;e`v6?z*>_tej}__c+|i#>D&WW{W_c%%UVYs}tynGj1J!o-GAO~-vrkA!WrPeOUp z-s8{4gxwD#f+OTbdotPn#2cg7ww{Q64Vq+2p=8T=TbKnP6pwHuG=U1Wiz+==2n|Q3 zsS!}V9+abSpnyb*K(ao`T-rwv{N_;YS_+Mp!nvW2lKyp?@sX;-yfjb7@v*@Rs9}It z1plfHSET-I?cHh|*hLqE^~WUGe@yZLYL+~krg+94Y_~#^*#LvEQFk~176mms=D<43 z^FDLyRwL>_p-M#AaOcSRm7>>;K_y?}A~a5-Dl{PWhEmQl?W*^hD{YWMn`JV@%{)m7 z(|g9cXdH5UX@IpieBLaQ=cnlc%}=5N-jRF_@;9>q_9E_6=-zU~G{LxMH!>Ax&tAMqFAk@WiLm@u=y$x+2zw`+fjmK3$4EyE zg=<;Mn49WkXnvy82>&NCnOnAQoOMNype5$I*RDLSqpiF1$k|&)wjZ3P$l#Yv4@R*; zb_03p=hm2ng&G?K<?;8qph(38anb zw;IjWK>c)?BJ;O#3(=gzzb3#YBPQRi^Z<)Lc$sUJ%#MsjQZXyQ#L4qqAZ!0KJlaBXbK{spKG4rH%ohw&ljPQsS3L9yef^$oWp}aK4OkUZ}oO8bdv|)j>1CY?|3jaXW?P8e|M`1xW#K7Dc`E z6EK}4ghm6@y30eKi+PH*MIoKVZ+GzYN^tS;)uPzd3+Y4JuSC3NauDFLSfDbh5b5TF zq%(DDr0of_rW>KZKY@O#9|1MPfVxu*t~Ub)M?cR`KJ2@T8D*@{ka~_!lF{dxv6{L9 zUCBaB_2>se0ajT2T>X8RDBda3AqPOJ_#2doJl}3tGJF&EZ@fm1rOs*+Ov%2l;%@{E8ivuz6yx*)q=gJlJgI{vR<|UR%ot7kaV*)XA9WV-28i<6`0&{W zgG`eQd$U-cfQ{6D-0@1pKcr04pg z2AS43>i_DiB+dAleG0F!v@IIb-Yk3;kz&7{{(LLpTI@qt>Ba$^~?=~D<-uiUN~CFEh_`m z`6q#+1R;y1+{;^IH!r>eh6LX5>RRyILLu)W>#z3btha?*ga-#69&N2}ebH}&R;=Lo zIMdy%J%n@$%&X`MNPn*Zf#zn4p0^AjDM_4ar0*m|VEsi4G0P)*Q-C$;Md__Y0iio0 zn4QJif*=l=8ZdJdE-^X?W|_-)L*VM3cO5Yy(%xAPL&SDNy--3)y* zNl(o$PQ4nFv;8;~Cq^(}B7uc(qqnN^QT_`!aCJ9nJU=O>(1F1KSxN%xw??w3f3%aq zVCU~T(M~4?hIzo^U+8A=R00*4xsjQkrV}(j3HGD}7%N!DaC#UmDc_ycOt4fu*dkvz z6S;W{dZcyXSYTn{?Zr5!Ljf!P8p-Vno*%s06)_B?z4cP1=}Ss{4Tiam_EN^u4b<1l zGUp|1CS^^~0St@%VIt`5$X!duXoj8ZB$ZyAV@!2;z+C~GkDrEVE>ohvS*Rsf4_8Eq z8%2HbbuJ*(=RANdoW|Ss0|VInaN32TU~(5|kfH=2hsbYJXZM>yvuZ;FT-iODkJbxh z2J(o{tyL+mva6sM%v6fSDEY~ZFM$m;&Wg&Wj!0V9%>n7DnZM{FOGJz*9f3JL+Doch^hQt)DZqed zchGE|Bma&*a^^O|8I0>ZyyS2GZ~fMTSn_I;`}H{fK1~PEISO|`=cqQ(LkDs|$y%_) zpCZ0UnzWKo|GDKsFO=89sO<;Ylz!=eS_hv1QFNg z=p;OkLZ;YobjSt|*g|>Ij}y_ebx64d2EIA1$|x{t*;h9;71daU+99q-X^o&&QFWYg zMvg?Y!CA9{xc?#O%~Ars+Dek0D%_}B0+jNaU$~ju)CTd`=z$~G8Y2W%6mya`PQRB} zU^%E=92>X>He}NY9a~d01lkPEOE||7s3C6yGT5qgOXLDPe4Sw~e-(OogIb>r0tSpm zBmfQtMv#C@kibTej(C$GNJp`4SI5KF2!arM%rPs_MK!rkVZjGJh*SuM7Z_du0WJa0 z9)BaSv;Cagfjt+1g7l|hTA;K!wGmL@65f=Yl6x?s-02xSX6lACnCCS$ryw|EP>#R8z9tl)F{qYcJ)ZjP zfZhC`8Db(FF#$FNnyduky#Lx7WXBC9Rt_XaF3RRBRm(Hk(Luk$wFOM{?8!l03s@7{kj{K$xI} zG&Mn}97yns{LaZj1^C?7w4evuUag2~&E%CuMzi`(35#I&YH&R<8DEN+TT(5TZGJ&-?k!7??kgCHR|e_HaFfaLu029bJM^ z$sy%Y=mUkJP31C4g^#K16G{>jz34h}$!P7kQ}|k@lisSyeCvHwhMwHFm?P;qc?#JS zHIUqG4a9BD2SH5l+6Wc5v_9iYMfgF4#KVjuFC+@SdrKyNN&RSjwg%OHyf}CqC&W*r zm}*9*Gu;`$dp?;}Eet}sxgb@8^jTkPu)KfF>ug&Sa&0b@Xl(aV zuT(z!C_vko`rLG(rbt2Jq5z8^DIO+7_uh&Op(@l*W@z_qY<-Y2HT5PNJP`Zl0`q#d zgi!5f9g~dHnSi6wECC;bpu>q;BB>Ny&lSxTcYs#pwT{X{n~(wnp|m%D+M&326ApQ| zCqg)Kxj%Y?z~ps?%7(w*FLN0#y?)=IwbE$)Q3;9owuRXOQ`un;vl*&gFy)k6GZ*2f z<%6dX>ay*Uhq}_=r43gE`(vrH^o%oy!N-I=kvMXhV^^Jcnex6XL2DsCOx;bcU~ znT%Bim93sbP+jhsVB5ezhgD)Mh0M^qHJohmYk{;3hxO9Mk@TJII4XMNUs_+AS#*MT zauDC{DZe%gV+Q^*i)P_c??=_7(wNWi67Rbv2w^DGO+&O>=oaeEh70J-$_tTnm%72x%?4D@^oDeM)N$Em|A#o5IOk= zF3X;MRJ>$N{-0bWTy)hEKnnNt%RR* z({3C!Z63KiO|JUz=eL9RGPLbb+@(;c@+A{kx2iZEl)7JU3()N<)m&3{`?}2uwCl=x z31OFvLl>uZd%QgADjw%EFJ~Xf37b_yInLj%BaN$n>PjWK zsO@4(!5JgWwt9fih-D;yIlyYQQ#2+~s7wlj{9(hUIG)=gxQNSXWV5)^eh!Iw0!|>V zc%1%Gzrgl|ie;JLgr?LiWaf2Bx5vgMTk5q0!pUGBj&k_12I3A&jF4^#luxEYk>up& zoa{Jw+%87v?4^`+r8IY?bn1Mi^lHlG{GoKHEv##rOC0IsZ-=RaK&bXLvIm@#lUDr>G};dmGUC z*|s&s7}8hup?E9ADzlH!a1&BzQgP)BNOt67DG}1%%ka;(vuU1o0wvJ3uRfd;5 zkD1ZZllHyF;m+tiTd_0xk6Rn+NRHEGm9e8|Fh{r&Ynm6*s`2Zun=9Xv{C&+qOPuD; zS3lI=-yWR#_n2QQC%brRD<(RuRt&s1+AB=93?w$zXQE#tk2}ujH8+{quo)&s&IS7% z!!99klce+fLFN#S`DC@*-K=PW^I5jVf7lPzzQo4dlp`ivVL4h%l!T(ZJTPPpoC~ei zMQk_SCC&fPjy>NoRp@CJwy}+|!5{pw$8x;Uf$7f4cJ~&`tnFWqNVVW_WA@`4U=}Q1 ze019saX-^u+YNF5v?|S&_>aESr6S%B;&IX(FY&=3QNt6#6}==+muDj?2EXcxlS4(F z7f3xi)sc?H+XNU2CGi-i4I1x3OijI-*|HlB zSnY?f4m-&Va10?oE6J%0ht=I0Q4$^%B5-qpquhL#Sn>mE$EuMwFkO(9g0W4o@vsy7 z)@l^lhM1|nVg)0J!1oSL?PD9vVxs0KMr-KC@RW~P7FV=w@%x<$GEW{_*bEm?>$|zy z8@UevuPK=Dc&D*r7Lb29IDW4kl^Nt#SjINpD;eJBOdxZ~cFpLH4x8}i*d$N@iJ?Q9 z#_|L_#}Zfd8R`6+pn}l>35pOSd12A5$y0W-Bx%oM%A}}WS8-#_r)K2Ymtf1+8cfaq zla}Ffw-|3NywS$O)9HIpjKt_;x|i#;Zwo~S^E$uz*=ddUPF8@gpNrID>X4xK0!ppP zX9FzG^tiM2aY;X>eYszAnN8?qvIllc?kb!3St+EqtuS^PA`QVVnu_i5i9SW}f~t$L zKRrThfPug(F-s9>)-iA~!MU4eCz8g{bKrubdEJ+d{CqlXI1vuL8)WM-64nR9kWevJ zWF}4@?Gf?BpjroHO1zXdk!Lm1ULMf zL#9<2F4;B6=j%HDC8c8gdHMZ=NW-_AjmzdARAgxM=;~JCv~ASsFZ@dBeP2lWQWr>w zD;{!ic^(=cUpCcMw;8#U?Ke9zN)r|%5)N-yYwJC(cb;39S2?I@=0;PhHoInS`#~&Z z>F8xGC^=(6O^PGZTw*ClrKsN>KmLIVIW%J zMF!hRng-vI=PwL+c&#@`eerUGr*W1fBWJDLfmsO*iW+t$Hl~-D{us&vJz`3|aMJ|0 z@DF+ocE<0CTq4+1Ac1EGv%{WQCByBNa|P4E=~~*lIom0a){*I63s+5man2fp1>X=> z(#X;BJwcP#v4Yf%-noeUF7Bg(a43w$+Ca^#X@<>AO|yF<4x|b)o0NT~)5X8CO#m0B z4z}W$cOokZTJqc!y(dF957^49bC!{Qc(qA%)zPDGz}sVv&8F!$;UdivVrMu>p^wPs z#wo4r{2u6;-#g5tGFA&M{h27YT@|wWoDq#Lfu4Vll3^}2Z~haKcfL1Q=az|@o2bU~ z-j|A!=K3R2Ff89g96wYe?;a6$nT~NM3GxOzlt&%E6_i>ba+@W43|DLu;j2L)(VkqVN?QUPVvAoZq~~*0cUEBXVGD{qtux*@F`DquMf3iL@r@6=4k7F zi@J-r2BIiNqP@cI6m|Gqo`rz|n?vB9(@nee+%n``I?=>8#yaxa9Huv<;v8}N5aG-k zuLmi}gKoHq;vd&*@Q_$|BIk0Dou85C{1#uc#a;C%(1Ed912hWcaVA72z6`y`twv7! ztF`MoBH(f+{|?QPAv9)$7>(B;e4;u|{;B4O5Hs)eBi!7zv56gagsOIbFXAlyheyak zAfn*w>z-RB_|}&nt3G9yRw&{x}-V`1CJ+RZMvI^+Z|cF!cLY7@=WA}w26#!-*L zNG^d8U9o35prXcPVr1jKts~JFux5gx#mJ`b4x&~_MH6uzDShL#nFOPJwp4|AB2Gy%*2UBKnT31KtzJ+~`%a$L8m2IfhNTtwF;g%eN6){1p3 zu^4ilmxFiya>^)^fRSUWwa`H`Wf3o~koIe?a%w&-Esn3$=+4|_!h1=|JI$OUofbDv z^c5y{Eg{ggR`7P{6QO}5$Bm1y!N(<04f9vYsJwc9S@0fNrWG~~n(bFuP^oWZ1`UYn zKNkdTx%bI9kei`Lf^D+GbvrF1k|(~Uq9R>;H>rpAQZl*{lTKr;G1EYE%=Tz}NGhc+ zYEmly3ZwBnZ2}J0&fBif+8V&Xbsh=U-UW?mY2b`qdKoS6ZSca80e68e%QXs?s8Ig} zh2Ec{vZ$pb>_xWG%aFr*ZyA!yba;_@JmYenoLHa&y*(t!@;tF#rq35VTiaPa%7AxJ z$?IXv*A|aq)0C1JC7h(?y>0iIL*1-&fq9kCWQM4`??Zw`<#&Nt<^-qEuCU%+$|32l z5v4Gv-6+4%+^KS6h}5a?&w0fX8+s=1jW&yakIY51#V_cdxdWb`YY!~^v%o6_E1pN@ zIGL*&AGDIOSR%79O?Uu@(JMjmSbp*ZPj9q zJ5}muSPy*tXp?KP;%?B=y#X;-hF$w>5SX`Rw)2y-Xom&umx`DIl%xe1pOi9Lc8rT| zrxh;Xjj#-f!dpgbTBZw}b!=g`_ffJ;3MoqVJf(fIZb$1!h*kcPbGhsMud|BL@bX?LKy8<&X3utJP}U``ewJO~L~7rOFGodCgv%|&g3!r$iy2PF34 z2Lxz8b{Pu!$2?YE(rtJOcbjtb((ET?Ek0uH_K;@}gKkjHgZ?&z>A+s2uvB`Y78{by zg%^SYd)_=6QR^pwc$mH#&!J=gyTLjOPU_$>b~ zJih8bczkvs03KhoNHkeKq=s0!50Bgc43#O6iB?Z>fH4F*6d4vt59pB`8SMX}5hvq+ zY4l%!{C|P?wl+@2HUMNk=Rail{~rMIg_(630d#vN=6_N5j6_V#|Mu?tcc#Bc{C^e) z`2L;wKf(6^B>rDo{(rLb0knKJwm+`?mzMuu=zIVzpN;8{Nfp0-Wl%EHH!`;|<>dv` z06)aU{P&dq5u?xcKVkI$D*FG#=yL%u`u{scUp)q}K9u>P10p4mc^UCAo5#?2~^x5mSRT~uxn)iFd zJIgMu8oo;G zxOS**Sz9aXkKf!V-_TvJY*2M=JUO1N#hjc!dsM%o9fHh{B>$C35YBMo!}6NaQV8ua z)s2B0Fmt!KJO3ODQu~CdXdG29T48Gvad@av!LR>;eNS4Y}CIhvKJV-a-2cZ8UGX*ao_?iz%OeOj^iR(RMH=M{MUVQy~~rk5uC zvzyTA@l(IdU1XF%WyV3zy|C(U*-s45naOn~UkqvzrbWSH#bMPuw-RNrS)}PnaqE6_ zGg(UG1_#`?4%c<~MJdGL^l`Qt8l}^DN+VljGTZm%a|9z8;AaqfpsV{)Aru?Z?>4EJ zHpCA99!VyV9@eW7*Ds*U{bj+tcH*OO)zq zT2z$3I&K>uo=Y7=h~G~*vmdAAD2aEmwx`Yq&vf+UH)Mq>9EjjC(*KvCuRVsI5yM)v z4nqr4Vcs^#Duu?+SgnT(%NtgXd#t+D(ibLo8uu`Ta-rrzi?_~k>!g*Kdefb9S`n>W#d^$>(3jJ>WLv;o_8CJ%~Yf z?LBk@R))xlY}^BUYQ2kBFdcJKaY#X|o|<=WL?zt^6#u^WW=cu(hoKK;bcZbPGU#6i zQh@JY=L=rIXG91bKfhuB2~QMv{N4%`Zl4kUtboo3DvCcM%d$T*(WNV15y zFVU#_S2+AcMSe~+0qNt?9X;e^@`I-6DGmz%;AZE0u-u4Jt1DxY|3v5uC}I3T=u18> zmNNoTq+Dr0@h~z$6P%+PFMR!j&^Novt_N{48t*Ala8v}M_&KYLvjo=HjeE=)pLUHv z7W+ftVIS(ZQGmH%onT9EB#Yh zE>4sD*g~csPJ%cl>dte?FU=bVp{xQHh|Vbg&Q?t7m^R|}2%?>PtRk6fUXOI>4}_>} z)6{Iq%|$b(x|bz{Li&9RzmDjd6T934_7@p4@DD5sVjz2xk2|7jItY@*NeQOdae9a` zdpNVCLdysqz_Q3m?My?tM@&&hAY(+aKx#q6po-8tgXR|?jN^%6$8$R_L^$Lu3cUh= z2dL`YHlZGagUtu@@Y+Q9vo%$AAEcVZlFeL5CyFwuP|h~a4no=IunbUZ)X-l;Jo}bz z%w?)krkMwf5illI0-&)2G&yAX@B}h(EX#ss=Pybd6xN#Zu1zmN|1$Jb01SPj|BazP z%Nu1ajYQP>+#0%@EvGLo^_ba#*K!6*PNgI11i=K5LLDDWTkHlg+QZJK2lQd~G5h zzTU>myyC{%`qD$!)3mv02utxFhJL6hoZ}vetw)|$a}sjsfsr)fE^Ca~8FNNpjtSI> z;mk!*`dR5Cdu?lDF!#X5=p#GN#wu9%*Oa($-UBs*Yv<-|I6CI#dt3gyXeE96&`mypJpLmh0=D^9{(FeNvWU#^9YEoA>d^auYB zh`#2<3#!xwdSDJ> zVQeK-{3|?S?r1xgw&5In^_)#n7!IU1f&J`m(Cp9q>mb8{AVZ6v!=%>G6#U|xEoWcw zTn&1{cn+#iWwX=m-srbeQBh=nK~qFQQ^?40LP6CS4WrUY)Em;`FAVN_miG~}9YyKG z7d&2-MipMuJd>q(Ns5Hk3Yozf(`v#pBL+!kq&peqXXng1IDfnmvgG@aK8Uetz6tzl z`*ebVYt}I?8B=N%k$ht~E-h1-%xH+g4oze)rjo&|`c+rBV_#A?>v9IG6}HdGlqSDR zl)D(LVlxQf=!>n0h5f_P2bvfa7bi7_Mq7v?iGGx3%as23ld42V=qH+ z_)YqRfxw>82^(*+(TR+;Y;mZ>KRJ<%B5GHDB0l*4*0iQoHsCXNFkR@oy*~v3f1oIYGM5{5QZvuY(+I ztoU@_6(<`ag~u$WKmbNxSPX?<*BR{f?e}bIt^P4iPu&o7$4Fdzhvr0w=et&o&*Vj; z>ZQtQg~yH2wN29rf-R=0sjKc>FvTbUqd%_q2ctg)!02+$Q8BWC?bO#$#YX`<6t}YI zKNS7wlb5%X5ZyT%lCEovU$z`s!84a(Q>X2;xe6m6Se?8er0`CYE0BS5JF61Bpe8?- z$hF5do#tr9By4iE*+Kq5^dV=Z*&6q!e!0{EAo?t70EoUbpJ!jIp}WZx0Gm86OSEcz zJ=3dImDA}V=!nx`2*X-(w}Cn+PlFBO|LWkQU$exD(!gn<RvrgMvr)fWCW$ zDts&T*rl7#KLmBAVk=t3?5X3N`1t_JS(k6uK@Dmnir2d}lwv?HY+#zsz|RZU@Lf9# zugaoYfq`lTig{^HQ85_DfBp*3RWw?I&kJj)}N1QUjr}+1oxKMJ^0Owza(x}V0vJnY42X|xnzW1d|(ZNSv zN--#>VaL^EItn#zZjB=!J=5cQZ-y|K6$9r|+rfgv!V%;FhxWp*m}l?RXRoxRRA?j` z4l&_!x)3&JzeebYuPxqGsB#ZJ9$h6exv>`4;ksq-%%Hw)%bg`jpe9L_%J%eBBnPDL zP__`gFrVo5u+DLP@%Bkvx46fohjDc_5Ub)=EdK6v)gZtHP6qTlFx*_N6PJ%oR(`MQ ztNrm7N55Ixe#esNsB|rrL=o(fOhDK4fG1yL0yurfv zwaI8&ut%i&Qv~?daI5>;WtnUD84R8qC!33)VZTk0d?Um2cLWtmpW*%td=?lHkUp_Q zbGjlDu;7LDBFXQW4kTPusNd_!vH@#5^NzhesJAH-HQv{Yr(b}9Un?i$VpR zSB0w{Ain}lM0oOLprHimzAK?Ip&9VuwGx7^Y}|3^X80o_*pk=WmvVk*JVOf*dZvVN zM{k0Xw45MW!dRv+ryHnArnZJS)UP03*a7+x#)*Nw*wDJ#=B1Jm<04UtibcX3Z0qil zw3j*J|1ln+aglc04;yO8=s*ji0Kl4myOQVo72j*Pp14hwizP0V3I(OR*xIZ7>C*&4 z^per5EE`nj?$b1ow(5Byel7GlN4JbGQb1LnYxVJz?GRbxI=@d6o*YQr|8&wulOXIzkeA+_Z^>*`kd&6hi2%xB`B*`d9&ccsUKW1|!q? zNpoC?QsPb)myMuD=~-SZ_FbOER&oOWJ>Uq94M;9*d6lO*6^%K%TvjB+3u3TFfr$DN zGFEwhc~^cX&Xu#qd>a=9QKoAaak%`U4evFb8Mn+wG1zJIwu&ek4#{bbAM%&ZOj8*2 zn>1;a^ed{bGHGCB0Jv6Zk0O>4*F{Jiu1L*{RB5=TwxY4leci62(28oruP+o|@Xx5V zNAIpH_HCiU(ETAZV~#wd=2MlLsaYAn`q$ij zkEB$3%H z#(SWhXw|}>N$z|m-4|Nk0FY&T`)wdb^J{$om|y_gV^RtqGsk4XEw#e4yh{p%v?B!- zh<5v*QLWIXfP+4JzhqEX@;vL3?6M3tRq73U9@VIMYg+VvFKoo;cs4Z!_6PY8A6(8y zxJMJ(e1suZcfvDKSnP6h(n8<_O;$RCg4SSiHK}L{Ux4dmMnAj$qJs6rzD0+|4+?x| z2y(Q~n{=2uk;z+yt8-6*XeL(BDdj^XD0ceQO4Pgw(s&-;b4Vz$3dFnequEg=?~7|x zU5c=~<0#PPDa30bjolu=np1{pS$?srZG=X?WAk<5CKZ-xp0Galj#}={rhha+CDpdR z&?@=0I^N9`7+6Pk$x<{%E1S(}vVo@SP`HPaS$QmN&0mGPSwYJJx=UOWa2*J?>vMKO znGIiYhmlS692;d22%ZZ?4{bB|88oTT<4uc_3L64CwCGAc`^dJ;pkR*K62T z=EeOJ^rcmREDX7B;~0qX(Pnv09VEs|HK(te5|OvD=x+rk1XG~H2C{vQ*6&M-7d*q9 z*j*_5IXEn3w{4He?pvU5A!0TG7?&LfEDwVn_G@o4`o+BQ$MC7l&;*Lm1*m?h{%F5b zI+>bEgqzm}MTVy$Bnbd3Qb425!<56y8RX)vR)q#7ZNJt0Ma5^_aSI4HSxy5K`V|VV zn8P)H&5l?wAomz*e;_5+4#3M)lTbV->{baBHnb^zC?E&bO(xR<+~pvm<&YCGGWafl zUI9E01ia-oW3h0gR~FaQ8L;~px(zlu@3?+{tf^4I)j0%|@3nARbX3;f#fqJ7!&IiB z;W>2#kzh8QK#oPByOX4pik~V64+rPc22=hA3l-5!zLukkpATL$SYNDR1Xog6#S3&$ zuU4A#$2}$A@eFLGm_1C%7!>=WVuyv@y6w{Y@OV?*pH==JsY`Agh}CP0ubQUAKlNO? zCZHC({p?X9-%;?2;^;$4P4@amdT+lEJRTXM`phO7#v|YW>G%xnNe1iq6b~5 zK}n!|lpwPp2DaQx3v>v5!ag$bcD!mz=w8S0Ui0i1;sw5h?r=O&)-O-=;C^r9=dN`jB6|fz`}0Pg=g+nGmu&U%rEo zr@o(&u2jgn=m3R$mn^RC{Z}j_2nCMvs~myF13_pU3KbNr%CxycE2qsce0`9=)LsU; z*rF$pqHrno{u@17^^mWUNR-=!c>m(3ZECI`wK{l=*d*BFZ};BuFyFPI2Vk@mdp?NO zVD7DVw(FKjnh?q5WyF5*f&!qp{b!RxcF3A=fgCQul2E#aNFZ(J;<=J`ZF1tR&hsla z@2fL#eA`NG{S_&N1uDz=lG`CM&*bc?Ok&^{RoIc&jJ29qoM{j0&JXA>ezMtxJiKmF zzl@KHg`@~VUgnw1i9P_ky<7e0=;_S*uBrP(+sy%kWzKS>OrDPJM-FpkCcgY= z74+J`Z2YQqDg~ z%MvnVEgFL4D+tl>Hw=Gv_i}i>c6G0t&1)vFuGq1#f0}S(aIl6w$1# z8fILB^9xVPgHRGZ4$E_Ym;5T2KqyGqqT8qp6eM!iB$LrL=Fa1H!>z4gG_&9h2_V>T zH)yAGrIK9H`DnyaWs?KvZIU;7Ksq6+Vx9hyDGi+jgq7w;He5S;dZr?j%_8mfL%S^y zob^{n<)gSO7>=od96~;2CvE>sidFE{S<P zl*?stse0cZKiYr29(~{fZfA1vPZE*vI-r$CX^BPR7>_Zed<3{Lmj}YRjOr6<@raW| zjP0)oSI)qhi8uV((l5x*tngNx{=Jl-sY*;?@PzgYUbRkPx{a~jFmJY$txiwIy#%>4 zH#2Bpo1oy7beVur=6?O=+}ZUPEs6`OXb@KBg;HV=3P{6?tfU5Z=RSZ5G~g6a8XJS2 z)CHrvvWoO{v)JeZj{lKKpKF~=Yp{Z@?9$D00KlD~qDN981 z(iuAgZ)hOvb_D2`czgQ@|K!cItX8+lYYp6a%o-yELo7l>y|am_w(}MpQOzZr0vH&@ zKw1`90wZS8UCVF@u8!OSQ8p;epg0jxd}nWxFv2|;zm}hhbtvb`B2OY$O3%;JQk*t} zDO*L;LU>ukL#YFy>El{`ki%*F>H+VE*FFyBj}$t4>T+=Y#*74#_0&DPGT#7;|GDW`_2i-ao}MO;_KsMD zrOOD0N%-_&K3QV@=X`X#a_}uE$-E|Qn5b-@_vMc+62I{4&TQ2rB4J4>>!XNB742Cx z6_@$?As(5XU)9%F_}_P4ti5G;8D6a7iPUG(a8yt0bWlGX%~g%j_Jo=D!U;X3 zh@X>iqe<5nheB#Tm(#G82fAD~mEqKlx{S`EZog_GyQ5sKq9c;#`>9D+(-QVtKk!VN zQ$;D9!Q;aREG%9;PVOvW?P+_m(28ioq|T;n4h(je9IX|Xr0W4 zN;^ZDJqgd4+?;Af8y9KAu%fQ3E=>7{goU#tMBnR}M4}<&VhDpG`G6Q*k{VhmvwY0@ zxS?(I3_3g8I2k^^PPo>k>h#y ze82^W_mO7>u>f}#UaxWGqAQo6uk5tmRjngqo)MIPi0C{g7=IK&tlZde)RiPhW0OTz zmr_u0B1lTrxuC0x(;E9!_y(^ZaucTuV5rS+P#IBV*Uq|?E zmRu&v5e!Tsd*zyz39Q9P;WV6CPsPI8@2AUc&#StJcXKp@6o0MpXuv)L0RM^C^*F=& z+S9x3ja;X#9)o7D|*%4Q2s(B{ys?n1^d^V zn${OdGXs!LOg{vm$iA6bRC{3kPE*s3GG97|Qjj=kkspN0f=a;o7BCVNb2nNCVXvY?uR*6=FUW5_d7b;0xf)`v{kx>&PBWtm=KqM>-A=R6VvO8lIg*@(!gOT^mN%jXK>lL$A{=&^9 zxfFdi{~p*wV98D+S)HVL5&7J=3MbhOnl^Pi**^fnMarEvYI-SMR&PZyA}!)N8&(+O zj&_26K*ev)vQ6m4nZ`CgKwSlk;C#vFNTX=v->A$imPoU^Y){mAYZ(Z;Qqc?rVv#V;erPYa$}2 z+&BcwmOP9NrdVr}D4uGa5X}~eC%rrdBcFBwy26F2Y8}F~&|;n`L-{txc|dC_TyK*p zj-V%#9cgs6ItK!>=V89=3x{fDJU5Z51rYCtDy;sL--Y=wmb}a& z+d5h5S+NEY4v13<4Y~-bwIJRI0dxZy_DFC_R&(&iEnH0z4x@HFHaA+M2mV&A3L-6q z3T4EPa*pL;BRM-|(L|KX^qZzuM8NOLZsO0LNEp$263E!U4vb3YpS#Y%Cv0U!Bg1&j zMWGdX?jFtsQP)+^l2y+R1<&g8rny}9UxBsT`!{)(G!P_p+g@#q--ksSZ8lrGePFtK zs<1Q(GC%mWH=3Psr%!)sxQYZr2mS90Tnw3Jmr2^QVQCZC^M{^%?Pw>!hNWh07Q_(P z2A7IHwXSvG!g(B4y)XB#Lt2@itm-#;M%b&eTwe;_rx$O~Q_RGFl_9oLr*!ws##~&& zWAhr5gVE15OdedF^6K#Qtso8z4{3|R5Gx@e`=Qq29Imw4vC|VP+rl1Bqm;zrq}}jkfvvFshHiBZ`(%hxzG}H8<`Pk9wxGe~qJxw+XAN zz3yvllX%?oYZfg>A8nY{3^;Luk6)k13^9!t5I0d3WV)XZ9hr2+m(3QLk`0FQSY6of zvj9mk!(pv`oi%VJx-_Xn>NcMeNCGl9SOZSEX_IU;SN){e9IX!lcycr_d00^A?hc;% z$eUTQb~%4-C+Bl?syrK099f%Bn~!dwKjsHu(RXW(g#yhK51FcO>n=m&uX4KAst@y8 zDy-U#{#9z}ONa9=%f`jS%dzQ5X{XV)4*q*a8ZbEX5Pf7pNr`|)J#cGqUgSx#EA`81 zkF?JYt(xQh?t9lqNp^MwaWtBySD4XFg0mC)t*^^#vscP|@n9lO0@LIzMrF8qM^F1LYWnr!C9yFRZG9M4eR)E@b2pUOHef5>7GOJvj z38&6ai$2G;Rx6{LwXVze?t{r4uHC$JrBGHj?)ux)Kln9#c71!vEY=LUM6fVxDGMpI zN;KGUbhUPs`uX=BD-!UDAgVh8ZPoEV0P0B-``ZGT%K!(TJ^YL`)`OP@KkJL_fYbZ^ zn^#%Cd{l3=&8(LIi?kyF@df#TTeZ(l3ZxBN3D5<*a{+81GoZeUuf$PbmV&McWM99w zEA+)}FhaLKQ2FS<6>vu2Bv|H=MvHhIOB<7@M(3YvrQOsOT)w)Q4=}kM^e3Roz#-u9 zKGtqLwo@%8(gxI8O@joKvH#p;zp0ktb&gKj!{2n|e?&!Ds3>0)QG5_HEL_2AT~MZW z7gppa-|nsu#s&^CT=+IWoB0a}6>fiVcK_J>=cFV$Jga2$m!+;%+zK z!u;gmgfo+c^EKTY#S_RRt(GpxZ5^6^0VvCyz8QVvEoqVe9Bcu5iQ*cPKw$oA!bW6B z%n}32oznAa@>a$0H!NfiH~K*k)H|Ub#u;_$z)}QMurU$mX*No8y}=m#$*qn-!Bi_m zQLIF$P%VKJP}d5-3V9FZdjIAaugxqoR3{X0`Hd?cmO0sUDvlJfsg)KU9GoyL?4%02 z^>kh?m6d}mojvPHwC2P?^4D-lPvJ5{q_~CB4Va70JQN?IcWoG)IJ;LV-b}mXhzyG#G}2q2*2uD5;9eX0SCPE zQ=||VMP(mgapT#3lc&<{7uv)lGill9Mk{+1E9knJpCt-_7~0i7jhD^aCTBKaa1<2v9~rM;Gh>VF|so@ z(Pp6g)>PkL|J!$6dJ#Jt0}I=K|6G?|)b_u}GqJPKG3e5ZSy(%pIMRz*8#tT%vp9M& z7h5A|3p-mC4|@{=26`D2TQg^K0yai^c}HUtM*>EAB?DWt|KU}YEj&%M8JXBwb^jN3 z)fWN_KmY?=`_&Uv&yFOX+ZcX@^ZOVwI98&T^gNMev=^#8yxiad3nr^rG$LEp9S*P+ z2nN8A-)ZnaZ58JK-YWlT6)YT`oCz3N|2=s|PL^*v$VC6wD$&auS}Hr+{P*06CqXn&%u>Qa#`jjHF->%_AeOTT~4k5)Hh(G?bz9YI z9o0fDY+l)1>-c%EhWt>3P@Hy2hP!c(y^RZ190z)8L9n_b;4g%US0B-lgKu^Uplz6+ z>A{^CKX0K@=0*UP9QpaTY%T__K0UZ{+y6i@0Fc~>>i?$=#PZ)8=)W8z^WPGWUiiNp zBkNx>kN*D~$N1L-l<1XAoa|g2jZB=r)t|7PwVk7~y@8R*Ur&@7{^o`M3=>xV2OIwN zYyFD~in=?CD?5Mhliwr7zej#s!GGo|{;z?5Kl>gi_Ps8DE$tu2$o3D^R8jeVp$M{fAorM-}{(>p%cCGKf9WOftd*(AFPS3@n7#? zj=v`TfAoqREX+**y>m*0U8-x_X^$ZKoYbs}-|yjE{|0IWBjJ=x7%l^5GzaK|hZ5C< zqM1uNTmJKsWoPH}b+)WPy6vY-wGp{4)&kb1LLfT7U+gJYO6%EdRO87~B zLYKu4YZWBBCA_V*sZGSJ?uh5y+H@)5rwqzrnhK|IW_h7Is$@B=flBB*VDazBav?)i zH*hY1X;TH&9Eq6AS9)lpD-HgDnHZ~OvR>!ptVMo))t0L!|MrTA=|~Iz_KL*cUa|4p zD+*sRv32J-5ABl`L_uK?;Tr$;S7tN0WN3pio*h3QEM&Ang<9T`Eq(wsjtpNU=wG8H z+^}9F438Y9Lh>b3Taj2TMCQLX=q!mqc1cR85noJdc@5zK9 ztzS=dlZ^ZJii=ZsY?M)r+c#c%H@a&+UN58HUa{}nE7r&DVSjtYWIsND5Q+$9g*ocC zA97GJPa_5`nRMKC4>K z4J`Y>Kxo!he(Z5B#NJTaDHL}Wypgz#f!xWokm4;eV7D$TBO~s$)gXXoLG=Rj7MQHQ zWKuDXhzDT3hB)AEB@S%mxecZ?IVMH(2y-oB_0W86)>K zZfaTl1uSt0%Gw=GuuUD!+2O#E-WXsc8N!jQVnk0VuB4Q9R~n26+|PcS$pdMNZmCtg zPYfXRbHzT@7BLv1MY>_s0h{{o9( zuKGksJnPismAZ}?=4rWoJXWBmqv=741;>Q|av~)aM*7GIpmrntg zdU0-0l9392ZnJ)a7sj>cw59PZ5F8>LkbvKBk`Zt}8%BgSpXhKu>t;rMI3s}y8eQ#8 zq*1KW%P0Xb(HIL7WPNZykX|Af%vdP|emN&}Uz_4cnG&=b;5ml0I4m$vck79H1K~wZ zv=d%8i&G#;nUU`Pw;1anilbuzu@uXGky59M1mt^b^;dyiHOX7XP2%BXc`N^<&}3FR zr&{-BB$-i!&31?LJ%Ig14Q)~h^^THtF^7ZT;hZk`R4wg{5;dou$V4UysR}-|!RGH~$7Omuz1N`OQ&o8W2U}qWFQ=#%0pmq*h zwo80*aMBbTX9YMn7%<`lPPN-&6Oz=>_)FFf-rcgS%~=HXEe}{7&M~PMUEonHow1pC zF&o}>g^S^sfS=>xm8fC>bjTvjw!esHo@8n6O15y<;l8N&rSPawCv0GdZ@`% z>XrWWAA#?1ER;53&oClR^+gxJ$c@xbiWHe|s--s4)}f}FBAo0~YO48H0rM>dKJE(b z>BvUj3d4JXnBsgCS+>S|cvg!6OlQd*-G*aX{>ZM-(L1nZNLGDe!hK-}P|*k7C7>du zb#p_H&V7_syRG7&CH<3lA^t|e{zlQ%93C~htHe-nW`T%e0WINkNUF<zASg7>xipw4Y>BUX{^ zfbr{7EJ_Et6UernsrFipd|CFBvGy{uEFKuz{bMmm(RAF9Be-ey`^oNndxv$9BX-Eq zoJ4zt>Gt!n_VcTO0lo;*$vpG%D%`>I2HreBN2G9+0=6NR1w7$%*0r#FNOKP3<;y4> z)F7gABTcLXkAoCOipj#P7uPs()lba$Wh39SM)4Po|KOJ0Umq|s9KI*!G09({QQq|w zmQ;)J+O6}M5GbwVl(6u2md_`Lk95z=ZRFA0lO_)g8Vf}Q`2IlG`o5I>$^>tiPD(_4 zxj%0P%>IX(5SG}nfWeknAmUzxU%#*V8AXhQA=52Oqn~ElBHg!GZ2U(o^8Y0k`4KVN zEH9M#<>k=-5sML^i$8SaDddHQ^GmfQ2%j`*ugR3ZQ6*oVeJG-&nFDKEYIio`%h_0D zw@=pFc3D}2!?Ww%fH4+p^8_IP&XOUe0(jGF%f0tFaE6{~p1kF|1FI8noo6cMf)}gm z&Q$0@$p&U0Ust-tr6!{rtf|&3h~C?%?hXyvPA8vo<<8i^@$NqM%6iKD4= zDcwo=m5ix(xvs37>}viBX!+zK*Z%bF*$2wlys{>T>XyLAjkmXw9X36_%Eix(C(i~? zg$K}?ZJq9puAa@!>YJsBl#-fLA^#bOpOr->h+*~!PUwo~co1?xH;!Vm>yHnS=kJft z(d6e|SiT3mDm#^?Xi_}|e#P*PzG{>oM!(MiA{Q69tces_sm_kcq~op)|mBM$NbsVHQl|2 zH8b76M5}f8k|=D-F~FL{TgF@c^P|ZS=_0QepK12(DJ{^(=~zgDW?c3z>k`Cp@)vWC zfQqF~DMOK-m=DRV`|-zgz@+{-=N|Z(FS6}Ts&&?AkcXu%voU_NvhX(B$2H7rY0RgLHh~i5c!^ z-g>(OHpKbtl`4cqYocX^0aO0T|W#-WAJH?Q#m!H=?awU9&49DsaYYvmHK+bAzyzdz4HK(zD z$7(R+-1E85w4Id8UxW=GPVI4ecPjM7|0KUTL6%*4=3Vu*QIM@~vr%^)UzfUU2yw*W z-8_ajZjKK@#Y0p>lbY70^zcT_*BcE_edlq|q_|f?D(3SBH|?v)5u3&?;Ms6L1U<>n zJWAD?uKN(UBl`aTZ)**RRzI<2ZJfhIZ{TI zK5;r3KnE>pJFcn4>%lfthyUo6;?35#>viE0BK z^R(({KN8+d5#u=0s&86c|67Si*5uR(6HA;3F|*?0Iyl8-U2!Dt{%A zx*N)~?v&@(*+5q8i^12?FUjEYy5?##KDanLi~TM@#cAE9L8zOk5Uz#-fwumEQFnwUJ% zDAvGc_^uPIM!-i7dm+QOViKm)R-0Q4a>uB<o9dmdk><9cu&lOApFj71z4}-OO2x zS-okL`|lu~%oN522dL)~&VzRf4r}g~H~av&6MtKtv(ab|MnKbAMrn;GU@l3xF_qbh zOjKMSiW!kn4q6nWt6PxKo?%(UK!q%{st+l$R)+KlDg-xJWXP3NFl_v2nhb0?&u0xa ztmhm19OY8elE&aVGpUt@N2jC;_aWRkaK}1XdiatVZF<;woj}GS+ZCFI_dqiO%~hy2 zn#hf*Ic$xcaMyxh>3p60e1t15t(VTbBBZREMJF`L%FLG19s;Q2n^9pOW0bslREBpe ztKAO+&Pv?{qFX5v6*X!++5a3|)o`vQP4T$C{u%?*wFO#^R<=U=%<; zT@BTit>|d^=#fiU2nogVpH=^9j?_t?+%=ndx3#<^C}@=qVe)D3)nTd?=hC}C86cyl$9{c*D0cnVddcB^I)Gby?BeQ zn^b^yz~89-i&F|C)`dp*e$tKzWmhk^a3v_RM~k#KmdA~y1HXGB0Nhl2wl^AbWgBuq z(-eSZ{YRUkUAyd{h959^aMZ zv{N=&i`WH?$5om33(X(M$upH8>%xTx>~8GF7dnq**a-g+zAw*yEAL~-bO5|yajfh< z5f)t-37?`Umyg?oY*r=_5hteyPN60*(J> z3KZWD7EF;QkGvbGZxnR-q0)WQ@}PRZ#9w}OAI-2aFTkuZ7~Q}+UiRdSM3msyExc)} zrsl$ZdAM!A8W2Th`wmd<8!pxr5)4ek{?)JA`mASJtPzn=+t7QaMfTo1iN!3wr^8K$K#} z(e{y^=gZ#yqza&q)hZ;7B@_>c29VHzjn}V1Cu%;FLJb|C8Z|HaZ5Wg=+@l?_#U4in zlRQOud*Ztj>xQr6gWRdsVIj8> z@l#arB)Fnc*zQ*#?^b9_{FZ-eWDwgVizqX(Vwe)VKQkjBp5<5!u<=Oga~`KPl<5W( zCC!(SWa*f8F}c>2e6^Qc5K*gM@M7&~m6KU7$10VnUJwebR4=(ZqQ-bfdqt`p$aafg zzc>;mdq&EpJoQSBP!GP{{4{LPP7&>%h3+m|e)^O^5IymfDf_}gO6Ibd)Num?^k4uDfDrLXKFQb9GcG&DQgmW)UY zc}+uN+)on+RY-TlcWXnKPsMTN!CMI!0xQeMqaB8VsU4jVgRBf3uw z=Jxd4njB*z;th} zIrQelMRneQF|!SE2p0n7!5(q;8r*$3^76>saxbx<;3c8>sdw5h&gNs^2HW$Rc>MV8&WN+3yH*|YE>P;Hp7DzXL%%{sSD=qx9w?Z|bXd|Pgna)w5lLK!y zbgP!5^aJ&bBIjr|~@brLgo#;MSiRk<$5&?se~+@`fDf#vRskR_ug5inP;Mgr+=D8E=x z^eYd^4^RcpeyZNXEyT5PS{A;RS>j11X+geNKWaLsBB<(Gh>Y)Rfr5G%?17mk0`CA% zb40_kE$k6~F0lUbB&QZ9ByP`%1Dhb^P;e?Gn-(c^>t4SwZ4-e$aE>hdbmI<1Lj|q?s{C%c9&P zab6qYJ1}N$u3${dIxYB|a#3IICbsztG(H~m$@Bfd8FIliziy)iGq)RNWyXrdd(@Ge~bs1?>iOF7zAqYZ*&eGqgHD&TGSkyNUAFm)$o+ zXD#XdY<+u4&y=T;f<(Nb#v@@fN%s&nr&vup%wwnV#X-BWE8AYacsC4;h|r+HQjvvK zHLtw1;>W>PD*Mml+KsIvl$b5qYP`05>eJ$_a2Uch`nGQ~MsESli=W-tvcS1EMoztn zcaksg)FIE)>m5MCWogQzHDA*O+k zHzY@M12BI+A|GSLN0$V}qOPd_*0ae*(T2RIJ8-~KFf}p+` z7%wT_%QyusaLOtSK2HYRv+e>a9$t|vGHEk>`;nPeA`{8a^j7kUz@+7~)~MVL?xZi2 z=H|=YT-9Xt_=68{TKbVq&lrxLIyg9 zxtgY=xC~=0=*`9Og*J~~t{DQm$83Uc{VO4u2i`=PB3@%$5yyNm8)W#E*h=wB?VAnb z0p*oNSr_g`cW~$45a4%W80C}UJy&azt?d{r5Yb^Q5L=p3njmgLFs}HoU(?Nl>Q_P< zv+fj8pP4?V8zlhQ#;aAC5JsH)jSOVR~b7*^y zrvkhaD-wPZ<_Oxi}?0hXEdA&MxJHmB1wOtgHg^J-Q z<>VQs6b6V>cvvB>vM_VWhcQ-utY9$7iBm-@oRAmCrvB8lTaLNda=e0+LXQk6iVko- zGTi;z;=zSoOMNpZ`G`-!3Q^jR^=|Zh>#b^rQVPst)-QcRtqa@2R2`h*B#X1Gt_QQQ zi$UR|L(VB}p$6QW77f7FD_Z_>UE{hv`{!|!8%rw8-+3zsrl`OmZcR`(tXP7bA)ouU zPCxRgtrCun*E9-@sqh`1d)Oasp{?D!`nIMiaP1_-Xqy@lL8=L-S%jp-U!9*+p1@Sg3G0(l- zhVfHoV?KfP7<8dsoVv-gI;~V(A{Q)?Vj$b%><-Jr4_9{7stPrH%G9maTIRxq@*lzy zX1!0XCbtc-nl+ko*Ce%6an>d}*0+0Rj>xPdlsm55EfL82*=clsN~)>|j!RMZquDee zhKd>FZJP*W;hcDuJ_P!xOVr)C<;c@n?5#F00T`uo_c{Eprmd9O+%wa0MbqCt*w81y-n9-5Io^Wn_W!&`h z!GAFPI6Z54inm)soTASXXEu+wxjiJ|KHAiO2Oik#i@uT7HY2!R^UT0k`laMVl|h2o zo;%)XZ^P|B*;dy9-Pl}%UwHj#b8(~RSJKlsOQzNGU_ncEPdvZ>B@+S=T4NG0t*Vin z=GGe;Y$e|@^>eATMvjAuCg;Z%^8=W*6z)^UaJSE%v?rP0CSzOj4e~ON6a=tMRW9jh z{o0n*fi*LFGfwlHi3(0?&>sO&Ob<7c0h@O~*3%vjK~ntjfB z3BzW@Ogj?F$7pX;MNC_qpeM%v!dL6+r6Q&QaF3?P+tg9jqU> z+ot24jun}^A3nD~M17b!kn&U9#^65V7t0^q1VK|zeg+0HScb}3$6TmOLkw*R@&H|? zHJx)nC>jAbCSB|MvuAtO;+ND7xlKA3Iz!$^BavWSAELjsR&Ac@vGozTOh9o&r3Cu}|1g!U_S~KJ%MPAX;(z7ra zPtbEXn+=D8>zB1Hb*87~J*(<|oEc2xD@OM+KTJwi=fp$x@VdRxq{V*v(T|E;~ zPGe16JEW(QKI&A4M`Woy#aiKPQ5vw=U<7K8{!{osnhyU|qA^2f?t@%dhaWdApQ@3@ zqAKIo>&1|-G?c7hs$iJFIlXV5_QWB7q`w>*&Kd6(*m=4B?9Wh)#Z%OmTmB=uN(NI> zpY%Mr!p*`F+s6=H9kZ*&HY z5HNCCo88m5A&%MW3oON{35mea3&5My0XAv(2PSr#;5FWy0Q#7V>ka6OvN<Q2rU=H4^)JBe_buT__E;?p*02KNz0J)UWm^!i=pF%@{AAUCJ7mw~%{ z0pit4FY7q=21UZ3D_BLcyXQ;Bz``c>&?PI)@XY|Ci%AoY^z0QdVDN6#(PKhfuRLlI zfs@`Lm=uqb6TWnrdg*Pp*LNKFj!NBmexH*&fem$2)cBR>xypM{itQ*Ssn{8FLjc67 zKX1}FV$vz-<7M5S2`8ldnVO`oDvL|!PB`pPR)Xx+IrVx78Z&2JZihi>!&Ug~9*hXaxhu@6Z?l z3nwSb|F4YZ{{UzH%fS3y0Apfi|F@N4`FqX&*(@q5-*SeT^=~=zKU*2r|H;bywdnt& zm0@S&_}{FIv>moMQul-UV;qnD>dnZoRquBWH^6Csu;&HL$csG(!(XlTu{Ae%>%XTy404S(h2+_|pvci}c|bhK?x zsd7$xVw6<1bKYyE5RL9Ph}`lxfSKZc`}BGEC~4CAwDETB?pBTcbfR+uU=*%u!{X`gQa$ip(iFFyP zsXdz}TE0gSN5bV#p?9246=0@4qiprZMMim zqlW~dlb~9HPhk#=###jO-K(}N9U1@J4&eV9_d5M6?&bYg+)MU&$dLRS%Miq&)%)~O zW-yti>Ugr5o46P*r?NqTUbrP#cmrY=5V3|jxK50+XoLM7_o^E)vW3DO$m?ZrR%S6+pQvTMH4NPcQPYwAe?lm&-cid~|se8Jk-us`p*Ylr50)7F$4&xCSHY%I1I)J?=qNdb+|nAU|Ijf zy*7B}=&_1RLDM-`6KNx2|41Y^{KVj*DDttV@J(eZ2@ep}NKZZB657cGK|5YvBKG~h zg0wFsPJsJ7K+9ov>g=go}S#7oVYP77Gba*>!G3G3pT@;cjnV95Gkjm(gxDE?W>LzHRS+&S#oQgXRv*I!w z*|jh9xL^i(xxpv1a`rGcp_go5GFTg}Y(al750q)wJ-zG@9&ayR$08OE3CEH>9c!J& z_a5tCR)&iyr7Y5oeik&{wg@C$4cY+@;#T1(J)@&Ip+U%7beocdQ3!Nf5E>~ap|89p zR|*NyKGXpT2!VVb9v*1JkihXb4l3}PwNdZoh_9MvdvmKvEUUsAb^uH`-hu>KFANZ* zuP6o+K@x#a<}n?>f(&Mw9IY*Qz5x?4D~$8qYI4!Q^qGeM?*&y@hpLP8cPQNT|2mUtFZO7Dt>BjbhOoU zHRvcC!;~dMqo!(DiVz2LI>xbgDRgg+Lx?yr6~{Paju5-1P4&evgFG=>cqz+1&w6F7 zZEpzS8r>XuWnA6Z`0w(hWdDzKFQ&iiUK9V1b+6{X>t28UUHAHcHo@`8b8fE-@~Tus zA20l_djU(sLCImD;qb%!ZDqXw?^cE}=IqX2S*yRRUrVNY1VZjvfO=teSMsshTy;Q!v)O2%4`EM%& z|7~Rg0uSJ1I2 zU=Dg>!ly)11r7Fa2BM#W6fo|I$xNb1$o(~Wh-fBC8iEar&ec#He7boZ{*=rME4n22at665u#cW++H ztm3PlSvuQ4b!}+tQoBJD7jMy9Ec?6eC2RKYy4Q-P(EHZ@;lsz&&G#Lh@9v*n8~)P) zz62JMkNCDOIXOlma)2@9Uv)1tX3P0vJyVsHl9ZLt{2z#b(d-J|4cVF$zx^P(w#R_5 ziY{aB9(Wm)#9t~2F@7TL$n9e?Yz(oTIlr5Vb@eA6f~}j-B2@5}H8W4CD4V%fS$b7y z$*^WU$v$3LPvbe%(a$)yd(1AL`w28}?88<*y}vFwr7PtnL2M}&IG?IuD%;zu^amnQ z2zQW}u~@#xZ8kL6V}<-*~gfuA27~H7`bu@%=p?RcC%S6B4q5=L$?n8Y$SA^w8aHqbK7(9>4?-pZwnC zdsmQ5FQ@67^*%hm8z6P*4V&@Znno}#q-PRJe!mzdRIn^Uf*qI4;_`Rzk=V*oAT5wo z%tcd;Bp7PgPi(S9_S`yo+!Y92MWEZRJ)8{#qtj_ad}3!8aau~&e?C$tB5Fb9ywSpM z{9M}{*<|d-6iQzIx#J1yruwr(`)A@+rInY%N6giyMW+ZHffrBK@8ul4z_3x1mU=w1 z=jA_s;EYa=pPdOX$6mt~BlD7#L=m9yaWpzk^x80&C}g}q-me!=z1t$#wqn`({QxGj z_g+;?tUJ4k*T0tn7WgpNUxs~~U{81hmtE2`o~z{~VKHrWyY_&+FMZXlDpOa|P4;q1 znqAK>$I*GGpU{4>szrL~82noKn$fWyx5gHKP(7Ly`kvHs{ZZz9GZvi{o>@w6nn2w; zHV92#P9;Dk!Eo8VeU*LH3Yc3;Tmg=VF;P@PTQZ&34QLyHy|?gObw1ln?caEPOYyt@ z%5$aW9n0C%wT4ELOnE9n#BdsbY(%wSb2D$Xem)5wD%8)aQz7E|qmrES)tF9vf2~#1 zc3{r0*bu6&a0PHlb$%{!2u2@t*9exJ9~ZO|Ja!Di;l}NRrIkXzOz$y$SB%tFcS8~U zCy0K7`!VY!x}m-a70MHo3+j)_onLWSeQ|6<#|B>2ey1>S`a(g(k+)uFvhZfA!E9{R zGxyv6cT-s?Sg!SCOO5F=h9QGW$Y#FkAYTi^5KmUgc5dM%)@#_CQg$HVN6m;FR$v9F zuXTmn-b#wHX2v=NJZ2n>w)px{kMoB%TUfk@(K$d2OZ@tDBYWcTP${@5I+8HbnSPSH z?<^lPdgo%T+lYGYmU;9B8^;4^cs1>X3m#^E6A3}(j6Wz@*nA;NX$Xo>Si))xkBAST z%tHs(Lh4O6FM5}w?2TS41J4E9P>@W+C2Sak!^STV=~SN2ZCw9oro!8_pZwu03ECI+=nVVp=S*hKnNt8#%)F z0nvx%k5Q0>UofqPb~b|*H55MVfChmIt0-4TjWsbr$`@;wAgzV9}69ym!+qY-S7W)PJ+{M{8}lRj%*$ zHt0|#8bk2V*p0H>{fV1Sa8Cz!)dRs2Spz1zhR)!l+05jyE<^Y}3$eQvib&4&K$NRU z4+^Soei)axzKfTjgoor+dg4cv=}M$E*lL-@+#9&|L}S-MKxa!RAvsbkS;}pudn~Yj zd4)NWe?UGf19mc?7*F|oU#F9VfW^G&F=#m@OCbR;3e@Yw?!xGrA4oz>Zn9xH( zQnLjC$=Jj@v8`KMIx_%XwU`b7P5jQC_bey`5W!s8h$4_#&5K4oOm5{BzHmX{rdr^N z$bX3$27j}93_Y|2FK9uH7jMapRG)d!2udLY!j}m}q*!1oM0%xyVCvC^Y!ogYLL;Ox z#zt$UG=CQ!9HN%jw0sr?_pZsu@cIi8v6KK{&*u0hTx?wVX!3N1bfn+hnMpC6#`z?m z4Wur=8u6^W1+8{x@inqFpqiuF1C}Or74ntV)z_$iCG)(v&mCwv3bSh-$FJEOmaeL$ z#>EJ*vkx9PVDD#w_KT8^=lP$PlYZz{pv4lz%D{c^4{`)9rzY?42N3U71OdB@c<3~E zw}UFpL0~X~0}R_S$U@`HUK?MQ zmEQ>(FU@)M12n;5k;B7LN0;(B#-h`Zit5-?gcCxzM@C(FQNFMfoDU(O-J5p;lE!Fl z2N{iY=z?&y83Z|rS%I@m3`E3UeHq!3AC<#({Ab6e2q!IVXmIt!J!g`Jq>4L@(kMvN&(e4G#&UygWw8}bq7PB4Wl!>s!! zSg!yEjxd0{oWNFv#J)0rB(8ig_UADM#FJfI6E@~uJdoZ;{t@o+Qnh$Iar6=d`hqV* z7@-)sBZNChL``Y@K5a>J(m(yM4Iv?4C;EL$Yxh_O8j>g(<|xpixq!mhKTeso>4TvF zqF4hdvNZ^dK?aw_uTxKT2#Lir*%!BdS?h8s49MD%*~3IKRZkM&{lK=|ya z1mTgn{z@_=dD+}@q^PIG&P|Q-+(v)29?lE(PRP!Y!~`C^OP!NN-FX0rC2VgPqEVLE z%(d%+JL)X0^+5UrAq1MoCug$EuF2{UOQ(QX#wTGDzSNYsq}Ra)9JL#SxZNJe@YdY0 z;&rkPd=C*Qa?vV|KM?@J{TaJYVq1bFu8?m!URBebG>4C2)*LPpnHZ%la7a3eT9yux zDp@Uooo!ZY+EsI8M}P29scTqQj-KE}Q!9UJWbAdOf6|Ty{SseM@W3UFk;Xcl-<%q` z?VdxTt43<5rS(~Y@BrudMnBf-06;^SQGw0nkg;wPY_S@P_+10pV1s+e=Z1vAm)E2` zWiuEo=O+EJmO*mT5~$??W?}76m}+CO8RyOKCaiknuoV$XBs|(nIDM*)*IFl_7yM%# z+|HWinTD5#wNvw=TKqCY=ATK&vkuz(`t~$zkY4dIkyrE=!vPDO=R1-gO6o#a56i{t z^cp-bp(%=zaGrKfm5wvgkC?NQmeRsO-p>m1kLE%={(0k!($Jp{&L z*%nmdLiaUL=2VI*ye;ihU(ayf4%0xpnYIkA*!VEjZ0uTBvgcnDUT zb-N5HG4a#Qh&pH6h(Tv8@n$p4B$0jLv@La{O-1+70rpi;t0l_8^>fS10?z3OK%!;EV%A{JxXR?l4CO9Ff;kCONb`QY`XPhpzRyS1KQspGAB4NgW*?sHbys_^eSLU-Q!+Zy9Sm zTla4C3qU)Cv`e^(H{bQ+PKhjV#yTvTHef_NEKV_ zJ1KtmY5Zh2r=2!QcwZNh*{(`Ac^+b55i`p>kY60D05h0bsQFd7vX|XI+ zH1Oa~*Hms8#osZ4X(0g$woMzf&wnM)|IPC@e`53d^W~Hppsf2v)Rf*Kq>XNAPS@0y z8xe{GZM)k;xZZc`fY4=20m2@oj+*gQ@;qK^WHf*nqb7?pWElO&lQ?9(1iCo0$35?Tc|5W#>9tZHGe9VFu}fY&a4A)a*TsD%ydV4$o^-+*HZ zfTECG`ULmX!gthQAJ48!uZ zE{SXODn((raQkl<9;HPXV$s$aPwD8G``L1>m!@viE!a|PbNttT$ zP=7oywtin)!hSH3=g0t?>IQJNw(@U<{o$H}u|HHXkJ&EoK4%qsofo_+QT+S)5KAgh?&ctSKpD!B=wij`bdMwz(sAHNV-)eG;Ib*<2BZe=5OU&D2@#bI)m4TbXauqb9Ugfl9Gd8bmH!V`#^Uy{Yy3XqCba6>+`=0q}W*pBcij!QY zpzoBpU`jIrD5!_k+Sxr-U6M4q16`gE8%;*v+cx%Rp5s|x~r4LX7#a_wVfjJakk!0qfouxeKXAt@-enowF9>BOTgIA-f_!_XiW-hhVE zU@Y3zMMN2lG=>FdJ5L1Ki5Q219+w8y9W>)op8yhWJ4)BG54Cd>D=T07LDV%cRY$vr zj;VTe;bZp6(hDH0AX279%c+5!T892~UHzX-S}m^Di1ZHDOYc=|-$T)_XC$&MyO5UZ zh?-FbEx)O&puNI&d$8>Dz(u+2mMjemJjAk~i1Vwl_-V-YU7*f)cPty+&o+Hj^3*+_ zdFr1|kUjXgAZL9^FLPu2^lj?K?!baozMoBa1$E*V(8+DI&cZ{!eliWpiyX)Sw@nXq zPGuy2pP^_qOZb#-`PCN|rS(#<5!CFCySGeSE$taSBIQooyxcyR31N_h6Zs{~ugAXc zcmI5Vjm=AFV(TlV%2hP1HjL@oG>O+b^I5h?U$5D950--+-y=}h0!LlFt~DY{39X|( zOqn5Hul3Rby178~%kcFXQ|u0$hzHr4Lr94YI30^gagRvIHV#0ecrc=x!xs!|4? zfN^MReH_E?lqA%&GnI<4S{_$y9`m>8zO}_|oE^+1lJjUeZ9jO^rNVM%Kw_Or$ z)w*I{<)m`N*;@}bWX*kL!24KqRQg;QQv(c zCYeHYw41wnL>ElryI6~{S0|v#321$WvlDI-z7m5wdKkP^EEN4Es?+JsPoj<+JPZ5o z09gI3W)f8kJ%qq8DMF&N1mcEf8U5~I4?e)M{`%{i(m9crV?=FB0vFDa@O zsMn;9vqfnrQg*9B2QpwV&!OHzpX(dit zbB0nB1#oDV&E%n9Bwb%NBB?j&HRq4=)#oqOVhnf+*-oUCH$1^+sX?-{+9ctr==_+4 zVwRl)6nGYb-W?0rH-vzNAWhxh>Xc?HI=aCVFflXK(696~MK>^^=~DCyin=e}nG z4#T$xj_Lz4KZ5e{nU-e7HJ3(-+r)F6aa6t~@+%bkM5N%b;tN{OvFZ>x-Fecm^bsTU zh+RR(m20iyA*k;Wf4S16nY`fUeMObN-V9s`rfwzB1Yk~m9*)cXB_4ZS z%!ZDq|5A^vt%!I~ix=YBn|4*azMSJEzu}f*)q4n6qYkQ?HovTTF|kZRjRSEK`^&Q1 zsE>nqyeqUI@v&Jw;2zKIm;3f?me;XFCvC;i6XxMjHFpBt3STIqu{f&m{jb?ZQz;z%5 zfFOA7C^QTPk+3u1uD?E(eTN0Xg)W^qKhzFlAH$XB=d~3d7wc1K@t)h8&nI=bJ)690 zw|}!XuVXuRYH@qX*(Rf-!|N_I%6gB9kf^@Nd8rU2cM&JWUv=6CKWTfv8Gk+Yt5E-1 zskUv3v1r{ZSw44de}D9Ow)>sU+wJCFX7ZH@I_>X8H)fVUcG~rlkJnt^UE#Tw>xpYs zOF%CD#lgjaub_wa6{MtYSS6p)zIt~jQ86icaa|z$(x_7wgN;-I6OkA5pDP?@-zyxy zuT8QbL393D;Rwl@{96tCdxax*(n<}J1ve9C;9Ct_pV*r6DgI@uCLvH!w8|_AOT{c( zd9PnHwO%S)HNNuVvxFK!i)pO{5atwn2`#glSlfTVP{U7Ifm}b-pp2omogsA$y@G+7 z#vfTvM6_U3r@U4c4e5+xn5r2gR z-D!|yZELCU>a%~TVVAEw6j6;^_@dt{9GJg4J@*PwK6Z!-a$ZJm&|yKp)v#XxA#4$h zbl)o+n-fs;Zw@QeCF6h%I`j}AMS{`#y~L8+oXZA+WP#2N_UVJIk?g8gJhiD^Dm#d3 z(&xBM>iI9g!0=6tJsBhIai370DQscp+%s@0ggE0PgfrCjfDX9a`_pcr)iB>H9QEHT z9C=uL$R%V!q4vOf^fJH`7X1WBAT@4Sgvr2Jd)1TzI4NXyi$fSO>eGHx*l;WPB;7IU zTY8U(WcgBT2A$TK0ZeZN9_Ozqu~ZW??sq6G27rS;4u2Sxk^&!Dq(K~c#zq4V0(Ii=WY6_FzY?!&Y^-uw}2tuLlj%he?pmwQo zTKZpQfwE^JJvjygL}xKn#tM8ke1J>zv|m4x_xB3NHyifw&4zi6WeZDe#~)Ks3Wh73 zf`@>8uW&g3y~2U>jOcMpTwBweoekhbp9I?_1+q4avydJja|L2nzPAB&nlkpslLf<9 zQOu1x5I8k8F!U+>r)3Hb1T`{~+mr?%$DN7(f*BiyFY@Z?PuL5fJ%p&jXeARaV8{ji z2JcybxX1+;0Re<-tOZ&oG6>mV3LUwxBa-xPGudh>qY}n*cQwM`ah@j4PjZde+Dw8l z)UwF9lmQD6a&B~*b(N&IpA{EECY5!RGA~dnOch%snWpb8YWgF+#C|bi#F%*Mg|Y#{ zfoLOqJLbg-ylz374n_Q=Vs-U+|BP_pV9H6v^2*$!e2;KQQI()I1J}}}g`t79 zxkXx^I{i4qskqNBVUqGIEZ$e!eG}~xNWQcCu~4Kz7k9>Wb`Z$1j>?1JriA1LGaXvH zIIp2cQeYglj>DB*MU4CtB*sR|gUzpnX-OV(ZSk}$TAr&#|K|AQFEwnp3>kM+!~tcz zFESVm{>&&>u%gr$cQR(2ANRmGXjr>8HsSaq@1L$9Oy;kyU=uI(Ya1B;Z#8V>;V(6; zKlsm|i`+d16Y#}<)G)_?Q^N*z99Mv|IYwcD?HjX}erG{ZiP_zD|t6hi`fpa{{xA|KQLk&GLkfPmh2o=5hOAR}MNH?5w`|b)h zJTow_zc)DSAMQFQ;hz8A;K(J6VNX``;(KwRtGhqO7hglr4{G|Pfrvn)&{1} zjP&Z%^LH)Mff8oehHA79f?cJGFgq@OEw^COA@}Ay3xO`GV5VRCZVG4;?e~J_PKVI4 zYe}`q6KopKS-IS2?E3WkZ$l`Q4XoMh&DiWU`t7-%8v-GiS=&;(Hti%V*{;O<%jg^j z@^(|dU-wutJQi$Ek-`0_`u_6>ReKFWSiMgbhL#%}3xoq446|Xj5(F$yRi|iSt8`w_nqUgA(*{C(U zwe2S4?4+of+;gjT4#+@7Qv&?=r>NNMjXqm;4$44>V?c*9#1#o!$NZM#D&+$e1;KWQ#lA-QfO4!zSljghf7RSIa6Cf2TKYJ0M_MPceRX1&d~q zllv=XC6{!6bp_`740%WVJ(bWE8zUobY2a(ZqbDOOY!j>BXqbc;urXxfHyRf8jfP18 z>l778$$z6^hJT}BJSxBk7koF=xK=E`(1<5He-YB%mC+abWNTLvMTZ4?hy`CEB+dW|CKHIzGo7 zXdZ{`l|;1tX2bk`wOC~EuETZZYP+1#>G5RsYS69weT0wo%)|Y*W}A1TjCDLBB82vA zEy2`0v!k4~TFcHL`N_~*0N z`-qeW{=YUjtcr|L!|dhm5#-bV+TgJ5%q6h?H0JmA?rPr$e31GgJMxORv$zF&q;M?E5Dol$N2R>R^fJmxW-+ zAW6_286n7}Pn-u4y_76GbbxCP#Tqx4H`Xi~=;x1o%;aU@pGN>m2_MV_(YtEHbB1-t z7$Bl-1DPJW_AMF%*$x*^^EyCRU_a4H)pDOZ*W`nd?&ApI%PZd70N-yrNF}ZpJy%1T zF^6NMDG&U$q|dX5O#ATK=FR4NKKNP*?l{11?w@K!lG-dSSyu$dY(1k5j1Y*ngtwXn z1yJR)v>7TlmIJKMpSpZ5i|4EjQEYDu@R$oVJDdf3w5Pv1wTTnyh7R>bd5Zt2;Y^G7 zvyVw2d+-L%wEcX^Ryxv!lYgWok4qv>#?@`O^psO@P+eYszx2N)jAFnWAm&PzUn0Vh zH0?%mTVcU68>qxRcfhJ>oqpr(P+hm#5JB>uR(&XFxv^W_>^PFH?UbpZ-%Dy(1*b+B zVZ<4&VNPEhjJ|u+RMuW(br6X^1fiQiTuo`qHx$pA?>TGEs?}XKli+nQ=kQbXzh$odxW@-1n>9v?6 zEg2v3q$%3Bs1#1r*BpnUc62726@WFv#?{^rYc@?RT(?IblPc|=4?wROR|eLG;W_oq zo848>tM#jkjy>`{-6$rsZWV)+9I*`6Cj+0*X+jCyx{W~Pk>;zR;!-tO2JN;_N} z4Z5eKd5X69!$k|X*0QI#fJ)|bX=clvAhx_5j4@#B=bDAmLss)_;MCea#EIR}YcC>| zCM}d^F=#Z(#+Jc!%NL(l3?_aMySUK1$gDqb{d=s-TR|GB$iQr>b}t{wDUre`oYJW5 z&T}W>3i&B<&>`HexS!<%<}3j79XEa|@$g*z$z`S5dX?Xr-wal4V*z#<699F9+2Vhl5{DEdYrpl!*#wz=aJH0=Ao3*A+{{6f6!SI(#7l$Yf7gYE5|w-&vp zn!ENKzoj|~=x23A_pb{p6o8oAS{Ib?N$A6q8*21?4XC4n_~EO5Nmea?hiD}QnZB7Z zei0$^jIwkP;}DtsdcK_ygRTAW7YKL*xP!ndq_Km()^-~5k@3F9a{~zf17ZCaCczTv zsqny2U;j4e+2RzhT+RUL)yhI&*2=IGVy3*un0D&rnq}dRjP7|fkxD<#GnCp2DdpRv zXDdqNQ40xHF~WzJ$k%H}(G4gU=l+sSN}g+1*3w?IvAdnROmU|kXVd$Kbnes6&Yk>G za3<|Fm1{5wMs^CD#OiWVi-q}z`8I=$Q>k?*0Uyj`u_I2v8>ZO_@@5>KkG-T`lZngJcKYF=*$>&7gPZHCVR^Y7VUB{l^yd=+Ch?`*{;wlgh3B-^c7V&kG+zlOD9t;K2p{sb9^m zph0KWMx(b+R{K$AE-lNRN4b*`JP>(I1 zFQ_#}2N4t=wgDJLRhI<)DR_ji#aA^M0!*1`jt7_YS;&p;rCmAkVY#tA83!xfLE@^A zoN^!%);o^)vBAJdEd_H19_sUz?@K-wCur_&58f=|< z1eTcMNdGkP;G3FhK*QnfL%neI6Qz-LK7#~Dv=Pbr7JMmdyI{+PT}(U?`i#=>DZ4Ue!b@HtknIxSGLX!PE~1fAB<)ks;VamF1-Afy zG=DpFw4a{ohWNW&{S4I7jMDDe31JjQE+tY(sOb&pNL9$Pyao?)MPHHpz~`Ue8Q{8n z|67G9dDTrA{?_dS>n2jQ_#*^xW`WpZnLrx{K}GihP{8|r%P3zX z5@!g1>e%QxxUxP#Xi5WgUmwq{@-qOj8cKTu{SfXegj~fIh@I|-m7N=`Jj8Y=?;X6GAS=LRMt)p!(Y3W6B~2_Yb_wpJY71pz zov0dczYD1`-V&Twafh&4eGSm*)s;S}r+>Y&%|r!>?5=4m>USPpu6f{kO z!*z7OY|Zo>I>Ol^r1;lb~+m9Ks4RE>m_z30nUBSg>Fj)0aWBig^!bonw29OzzyER9LhS;DF>WFmD``*G zgqV7>r%+J|w~Loe&=|HHnv%<1So6#*;HLOz13&cEdvIGsj@3fY$CbDy68b3$ft3JY zSOk$uU7lx^c0V!$+B6r5j7WkOZ{%6@^c~po2UQ1m|B%BN`t=;o*PrGN}g%Ya5 zP~U?YPp0bObNhN6;P5{u&(A5tNg7)K!Fua6`(IVcXC|#->9z}F+p)R)v*5jpuwZ+v zkoWYi5eb>?l)<$bX=BBREWZW9aBZhqdbis~;{(gZeoq7Ly}GC^FiKXfn%()Ov`~$8e;ZeKG*Q96 z$D;)O+&6#8-%SbnNTfhl%i4B^($MNt>T7*J0I}G)+@y;Nv3U(OP(z7usf!ePMGGes z9V%gY%XeN$hJT_BV)`x$N|qp$ADKB{Z-_S7<@59jWg6)hk>o`l?im41?xOM3;KTe_;^b2_bF zk{qj}G2TIrB*O8vB`!M^rzw0FwS|`?*}7;$wq&p~5L^{wEUg&6O!8V;7E))vUMQ?H zR#vgl(kfCXo41??eszg})(#A`CwmU3&;sXgB4E26K#oDoQh&yy;RO_sQw)RkJ+3)H zEDZ;pZfuLd%vNF&$MZ;tp@NkfYhyHQ-4RP9hzc1H5;a!ncmojJN^NG=iGdo)ss?>i z^$br1a|soYylDhzMZ~`ks_EiP$W?vAMMc&EXP0Z9?Vzevnj#!?wlC7ZORwQd_8@lLYE5-ZtI4QPi5&|!QoFP0ueP= zm>0^b#y>k1*Zh6Rl>-YHcuO~jIF=RY^~)Q4ZH+~m9fMiP*c8Q03-DbxX8Vt*b=UhY zalX_{mYhHN)Tt_PfjMo4EX`pXa&=Ar`8xc0{h@WsVnxHRplTpdjJNK?udrh0sQhJ? z9?;IYB*2))LCc=X$L(U03+6;H>P_&Nwz(m^s$O08bhMjU?qizC1dn`%?_EjYd;OKfJ8KRfpMF+$8L9u zPH|sIdpDI4rAr|cpN;>3jCeL$Vbw4NbxhIGn*QQG((LuhIBEn=lP7cMCS|A>yPt`w z*WwwEwL6z9X(s*QvxMu(&(%LibeS3EvKKHqBpmN%fZT;}uVsOWcNRJ7dl`1MAv2bL&{+ z>DN+4<#5yn#8;A!5^(aIQGz$f3i$9UG@OgeC2VXEI-S?Vib!Vdu6^BTw=6DI{HAuI zhDB#2(@(VX>T5%%e&(A!s-`Cu#^JX0UhAvAtF1FtD?8IXs6nu9kr$R=UW8Gp$dxdeo*~>nt>6;iJLm! zzkq;o;jOm8sozRvx-lNAfvy~n!nFjl7#fGsr^wR8RW>X0r!F(**IF}DVOfRLZvQ%GdJx6UU5+i9C9PIQ8vQ+-*|I*CGLUHr<@< zYjy)hsBWVr5bw5jY)O?UHBA$r`}WevJE!-c(duCazJl<T@oFozOvAgkn$8_%qpgyORuD?F!1o$0Z2nfCpjgD>UUlVhZ>q+W{oqd$6NFJHhpN%5S`x;%+J^*e(i5#4^R$YbU@e9&90AHRKWqHk@ zIxSuc&Ne~KXpe-=$e|a(dYUtp0{YPp_sm_j9qL%o<8VJ)=ByEZ#>z{_lG&v5lnqw8 z`NNTB%qg=l0uQZl&ssyyU*X9Oz;Gw=$u~R}!-D8bv#SGvws<8Ig(L9s_)xq*SflAc zwAm-rm2@0Hv}M;CjF^4%s;QEes-P6k+9=%wKzf!5X@_rOgpc)Y{gO&;D zBBXeRgI_uGs+z-xpjoD^zd2$gjy`G zl|X^7n2h#V1a65fjaAsokpp1EL!TAizWOlSnDuvNMqa0wbuS7{A9CPsm-_x;*>}M) z`#QVT9u$H$Dpp6;-8QSqu0(Fiw%%qA_Ln2-$VL=xuoI3I>A#Lph|IMn@>&P=TGwYN zV13*oH12L6H+4z?N5;91MscqN_Vk1rruI&>+t@qM4&C><*T9kWA!oC3Z%4D&Qbp^c z8k59Xek9zaQbVm#NFp*+tP&wsbXMJI@m;{eD5*yr+|{QCyd|FuikDLsqfjIvAS#y< zPzfq(vYz(0;e0CbYj;)L8OpjC>%ko`?W3p)g-a2BiNAzufx>sKp%1S4=~Ma)raI`# zyW|<8Ym;2#lZKD1HXW6WUaXt%QPYXKm~cx{Zp+eJ+C|u96Ly9j1zmpr{lx=w-@;!! zKo=R$O#!cJ(Ji5svx>d$3^vVL4HBgnPP-k4$ zXb4GVf2mxzTReY|Afc#UW`EybNwIit_TVLycP~dsiujNagR@O1ea>$Q1FxV%W$_sl zwh{@Dot(%SCEPM#L4@FY?yU-MRbCliG;AsmT~<#3t^SB!muGh?!IIcot0&kS zL5Mx)e7K3?d~7AA8bRytFTD$xZe!F@J~@KSw1{dYrq+YS!xUihDw7b?Bxc9Ob6V9C8Z|mrPtF_r=AvFl5m?@9W*toazd@cKmS@G7pwZXrP{vS zSmuHsm)$e4wFK*<^i}zc7PIrkr~9dTjr;k2OroxSYG42iTkm%wm$|K*nERcLy`hcU za`q!`g3vhYdMkQU&Te)S9zDJh1qE7G%s3)4ijNlY~AP4{s@R^{*}u>68y_( z@a$Q;^LzEu_NG1s`1#q1WaA#P3xnycajW@7y|b%o%Lf9TYvG;LEDf?Q#W8ce0&_o zg(BoKjr{_e-HIf{CcR&?EZ-=d%Mag1aL9w%kZw=C@96`YbolAvKKp(JsQB3%$Qm1F(vZV{q*8akJw(H7Y^K)U$YjaHN`wOLEm$4ru3h(OqS6WYay>Ew*%eu zvbudT?xndTLl)0?pD`?U8n<$&pRcqVr#I#BrHdp)cf46X!_+X6ea4b~5_nXs_qufC za_%@weF-IYs*UgO)A>|ZKN}|iI3p_@c9v><6p9DS@nV#K-m7ls%^U8KHUedKscwGi zD8r`-Vg)#ecLjDR^9o9rXQg_g{d|DnorR}=!21KSRF2?Dd|qAJTa);%L~vX%w%&78J#<@@~o?iuKC(MSBiG=@?r40gV( z@$_A~>N^42F&woKd!(pZ!dg5shq;8__U3JMqVQ}{dy(`Ue>HH*iQr3;l*zuWS7c*O z!)(W%7wm270^1;;JEk#Qky~*;Lb7g0X!-|kSY1GmNWE_;o;)&FduRI}2hXn~7UqCB zlTan}^gQf1NLOuaT`ro=N3B42QP|<%2sTOA=YZU93j0dkmF{gh%MEBgS*}0h9j@k0 zJGMI#hO8C#dA>f*cv>wPT^~i&!7^$aar;dIThZ^!yCcSlme`t}H!P8dC6^qUGn;M6 zFxZ<+<4)%JEWiq%0}E_;vh?{&HQSG0*;17~J=@?NqCea)5@Btk-XM?u5E0%YafpWyM;kfOoMO znsZJ+GO3m5jpK28SG~Z+fQo>w_m3jZuFKWod2hzjP;c!_Bn&>Prt@=OrWpE0i^LT~Q%yT0uM+cT3 z&4iX4ZP;40n#TjHSV5U%cb@a5LuOH&%SXE z%yj=f`*C;rwq~ zDF3uM|CucPo9FZYvn`bGWt4vcrvEZbVq^KQ!lb``x&JmyVrO8X`=50nYg_DL#Lp?+ zT6}lVtCnlpApM?rZB~9QHq;0dgb%-Ba>+6h5~L`$ude%v3p|Q)c{e`92SMt46B}Ds zm*cc$?T)r>9o_0B&BwjLy+xOH4P9%Rg;xXj=Y+Q!Hul!{@+ogD1(u(!41s&~P_-~5 zWr+_vQH)I27vsa1zj>_}_I_t94j$=HCx1NoJf6O}GZQ&S}Wt7JD1X_uyMioBYuTY*{^G*eL z%fG{<$;_z$X!i20mJ1*my;`gsTa!*m(Dl_!bARfjenW2Ltpu~|r4ST)bR%wT(IIh9 zN>O`4ykF<5hZml2oC1nS8qAZ`<`%hS?)h2p1uk16-lf_@1Jm_gY8OTg@_@v10!y@P z#)?BQ3F9MR-wE>s*1Slb-X9x=Dq1~5r9v?JsVj^$lW{!7K&%oeoZA!Wya2UflTaOD zG`;Zt)6{Xc>cuo_qq@qvqELk?0RFW&P{yLK^E5jL73_N+O4EiZXjkVBX$ixs=a^30 zo9c_~E$&+R?`{3KSy?M1H&H>EP* z9);Y0=|H&9qRkUvBhC-eIkyPfm_SodrhbL<0^d52jO@1#B+_G$3HHC}K)Qi!GO|16 z(eykf-#U=&is82ostE1K|RM|FxY z9B*+!a(I&X-TRd5gYdtA`H;!_uLq?km%Ts$$;&s1V)X+Gg5^yo>LaiB-W$wp-E!Dr zZ7bbpV_M5!^>Hn?8lV`|tbzT`2Glv;*J>*;Be(Hz?^O~C(AWS>1Nu@jR z7(4N5rf(ex?k^q48ja5#7QkV{sHmJB_b(l&9sLAIx>*58x*~`T9>lHGp?^|)epEfL zi|`sH9wQIvIu|r-cvNpuQ;sAIf^Fbi2MR^L{dXNm=357H`A;2){%;+K|KD^Vu>V;H zGB1|;)`6P;(t*OTzQd%8vA=X6PM#^#tGOA_IeOf8sAJwb)cnK@;5?eez%aRE6*97e z)vg==o~rmA!xqs<>YODIN-? zHqqVc!1rI4J9snn%(>8IJdh`QEvq%%xZjH>F8{~H6T^SCcyj+gES_vFZ>d*PFa#@& z2SG_uG3O)p(6agP7D`lt zl0}1($xyOIzh|Q%SMMB%f)3-!+p!Tz(P4Ec zM<$on$uOi#8}{B#y_120WA7P>hw2yH$P?+BLGn&cGqVbq4JukooLi||Ke(j?22mWx zRzt+U!@}o|ce3jkF2L3-*c69hfoo^m&-Vw;=Rdv%8V&^-TI7!sSwoWXinFy{kl?r) z^@VXBJtNCxr`vtd?4}YS$&^EqMM08DOS3^h)EbQBAO2 z-;_la-BP|1r+7&Sht&(1!5C9%LNmYzN@S!v85PJ(o3(Oq{#l#x-vZTpJ(9JQUBYB|k$`5EE1x&sf!R z9>+IDfukoprJ^-CzIVkH&*?rpxt*SZmzm6p+6*~tu6JKZrHKHrQyOpN!(F&TKMY9} zrT;qVi(UB8pKtXO+H@U)(V1Lak0$nMy@Ggp6)vGS?f;K3$+J^^YO8}&xpKSW{qph9 zqEnexhf2q~x#Ds0NFa+==BjDE9s5z=-ofEnIm@$-su6h!Cb(MG4@SBw$}xbXke6zz z%WLEFZC-@>)4{cQbE9G~etYXTkM?$T)3R&x+2MG?asxrHDG1Nf+#O<=J=+ma{sK2b zCdgKK0jc$8V?i5Fch@fZBkXsW1ijdtF(q&+D|GUxgEm8M{3}uy$2ShF&Ga&$m+bbE zI2*8D&?;f-_?q+V?=Xo5+fNNwtTDj6IGyu(rQD^Vd{Bu|ZChyDncH*VFprDzT_NP=1bEcl5ZD*%0P-f6=HI7-lHzsG4J8YFpc`8H; zeqXpE*AVx_xW`-(d<^2uOlQf{cJ}pS8pIG&U&sa%-pZ(smPq3JjRIuM(n66x??9?B zHuvu@1m#GgMFYjDn?m@=fbRKj>s!gl^)_8mjQG0}z^(Dx0#${7Gg&%&<~3#Uqv#;2 z3>lneRF@nZhX~D#m`Fp@RZRtB1XhN2D%;+qMc3}RH(aBN)^c2$*)xM3`8QE~5z40q zSew7V^t-cON-pL~Z$qdmW;lELzVrO66+AD(}fLOmYRR^53VB0u;$)Jq+v?cpN zsVurHs&eC`MmmU!-^wfan<|>DIoix;z8_<@T2aY0#!|)>DEi8Um5BTScOG}QnxG2l z!UMN(G`>vD(**KL{NpCM-n4N|!LT`V6Ztq=)bqlZ9O{H8$_gz_AsELf?@}nJ{dp>$ z+xBZ-$C}dtTl7Kog$;%d_$jFnkb9(V4}^irU3CnU9^s^}4rKJahqC{6yQKV0qRwMQ zP)5haq@=BlM%#Ppw5E234yP7NZ@MG>SP&1p~Dwr$(?srmi)IUBKKpL-wf(~YQ?toSN3zl_X^sLGXVX{caVt~y?w zgJ}!^)yLu5Ea%yO?ooy&0SPf8M;LJc>%bE%ZN0zqm6(ROf7GznW`u?QLcouhGPw|P z z!*8p(z6{RKGY!w3xBjyn%W4Y#4fKT&KB9K44f1-ff@PH*-Bwu`ueHa-K=fIb_6Ny}cnIXMLht&%<9vt90se7Um`v%u!VdE}I?&Azkflt8YZSp6N|Wr8;E-8fYBuFM^yG zkw=OdBPD@n#!PQ4@6#^!2+3lCPKP$tctpdQX^%C$JXRhPRf|P3Q$V*&T^@-pa49#lo;2f2Mtf$b4`*7kB4dwd% zdx9?|%GcqZ;a~`@1QJsD)nqzbk8WeY^0;P*R{KS6MJoDzhPcN?MMtdV$-60G0 z59+~kv;=nwa3hgdR+a9|CKRR!W1EPO$osDu5KJ~m@_c5sF2*DvqR?8YnNgu-R<)~O z!w5;R01hew-lEsIYrzATwPVJ_`rpD*kW1hT^#;n{Z;|<{YJV$_Iw6A|)aIe95w28* zpKk1uEKssaKD4Lu3}*W(dYYDIH>F8v7)U(GkhoNdog{>Wpy*Q3wHsjU82zov^fvL@V~~?{>(snRnIeANI?!1V570so3#tY94+W z8FvzJD2W4H0;rov z#f?kEz=%qlKP-J3CUzdTq&{1@`GORcASQO&9=S-u`Ao&Sf3~B@!iXl|*He*{V#L5W z_VD{wK}-S7ivh7G|BOJ+W8|1RdihYnwuQ+a#?C<9`i{;nD}k-oVF7I-m1?tz%ApDy z4yGwS5v2-C&BOdaZeCp$b`FxG35)BZRT>sW@J)fg>D6g3WjW>kaWrd$QjPPm!p0u_#$nTj(~}g#3XyUFoTJi{A9fd zy_iU)GOWFmGCdjVFAPsww;0Zsyz4<|EMB{PL zsl={E`@yiE0SU0wgbEC{Fl`C2=$ zIl#HyEe?psGUjwgNoe15<`zmO35QQHNVlWA;jYq)(5jbS?MC@+%VM zsk3CN>B6>gO7GQ`Z+*rh-UkhrB(;xTR@Nq!^TV3s!xWtpLfgfMR3oP*~DzqPSb|zv1wLM)D74gWu%y zg@k7HRbZxDB$(CbfCt@4F^0i5;V6tA>Q-5iB(o_SDMoJVAqnG)^yoo|o2Uofxn%ns z|0t`}=bE}!fXait>E#x8nizuBoA8uS9vz5gBk)OX3V*dgWAAyGcob4Fu@8sfartwj zT_gx7RmJ%ys$zKHaAbZgwqMOk6$NXOg%INol5J#1umQVlZ~owODT>$vYLA(|Ic&F; z+=cpq5o>svg{{!r!4WbfebK!NMB)M6L7f8Sq0UvKaXnCltgpw`F@4?K&4XVU06q*- zvsb7HT<^hq%FN&o9YH1aIXpLw_ZgzZ7=iAy9x64*GhB4K`Bs=V5!7;Ow)_a81GKim z-@qTdvXPz=<5-p83>WQ$TzGl*<}s0;rgYVZZ;cm8HoqtF30fw_MfKAhu^s``0Uq@+ z`;Z#sZD2ZLUu)5C4n(346Z63>;;z7J7C~y-;0tL!`5@T_?oJbLGSD33*sWg!6Gl!VSjG%+0gOwh3JF z0jrv1g_$Z(o(^}Cfp2gE$IwG~h0p0{LS@XQ*dvc!v)?xAj!K{#? z*YfL}icO5MC2$R2aqw&MD2zAaLU``TrAHnnpI?^n2hE-?x_wU8Eq%K>kkJDd5e~9- z`?bveWCgtvB4}M#@0gUwRfsCg9C&qy{Y%c=ZC(TFQ1BL2Jzo9NSSL~~^N_hESv%2? zm8HFotU53JqD;K3L6m-(tzbDuaad0#6BLS4eY460>WK(z(>I$Pl4S;cuwUrqlp9go zH1OnEime%O-pk&1rMjOVG;hc)oPyK3AdKRSu)aYG2u_Lw)W@a2gemLWDEn7d@TJ@B zvo`p-2UgSzCAD@eo@ngU>#}hd`=-%-_Hv<+>guTdOUj9^Ps?}edtv%kz8yhmjoOo$6ndT>w-NZ>zjPmw)|tC{-40f+rbT2f2g187sfLe zE6CnIe^rDV6xeO-2o*LJ?^eW!1bX|tuDV`to9jg>>xDLN(oHF}6Kjf68dz_y?##=6 zIiblIw6Z69T8;UcZEP3u?~ycE3<^>v=Gpo`SOpdQjm}A}NiSA?8|+Y^^io z$gVF;aVt;kq#)U!mBpH9d4|?#EvClaJlY6_k{M=Zh&`~F zu?bG2&XIl(Zd`cy$rmIy91_-r@^=**j`gewfN;;AqJJ~=30VLpj`vCJTChtNs^y|p z*L>)LCB!p#gv7uG0sl8?FDM>9jefa-RHjGoZpo>o3Lh76lqw;PfvR;~>spNsy282m zqjchVh)IutqJC`38bR;}b!gZJ-O1}>Tq`wBiyLiOn1|jV#g(Eor)W;A5z|xEQ!=o?XG3{KIaHgH2nyWU-N#zjQV0xOI^@%wWGehX!!7U9BG8B8b&a6 z9hua@c0tsCbR6ZF3H2$N1~}Hn(M()1YrFq0Gct=ab=q#_>$X4~YE;b4(rdTi;zz*} zN(}yxy=9gHsyk(o0W#C(;Amk5PLN}usBfq~0xB+Y&I5|T71;>ff}{MV#F4hq)0ZJs z2Zywg!4h~!G6hul+hL%T*BD4SHk!k7u9{aly;|$fNSl%xy-{Gzp$t~HA0Ae>7JGOg z6=5WI5jJxPJ?UNKh!pp843}nyH8ynjMk6@c^cgD3w+Ud?_9uS|d5B899O8N@{E67m8D&*5W7{5|}W}Ez~+iTzi!3o@%FhZh(HLAJr{SJPY z$PR*GTRW~qB;g)(hYfv5YC}$T{gV+9thOc{sE5Xbf{Q61mUgE*%7!W^$UJj-dmId$ z#VZ40&K?2W)}=HX52kxRyiNBz$mO;QT7`3rc3p5Fa2>u!#70~} zKy!Wg2h4%}6&{yLn({^KfzMt|-}S$|n>3s6z8+IXkbqRZE zB`vLX>9v82Snfu^`HR$WaF1XRY~GhfLc~R}+JVKNY8X>?mN>W)1h|U?aO-h@l(5-2 z4SLqQnQNE07%n&Fxh?^|UV+O;KR0z?AvP$aJ;8v@p8QU3@cH^*gudOPJK2oSJIUPy zHo?)btJC=5O1GdbsM{fOZ^&FWtwwkio2>~VCbAH>5SApk^B8L_M2H=MH0hI|$)k0A zwvHCTGk1`DUo5(e<~qUdH~sq45HZ-+@vZ?lPy6%xeNU_*0jN7l4w;pJ#n;VN_i zCqPr^XcQgaEPjM%FxrbzI5?6Kn&HwqmA>s5I8H&0yR;fB%yPdQ!$0Ii`C3 z2=Ij%>cNZ(-x)7Ma!zKZmAr{!7LnTphRvbRK`Kgt-n)NslpwssrNc4|WNJ_?4&mWQ z%_7G6b9lRbm^7qx?3lona+s(be0*Yg|AOiSf(Fele1WihOumV3I@>O?H?_ zmfEszm{J_WdA*KJx4DnRj47n29gQfYd}!F{M|L(H3)cyS*|9`lQPGOABWcUZ%_F2z zNO%D-E12Y9XIOcVbRD5%=zHy&u2>X|1l+sl=M%L~r8D!TgxxyvX2H9ox^?Sw?nP|0 z;A$SjXB7mG$G~0B$;s}P#TuhOce5{- zfqb^B;DN4-x{uwd5)&Rlb>60Dn`l|G`ez&K9JlB#e7)A^BHX!wtM*|=f93Q7o?``! zu2KvmcGF~!oMPN%g$8VlAH_Uf&wJLiTJN8G>${5my}MIV%$C@RvN96Kdy`VX`0?^6 zjq8QK`a*4NLM~=KJmqHDGV|;t`E5?1=5R})tyes1>}~YQ2#pprGzmS*TVFu}WLKMC zpX{q|P~QdVOqZwOKcA|5Z|Pl`f0JQlbImOmOb>U#FgM~QPo`^>lYDVnMX5Q}Pmp;kX>yIpjk;pJG2$FWLWc6247cqkRkuVl0`B=hu@ z*$kHP-X1swhsX*j=VnlSyJ1tjWXwPn0(xhqx1vG_oV|Og1V}$*_v=qF&y%*lx$()L z+zc8L3{1=Ug`BuJe`ngZOJ85|1T-d#>kIZ)9DgtIPoI5G>nvfyY!s*k@ylJ<6xwbb z#dlr^?kPHc;!1eMe{Bz(T}K`m_qgm*>b-fc&uQBKQT)~e%oiJX9XaRQt?F=-e+syr zit1azcQKdq5+YDV(8qSGz~{xC|FMtg$A_(}MJtdsnY}-qQ^p{(0lk$hyi^-q$ zewry%a1ALCSAXzPb(}qTgcep+LBeya_`Zi9Nh5GhfcBk?D66S~Y7;x^xdzxCP#GOz1pDQ@&-Vhz9XrY+f-qxn*w zy~~Myfn!~TeSw+#<8kf#_x`PWY1%u3*?3ckD$}oa!Hy^1&i!AGV+Gxc8yW?7h?5Ss zy~XsDy4p*YJPr=8qSb?{n)!d$*YLTsHT-m3wC=e$6-PIJvR#yyw%#=4HmITFqWbsK zR0*_lxV!aJ%!PlSy1JzByQE!W7qJZ*#NSQw$tRF!1e)%qDpP6)?K)?ZayWUX@cksr zN4xCJzIiKnmDtK&ZbJM`T#khGeH*lT_HmEtW&VxHHTYHE4do>H?2&E3f~OOHJC-F` z#EUy`wtFlZ>Jo47Svzk09D8V6yt%i|b>J$4`!asP1yjB|!KUkb`5e4q82w{6?E|Ey zwbm*ed8O-qqsGU`+p{jygVN4bmhauOtC@4TCnF30_16kV+mqwa=Z7h^Svc9HsG&}} zxULnv7;vCL({~M2djmtrO*&qI^@wn*NX8gPa_Cn3E(g1NW8ba3Wczf>&~vOmri0j4 zR_;SF?cXC6(;=Fna&He0RBV{Ruu7!e5h_saAiX)|Q7?Yp-3?vk3;H#`H zhRW9B08p9Vk>))qwpqAe7g#XR#i*05SP zkkLM|j#K&1Uu~^t^Ns0K1n!(mXA=s5&W7)wwJOf5Ce70aXSXlq4s8Ry^#F}(zf)BJ zR5ttlFI099fXZC2Vp%huPLBZU3qkvZTf()6g-2m}&D%%kkIO^*j<%LARmFS*zAm-; ze2bpwzfc*D8utdK;e4O_V@PWs*v6SrG`z6YyPL0@Krs>BSJ=GPU)$9hz;>0Yk*%1s zC7J)+U{I!r8=)%EZ^Zh`;DrHWi{mAi? zXn6fR%z%nk6riG|NU3)SsA!oGC!f*i%BYWGlSJT)*C@SjjnimOS(^%&oncqqrTPSP z%8z#IT*Y@(Km9(>-{i0R7gQE+Yi1@1N;#IgE$P^;{ktH_VByF@BlJ)F-g#>)p3qrp zAP^P4X$&ZZ_PNLJK?qu!GbX33!IpE=-^;#*%qA0Lsl3mf-DW&Oc-V;mAE&w zCi;#GzaFG`t))HaeA43V!{AfSt|#+v&^EAuENLwxi|10ULE!%*QzoHDrq;b%7Omyv z`mC=>q9 ze*!96|K_@i2Dq*iB3bsd;Yp$UIEQ)pBJDACbR=%dpv1y#r`QHT!{vgfhHIqHx6PTY zj?D165saG{b}(a=7D4Fo>}b-=rMkW!RN_HEil0&rfecgQ(;#3vS)nMLLUp#_XyvSF zC<3)+3_=)EfLu&N!Vd^jdVsLiHK&O1C0+_f^n&3r?6{Hdb{nDauhN<=GH|27f#P&p zRzcxcYJ;(}QHD9P@aPu_%K^o0M(oXxk9&~x@Qv3QDherDd~1^tDP3eAH>6WQ%ZpL+ z7y3vezBkNB#zh@C?)A1x>y}zQa;43#1H-08PTD7mWqu&ll7}4dNo=rFx5}>@{XH5Z z@7@>L<|sBc)7Jk`m`_H_!F^8FEd4-6N-tYAXLeE$#&Ybb^KF8Vg@n9iMu}I-xpKqS zx<;EQl^twYIyp7#kgG1GY}~`J05-$k2AWNje&36#TQybJ>JlP1r)r*aKi32Wl;$3R zPKpH~gx$e76|8JK(1{%kaDfO4LY*UlDxV$|V+~snf*!I#G>kUdMEitmhot%$WeBgB zW@SNhff6CtNQpxHL>Y{qn+12wqDGx7JGl5^oiQ#356VFBR_D5kB9>nz% z)iCiLQkPk#gu8UUB#jCCboO+=pKlFa8>v{m{2A*6c`rdxHyH3w?ojdg%=@oE-h~9@s@{(shk*_P}QFO(`}b-530;KiraK zZIT^yeU@Gpd6#Tt@J-88MZ_u6FlJ(mBlQmD4a@ZG&!EI*SqA?iW!P=Ke~~f}Ke^Q{ zwPgOMO^e5;KhuN#J_nl?Lq59VHDl(*>UoYNwaszJw(rDXj*u^SIzMp8(fm57p!PTw_*l`=8UXpg$)Dmsy#r>v6)ygjfU9- zjoUf}mi~I~c3q0JUxTmlXT!wridcfHqy{Eu1XuF}K3nrZ{mY9NoO=5N@wQ9~YdqJN z;Osn#9AcfW9LMntM>$zGuVT^Ok$6Bx3le%LH`8%9?`1DE`4-904u*X{_2#;0p5EZIHH=i(IK;zBZQVmLUKG&=xH zAyO2Q#Z?FR+o?vcqxF3W0G0LlS6GZy7mT(k9(5tgvI-4!6CH6UzMEnBsoS9W1eV1;QTCOOkvJ4NBx85hc?k{DP+ zK-CBJoJ0g?h9(=v5~)s7ZA?TmT5Mc@NMy+yO!yZnvlM2@cv1xWY2Wx%c4dKCfg|Bs zc_>wZzF?p~l|bn=SU62zobXzy(Ny1}Q|X^WjAvpttF1p_Fl9(b0y0o ztJkjW#|yY2cH#zzP+2#YDM2>AioXaPD33oyPgAmgHRv}J6cS(z-UFzzC0MEV7YpF! zBTd)|Zr#M+)N-2KJ)GQb)zupH)dqSrGgm8pmxtaRD`k|5X;bcP4~HL*G93m6>sRhi zO{WvLyStzD-WSH&4XBIv5KF&+M2i}oem4odPTVd`Ie2vWua_TeU0r#sK{o1*tc^Xi zWw$+C9)2qD`+48s;erUL?x50F;UUNDF(V?2KLK$8Z+Zs-s4}l009DqK3ZTlMoZ5>{ z+b()lPCSS_{Yqh(qRyvoDo~kJ;(Zp0v7Au^bNXS8{>1kcFWsfX-ov;I!!Mib5^GSZ z*f~I`PUH&yDaWs}<_74l5DTv`b3OYX{_3uJLJl>uJA`W2Zpo`2c5kzfGU~oBYJ8LQ zYgI0ot+zyX9hor?Qt0z+3}i3ZUPE+LWLg^#9E|&Nh{{u$`u-?g_2muhug=UL8g%Si|liC1?XH zF{W^=zSN1hn`Mu{CJZ<5->ocP2^^MBtKoCgVfSafnQXlQp1hk6$5}VlU7~zQKBdbh zSD#KK{qU(K8z^(fg0u3ZxLP-FhX&AmF$Hc%S=QzQMOH3dvHAq{pq}l*8ty1oopZwZ zT(cj{lsVp3=QBSR8%^!;RhE=DljtvM4vaYyoSJr7|NJ6z+5-iO;EM0d6(U_muZ(LC z7uEkdP>yI3uDM}4D1|@WEZ|sDazh%wlD{OiBV0iBNI=>{!oQ&+&Vu{(j(E0EN8aQl z8W1*iSctutZ06jaP{JJNGJL?*sv|jUxN`#0-4!xdjJ!p#)9K`UO(OXwCC3aD z2CB1EWQV#6qcmrVz?s(u>xpPw#j?ttHH2$^k9XDVA!VPDx(~H)o#I){GMwKNs)D=+ z)hMxDhPRq(`WdUAvS&2REI^O0;^8JfsD=#RyjuGSBxg)L?D$>!2B^OZ(Jh|WQn13Tw4m4cqv52K{-<_owXPlECe!_AvIbKL??A+6(0^Z&!4H5h}6nEMxi_Quc z=bAzhO0R)uH5Qp_oPS8&I-q>U@w zbM;m&aQ#Y)DC4BiL8cu5G{$IH^sYXvi>-@)lP~7!z-!9t0823vs2nL$_~<_Qp}pzK zK*Io@j2c0mr|H1@$hXUp{9ealnR|4OeqD4#N4c=#JSn+OfxVh-Uplt3&kdQG*`7S< z8`F@ADpk^e=&e9f z`ofCimx%-T46amjUepJitgfYs8Fttg+v~{2v-U-dYJfgiLlQ|~>Kcy`hAl;k6-x^g z7Bpr=uHnU$rw%`=hS>ajucJ(qKmWsRg=jVUJA}z0rUOW})1|BOX$yig;oD~t75FW# zl*MEfu*RlL_YFlntOH!+-Qp}XPBki-2GQeMuvt`aze#GPI(|e7@>=X1>7;K70iXos zsF(X;*g{aiRmIK~FBQ*cHwAMr9L*U+uyPJ;@H0*J@epbL?ib*7Ej6mcaAD`Q5qE)H9OmUVqt+&thhe3m-`&;uvg zqSJjo37&lojp4vyS9TV_EfI5}2x6k#@fP;L5nX5iI>x*cOYE?zW3BCy3pc%QstO_1 zmH<3W+y{=mJ?>-W>Dn0RkK#|)ZfESw8$b36{v8ZucaeB|q>fCM9h43Qx9PTR&2 zr$`=jLjfPXmm*0`ViP;ghoOR?zr@BMaUJRfyO6sa9np13Iu&>;>vlyJz3qR@oCutq zj=6TRhNEvdnEvpNyYx{15q`-Dn0imtrR+_Nr}Oes8L#-f)#Vc9Nhbo(i&|RRV*wT&_>H#sXxIF4yp1~zkr+-d zIZxAJ05YbdVIcV=L*nBw+o1y6s)YM zdO7qSxtVBquT2n>MeK3(PSrwr1lL07rktB^Jp7SyCv-XTcaR>KbM^3)^L}3Y6}iUD ziAs3fEjr!0A`d4 zm=S9m8`LgfMpJ+pk$A-iMKzZw`#TYk$~ysO#Lc1v&kvZGD`FTAd`FsnSCN1eG_ zybzoSI@yvRoINS)OvG)u->rEiB$J!D=Rcp;KDik{&|8|3k;cRn-gB9-CcT+Y5zx5(p}7-LkusJ(B3Tjx$%+@+ zLvw%u*?CC^$65UqkKD0&kDq)o><%adp) zIx)N>s~0-5i`3!l+M-bAJx`kI5eK7EzB_;PF%z3?PaF1n z3QeGvyvF&vH}hopU1Z;oBX-4AR#$0H!#5AO1>kY{#A-jA4*XWnTF zy2Xfz5hN#1<@LN)TNk>rZ`4uj7ph3+yO!w?1$b${OY`;72Pm(YmGGO`qIf1vi-qkE zI6f%DD}DrgIYoZ5vWk9z(~@W~am&F-?6 zzw*!k z>Sz}enQUYsidh`7i~X55GI~8{p~Pl(u?$YW0}`;7S9_y4p*f+dhKh7YDJ8#6_yi!W zaRg1BMJ-;A4X07ThwVTqnA*Sk9A*PWPAqNwg`IZAqIc3!UNDcz?J9+t^l8y;b+MplWJSH~-Vm67sz3=^T3L-`78jJwCpQ9(V zxZ8sc3egnYbAcjU-W*2Ckq}$!847_xjHS;lbSZy| zL|OHNR>;{9qV5-Yhv;?1A))81>5qvGl(DvazlGi%?Li3DRO(G%EZ~}1g!VnV&D z^-!x`SivBI&S&U*YS*`;mx$JZ?(7B4iK}P-n~Q*V>d$S%q3W;LCB*U5f`SI4=V7uN z+Z4~x^|rZWjp(=t}tTfn=DPyEVpIpRpv8gh|>Hpijr&|4Xkh- zc*Cg=Ay<5_@qMMg1fJZ|qsqLLK$Tf+86lZy5C19Im@5Bc_MJ9KXeyp70yrTs4PJ1OQeZ9WydDJqY;GSOHC-?U7@Zp#!U9q52n28KNLM>ql%U7=E z9gm$lgKv^Jt0g9~@ruyDE;~o*F-C4VppVp0}@D zsRj>D71B2l%5NL`+Hv0B~AQ~SY_w$KA?k@j$Ly~jdfJAwVzkw^UlMW0wMk(=oZPpS` zpEQyJGImcH7^g$)$SY|~05MNE8%@DoF}p$7SN>c1i5)Iv;nN2?kA{$K1R+Y9=?p^@ zK@^z1_bNzG;;{7eDs`4c{m0W7w(~Q&j6I4++X;ECycHK-XXX&tV zjE|;%HsnnRzIeu}^)j5{_l*}M6Z|B7ItaG0NVY01@+I>HDOX*U9>fcmkmcCB8XT8Wp+QpT(1>SQril!XYSR3T zGU0n@Mfy(|F#S?RCtB#prrWdSW?G8j5r_w>Lq1-q&@v z@v}RVg2FmDlew6#rbxd;6J(b^_r$) z`K0iNt)NFsu9kCrg=l?7eDn7h11qOgB)SP|FVyzx6Q<4RTqm(DZh=gJnD}QaFtcnC z-iSv`2|N=F18^FOpAdIxS|uSf(bSV{{rZRFAQ>cupJVd=s(SK{_BEI;_*ObfQF2of zuL+iHgeU0^oN;0_8i7r9J#FE?u*vnnZaE6%;(!pFB#EGlMdXFF)=~VSCa3eSH~WKJ*l;W$(&Gqhkx{nQ^XIn6g?=uo%;&5?K;OjbU;SoJC_ zd?A!eH`LVybAar!>yZ|aWbdeh8oeG`-BsD{89h#!C$z*fE9B7Hj&7XK-4`7D9 z=qy5pEdFoJXgq{!F*A_(p5pZzr{nnU51aVk$m(<}=Rw(H4pHk#^AuzSbDfp@comzP zgol9Wril+`N#(k~WE_S*_LP;IkNQUJ^y-{-yMILA|B?F%IcMIYkGATo^KEQ;-#z~O zh%G$=NxgiqrF-ya#Bv&Y#0W}rYG+m{S&(KT3|sT5jMcyDV5HclMtyYL@^H}Z-64O>2B@r5 z8-dhxTU-jU4*cS$+G2rEH*?=j=UPWjjjkhs7wQTK=5!r#d7+7s!#WU`ES1WH!=)M2 zSK>-6FO{Hz^9VI{f%2wxvP4HiuCU}EgB)to777AZf961QY$voah1)`$xftCg-J9Jy z0_xB5*h!4mN*{Q_En}hu>EM5Oi-j4;+;|{wN7di5dES(uzG}A{i;&NnsX&A8f$giI zC+cHfZPVdt-jn*Y?m}SS_i1wJvbV~ zkmDm*AZ~*|{-Jo>>bR7s7n0WzZUqU%ix9xu!=rTMooH~t@0ZI4RdqOweK>gXgcHqg z9rgl^2-N%Nj&ytRIba&OxjCIB_~0I8ARt}RB%ywNdK_LnuQgC3KIDyrcCYBH2(}WP?OnmF1OlvTyi4o=va2H~Mqly@ti?p^R)co=AHw*E z;lumeX^!u(E&D8Y+_$v?Lu>qbZ#l`hct=nBjx|dvV5zR=Hk=o{Q7$oaZkF8E>MrM8 zLHvmlsADfyYXpPXPICnGU(}POS9!6lrwfcO5*?p*Im%sK5e3?DL{XXK`m^!iCkf~a ziK~&ML#CEIj_sIu!g8EJg`i(^d;7g4(Y={PUv>RWt%3doHrGE|oazNaNm98zMfc12 znT1#iPrbnN+f`H6%d|!Y@Hrc8?!Rrmd%X^IfxrO;k)T%mcdP@~{~N-BgZckPSSbIC zuwaAyr#?pgkHSa08;gP|oH)i9&Qz-x%kjbyxtW`ZHU?fO<{z8STs7v*f467bWDNcMcMT2q&%$LpDRJs`a+z;HS>dO4g{%)lD)?oW}jPN45dzkzqOzcr-0l zJScQ{H+t5uRV{L6)4H{2*$Y<0N7vV1Xu6%Krq?Ntq%xr8t4Ea3N|zM#I^-01VJdc= zclvFX4j^VPEF3ETwf-1CoIDygwrvQME>%x$=2fh8{k!$2mA12VXyQEoG>GC@0aDi% zN@mV5TJuO0W_;IBDwTZ^r?E4iev+|4C!pt@;WhNvgN&bcGMBj)oZX@8rQWb|{t^(>lm1O*jzY6C_hCrjc3yU)HwY!fN6u6y~_n znGd5TByr~K!7+js*)~nG$fF3cv}y=p`64TEPc)ZX`y&<4g8odSTxv;R#Mx)UC!8JQ z3+@rOvVi5FPl8DQ3bO{1&&k^-8H5iU;DTqWfNzsR>fWu5)^c~fZTkb|l#|!34j?ql z{*U!Xz|1Rn0Wv3oWhe0A&k|K-hf`YzSs-wj zkC7BR3_GVGib9smBO-t!sp^z-X@!~z&ne^u6@X4a1W<}${N`6l3c z`vfseyV80$P*<*m$C&Hhrt#?FE3 zwFxO#RW+eXYe|MbqC^MFj|0P3njDT$QuxyiO;7={F)=8Y! zDLL8t)jbG8)D-Gw{g#00AarjVi;pDYIp$k$N*YI-8ar(KE^-gDNKB;~^-8<@RY-A| z#}lL5Pr_~wG$e?!l9~Nt=J*I|$7~V&vxrOMrT667S5&X;EZUpGcm_Aeo;Y~d*T8x> z(u1P-4z)~f+*)?v=vh`C%+WGx)qaOHc0fH4d{e>6{KSbkG}}J|M{TElP@zhC(I~ac zUq+f>iEy^})?Gl2#gy4%eCP|CUC6;Y3u8gR9Ob$ZIdPrBxcsL_cImS8RkLr<1N{a* zboE^=vT?h=V7uQ6e8_2Q4pOYNc4qM2xrf@X$7u>iAuN+00elD!Py*9%ycO=Lr9{J< zghGvha6m62FRx6Fv6xeGY`^=|?&%5V(nc{^rt+O1#?y zW&JVfjU>H$gQirJ=kk~1It&d>w(gY{{Rhwh5uww*6_ZuDz>)y~8qWl@PEzpRQ#BB? zKF{;xtFKT(r>hgw?U8mB9Irn2&y~qPD=1iqMq903P8un(z9pj*O zMPjaI^mwnfKu6|e#X)soFlTurF@PW$3@AZKiCiKeNM>^k9nDg4&zy#seG zTexT&J6W-9+cs8gXT`RS72CFL+qP}n*3I7M;=J3=JwM?6f|{+WwK=NB=wI*5xsv8z zM)NIE!aS@)jz56LGpvMXo7Io@M>+rhqy6z}&hUEv(yI0TFIRW=^(*Ifk4HN@=cdmx zS|0VJHAo9iq2*%!prWOr)+xj}JhcD4zHc|JZ~j;7`&6W5mP9*lCg|X$gFbbs zo%SFVgpa+3e{y8E4fYLo$wHMxMJ+PLy~*1wxJ&c42XrwqAy;V&7%xteQ6IwF5SLDh z*5q*kQ=;-slq+PZJ&qArlbJ z?2ff_yJ3C3AW<-wOogZ9VA?9w)~wRIriA!YyCJB|y$feaaMmmA5smI=96An#&d63M z>{5S_qO4uLRwTrE723+aTvf&O zN1fg+>h!&v?H??Q-6&4Fd2`s-Xc`nx0-76-CT9YWw>`MOmlaoiaga?Pu^ zfzFBJG4ncZX6OM4_whm}i7L4U*T(L=jRRvJk+d&2N-qI*FsNaVd;zII2(b% z+jbb0Fsazrc#weyvtR^mtb;B2d`VS>mm#$R+%eSxsCQ5i(g)1gMkZPHmGDi4M^#V< zR(j{0-~pr_qN{BYt80I|q0!hg%nDSL3&n2rgc8-V0A&@_sR8|N*rY#s#uG7 z<{2uZ&;ePJXoW>3esL;!c*zj74bHGT>+uHq<^k_3`rtEQcPLW->dm+4oZBpl!%2O_ zRhASBhwfnrbY&{L7Wpk)Ad~;>Dfx2{s?UB>RZ-oG1|jwly3Hrs_rY`LxEEduc()wARY+TNxeCLZJdmX5Qi%ug4Ci}d|1 z>XgUbiS@UO+2j&MzUF0y6V%WCJ2p7-c0hxlB-qOWu-L{KStT`zr6rS1%te3-IKhM2 zWUB64tw@=9412BkHA?nb-(qGqWJR@BIC4TgBa~Xg$U$6&1Q~bUruiyJq*phquS`p9 zHLXiJKn)$AScM`n)PY%93ePP_@#FRdzHGZIpj+A-OG^DKUg`H<>KR@T#r@faT!Whl zku|w@999@0Y|z4urg>u7^|e9;{qY3sq|2x-z;|T#l2;grw`+`s)s`har&Yf5X4mr( z?a_)I?s{xt2=UGdESC>c6B#R>{gZ8v3dbLCEym?aL|cd%5J^)W!EZZ_*jisJoJhmM zt<<#&ugnz{bv5$rCdZsM{YnUsVPI!C{0hB*jQ?gBmy}KCl!efp zN2_#TwxO^hWe~_N2PxTC4`qh$+=h%LrNj<>RuSF8Sfz9eL?518jalq1?G?Y)E_Q@p zb`1!O$lX3WbL2Ft?iDT&?$y}CDdDd)A~TSl(_6MDOX_wO?CPCxT-&y3z$usaNf(9o zCi6z`kp$2zA{MxVJ}Js?o12`3S~676JsmO{YYaFVEWGY79QvZUjYCrWObQE#&+RBa z-6>gOR@gVbkz!Q}t?);Q_2Is~B5ip} zgqY&|YmvBfrVX;(N#592_EGSd22)M*w@%NPVwHn_=LqPm0;&A2&fbLacOip|hE?%Q zRVuoj$X1i=Zlx_F!|nhhFybnv<;NKPR+2#nin1jSVHI!(%an(28J+l2s>9NFLP+<( zS{1IsoVi|;<`KR3EtT1&(^?oIas@=d%%UnY?OmcRrWZ-Xb4teJfnxww_uXaWzV<6} zOYUJmObB8$=c@fc?=kEm@4@78T@wY-T9eS4bxKn?BRA1z;t|QIH3Gyp z9ZAO;S;quR!iT?g_hauND+yl7pif32F1t5>-<6DZfSX*JKw2P{IHy74hD`zZ!7Ylj zE+OB6JR)n&MtibH*RzyHemtv`{#qKwem|;ve6d7zOp>W0nLeywG$d%stc8+!h@zQwmmvaYm%mp za9yXsW>F*SNWuj~cOi7uuUz-`SQj8pK!+721HxN@D#yRRli|kx@;F{MwGV%k^1nbA zd#(b7V^x*+IgNKc2ox|)e~0K9Kf&lnz;rm|SpvM7YMEhzYsN`7! zL^W=xf4#ZYT8WQq!%f9OiGK?~hF6V3kCS|Wx0xdyEQQn5<$+YCaX$o{JJ@K4<}Xue z7!p1OS8tuWP@7|rus)0!6Og9Ath2IOJ znjaWLK3FEVd$ZWa%(66aHca_zauu=S^UTzgrmO0WzG{zZ1SWQ z`)6Ho>m14Sy@UNk+WZ4%I_1r!(|tlQrHgx6-K4a<94^(_@gc+P+6Z2G>Dv49C4ZU# z;O~4CsD;II1wk`ZOUd*r5xbxNHak32{tzX;4AiiFLTmZKLfnWU@(&aja^Y|8yz`ZH_4?U2r-Z@7{ zhAQSvDuAP>;rqlY4Ue>I)g5OVHw#XW4HqiawC$idwk55LvgTEb8f$6}-+4^gXSPPpb5ruw*xhV#NICBN z=dbZ7elDuoyr<|hGpYP>NHoSjZv6LXBMdSrk*DB5CaHNW7}gB}^9~3UkDvz1r4)En zvROwl$Qeq*c6L#tM+b$gxFyvSHSoIevbY0jO;l?^`9L`i2;t4ufR|$Dg=F6(;NlLTT?S zm@!O1p-dfHo6CI$gruY`**Pkzj<8v(fs@5nB9YGWY;7=u!!`M}0v`(dRR7mX8uO&t zoBRGo2!|O_A=1Q9r{*UayBK zs>>0deR3q(1I8@ON@?Ue$6j8J(|9}kwyWy>9$+sj?cu#1MKV#8Xb= zPac>1f#xT3Mqq?Y#GKI38d3uZ(Sc;gS8io`z$Oah5Ky%P0MJc;ALv|>*7Y;s`N#pR z7k;5K9CM+e%P=}^kibKsGu4(dxapOrjO0uCC0xxNF|^!`A)&qqn3+g=@1w@S z_tHMeNY7u@xjyc>q1vEh4WUji^#CdiPb1=eNqVHR3`>L9eQah6MpGYJG835*-x@Cg zDkS=|Lvt6DB-k|!C`TbJ1%dGLcuf>?G{jvV=w)tlv=z4>FMneMnPKD%XdC)&E9HW^ zur6tOQ)GSH4LZ&)c`^K9BSl9U?x_6{-hRs^iXuRxRmF(B%u(4XtpWZvNMJUz?$3nt8Q>!jhD0-@hvGM#PUqi1t%(?GFc;l`_di9k%b$ zoU=i!dGSge+Z7gHhR}7Nsc`K|`ttDci4N?r<5pigb>ntVaTLvGehTb`7hOlXY;@*Y z;|$x|s*0OV`ay9+$Ikj~y^11T?Xv%D{r-;gg5El(Fzb2uEcO#P(!j0|0+Ezhf5z@l z?OmS0BY=W8n8a%Cbt{`96Q*9DR&V11Aq*KAR#2vCen{t?0*!)sB3 zp2VJ0Kd~WxC{Cn8QawGwtC`SGUJU`SG_I{VUVgiw0*c7X;8Md2Nmx&b+=NPDu0K23 z^8*Y10k$X}3c*^9+)|5R%ar`NcFwJi$KA88yK^J+&qf<@X-RZNyUbkiT=u|Ok*GA0 zBzrDMp3tlB-DTLPZNodOjF*M8m1!4syA$wQiRN=2T~3`lh3V<+A7_I!KP;h&jfm~A z^Ze`P&>@0)&_xRtl?GVH8blEciQ44$P1vs0oPyGN>u8@})?vn4qSIxf!A6SW?(T!B5uMpe0J@S*nL0 z-bNr%m2o0)HNE&|tA1Lnu@a_eft_ltB{@lvh!_4o15O14Xtj&10eF#?Z?_|CP1ygQ zmM;#B1m*DfNT*9v%xJMXc_T)pZ2_cx9}60)NVFCj4`?%=f8SSl+0~c#0btV}cfNTe za!LqK)9|74{AWsjCk-B_qaWz`!H_G(aaIcu_kfCiU8Fu`^6Wj{1(8uBTA$pL?y=;4 zE;_Lk4ENAH<+?~`BFKrtXwx#sjhQjooF^sXa5q3OIygLPRY@_{+!b>@>Arr}EKZkl zt&}M(uEifAq)nmg}%(vU$Iz;0gcF#i4o?AsEO5VkD+2iFa{xgDK z2aT#Tg-*P6I)x2H;4fHe2^eVTH16j+?S74-x5z^3 zC>t0A&V#90g=D@nM`ByB4}!Covn{OYnz+g) zcy<++vGd~`OA--=Bek4^wS%P0!xf?a*yqA$YxSGeeGJzR2*X)e);zKhpYI%r@qKcj z<`*b+xi3e-cw}m;qros~!@paTUJqLJFUpW9SHJvo7$JrMm3Wt^sOv{-K z5U6s{93rHv8hDbEjN#8!NFmCTjUm$Q(Dp>Dr{zX5RGISEaM;omLQ)6idDsxNP?)#d zdz2g1fDKy08pO3M1^XA%*kP7g2O*o@m3PiEy=%ocELEd9JM>N1;h?jm5o$a};#&OS2-EQ=sdMC|hu@!{nV0J#WvVgzTTeq~JbOR5yhcrH5_jYLK3ECwDE z)-jb5+%7{rYQj47IiK5o{QkC;CFo!m0Oy{1&QTH?X7P*5}Z#DZAIF%$z8VKrb*SA+#O^a`pF z;aDb!jgS~ty!>*ReP52^G&GJf_>(Gg^_EN_awf@PnHCzZ5S^`z0Iu_#XIMCASV)J% ztM&${vkmG_EP4mT=zOTrW^+2!=PhT*PMnxgN9d??j9OO55QKKHRAH1f)=4397@HMb z;DHOeSs*GDb>lGcZQ7~5$emy6m~}NkLcyI78MLBSz!|ut{yT`yhC4Y(gG9iV1~IgN zzqt7QLrTzWHc|fEoQpnZD&Nxj{$ zQM;;c(umK*(5wcdY{59lJ2`(L5Jz(SpK<|K5S-+f-!(LUQcR7h7>WPoulr9ervHRT z{LlK)|L^gL|HMEr{U7Y%UrEJ3h{nI<#DAAQVq;+YZy|_(?&JUa+5b;X1p^a32gCp6 zsj$Wx{SQwCSr5#+HzW*j(#3^4Aox~DFBtp>zhXjVAPEN}+U=LunUd4AoYK-b;9n73 z{nU#zV;AY=(lW)xaF1Nq)mc26oh#RD>(T8$9E8TUkIJ=*iOKVy#X?!3F;NoL zAURJJf}~vH#5mjzyPzfQk2~-0^W#hnukLlNOWXzXNm&~koC`^vO z#Skv(uh0&q+RgS($!C@fZ#&y{zQlgX)FdRRNY+~i*keyil!Y@>3^x0Y7wSq6I+{lH) zcZmwjFUN6&K`O=Y)T>y-(lj_E3U#WUJ(=S82ZcbLUx(rbDmUvIW|aJS(b?%`MRP@P zW1B0kagm5iS=wLe{~chEDh$$Q#K2#jZ{isdd`1axt3nJ-oS7sKY#R4a0ncC!LOtZ> zt8T?E{1*TPIjms(tGc{aYX07R}8&~%{h`+-Y!BTe?3Tcok{E*-l)SrECk6}Z~#yU z36CAN~0_su=EcLG4;bj-2E>WV)gV4eFc=50P~c+Z^9J}@ke^>#Q{i&QF>_z zA<$vb^M_nUE(<35#qW$wR{mSW!XAZ?D^p~85)o4BUCsKnkX&HMK8ToC93|pIJWtej z&OvXjschj#SRvy$RMx&1(5x$ZKl>i=X^9t)l7{Vw=o%^IVmsbtM1d zZ_+}%%|$7uHXcq<7dh8XYTJ1 z9A_Bbynxk#QP8?}ZA|>}L(zmFM)>Gzs?4~(!^b3M%J(1OU%pYLfZ8Ju>ilTKlR=-C z7x?z6b^h!d4CoP3M_Rp^NwK;FP^%Vj9zBX&t+fPIP50OhjHADwwUI_JJL0NIq|*r3 z-jSj{uC-_<789vdEMY!#g2eCi6 z#pLkfi_*>OinrD$9BrVTqVkikt(-fWA^&>O?vuZ%=|>JOUj|`lING0#Q_~w%YC&6g zAe{ZUDwrWJ{~;mben^PAe@KY5e@F--R>OL!pZw9$PyXms@N`{;PlsIla6pYN!LCDY zC>8B@ak^T|aGF!DRGZzh&&`2AFJv(2FkaEWAVlrXfZM+y1R~+W#)-k3b1y~ZP74`m zao+?&@Lz+WzXm^bq*wWWK?vgj3>l$@uz5t~C3Ts4GivrNx9@_Toj`W=xZWDYmy%ez zhJfs&YnuO+KQdqaH-8iy>M){0JlY>cHgmX@WzBE~CTz|&FBR@jyA3gK&}X*#X4S_) zw43sq*$o?O_kb)Qe;jbP1qqT&rtkWvek956O^EFPv|I3--HbScIoUP_es46+#68Y@ zSdFtHdiYswRLFcLy$4nNdRH-Ba=#imUh6J24Dly^1jLY337SeKh?AcB6F-`wGi`5U z|32?4$(HyKpi$Y5rNHaT01~QF#h|=ju#QFemUKQlTL?G4Y{nKAO`ne_3Rh)WQ@C}t z{J|hD{=pzpQlv`U;AhVJDA^$AbdKyU@(mpgss00lAT6*ngiTno62?U6LMMlHO=`M> zK#cdp6T(tUl(;lv;g^8}*`E84`q9Qe^`q)E{j=f0RgF0aKV@LE&Y;!vbI@DjDK%s# zXo>=Y^2ba4(zeHG=-W|7ww6tk$K%E7*`Rm#s`rL=>GerPR_WrOtLx`>@J5aM`Sr=*^!CZGe>@dF-cNBl;x`z6 z(UlFJ!id;WZXx7Ozd*?Rnomn4G`%lNs_1O2Uj;gg0NJ;CA3m$<>ED21A71dWOp{jd z?p4Kf3;eFsgLQ8tJh?(mdM@DC^TrR?quc{YPGKLCGWjc_8rFK5RcW+6FO<357xhaq zDs0NG+p~!F-qf-&9K&-87mXE0yfwY9cdOWrtN+ZU1zn2!fhA`B75e2jcR+4Uwectn z!_5gGV=~@b!32t)FAK=-xIjV!ix-`+Qg+Z|ivu_7`H?r=F;_ z4H9mU4`cexAxD6q%K$$5HGbHZKaiu?`7N9p<)0=Tdh?lF3m+#=Tm<5sT-# zji!O;+YESnfg2@77=DSA@CSzhdfy6bOVk!_Va)`E2m$NyC>Nh00xLwf-Ht4F`kJ5*PNIqiTwp z+wG#^p+$ysZCf-7)b+24gwiYEb@`}v4+$uq^e~n$47|NtK0~&duTRAD=N3!%gkq+L zc!L=mN1LJEiEyi2*U*6ZXfXUMLEtAm+?wXdp$#YK{!>li+)d4Zv6&-VXGkp8@d}_U zWH1Zmo7R2GlN}V176~Pg?5RA6KsPC^fRM7A{H`$9M(g($xV7#)IKFVGU2R$@Ko`5Y zR!ncc4PEI-e0N6@e#e;VxFOkGqUoWK38R zFST%~cJ#b*vJLW5t3k#xaONoCejsyNO#_tF-$N*y(jfECJ{JIGbU|H1z(Ds`*3bBU znjj$JRX>J#b*y~Wo+8g^m3n%IVyvQL1zD`-0R^Wx?a#l{@U?A zCE_+{7VGJi_;pE#-dqQcETmK2iuvzLi7N~8GL@fC`N|}lk4KcdY36oALGRP0av$8S z>|2bglH*GVujjuDv-nHru=O|t->OSzfUNGshTLA)gywyI=i%nbOmchcPeFfz>nX*? zYHNZA;1-78^+Z0Yi%PBfI1A$1$q=?A>WR#Jq*LuJ@75{Vn(m|WUAJmtrEYMPTC^{2 zaHoeByz*21d9K-HHnL;`glAcqg0q=XFK1DYgquayU5baCh8!<<69XG$&TVTPWfH zXHhW6TF8iFVW(UQfKzd^m!rt(wFu`vOMZQ;j`c+=gswFSWOM8ekQ~+Zo}; zU9aucW!5^*yd;ruHH%ndw~mIblr_mazqo5H_I840bZ6t%WX#^ z2WlG^TdtV1|Oz|dEoFhOV89Od5BvRPZPmQHbz=$wwu&(lvgab)_ z`T!2jBrdfUrF8yCijl1*)voeNe&y^W$QX=;Q;L~@jYW@64CQgVv4}o1*%;e`p5wPQ zeLWJwUWf)hYs^<-mCAs}7Mu~RzH85s27YrfR_q4v!g7~WX>l6^_Hq1fdN`}is=I{p z5wy`bN)*-9?lHePFEN)@F7wUEDx0FI3G{FnO$R;XyI^wRJNj84TAZQMk6A5#RdAI) zumL@4Ra0{QB*GB-Zx|cfbpfhndp&_(Y3!P-i* zjq*g)LNmk4lGet7xK@({*x`}$-IDZk3hGqoOPkYiiy9wSfohAP>p5m~i8IjdV&FMckcm*a&bf6t!rNB_ol(q^%{B^=rc$@p!&4_+@l{Lv%|ej z^B%*J`d@Ev*v?)<$Tw&sTpY>I>@}&}+@nyhPkosli$3CR{OX`*i}jfly*$ea<9J)| z9d5|Kehshol$2S`(=*sB%4t&)0$fv4lbjPf z9#P209GlO_44chOixmDCs5i$c(_7_KQk*`(MVng4#hhBmPdmdcR05_EW-ARMT|u|s z%E3&VFG@4UmSn*$6k;KWDgo6m+cjz|Ey5X7fE_-SpA;o-{`J^svuUJVh{;3OvZ`G+ zOInBt8#XcLH+d4>%jdO$GQ2)v_b~l4Lhpop%cEe;#wKTXjhC?1My5&G(~bPr zSoST(B5vh+TF#E9C&=jHZzKj&jU6ZA`heiu2o?0EZX}K{5>0gwbNk!@e*GUtBB(e! zv?eh|QDfr%ID`q}u+|>Oz_gxNBB_Q1sQwHr#L+_-yCNX%40dft21+5cVmOUmHEg^T z6?=lt0kpbMI<#W~&(aVVx0^d@+o4b!$=owu`%@U`r(m-1W^W0F2Nd&eu*xjX(^JW(^(dO5vW<$K z04k3V?FmOEk}C=;nNjjhik{*xs!$e@EYZ>{CyhxH-Ezt`#*W`29z!W59^K88tNtoK z^GLK+wmrwv8brcI@?9lwrF31ksxluIsIr$|(?S{i*)kbW?wx0DDMNy4r8NJhsagvg zz^bi%s48Gd&H|{Ih{&9FroHSjukc;Wa^z5ZUD;&& zd5~d|QokyW7=WqfXnYfsBlqVxaRpT3KY8OW6&EH;^OaJ~#r9%Es>mFIyz9wh!$2+w z7pWZz^g)3Y+#t10HOBT+DJ!bSlsx8;r5gX#ml{%bRqzz0DNiXCsOy&DV~8D{JK!n> zHZJ6xO~jyB(r6$``D2pW5N-HNH?GBeHUEl4vQjz-b z875ZeId$Sza20~Teb!_NzdXXqFCGg7bpyK5n5uWffcbc#UyMIE)03eMTiz@OVoKW@ zEALN6M-6M;p^*&&134RN)9KN}TD*7b)7PTH9C<{NP#VkzeDM>5j)MR)>;tyL-=<`8 zUFT53i%!uhgVz|#Dp~8J)JVao>CN?u!TPdj_S7Y_9aG&H$oOCNHwwEJn)plidYqu7ofWjp|B$BtV01&LN4!&?%K*KRx>qYEWKhE^~EoEfV_3u~A%f>bpBpOK>_7-m<5<>7X26lIQ(;Ca;7kXML>*^Cck@olR z1ON{peIKrzgKaW7TXl|8J&DV=05BGsVZ125&sWH}V5yuY^{JKP#Q?9YAE=}8a>$No z_Rp!I@XxGuC3hD~J9je9qBm|I0MFr@J{f@lv%Aanh!^%~*(f7Prn9;JmQvF4a zo1%x;#}!f4tnCAoNGzPUyFxRWrNirJ>T@t=t0=9qOG}Loo2spanZUGe3wJx%g70SZ zL9#Y1t~7#o25jIU1i!i=#nzON{=XufC&h|_@vhRiFNh*0<7=Qt^%!9guTF@*mQdk^ z_zfS#P_*3~7>nB2LCB}nFl?*=1`IIWYEb@n#p1SK#sW9EfPc%KV&RgRa>END6so9g z`s4&qMj0=4!J9x-uiH(6Gj%ykEP~+c?IN;F8Q#MzXXA9*T3VF0{D?L?%**Zz`{=1Z zFAr^$GHGllR37scTaIxCn58*;*F(pkIMFj0z*21)yNtA%1Ge$6G4z>`*Z115G&3MC zne{PC!?~+r6>w9)ekH%wc$IbQ8B(3ult-ov1@QLe1=b$eF4aE##7v=*SM=75<;t|Z zdo5pXCZ&W1F@;{Kn)jNW{QDmj`?R~FWw{DY*MsIk*+qO9vP!z&V7WDAWi;JQpvYnG zK;&&S5X7vS-@S#gI16F51+k=-vlSAu^?I|W`H97Z-+_b?zeUyfXwr7vnP0r4b2ddx z>n9Rq=&e+%$q0C_WMxHU@=G|w{$Ze=XS4OE4nP?8!3;oTQqMzYR2cBmT3Xq@x_O{( zO~9x@&oaY7_=3*~C44C79SXp0%Bq(K&IfDZlJe1jiU%9C&xn__lj=(tv`^SzU|yDs z0k3%o=2&)QhniCyRdBDz5^iwFvN?S1VAsvOiki(X#6P)Uj9RKZT(3rrO+ce9XRo{j zB>yxco%iH~T8KZzQ4<~Th$0z93AH0NWBq$jkG(5(b}%Ud>0R1u*&IVJur|L2ed+k8 zilAwoe;!POtFn{!OgQ=us9Md7`NXDv9)#{F1dIL923XPOk#z$O_ZXs#8S|n)4arEQdCdao;IT!V%Y#nbUmdDPNS?0CUJt(5B!eIZ$U=FM$!zj|iYfPa~MZ%HGdJ z#&koET$nWDHJ5b+QR+{OOY{R!FH!xIHEXGFEtC52j2-s*Osmu~gJXDG)HQKXbCvKy zg|hil(HiinZFbHpWCL*Ob(zklmw#kSC;oEO=F*?Z z-WyGd4+x+2fmH*3usoXtKT(Q#z9cM@<|;Gra8`(|WmkuQo&L-cP2adS(?{^X0e8wq z*J3Ns+uN!x0g`KZTn`{DtQ@wFZ#*eo)v!jBa&K4g<~8;~Qklf6i;Moms+`Y-fAMnG zcDH{u-twuUal&@7(7_s^%rEcU^3mV6#8!66a{C}mpY8XhZ=JpkJ9bh|AUYEd23O@O z#1v^MUz;O&N#-x-(4lCzElW~?jH2v{p+BmA{SL_L%U8WA$8{ z)ZU+gzk$Ee(XzV9pSxol+ivkZ0M%u1-hdV)VAc3lq~^x&zvE9iy>feete~;@hXr(z zz!dpN$f^aMAt1=Y;$UnqS+S(+$nY{}v6y6+;C{vMF$c>AvdmkBPNZax*$lPIyx}-Z z5Lc!u*0)LqomqJ0*DZ}oS_|w1vP9xz8jUF_L3qy=nJlWmy(9($maZta{DabTLI#9` zKAENEkXm5t@KmDi@w>v9GGy-1EDEkTJ?fHM`Yf(FZ546R z+uu5~$ULV5@Uq+t##tY&Q= zlMth7!~rK;d9dyf@q8!)jK@-bys6}?-2rlF@ zr&K{JSnm))5msHsP$;4j47LFS_@byOep=34kRl|bHROO(f_31|Xcw0{VSNkrPp zrA#MTc}Geu=sY3dP#~U|>>2nVtu+JL*Lg(3#GZo!qBP|ez-?WdTDdzoD+2d4l93HD zoJO7tm0u^!R}{NRX{Q!lh+ilftbrOhGjp8Z6p~bLs}^h{{{y==c$li2E&!BYw_~Cm z)Myueq+2&0sYrVGs#9P=ghwK4{p>F#(aKlQD*&2sDeRj3bs}bvP)QW^VMTF7WDB6T z55+gHfyfJxEPE0owxE$*dan;({FNImch_C-*7*`RhsUURho3cX%Xjhv{k&91Exbg6 zShmF$s|v1{yxWSDtK%q67|JnYS)MY{V3d(bOeD`eW&TFbCg* z%wfKyvH2S=;;~yHD^@BJDotf%ftHz%6|lV*OLXx2MgtexS z&2`FMbAkHJb61v7OJfDkrA4FBZ1~f#1&>QHoek%17 zw+p=K-8kgn9o}E62l3dVzn(Hlx4s9bfxRWWD?r)hamcFZZs`@bw0&OVeB1if)7YaK z!~LL zILj&GvRS61vpYd{PPT<~3f7gQ`2e}We`6cL4f)PIkG-i%l~!iUw$P7ye(brZRxS!E zncs?LNOu(;5QyKKHy83;&mfE|SaL3@Vupnm`}4b>Zkn(A8OF*6LZ6tTUQJu8o zb!weAC5*Mu$)BK2d1UZ9;$^ZP6XScbdxz@dOP1QMWOp0mA$^(uP3$FWb{%-0Bw{mSX((;AUf0P5yLS{tUY#}O&PXBB)J>7X(LqK;XrhRHi#GO4VH2L z$fl?-(kyLT|0QeB{NiQ~yobtOpY4fVl?RV8tFFcFhUssy(tx_5e3o`YMiT{VRkQ;y zMXq~Je<8z2QH>gm5VY*x&RY8LZnGV}LS8-p#tMAOApeIx1N`sYyk7N;;NEl)7}_P0 zzikQd+B9IXH>%&1wlfJB6_$ErNyY+E8hCA3opXm5mFt2JLk!+!g9>snXc4E6Xh~=m zymBe&s?ttfO6U=V-JKti=Z)!ve$8t#Z2aXZcd0dgrZ})RM>RcqM!?T}Is0Vw|nW|%`73{lYj@Boq~kFFr~fP+I2yKvF)TT>;Woor8QmWLUl ztZuf6OV^#zY_E5TH4`!M_)CGh9SIGvBFR5{kb7O$W@gwFc1s!09C9Z~x1&0JvqToD zaQX}hY-#}&cgwULx*L{}&6@0BAY6fDb)?iKj#nD@OLa}K(l%wfmCNgATe7Wx5~q*Lh?M6f=x$jY?CJVX!r!cHLyxycs$A_$!vp^scf+WPp8-;ABQd z+v(PN@Woj3=HA-RpkZ=h+nIW83$r{1SL{lPR*cf^YXN6h)Jfw)gE*gUX8}<5M%!4Z zU0#u#$AL9q+?p*>X?<N90YnQT>8Mn5+2K?_~3thnJx=fc{tUaV3&4ilk5o+gd9vjw_gkT;u; zl6pAmce8NEoGDGMUrQ`)CaMt0%&k(t;yYnDuLl_}Z8F8!fr~^n*VL1i#1)ZxMM-T` zTRtH!Dw>!OuRHvhAXhky-obF0WP)L)ohFnQ9&cJYj%T19X8~=LRYz=qqK~V55iug_ zHVBT|K{n9PG;52i$nJ1d0Zm9qsedHwn1m@1Bk>zC@3h@zjx_W$h?+1ixAc)h0&^{c zJW_P%+cNc80%#Qg!g+?U(yri$^MalzhGv#PFQ)Oyc={5P-uB_Z`r@#M)$am+H;JYX z3~Yb_rZ9OlNEuE-C8l!~dzixxxu;;e0+4lqX>Y`r!6D*Sq4xj@ddo5ND5gJ@m@m%{ zB)NTM{Fx1JY}42OsA;3uFpuoThx;RRK7@3}f%|V21G!w`k~|qZ-a#)lL~#yvrZdW+ zu?#z?v2g~&lulD3yKeoqBg}21_rp5mT#?0W&&YCf_aMv}Wgx;SNbRPGcoXzeDJZY? zad~F_lnQE=Tc7)^Rv`D-)U%|z$qkhNS2dbU!#&W%#$OjoV@7yYf%BsdMSh_@41*() zdQEE1>!irBjzvb<`>B$tZJ_*%f|>L(5n~CEdkz75x(4EsOVcc4gs9$A1Jl*Dq9UKZ zai=FE%?#;gcDl$2vTYwa7XbDbPijBw?S7=%>pg>3hZo6hZ1gyAS4RkVY}!7vlgLi* zC>h|as1~lRSQpPnxNlzIOtD2!Y&qyYl#52k7qM0>GQ7V)t)tsAI;7N)e@GI{_sKZ( zmQTt>61jwiAN)D{5Kl{y#%~}#XkX^zKj_HCiW~}sX14P;i-N|pce@2helfDbg=qC_ zPSHLAM}ndhU#CP@!r^unB|m*&dYY~}wn$!w^I02;p3cTc(I?(U!Wo2>S9Nzl#$9AN z+EpzWfYQ)ryPeb!Ovjgk-3Ttqm2IMa59;z$`v}bo9BbB|MI-w7vM9^f(S0YOHUIg| zissUf*YGt-gR?{fqiZ{eN(GkI|I{ingF* z+qP}nwr$&$RBYR}oeC?qZQC{~d8u>mJ+B|`eWSZa_vqKZ@^6nd7Iu=o=lo{hr%Jm- z(MVq^Eh#iFo-`PmaYZg4l*e;%P@g60f{`Cqy1H$#O`8$b5z$uODxA0}2)gryM(sH!z%00@iGGQaab`u{fk*>;kv{^e z9O-gRN-`Yj^jUH#R`IIT*}i@(ir`^Pd-S2JgNXG4oQzQS`|&FiQ` z$vs={(|?o?_oF}Q#k(cfH<~QTEa5z+$~zxm9gJf4M7x4Z)B%!UNMft`Pt^jA zg3Y)@Pb>6@`U&cjJfSH!mkzzkt=)Qa-YDR386emX0rej9Tf=XTHr`2@pkyE)vCgfmH-(PMwdt zve9r(AVI*~Zgkz82*F#HnvdeRF6If3uBRO@Uws<_(1CbpVNGY;$rfPwyls&>x}L-P z@OK}y+9NQDgZ8ibj)==ZrdqUBY!pJ~`cuWtVm^NbN7=QT&=Ds>m9 z!DPka8iCP{WIY z-~B%P!ipl}?m1G&?~4G==QecrdNdoVsYQCq%Ye-3wh`$Q&8RaOYxnrY&PLBB*+4BS zO+V3QHCt>naI~h+=RO=0qH&eD$>QaEu4KNaeV~?BoXq<>ytAs8H8CbmEm?E}ej>-k zhIaNwJVy~8#W4&R0j2N6I(a4Ym#|6<1H<}rla&?fY~{toLm{8egaAkpt)&@76B%T) z9yLjT5^DG}mOvC1{F1|9wqns&kR^zutSPf%Yc=AcQ?5996_26%RxxHtgf!MIkZKhM zRXIgaMaRHYVVq>7;uJ);i||G zSx0FEdJ>Aw1-80~v(>n04a1zR(`_-!sww=f;*F@lerXvX;Kx1qCaV4F|P--Q=2K-HdkMpwKANT8oGN`de4!Cg1AT=;21%p1tGV+c0142XBHY`Dd` zRO5B*?RH$!h!Dc2+adPKiw)trYaXUzfy81r!TL=7;zl16espL(2P9+1gCeNS+*ccjg6ez}WZ$4r#VGKg zEKh008Z#7;LmLTqIc@Vx1s@7@33V>7EdkHheJX9@gEs z-Hxy;&eubd&`^PB0^`^PA#@ApV&nJ4nY(j+Cb@p#J58Q)Z4p@GY#8E5_R<*9=c3;F z8~MfNSfHpM%1>Pk1$Awtry_y($VKAHdNS?biT<7#fdQl7fLOEwQZ%~{Ja!^T1uJ?J zWKcR41lUYRq%Yy~)T^dVkd@!t5E&dYGmy6lvN|Fb=~*Ls34ztfaaf7ObgWhVA^*2$ z70*!o>wns0`s=CwTi52l!mocfdH);u_1`4e|NWay!c6)M1Wc@Ke+=?lxcoj3GwwZw;u<`Y%4$igt4eEA=CgULyGViSmq`tFs#AWj(&O zbv@mj+Lp7~!o$SNr=Nd(ob&bQ)m!ivGY>~cFVP>@O8HC+6R5o@_$m>k^%JBdpSL)K z@2B{>H+}xJDvtBpPtmivTea=Aq#j?_*iE&lx3Hhx?kAR(T0Ko`-q4JI7e%)d_u5Pk6EA|51O{;z;(nF zW9@1Nola9}Ls^i-ED+~r(kpZN$bQ>D~MKypwu=zQc=6 zsu!M(=`AN3=GtOpv;pA_5ND5ONkFvrpe+ZrVF1eM?huAoK!hJ4irI&i;80%gfz0Vj`&GtVo%>jyC=}hpbFay6XN%hqXP9e1PlBx z2o}DI0f0R9Mhk+Qfe{k#64ms3tQP@`%;F~J7m$m|WM7%0vl0M#!MrNg3dle&_9;g~ z`YjwuT&UvHA;^tMP%|=8fW|U`Z$5{h2b0Y0clinayZq$rWJ-jct@&MkV$6DC+`KFK zTYd`qE!{VJ#(gP9O7l2GY$tRg=oI z{5J@;rmfAIi<5dm{UBQiiCAR^w~64E1GCB#x`G4|FcgEF5Wtb>^M#;}7K~_lT9Pqt z5(D^%;d`ui?$=5pGv@sV@3yn46~^b*RNLGu#i!i z1%{bHV;bpDSjFQP4*XVWVRVUMmka8wuMxGd@A6Yny9zRy(BJY?oOwqdG*F9FK~&icb%KbS6OI^*&9C1W4mMOyR5Mo>2?08Y(^NhdT=39Xxhg4X$Cv-RqM|! zFudSLSM)}b7rAfN|LNwU;DlKi=+tV=fRR2?AHYpZ+$)o0QoDKyD1Uhn64H7$WgIsq zsn&jtC9q^5-Ic;(_h2DhjjgX$`BQtnV`_Ozvxbr>L}fe}N`{8D0I?sZZ6s5VLf_65 zq<|B1{_j*TV#mbasosFMG?uOJsotk8vmr0-GUJQRpZ1OYow5iQPMBpQ#xbO5`l;Ac zGen8H`eOc=(uZ0a>yZlA{$$1RmdgSxQM%@yQW z^hsT`|DywI4E?N;NS7qpvhhfrvO&pV*s}Ao`90M8z0!;FkD=ZxFD-!}ENopFc=W6} zsF)6Q2daq+M+y!z3XY@JfW&907~X;%2eC8*7LQ5{T1C@r6PC=7fc@;}XDB$ffzbqn zezDy`v91{;pNw24AUrBl0XwRi4?-7BbCQdoab`RBJTGUwY;_PN&{T(Tq(D2b9EO5Y1 zx+W)L;_sba3v|)~V(Z-*b6^g7VnWy?QUwk62nM2`f)p?wNhwUCNXUJ)`G{zyO6%VW z>}<@AHphyM54}Xj4_|Qulgimry-7JWBr%7ov+tSS1wGv<3i-V#QN@-xp|eIU-RUE% z`nMlqy9mSyQ)fosOsJ9I+_5~`!^?Z$v)p8MbR>J_wfTJ+qb?fYPMMmuKUdjecha>o z-oS?|;#<*CE*tc6Vp&HpW2d_F<7NPfPnQL6?#iI?Y*VCR# zy^s7=vwG_Bd9~+*#j8mNuYUKoPTBH!;`-&&lUAo@PS%ExV(mX2SkHesuvra)oRA4VTX0I{>voL|sjxdSbK zA=sySSx;WywmdyUIVa%D2E?#Mf0gx2vx+w9_kV+6x8BI!+-B>=b@0ZRJMI1*f*nt- zTZ)`NmbV;(s7AE__ih)=pDQ#sb?NOjh0Kn)wGvz+0p0c?cqTD(*5~}LAw{8A2gJDM zVKcD63I~cVxLYe9r>)dsOq*6@5M%i240P4~fQ(lrFcz5S@VJN0j{Erdo%0|yGWhCd zh=79qh>n$in(6)!9uSO{IJ>so0o*Btf9azGuwf1^*vpU!))>!&P)0pTg*Jy|TYOcX z%v4jllDBnx2md@_vhF#Cf>It|K~5XyUl2is-z)G4MNNs#KFd=mg-7;LWQXv}n9Zqh za?%H3F&Y3P^YzuzwOMS@8H#}8o_?`+wUM7dQFqsoFuf$kp0pBytM+qV7|xuT3%F(1 z;a&5T@2w{PIbzLkMmcvQuGD!zU-&aLaXqrO@G*p$w#G?Ym18bWdXUGPp6P~@9&XV5+l zuhD;Ij4#4ePDc=zQ!vIY#Z@>?A&wLZdnIu?dP7Mtbn1xlsr(k>;TZ`9xlTo*+M@xM z5OC8Nio{pKiX|DNv~d0Fgv8h~JCWNNJZ&?Z&rK*L;*OI>?~ezVN$rV)XGv>pY5(Yt zf1OT%9*?m(GxR|yuC;|*gAun79s_&<2Ks$KAPs5`In7z`0iNF6VliAiU}>-ow4L4% z^^V7Y@FF>pGAtDzUdpV;wo;kZfB#?6dakhH%D>m^5XBK^8aca3G+)v; z6n%_F$-;2t^+MxlyW!pI4A)rKx9j=q9fqi2{T;8eYvkF4`6S}#+cP(nnGoQ;3vM0^ z=QRswp#Y#*XN$@VN~XbXuhI&!I{*bH-%;UqAiiNnboCD}p@Rr%jDw|fXV4)lWCAe5 zW!XY}j-|^O5KnfCr!W1G1&A92@*BrpJU7uk8u(qBeLmc9Tn1tO@%{N#6t>L<^9D={ z=e`TKBlFGZC<**lo;i6Vo|qFweE*~+kK|A|eT=JhF?c^6;9?U3 zvQ+NP`jtj0BNOi^TvL-4%Q%;6Z+t##4?(aXuwtbruPPUDJW%#!9rY<9#4$>^@t^!EK<(<=!)fvLPi2yw+%- zo=#1Bes~>^+M-A3zQL^xA6k`XYrkaEkvoS^Qd~%4t&q~WYyQoj&FbdPcCYODy_?~M z8n>K9i-Pi2Ftp(Y%xjCey3O)F>rig2VJ9E)M7=#*i`POL1NqBit81e}RY$d3*L_rJ zprQ4F_^?-}RwK!QBQ=^Ii4-~B^A^02YsS@onx}Em*0-DZtK07QcDXwGzt{+>AD=@n z7p5Lm%(1FMR{_Xp87)m-L5-02l^q>Q658f!`uQtHvlA4@G z@oCb2D-_s-=CW2Nq77=p$vhEQADL_M=-!QkFN7>pX(%=3fiI~Ezn{Nne>i#cFqdJw zE*T;5F)zNtxob2vhwz8^d`1h!mJ6+tw|$^agOCSOwo4rAdUhoov!=NrlkDPNI7Y{Y z$@k}Gd1h@2A)?B*Vs?2;{G=%Jlahig=@){<2=%p>b4!aA0f2-hY;;oHCFjY+jw*~Y z_3%3o(~Q`MJRwJjVdAg3a15V zg4Q~6+%0|>A{*#3jW1bp>Jr5xNqsG(g$<@_Q)G; zlrek5Ez`xF;i9dF+`k*@kGwb&j@W-InE*p>Y2;hRVvr2BzhWwv_Q#)LNPM*`R*CEDPi#CCUcr)7EacoxC`=jW1Fbc>Tzxv)^Z^(43iPh$A^ca zvq_TRn!|@VByg~I6G45VDiOT=m0-hqrtuQ!9N;}sI`9dwjD zp4&?BEDF~I(+8>m9$9?pL@cyEzi^d)2iD}EMSrD|9}c6#QwGg2{b8T&ZE={dLd-xt zErkH-6VSyeooq*kb%0YlN%hQtWno)HiwOh{ zbm51nG?>#5JrECJfuH_GwJ=9N7mdc=0n-H&-`?{iRW)^^!8ZFAWiaNR7)VsKn(N@X zbeOC^uD2bqNv2k0B7oj&iB$4?U>fyg7#ss29? z>nHO3#~23HxTH|=U}BZPeQz-52ljqGns&y1wv?VbE9_b1eVZKV`aA&oZD0;W5=dcY}G;|+L8h%QTu&7aqt75tQCg;2)zY^!?0AmPO zcc1ND=-)r!wgTvjYR|QAW)S7>i(Br*XPy`Z4Lw9`GYe%(<~Q)Ddy*~s%mvX-f00Wg z&6HWu*Ny=E7*$~wwe&74#&;$XW0dTgRxwO-;RCcAyC-JhcO)b93%LtAxonFlBZ%)X zy5~2a&=kHW&b@dcT7+ANvvS9Yu+M{E#VqnDOg$W9>o|Jbw7DV-2$R?wG(_MBo}6t{ zeDVni-hKNte75s`&-+m|c1vQbE&a88$Nxxkoq^X=3QA9~UvpALqtw4wCZhl)9o36l z-(Own|D~G>_(kyp)axm=q8PYnbpW`wk++(Y_~E1$onhW2ueU3pQcD$UQ%mo*E+C%z z1EC>=7@auW(m9PqYBhq{%mdP*?)-E?9PBjHoSyDceUqhT;6#+4RF)lS%rV0z>?G10 zEM-$mX?7ROfd#c44a*+d9Ciw!pT_Fu-9`?!N#Mv&7zrx0T_oRe_l2Ne-Dyj#{!n|PJxMg@ z6mnfa5!XHioQYD7o7Yp)Bh;L<5=M*J+-Ui6?v=|d>_ido=I0|J;>_{vJ>B-KKKqPQ zF3UX%jO>{w40euHSd-7B{Ydn5O7Tt~oyIoau!6y?Ej&*EE5_rQvcOi@J0LwdvvmwT z)tJK83<+6h2;}0HR$WV%`h%4eP<~Ot#BHFET;j5ZQ?+zD5+>xZhMMz<{pId#u`+ir zg4OHYi$PPhZ5pv&{4k6Mr`V&ox?T_*IdM+tqD1@mii?rZm*$g%VPFP0= zS?k4-O54Ww_l2*mwFsR*HDMtHHllLEiD#LAE#MA8kb64M$PfBCS*4xk3Ua!}u$L&r zgey$x9RiOzwh!GFL@CBtq)T(^=_WZHNr{f7?+Bt6;w-V|It5(dC{KmeN-E}S5+@<^B8wN8Ta|N1 zDat(@<>iKG%W3B4%9ms{^xkC%ak2?@zP$eM;@yT&IgHj*LNC>!$r+;4quT4ik$0I6%q0!3v zm+2(WFh{N*KEWdQCaQqbCd8mDeax=*zsk>ware_J07Wnl(@$h=t=q7UzkgOsc5#j| zf7(TvKR9KXi~W?nX~o=6KS}36;#HR3G>kGYUw_D=?*-_Hb3q`99_!X5YuW7~(p4oKC=vcPtZSZf1>;gX#G|Q{Km{XN7j0 z-WHH-PDVn|zBaK$UOBl7!lN2k!*&ZYk;G;C3sQ%(Zf(L%R4udq0EV)(Wi1J=@ zQvoDC3?)$D%euUHDdo-AxQk&z;WMRx=$$rHY-W>0%+;I|Z{YIH z5Z(B}35Tx6!w!qC0b6VWHdC4lN=2an?uxXUa6{WFAn?v0_iu>!Jby^CqM~DlrdF}L z6GYyau&Im0LGh%9Mnssa?wO>d04DjX5EAzWuVt~z-!5{ClC8s>aO!J9q+xMq^! zO%$H)*+(yY1^H#7{hMLv25;yn>zygp)D9k5h48?sMbd^-@qQ>6A>~uu1;vfv-#CLBTpu%}D6ye>%*@dg*l%l79axxgG zcG*dkZeCwzm-L_4l2QFnNUuxw=Ul%XEM zv{mMR3~uv{)t3B`)>25ZpE09$x0{3V-X7JZun-3Irb|5mWrMdAIn8|?0;ULgH=2gJ zUM6x**BXK@Ew*2UvRBt&x=7CW*6rCWHbFVjbxEWyCGgnGABY|wVlvi2@JFh3kt9u` zn)%a=dK8qMd&<9;eE}aVMn4YB3KQD?R5$t3U2YfjZ@z`xEIsP=jQ^rQ1L_j= zrhVZOAqj*`s(KqK?-1FiDA}mZ?R^ZW-y$NUP$|3bomo-nTS;p`bdl2l~OP|#Dva}^mU`j7S6 z@~0BQ6|S${fdmXhN`8~&Cuym{oRM+qW`>?sLjEQF@O58n2rp# zSz`YnIVVD?=c;HiaJpGM>JYgPO8QFRAw*K7ni+^$XU@#VE9Ncp*m>(5fMR|d0(f{F zXP0?B+9Y-RN$J_X36V(=2EpAE@G0+5=KH@sej!b1l2RA5@G5a>5yEPprDbuG9mhEO z_pVmizzz18{D2WWxcfpB!b@u}*4^IgbD#lng^Ai!pn;TD$1wXj_38|jNHnAcItncU zOe`?(=63{;GlL;0(X5Kej4%j5^C2U_$l@8C1_a!0B(=NlGiG5)VBN?ddOXHg!jXyI z>$dlHgFFyB=kAYKQx5(D2()5*%;zNF#m*1NIU#Z|)5dd=!7hqW>lQa&EC@`98b_F? z7p=Dx2;QyK+b6(H{?RvNsr_3T+PvN-g%U|bE+rtYAr}Z>vmsPiQ-SS=Jsl-(*?y7O zVobaTIAgg()j7RtwHz*VOI4eOdV^E@-5Y?CR8j*0)o}PN-H%w@w*C~o`H$i2Yj9vW zRDW{*O{}oKr0mjAPTHsd9Bt3OlO-@!MGyHA6hL55SpLi&qR{B#3-V}wcaeG+0m2WR zxrh}&W;4%E$$_LCLl%woEtOyB^C2m&r1pu=VOIpIerC|wWHo8yTk(1-A z#A60a)$u{hK%XggJ3YB|6yzID-rtuN+BaaI-noh2&QVVpBkm&RAs zWhGHfs%Rbg1l3|TUg8ksCi4fLCf{v{^m8hbUV0yy#_1 z>M@j6ORhq3c{>%GRW7>~Vf$C1!*=7;n&y=d`NYatq z*GCow_h3%0)SzAVmku$*w1;^;-YWxCXJPz5g4G+7W&Q+3ykojb|gu*yHfX_eiUKm}knBUDK= zzZ}@&-#5cR0G;S&&ACqId*492occf6$r0@<+aKBMgV8Y85N_tGi6@q3Tg`@zy~2y{ zZ>JTg`})t~D&EK%hpS^dzAVzw62q!s;v+d=NjkkJA8)|MGZp-2-eG#-QO?ZCSq^T$ z_8E5T^Ow;MnHqz)fuvGJD3g8a(xDHAosG~8J~ zX~(FXwyPh_QUljM)dnbW+q+=rx{sa!0pP7J$3Y`6rQe2jqM)q*(EN!dpm^@I|CQ?*5q!N=1|gV$MJy7F?ElY$HXr!A7t;=1b?w#)jfJ5 z&YWE(qx?qlTP>v>zG)6$Gtiz$>uwxRfK+r0()h|x>nwWlUlfY_EuEKA#7nn$>O`sw z&+XKsZ<*-mxZ8n`(R}cIBEI%69gDzP(`(+sEeT1X3 zZcQ&5>H*s7o$rXzT?l1eulRqztYJY2y8ejZWm7Wx(95LGb1G1!+?$hDv6n0+iS~nf zyH3v@?y@1b-8~rS$jQ!rJ<7BvGQ*qUvrI2PvoZ#wOL!CNXWWe-7SyLddmZW$dQCwy zCK_s^zCA-IGYpTLFR33%Gjps}SFh;X{HR=2t35S-+pXz#|@G+y)1b zqfvT6+~he8JuSOyjSU6YliPb-vm3RH7GP~Ziu;|GkP0k#yB^>%Yd|4|LCsy7|4hR6 zJbFwi6WjPnSkr)UHgB9_k)Z+Xz}dDhH%v8aMa;17%k-{rnB|vv&GX9zFB)XP5t{p? z8kd6wsd>nOvHH)R5X&s|-Y}85BdonbPn7~42I)|C#wTcnX47k)6$&R}9Y zxKsMWC~9E8>MDictY7(37U2P9w_iJnh*fCY?B)PmFK~7mtB4hi;oa?;B%c6g8T-UB ze3Y2R6op}DwsQ=LFXLnlL7wH5@%mMbY6R)Z0qtJ(c{RE;l9Q&k#|_?-u-Ft3i?ND@ z;k9-qdzBR2vQv$Fs}XuCoRR&Fec&|ltAChHn%SfLb9Vx9Ed9K@k-_4$RD}SsYc%(% z^eQxRYJTH#dZZvUx)XanPayeR1NJYPuci7St~b2*=yTVa1agoc+@}C`dhIZZ{q{a6 zHKwF^2RodqDAQa+Egs*Z@fiEi@4bf^5J-0y#RuARjiZeG0!?pE3xzolc*iT4!0*WO zo+CL(px)4Y`nZ@V?@WYKM?OZnOwB&;-zD(VCQ%XFK|}YL?=mkD4TX>CNYJ zdFSztKkpYGRH32|0$Ya=gsOs^`m|Sf7?3=_$W#qkr=Utt$bLMNXj`W109)$prhKIg zbATk>1+3$3HV~+{+G+=I$DgyKA=aR=9fpA7e+K?r0j03{kY0JQsIi7~OE-U7dUYBB z5zJdvRkIi{-ZVv>w(V;*y5vUW8oH{)9U2;+tR`CVc;*ee5}>Jb0#&D=fBd2K{k?PX zn%}UV5E*AFAa7o|@q6gt=u02~!@a)uJcM6;Z&t4wwU*Z(gK|{qQg^d_M&weK20cw| zL_YMPzt+Cmu(B5Y>7_RZ_*C(?r#wn0^F>Lz zlN>^Pb;r~v(+E?@5HT&_b^kH5`#Vi)CjkTWKTVVV4UYcDxyAoZ?PuZm&(wY<78W*T z28A#-CT4b!IYB6a5X2}$7}GS(J`orL7-WimpeX?BSWpNC0PAOX*Z=9!{EcD$582j# zWHagi4{HBEW1PbO`)6DK@1sGa#tu`J;UOpN9ada-9O0De3UF`VItZt&aUMc4`D2^ucq2#KD71K)= z7kSxd<^T8&wb=W<{wPfG-PF;syIOP_w6~|@)7jRuslB#aygw$VmtVZdZQRj}gBQb; zRpH!3*I)Q!_Egwj4B5Wii-sLA_prRX_!rZ2II8A4Zh+)<8qw42jZ;AZmo`%@y(weg3@7BZB#ZpHP(&JZLk=u)e^K`L zHWgxQ_v3}tQ_5$|Oj3}VY@>AsmW36b<#B)BU$fsTmGO4IiW-d@(^dr_yeaMxT5dIo zvHyUnPLR41xpAmTkwT+8Q|26c1p~EQFshN5c;05!FhXHJDI6Fto6-g0dq~hB>UTQRQ1fq&X|$E&a7}uQ5Fo|EQHDJv z((kyH_4%lMTp1j)`#M8u)NJ?`G99QIAX@Vtp_VBWJ_ZK8Y-k=xn(TA6b*|yaxl6#8 zd88ZlQ8YN4OxOg=v)n#I!Y-x|9MoB$33AYj02v&-qoPsR0FjPZ25Q)D@dSQ@!szuE zCkO7jh`2}|LwcLZ7XKW>auH=n0Gw9f8*o}vqq%(AqhjWDiBSz+2wIeq! z!GKJ&;^kH+Txr`S*RSM0z4hu9xQxagD*ge)Cx zF~A^BcUOWwma2{EZy`7Iz$ka`F+kVI`C=K8$43^;zGxEL*^+*{g?5JFMZdj%;b4yp z(xC%Jb(dxwKDc}s!rU}svYe`DFr?Imw)8}_;FTw7X|l$OFgZH13{B>tepakNa$l{m zp0a{4$rR>fmr;EdJ_KECFa5_M--VTY$TL5xKbT4Gw*uGZN+0i15wz(Xt^1z{Qg@^6wq<~;`uA%92=eSRLav?*X84>b#l*$W3M1`{fVvu5X@Gr%~O zf+Gu&3_js5b$rYAE)+P?AQ1f`$eJy>Ev5a4f`x--(30_h5Hy;XAk_FgA(ycadh2~ zVz`-h2jX8ZgAz;0aQMk^oJIO1S$B&FcZ%kY4H*ne?JRr>tI>!)lw$gg|Kk;7PVfwm~_txAo( zu}!PWCqt2AAgrjdJu7=!pI+kaV=w^kOXFp$ysgpk*6wlB29LF5oCpAC(TY|9{MTFR zo%dK^S~tB=?!t#*qXTbvyFSLe7pwXNhh&bAi^Z?F2!t`61d+}z(qu#kMjH}xqg zu@X`JjP8iVSN=OBH*{zVMU&k$Wi`{a_AjE|(jXjLLXVebhWQWRL=T?y&=yGz{6qBc zJrcZ|jc&a=i7#$Q(|)Ub&63CW?9gYZMbExAG+NFsA}*ew({R4H^n^jZ+wc2Vc!zihO#F(A zbE#@#tMp>`tyQ+U69@D%OQcnN9wjdOprc5G&8dh+qK{ zYt%IX%w^>RMRU)`QgJzhOpP(&Bygg|BN$@kh#3`#`mnj5$Yc1TM>l`OUEj}Fr@S{y zwOWjkhP(BNmS6M66V!}{E~Q+Eq+0bfRl%|Ut0eb8ndu6w8PLTx_C zwwtq&No=|d)qUQyKU;+NypK$T;l6333nXIa!_M3?tSZ-S}?Nf!1p1yYL?pE zMc?c*pu3+9UhNdLe%V&-K8xDp^}u*rb4_u)dUfB?kNjfQXyh2m^6;8#^saoPvTyz_ zj6L*jI6$>Muj3iJZqx6E+{x$Nf6TR~9y{#~1cN2Cygb^>L-m+d3cB!ih|PQuo!*I4 zsx-e>fPIFzLWq1OgBCeK0R1V6_Te85NFD5oXv#^(40#2!f!25d(*(0&Uy6Cxze+(i z3hr0E3qmu^^h4QzkKZ$+kRPr(#f)XlQc1Xu(QP1tXEhsW*tq0qwZH7__{R}!tQzC& z_+dae#vt4-3M@+KH4Otpxxrnz|bEwC2gar7ZAI z0$)B_SY?(uqS5ytaP}QyII!apjCbvOd?55K8eb%ozA=Cj%NSEXOkX?xkNy5@{I0IA zI982B$fQu^u(48WJk~4th4JW>h$+|nwRvk9YPcJt%jMk!r*>18?#)8C_V#_~$y0g= z=a*yGDrt>@%@%ik=TEc#59fv%^yuaDH0{2o#23Sepc~7mvURpjo5#HY>N8jHRA7tb z>#`ohq0KL3acoJa1P*^BM74gzem;g3^#jvC_Yp(^BzPOcgC1M) zi55^y<-_%=r(q#-XsszghGwHHf~aH^RcUaNuVNXGEmh>(f^shJ@>$2B4y zsDT-b6a%CZ9+OA}l~octUfrP+s#pE-yoNOM&~EdF*1x%@i@S<;Ve~N20`16XU~AFI zv=v@CMbl?Z#7#r;T&a5zg)+9lEryH;c>>B8ezAqnwP9m)kr3%Ro*tRf4J@SXW#fNA zWL#gCNX6)2`M#q9fwVeRSdE7%jlsQG==!Y!RshM}$u6SQ5{xruPttF>h|^C-g~4#8 zo2MAa&7sfPJy@lQ>{BX@4u6_h!%%7;N3XDaYdOptJGGk^L-8$`*6kXMmt>HfVcVK}6B->O-F%V`00y;P_+trO^6b8bLW zsaU)q6pW>6TttjzQb8%0(rO|(B-a^%YC~`zETuGHQAF~6d^KZ{O|{mFD#0a9^A}cJ zL1KT>5e}~;j_#|^0R`SL$}W>cXHYQ0lVQ`@p)r=A7R{%pZ=NtvD1zJs?f(5G>_=mV zCu${Oz0C&0X+UYtP?p;74G3N0I+~#E5L3~3yE1;H-p@|{D1SP-d&}pD@_RTjBxahA z_jt~S;I7-$2@dK!m@P>A44~+w-QFfbi|D3eYkBBPtk$rz;+n9fbjn$qSaivB3p6ZQ z(u|X|5<*Wakwjk1(~~k}*-^Bm?Y;Dwuo4YU{8cRB@4F{{J;K6l04AN|2qL>(1gn{r ztp~9wZOvPL6QOVO62pWu-YG?i_?lwp#6feb=p+# z>6cuLL->u_z4z^8Kfn|^z%&>AZkZ?AYCSU(X;1mhYOI8QlmuJ{jX;x7g=GRtt`f$` z94r!3c|4?(GGV1rG^k@`8o-2qU6HAPT7uPwlWw#GSnmk}N(86F^-l81)GeRIwcJ)k zyicVeJD*e1i#0JKwXipa3q6DcQC3w#&73}1ra3Tw*4;(U!sC(fIBEHG(5GCz>)qia zn3w9+x+u>6-o0I=7H0MiThN=(n{~f#in%ZRk7xgnlzxH4jsD8-p_X?{;30O0-@1W~ zo@r$!DZTj8!8ULE4rrg!)M>lh>4nSeRYu*HB^RN>bJiE^?L26k2_G|q^%ui|*I6uT zcR|VP#)ZoI^-gRJtRYF&i-L8|XV1iw`^gK}!*GRDW!qA79=3ixCpbGyh1me;F>fey ztvVe<(fXoFT}TFhNXj4K=#5RSFsIC0d2BS=1IFQ=wKn?-aJ?r@;kqGH$31^j4ba%k zq6gR-S()6phuG!Sn!2^W6q&wpmDJMa$XvfgOhm7}K67^R%d5D9U4V2xm}UQtv`!j@ zX2?Z3Ao3ih^vry+V0mEMoGrgW#Z3eekcaJVAdkg!Spcju={W!6;X>udYCR%e?<6tE zb^X#+4ggbXssV1`f>jTA^ua6n@%Q1Nr?|%1CGvY$E0BPACjp>C`yv3~7qx=fA^L@1 z=)*6*)3sjb2I}8GvG`PXB2JCK@%=#bhzhD9y@>z(oiaB{cz4(R-ktUT>4Xlwt)r~97%yn*RK<8@^RW{21g}iqR&Mk`8bmQ#ci*4Js?PSNc zZQC|>>}1E;v2EM7ZFJ7*uG_b}PMx~{@84OgR*jl#%(>=TV?6I0Jg)!vllJPN!l+Lm zU7oKEV)bYh-LWr$<9k=ou_@$TTap4k%2HU0v^?hHj@bfpso2Jo#LtS4J(=Lox4?phaQH;fqTu&U~L(-U|?`&DTjN>PQE_U{bCi0g92tH zusB>e*-W62RNZ|7vy77p4+|I+UUvur;y;SlO|@STaq&X}gJZ8FOBhN`s<?pE&LG!W}!h~x(;Bq7TJNR zL|=qDW7SqDp`}`7?sIlHL&a{?RI$csqr|Qn)Eu`4qsco_*`e?(fs(1l!ZlILfj=nU zSCqt%nh9(tlQkhOCB`!1nN?>ksG2bsqZ-iES;Mjpz{Iv8u5+*fTPmjPGhyL6^$x3r zKTxE{Zw?N@ME^)@}BYZg(H z6_Nn;fNSWlA_CP18K=~rHt4Zx9@CNE;+2UEDDa1wq3Ww6r{O~w@+?#DtL&(T*wQ{2 zK<4&9bcEK-`maa9jYtM+5c)kOK%6m%Pqy28$Ji0&@G=zwYQL9`Qmy{k{Nmm;j5ndm_h~k`jY1ik;gUi|0oZ^Ggxx^N zTTau?Ru7s^`+H31CZusgl_`v2D&Z2b+Ix_nYzA3S4_dwLH6q2O(Q(w+T3divf>+yL zyi>*!lGf~ENGzOkpz+R|ldA!S>#5^N?iL&>NnlBP49a%Z*&MkF7>AH!RG!;7V=sU4 zRXA81aQnm<(eM0hng07fTV`yh09b6>pIT?+kk z{;$(RweS7THP3yv>KnaP@wy&cPINfQZdTVbEc0w-CL|O|{gKqYUnwSPn&gk&*R7Kw z{g{q!qz}EdsmxAS$K2Z%?N4dOMY&`uBR5VVMr!xJxu@;}S(r3tEY=)D?!HR3FzIajr)hf@v8PaGx(}0TOoA&`A z9e?AcZ7_>xlA16ux+U19q35iLdxLw^nh;jO#;^xr%WZ;@g5Z4JyP zjl2#g#QDpy>L8e57uFHNc;y2AOcWeI6guVW8ke)1T>z5$DMI99JvJ>%WOXG#{Rpk# z;d+$mdF|pCxUA^FT|sa*`Ja~PY+}VMjIJoPZ8?y1LX+>{x+qnFCd6V(Nf~NK3Ift| zKdd5|M3>&eeXDLkPpRZ8C`K@G*e2UJ^pWhB~X>ca~9eW<>#TrNbI~k`IUa1GUR>xGKb} zaI)Oh!~5q5RnSupwykMsQQhtRbF#5cFh4*&VEGh=Xww)C1E5~a5m5D&e_IgC`31XfGSl=5UTeMdqU2D_~}Suqp*MzsOlqZhphVZ!gieFx-})~Ss6TP(kUfB&6!FCVf*JBaGyP)5X(((x7 z6O|{B?3C2W=&e(dtVP}LfUk*_JFXb8bq>URK@V+VZShbF-)14k@_fBY#ckO#HWfT| z4oWwfrIDb9b@{3tgkNX47b*%E>%;dJkNKctm6@9ra+Bc8VT}cG3s~XY!8yqrVr&UN z@q+j`kBiU7yC&2VbGkk_z}rB;in(n}riluywit^88$U20yW^7wC7Fu(#{<-X7OxVb zBIH1ZAqiVq&Tx`~SN9D})DrTgEMbv1H{&^?0Ze+6->V!zN$kw#vh5dW-qn-X4~~gm zhbL1RMhSK6>B;XJ0n@uO7$CYEq`8Ilrxn2_y%J(SQqurs&g~@HW*FZq`YBo!&%(HG zx3byVrHm3g><@^~nz#;jiI~U2_t#`;Ma^v|++-0%m~n8-lDRXQ2Yt?z=$l}|G&kc+ zE^zKJNr#BFyKk((T@zuVX8;h0%G*c#GV+vtBxwStd5DRNd9X|0S0&Ne8O%EDAOd+v z73gs-7Ph8TU%G~Kka-sa&Jl{}qQ{Iw(#;y*BXTMM)j3)u+WBL*4c6>@gSbk+fAC~j zgUz}g$zOSb4lCN*0?>Ptwe*^${@I~u+^Gjn52nI?3e@uWEJ;Z638O$qn$_mlDNRZU z#p*ounGbXS$mTtZTB1V+r4w(86h7vDJ)+kxTvJnZf-BpRy`8FGVa4h%50VV9u16E$ z_7OpXX-8rN|IT#qqT&I5nz5q4xagn}*eEz)xkPI6D6}&a$I??~U!^e`>x^sV<&%q{ z`xv~9T0*AKLtZJ;0QXyJ$|~c$Kpf7>733+W5Q!^=4$>4R&TPK#Xw*{IS(xMdY4i`y zjw00D!!?3TMsw^kkicip@FBpS1%H6U#AfPaG02F9$`V|q53*41g%0{&4iinIbfOfq zAmo08LC1L`5sd@9HW4EA*l4Flj2TGrN-+>w;r6FmjD*(7 z^Fu(K`08ErK(r#Q^=U0}eld=kRwV43B$$kP^T|-VMJ$=TTNSy`#sy-iYhdisg{aJj zlJY+%z2xXiEx?$}<1IcL!PYdfh!oos*1NVk+UR4hG1;Y#;f7<%fzZ^y28R2h{DYwq z4%TDQlJ(O5R}4U~MEC6+ew^9UY!MCdZ^*sP=`FKkoHJu@p_==0{4p&ei9*)p$ActP zMWtNNs|7Jlj!~oTJkP=hB#=y)5x^v1a$t`FrL+=FM;wGd7)MJ0rA}*;D7Qj=;YFa6 z)9mPoUKxyq*{Ok-)sY;XOm088VQN2Hb!wf zZ6mP`q<|K4bFhi`7xp|jM$*K=*+ zw?9~VhFmv!2hHfH<-d8wDxc<6`V&v)-hdkS_3GXmd-imr-ul`^VA9k^yTZ4P#?cG2 z4x*<{d`6Y1i7io;Z41-6R_vSOmlk|OVl%2KfZ^)?D{NJ@2T^+)`Xb#9-1x3fwddGM zna53%x}f(k&@0PWwqf_i+~qR*K)SOk=|k?2L=U=J?(VfOKiR_}=8g({?sDoLLNBSQ_3*j6YjK4lS3IO;4GKVpz&?teVU@ zd%I@lu>dI!bPpQ1S4O&JpqfE*p6MOQY_z_PGdnF3emPmuVvXadc^Brd@P;qHHUuvxrW1detZU@ilc zCP}^b9J4-DZm8dFSx8cq7^-MShfGoub$@>gJ&7Mww+RQ8^d}Je72!Y*7&*Ap`vnsA zCmqC@R%l{S*wxtX(1*>FW73wcAf~LP-W=Y{JTXI@p2ycZGd2lN5ws$l!z%p^A;sTC zMJtdM_Smupgx*bKD24CDS%Be}!)~Zur6a_01||Qx%lffdvvPuvM66_%c#;Ieze-a5 zoH`jObsZv*PpMo)AzmstRGuR6>12%2giV{mEJD#v5tIUipR{R_othoLx6(6>s0X79 z0K_Z#@}i(u_9spLUAme&xwgO7t#VaPV{_iIvpXSqL`Do{rYCF|Yyiqb=&NVpX5Opw z*GBx=2t6(vJde0?saY^x$~U<-IpGp zkZikTcIOVI1L!>{&b{ap9PXfDyRVS=<_LxI)lmbg?Ap)<<{IhbiX^hya0zXkEIsTA ztc?8KH(*NI`LP+I9oBbttjQzoYeSlY?Ipi|pWYpo@i)Y~yer2`!>t;>M{6cEJrus7 zKV!$uX)6Dym9P0A+;;*h&&aeFqj+!~4T|t4e3BnZl1|4tsSc?jVSA)=0y=(&n%m3x zdT#j#Doo)s*S2uog?39rA&~{;5r&!wz-Q)V11jLPWN?9QxL;47e^N-jQ-Uz~6VKCLOC-CbioMY2YdgVlS6 zR&{Q3vyO>Tw35W=i}`d!v?OUf24HcE61@0Xq}PHHy{EuWQLHsOt5&@Lhc-xf;~cp* zb#k3b>LiJS7&7A*mwsAEKrQ4wEqEZzH$cq)&5N60O3-W`{~ho#DSve`Zc`Zk7b>riVxa&J+`f#TP;PW*MUyxhs)N^DbP?{@nze5P>WhLP9 zZR^SO4R0;Q*J`IMZV?b#3<>|SeH1(Wd?)hpa&dis3i%cR^}WKt^!ilZ?k$LV-`MpE43VI<62)43ACkx`ZYR9D9S|SoRV_mNi5~w zopE~OcEY=zaW0Um_nQdY-z`MdKO@yXLMLAuoV6!507>lu!3B+VBKz3#0Iu_x+ldy7 z%vNu{k9m_DG$lxK`hPC=Kj)`hfg2)mQkzheOKdZa(T;)&Edr?=Y9skDZrY=0REZ{p z=>-&n2zkp?2BJvDy>O$ZVu;lwUK%Jzmd>YZN*BPA7NV&nM4T$84vkS^uq~~)E;S6> zbFpM_6`XfrCaACiYF?lNHpdg`6An8Gy4AM=2y$v_LgwltV&D8ry)B z%w#}(KGK{HDsb4PD0Z(qLDHHrv}%;~1;b?Tm-F&KB*69@cY}Bd`s{CUV$U_VdRJao zms9$P=SB)XAwj)2rF#aA505VTDs;33Y00UHx}ErH;+oOeSC(x9b=sCo<&#N4jH&*c zkpJRLqn6b#Da7TMM<8N~7%Y_>3}_+`!hq*7RYn(Ef+D20YJXQ)0lx|giid_X?pVV) zgs0&Xoi?>YyFy}<-?TZ)lHj6xDau9qD7O0N?hB}(vu&swmzFykE|3qnUmrvX7B{usw8IY^rHhxC*}3-$CG_#@j>Ksnf@(D-xvrea9Mtv@d=Ph4@57yUWA zDDR$8zRcjxWo9doYr7qU2Ws!yFLCU=c;2ihVl+Xe4dCH0nE(YKj$WZf(aj^5RINl- zbzSO!pp4(SBZDc$G-=t2_~WEI=oQ7OjAU#bSV*jY(6nMd!hd9Vj6S??*KPUr@$bmMo-hdL+i*u+7=oKA_C0$1NQjpY>?{dJctU0UDr+zU;J1 zb&I)_@4|z;Pfi?EdjGn222P%LjJx_700q7M{SJ*kvt`lU9r0Pwj=S2uKkQcvmU8qL zlA6z=tQg2=aDkK5U?W^luC0`9m^iBH)v2Sr`a2#$B&-}$=R#u!vAt3Y$J$A=YW&eY1iJ4 zn)i$R)QRu(wP`0nsRQ0E3r*aw7w*0)GYEY3sNIgto_Y0?yCXjt$*W&;$#GK?X{Es% zl%h5}AWCGmF`7k8*Rgspz@Vc_7n{pSuAck#9->C z-2eJdA%Lya$#FqSwEa1fAI3^KG2l=ASL;{H;=K$Gt7V`xmEF_s3q|NkrRMC|&iQ_) zHqa!XibLhEs`*nM^Y^pQV;=fI`!ZEo<_%}XXxTSC5=^z+{BT5yrGODHc9gOn$3&UU zv2?_&5!1X;pk%Qa^VIX6Cfi#oGqJ-@lk*F|D?qZR6S_K)_>u9Hnid3}n@5oDPu$1d zYkOBmZp18cTOA5yG64I7jud&a}f$Xio(ajIydD>6huxp zttaLK91qWw-7tH3au?`KGh`5yx2g;u5M&S7Vh>~w`3II4F^GC?GsHw80D*i+bD$eB zlW{>L-Pt>~XSQ##=PZgcd7~nT; zmC9$YFwU7kC?}jxX}c?mE=kcqu?um8ZRF%@{({KP^GUOLgY}iOOw+kCWFRT+waYWB z4-065VXv16+!dAPw^=s|i~30C!0{WP0H02VQ<1~CgE1%P-6~u~boG@?TZ2B7n1#So zU;u$!IKI!b{uK*^ddO-IL1K4Q6qS0DH8f=hWh{5pjbpZ!oF(`$Ct~*xeRUF=PfrBY zCfSZqMoB-Vxy~9r#uqTfI0B4Pj)RkkU1}pV35Su40!(2jBb4zMtbN#)xrd2L%0~HM zs(v}qb8E{o!Adw`e=VTiewSi=?lg>84KuO0Lb5;|wNXm3c2@>r-gQZCErSuQrWXF< zU+xQ@Zr29ID>z7$=kzJ|uPu9Jtfr9_NX%jmB~L{Ynsbhqg9{mixkYCUL&8p-DM+SH zED3gmHLz7W@at`f{Vx=0msFUQYmyMPMl9m0vc5uP?Ja4gRW1QqbfDC~btroxRE*LF zwTF!im8bA@U7Rk?$kTO@ptH4vA=Wm5G=c2tg0So+Iy_|*qf*c&lB!c_HfBT~_qTVpV6UF z&YDl^tmx;HKZ^cETA4Y~CI2Rc!n^vDh)BO03o}FeVd2sjndQn@7t6`rL+89x)?Yp) zY80Zeas2<9;U-aGAi+*%PIG~)Gz43-a0%$lKLa8xJX)X0=9M2~!LE(Lf*Rwd@6P=0 z36pjckIHzV)5CFOmOJHKyIESKo$v4hA<*N&Xp4HhJwxWLH^=-<&&inc8uxo$$YE<* z6T%@GD*t(kFm$l71nhF=S^E9Pz4nl4>qdBwVlq)#I$K8v1+3g|1axvoBvIJ%fz><+gGOG{8%z(8+39l?f(GkHMQqt^;an}XUgKx=B7+}eH zz>RzCZ4Jft5wJOtM2+-~k)+-dQxXG*C|aLMs5uBw|5HC0l@a;_YqTPIpM=p-oXtl3 zM{2?#y#JMu=DRgkKRTfJwL)LGg#M^-?<9r2ASi7{#NkXzU9svgC1Trz-ZnR<#olsG z>ljWL%{+8<^FLrbbm^jMyw7t?cD|{P4!Zf1EYHaml7@X zS-K~i$E{r9?08gRs0VP(l!y{m*UpryFp4%tR|B`>KkvQ_ken^w`~cW6X5U*`U7YTo zuqL?e)1Iezj1{?6mvkY`Fzeb~plFR)>#SUDF==xBW9Cc}X^gcGMECiQKpV4y+fD6v zPiKe{ap>s1_74Pj3hWM%B2sd}6;3*Y67PkCFbJgq-aXm@DEq9*Rt8pelxuQ8dCjPbNZ=T&Z_O z(tiMj`0klHI^DUzO;_kPJe@=Y$|M9~-uLCHHWMhElJDSp`Gw(BlpGq4Y{wuHjx?Q> z3)WW#X9^7NHT38(Ua#px!eh5c+a1$i`U;Z6i;kjEws zl!f&?xn=?0sZy7Pf1fyyEcth3&8e<_vd2D&8$4FPO#|9kqp8*NQX|-yiGg8{}kFP_?GP7Zp zvqg0_-m_6cGO4l(vr4sQ_nt9N2&++)N8^CJG`f+5v|XW%eFpkA{7YR(#oH6hrhXfZhnY;Zj0}%o?1IE z%dg?fVBJC5gNGQf8rve8Rf2LYB7);gB7MPm(e6`lI-Bl znc@8&x?ue_0`G6snDTE*X-mQUM7cryG$W&a28wQu&s7n>5>u6Zzwbz1tjoz zA)i>xCf#*IfvMvB9^D}yVqus;k7c_4+iXq)KD`^79#$7P?_blNn52xq`UD3NIlnk?1!< zRd4HP=}?m-Z0{As(W^!wRlb$@$+Ex+WqA;Q(N zDWp`HvOdT|{vC7+u`CauQTqMqmzO&K0aF@dQ`6V*6-kd=+#u-rI_S=utHyqjWT)GG+@* zvm|4dNZPgr>v5O^Y4k(`e9oJ****Dk&X4ue* zs}bpSmD&i+qAq}cK#~+pO^TuKH7gh5UiM9>^M{5X^_a8=s9zNC;lGNLk>P*G`M(Vg z{ulFQXX|WY>+D3p$jJC})sN%B|6d`n|JJL3g_T~}z|e{QH@&ily$QX7ftd*(AHc-c z_&;c`|2cL4AGj}04u=1m`$8E-{Oam1;J*_4v+C;?fq=voyg@1A&)z117CGSwoLeh9 zQP9&Z&#SV@+8{~9?8+FeLUUm zeJ)N8KAk;@@%nn-Jt$9p@xtVQzv?H?ilof@+=_16nDUon|H<>hwXP$ikoCjW&4#CB zi1H&;SyH!Jc=K%H;hneq#Jqn$LC#sCe)N#tOEzF^$e3?+BNSi6Ow;LsqEX$yf2?HA z*XPb;maQ#zbgwk)^Fo@OZ;K~73am8iA7QXCJ0y@B*tg^LV)qjD6E~LaoFg1!&`&J>j9pxrfi}RE%_?!AGnzongl8$) zgr)(hvgqh%kw)QXsMW`Y;fbikK2=+38;FoU4{)79x>6TIi?z)F#Gjww^6wM2F@a^F zPJu|4hFAj0X5}0Z4FUWIIRFe5fOZLlp1u4ibyw%R_Uc~_Svfr_xJDahyxd&!D+W=i zFI#3Ffs0;Q;Y5nU(CrV!H;aRU`%hn2tNA- zG=b;f1lF#BEuL2){f!&NubzRBJOpKHh{K;Fjizj|V#zLd)aM9eNf%e7$7U(ZC)}0$ ze+AwEKIC%1nqgRJf8Qd46%<&R38s(`?I7%v zfc-2He0;E`L4kuePD+S+Orsv0A%9hkwk8gy5v;O{7(ocpI13VFeTV?C9wJ!Gcqs&a zIVW^~ixL@`QnY5!S%!=VEQmJuXp_@g!ZRHFV`4Xp6OhyNSf7x4{8ebhvB?01G|N7b zGN+0px^ zW>&U&0}cDIURA*BH>*A5Njla{h;lBNv%`kmm41(IG2don3sMNC#=m?he=yKvv44zGy%f7T#ik+3$^6MJ+IIHMTyk)CG zKt!<^i(xu3y2m`s;doW_kH3J?8%C)Ik73#>&IgOI0)Em^re*!`*7}6I9gItKXWF%m zYfCd!pbi}ej#z}~rIKc=Q}{rNZrgHgFQjFlJS8ShLH3)3Z5_>u9!IXH3$^`jC+ z;;U+zjkI;RDW(V~`=sil$bQINbFpuWLfao?qfUjPT_H?zU5ad5>s>snxe%t))OO$A zL~d~M3pAW=Y$ejAK;*DM&9L7a@#fz>D2E!3gBr$3uve1hu#n)eu)v$N6Un8Sq2$@JI)`3j zFRkLg;J&6+|C!Ot{cv9gwqB1{hMg*Lc(l3J&FJUwnbIy@Jgu9yb~XRgib&1nYP5bq zPJJG`jSrlOrIBswF*V-zAJ6-p>rc5wjP~2nt9^5${4jD`SGS|Pt#w6rbE|APPCjQY z6f76y**s%S->929UaDU?nn<^dHGmv`J=#>00hS_@6GjJt->2GqGx9k z_>ZnA{(c&yeo@YqM!Wuvq&IhjS&udTdeQysIQS#9QzEu`T8uy?T+!MV%kN2@)#ksr zm6nW)4@>N`%~7$udz=3!_XTCu$?>^M`QLG0>v8|VeO>+6+!q7@3vItuM#Twie>!R< zDP_@$3u1QiSo93)Zqn62Y~~IKFXOub7_UCC%r?r+mWzbW!vKVuf zlMlox>mn^pQKdF1I^gGXmNLnGNd63Bm%D_N9c%lNdjmtwMS5GS?!$_t7!WTNn2f2{ z3ihrPKfQ-g?5fP%Zcvsnj68pqx&-3Drm6`_Y`VK5kc79=eh@ zgz^HtvXrug7r4oWFu4Y?y;zC8)0I0&6EV?l&VMXqFSQER)Ag0s@_5b+?AaJ~Z^tuL z+dSV2&s{<$4YSZ4Wq-F+YbE}vw^U)mZhIL11)X+eD0TsgQ*g)N|KF7ZGc#if=?9uN8;5Qtpjo z@Gs^GCuo?G^Af>@u9JRhnx5tfF5C3;rZH4;;Un#tYp(M9fc9p~-3IibR}bhQ-QU`| zNyTUXnLrxb`zbu$h~tFB(APQedj;xC5Ds^7=k9)V1?Acr;w#|bR#XzC8s2Lrd7fl| z0vGK*o-g_LX}<~HPtCGH2R%aMWQ=gS-z4HB|B#VV{YnvW*Grqs&@?(+`BuEz8v!sd3lFKn6-T znpN>-CoNPSOfCI=FnNO5FZpp}+5<2LUH3a##^YZT31!UGQ z7NIX)v;sO4xTzVmV~F`(Li3_wn|YjN%D0)*fuC=zaDu?zni6hW#8( zH^}bICGIe+J5=Aq5^rz8c7eb3qV2=@_9(aG#~(~vsZLV0AtZFI(KE>u+UyVDUnPH0 z--4cn#ZXc+5wu6pG^`J2i34T`IO*q*q3d@&w8VH8kg zCYf&Gmsp&pyY*nRn~gQDeYk7gnO=u*rKEsXI-M_Y&?s5A#lTKILw{TFapun{$JLXO))ua9HHrcaf>aB8VAA02wHtRRcR|DCb9puj+M0i! zp+zl}N|q#OXk@WqY;s$1U~pw_b$FSevL!<41I&>0MC8ZY?n~*GsP^k{ZvFeUm7$(I z_^Q1D8Kt%P6S~1VoiS)L;oX_6d3&p6Je%{6_8Tob%xh;}&WgN1N3|O;W4q81bi*mk z7u>*@50ykPduXsvRML$%?0d18K7z%#O##OQDM%p&k^xAe=TX7RBe+ToqK>Hw>uQj1 z^L@b3!E1aB%oKe%V*h3!4V%*#eGGXr|FDdXw9187XJx_PJJF%s#sgX2J)_WNTnTl; z($XAdmq+C!qB4Pi!-6Q8DCi3ti&C8S*s#qp8)Nmh53H8@ru;HVfm6|}9Df_czifH% zfzY~Eaq9W7eSh%}kF5z*<#auYA^9cPflE?+sY=9OB}47I#5uw7m2)4X!qyz}&?+nJ z+^Z9~o$hg`Zym2J!}zHtFVr^;rwj}9dg4GoxXfv*INSQo7xi9hV&5Z~fZkJMh|jv) z{}}JsDMY+#q$&-XJuo^)+*bm0LdQV4XW@G&WHxKRoS`&6nHGnKRY^f{Yb_W{(W9Sz zpgnvwbV6TbX&p$_WTk7ws1me`H?!|(u23yJEcgU0C(2QrcNQN%o8On;kJsN928P0_ zzZ~Y3o5fG~Myy2wW?XtTm&|Cf8%%`jOnONl&&4aVq(m8f7Xz@us9FxY9SFH7R>);x z4UJ?UUt`l$$HOm(8fm^>Jd9yaB)2+na()XEQja(Y{&QPapo#9c^U_pK1}h-5uPlab z6K^?}qf7j%B<cG6-(OSXe!U3el4!#d#3JazBFy)hK+0DilG8kTF~V zC9n)tl&}y0P>m$6Q0kxgt39Mh4JRx%&Ovq*`MC1b(&_G$WJO}iN&I=CQ)KsfD=-a#J zU4#zJam%Nix&?S_vLkzEl`)BohZPJ#IklgSfJ}BM^@IczMD5JXz08P8h}0@SGTVLt zNyE8^OCd+yM329tgUCTYR%qR@FJT(+hv=onu3frzoCR85*oF)zOlzi*Oi?>pgrX>8 z1BBaU0w5se^6yv63{IgH;g}l8EcyrTQqg;|k&8t<-;6&Ob~#%TBGViy)t}Q$bxbcO z&?&9KvA71FQvGr}x?q(vQ&J+&-=yHPUJ=}>t;e9qM@-B1`J@d{GFj)^76nBUNK9wi zR0e}Dwh5W6Yu%O!Kr-z&7DQ0kibMz*-aGiegM@L_xdL=tble=}>Ica8NBg@vl9Sn^ zhh6u5ne5k#Z)_kUDQ-MBD#3Tpr^DdIu&nvfy2ecK&t2tN*y>dsi(EmWC_adPYa+G* zh|dt{-lD(sx;F1)lpBeo`Nzlo{BzMD_+bVPLs-b^w_i_UCn_>NX+JJj#4h2DUo9)A4*MZ*B@}ZwBmMikM)|UUkxO92~=&mUE{iLRbp*%5;jt+0KYMJ>8SLyUhM!S>62BdzY@u0arg#s2$uYINiZ>i_u z@GNNaLdTfzkl9X8vCgIwa?&HzKB!qt)A*-B&y+ox7f#$BW(y~~L&{`x;2*BtIR7pj zW#H2GDJ_uuUVogHJoD~mGXzmX`iqzxNzQ8@U+rS_G@ppU69H2h7CTx3X?vura&X}lF{T1rvo{56jhBh*lY zAXeo~M2{_0ff1or1zw=i9$lo$r!@k;SW!@=outzcmqB(e#o25ssJn9+8L~-iQBPt# z^yoT@(;7(Laas2-*fY7hg10UkWlJ2Hd5b$$VJ~X?DcGG|cnYOmN!78Dy6b>TRc`s@ zFJ;bXzx94N|BHdG;?k5eyok6<=we@(vz~-{;hl=Z2HUTsGu`yQ>XG}6=wp}KCjlDw zH8=c^bceTR&QNd0%Y%2Y?rWx9;=trOAwe!{Ba=2WYli?BRr_^c=#-bkNSTuyDvKy4 zjvZ)>*|A`q=SXP~kJsR+ZdzApFcI$yx?bjaX(G+yXZu*&J`2myL5VD3&*{lqlHsImrz7uiH zF=U*EX)hNBs$`xaUnWQ?a1rE2(oRlPN*uZOzp1 z^~JWnRYPXGu}n{quIECs0sw5n1FY4=`JZ%t=3b-AXSz==k2kwx;l#2vB%D5F0&)?_5pem>P|+8~NjRtpN7sN_Urf6#TsxamES`(2$D7HB6aT2hZCC zjfvb92?rvBVeoqi1w2kD^KI<}PrI=3WejsJwge5eO#LrVnkaC33Lh~L5k57(sHfOp zl79P{|B6~zzV=0gbal4<+Wk8Hx;mR<&tpog$5-x~EY7{o9x7M)BGW}}U@$&FfGI(u z@4Bnpc-irBwYsP*VjvyS$B~nVXYMFgr@U{G{NqfhE6h+lAV#Kh6Ym`Y@j_cYIC;n~ zqHoQcEFj!7RLRJGV%{OE@`!+DG1|Yze1r0)9}>keBi&E z%o8`q1n+hi>_6m_7nDtJpm847+A}=$sdJzYC-p#FkYIZuA8gzCB%-L0Xfb;|n0U5{ zs?_PCjXq2I{+I>MUqXF$ZO-T9i}@9$@dYPcQ@ziJf24l-CW~jszNUcU&M7U)cWl2d zT0VHqaa%(C(_x=R8p()&3Al7$?e}4(I|Ho*%B;vFog?E z;{Q6lG7ji8A)|7Ne{oWt{-K$3GOB5zmK#aQOTn3$n|BsiUVzp=97?c`rl}o2oNM_= z-)n+~j=l11aJKz(A`!vL*CDa{;N66QHDii9J(Bj*i^^Moug8lDysYoMdDcQ~KGol} zPFR!|dQ`yiaH%55-EP>=%l_nO>sL(&KE`Ol(JEpU2`*kdr9nn}#hoH!B&~weuAUla zgI>3ox$+0c4IX_D>?tOfzP~d{@0&pRJxM^y&r3#rkX)8w$}}+ZI{JcdEXNbN1@<_Q z8n-!=^e_!OmBo~ShO(&aP0_b?S9}ud0Hp`Z(o|Wh?2jUM*9Df0f?Gji!LG<8$sAE~ zp`|dZcz@_x%7wK#1B)p37%|6)y*j&V{_ap!>kP&SX2L@7STGh@0~h6E-mZSN$BZRO zW8Uq+Urhz>)auaBh6X?Jb^j-1xq#=LTGiMoO6I(Ne|!_rwRr|8o^5LZ_HZ6U4|lTG z!xO$-H1wrVGF+kN*!I^Q5DiM#0F~m@3GjL)QS=e;h~HMKBIx6JzMd=C*yf#*M%+G>v$4! z(?XgmdhbT#z7O7n9Gj-T^d(%iCGJFM8vSLF*G-JnwO6#ohEe4i6H_r_TJ(=Xh}BZs zXN}w$#o(rS3-a~_E{yK}_9l$m;9MQb=6eA^JdxyfQ3>;plLLZ}pVQ8R>78pHVxG~5 zBgil|KLe*(?{WIP2ae4*@#*3Cxw2P}uW+X{LQk-7Zqd4`HOEmvcnzDb$UolME9qrJ zOo8^v@n948TJZS4+O(S=G?y_$88Bam6H?IJ^R=LUF1Hv0|cRunVfEoWbjW!fk!Jy4oLAl{rrS z9Zq=24{iqvpECtHWBC)KjbclSlQ+Incng|8F8ATzh$Ibo_SPVBjPmWi%Oacc- zHYf=h_f=F;InqDyB>5VmMUrwfEB1cLA{G{N9oQdeaPgjGWPVsz_=M?26ZfA z7K#(kOMf&hlj1$(=tY*bC$g*xXhn(LtQ7SpS~3J49F3PjAwS4~7hw!LucVqzybqpW z*^S7H6HC?PaL-TY{U5ZQV~}jomY~bF?W$Y0ZQHhO+qP}nwr$(ERdvg*JM~`oM0Zd0 z^mKH@MC6a$5i2uS<~h07$({RKpMpEHs@mHe{aS%yuzI798tZ7hb}EI9e?8m@lgFsa7z{t@*6AQqVX zZXGs%7t+R^;)M0dJfXJu%wJT+@!kZSfxjBha!t$Ec{ySg%8MW$X6=3Pr8nPDPrs+} zw;~IlvP|IkrQQ}B?v6g%Og~Ir8pbdz-|dlLr_^%8v3PldtX%7Ggl2NHl~tWj0q;GI7S3`a$XMyXtZ_|( zxYoH7Lt=DQQVE$nsiii~9dHjPp+e?O7!Fzp|B|$UvDK$CDt=LWPg> z?e*69aR>Ju^34U~aQ_x_a62o*I%|Cz5*78wr?FZtlE=w<9Y2cLHJe+v7Q@Tk_IHm1 z-ZK&1#}XuSuQmBzT-sFRM@JN|CmB92?nBz&yu#i(yhIB*TMI6yFmc5T$$G@CQJoAO z;36N`;M35nJQjBv9+2$)`bGHrH&8mzIH-7loFt+8CGft1{#@fFHIs9*x8i_5pLktJ zy63tV*tc}C$TIYuA0nNpjB|w3V&1VN5AF`H3m4P8ZnyTcjKX{VaczHGRy0cy&Jmkj z_zw2^0E5ganSn9*?)2vo$9_c5YbTv0bBPo#pV7MCm~F)0uT&vwD!WbyK7e3vJ{XtO z?<^@3WlwiU@}$tPecpu}U$JkzH>7gtR-z*fT3(ib+P>|mV>3rTGrZ8U*jT7(i&&q9 z(Z=t2>D7klJv)63X+wQXvkF7ZzP*0NCZvw$l0>Xj2|^X>CZ+L}UmTa}WExoNKbM9| z5=+Vr(o4+s)3s({CXs+5^hJOuhU!q}rMVqoW`xm9hvd0;##sKUS@A@fo|Ra|D~IHz zpf3ubEKG^D0dMu~ZaJ`4JdPs%EE*C+pl^glENunvf>t-ZFIu8j_8Bt)b*3nFvQ|U> zM&YZU39GZh$eK}rS>RcG^H>*1<~4;==?7R>gYB(JBEU+7kut8NZTp(#v;8f*{ViJe zr|qtS0~h{Q+ZusZsDNkLYsF350s^qpHT(%$E(CR?k~xPhOdi2&vJkB}9xe$> zr~RXekoDIrOY7P&;C3p+{b*GU{@2>VV6DjS*5LZc9w_UR@6kT8){BaVF>XK>_7$N; zTPME_1~Z;HELzjjFmu-6&BqZ&w(spgnjx`i{Z@f3=7H}*;L-1`w`e2 zJw=R^wNsQ8-9X?ZC<|rf0$|U23rE$K>0AG@7ndJ+HA@WGbmCh1gE~-Hu|u=63j(tm zVx^rXKqo(dY_69rFrX9!V7sz7UWl_aWr&Q~GyHUGAxUV`Y6LkPUpyzDUE+u1O2U!O zB&Q$aO^QtvhCL@=ZZ3j*Y7)832XwiVzf~)WMJN@;<<0oS_!e(Oj!Tn!$cX_Fp>l=ZiDU(1+JTisU<@*VEKMlvaw#;{Vmx0ci&9Wb zUff8EWuXQ8M0iVfYw7A4hejxeb+@m6LEq^c_~l}ViV!x83|8h~=m@KMM&1cfQ2YcEN<+S5C4RqoFUPnp4R7 z7~pf_ATSYh_C8^Bibz=|gwFlch;$^K{ZF`^2vmgj`I4#>;Bo_k%B}HA2+Z=a;b=q} z@~Lnd;T{l}>@pzG5mf9lVN}PSj@99zEg`7vgd2x}a07LsPG$BYkwzu_AZX3FxYUm{ zh#JG+b@3s1;qyc+lCU&b1?L1sh#7H2a1u1hj)85p0Bu{oVcS zV7lho22m?}U8oy_tJVxiG4n*Azk6-9LeR*ijH6nqy#mn4p$kQ7p~gZu*H5QHwgu1{ zS_7%0`O#jAsRs=CyNZCII~9e|WG+;d0zq{sv@FLQ?%?>hbuj~|7!yL<4N2e)M!r02 zT1TOfqB{y}(y1DNP>HMAB}NMx&|r3`Ld%Izi4{iFg@YpjJxZY{)p1KBTe+PeQJo9M zQO@4&ttr8brdFaEL|iY7OX=zKBO7c~#TOF!)@&;h0vml{6{%@|zhWzS8$lf?=`oe2 zvtr{ZCQt+}WpGLhT)C8vCoW41XfT)NKXEFJr^s6sNTQVP3o@6Q7w3a#I+jZhXgHU~ zKg?$hTQHY~TR4}RFFP4Vo-6(MTA0=mKEUPtBh9avE5!q<5h5xAS(gW(T$G|w8~$2p z7l<0xiuWjjLUW+yg>r9xMzdj3lL6kAxxOguFA8wNy{Qs7|L zhg57F#`@1+QNM6{UAk<+w2_^>`uZuvV%Wpmxuey6#N(m%=D&v1Wxi%n`~iL07)Hku zO+8;RpkYs`PShfso+7$AFoZX6+JKTfHyi}6k~os&E?}}gfP@v&?ua!{w{7ujo&vHH zpuE1=>-gwp6N5Ux{pN+YU|BI2G&IPYU(S?b9;l%-7i`f#*JTJMtH6Mi86_ghgc)yA zHXppy_gXsEkYXPYB3BXE z<5Vf|5TO=qi8KUy*Ch|SL17RZBbU@j*P)2GM5d4!Ba3Xw);46ul}QU{q7ScvgVQnm zotr5o{f{G{Lu6BagtQ{lAQdgwaEi1d{u^njsiaew0}cNlhalW-gA@z6VxP;o>)szP zM5-B^Ik;4c8E%x}PvHwt9R5M+7BRQ^fyAaIFdGUymtEwV*P*Kt2RjNFZZ_GpEi`!8 zATut`s(^2m0>mT2nC)7QPo##tAMMS|P?{TlZ5stMH2Bxh$&4X^&E$d35NB~-l{TJE z^&i&Cdzq4{qNo)|4`+CSTJ6xw`_pTZoh7%m3AdrJHMJvP-gWJcE@acxTjwayzwAiX zS^Eq)>>(Y`an3OFyvt7Nl7GX`@?XU&L4{r-3IC=?CVU>L3qQsI-&d#j8N1})R@_^c z_!V1iKF4XpjHXseJpV?6HQwSgeR*DaGR6P;^|$Wox}BSwV*oGqL~erY+^D6R4z5P? zXd}7AV)b?EZ^P_L<;yR=Po6$@ceLb`SigZLI^91RaZZSFOTP=^gl6jM+Gudj>JHR> za&FIGqQnBk+&2n7qn>WLKSRKI-y_Jl_0e@DmH3wEq#uvs*0zw)v~}+y+ti{W(D**? zoiNU(tzaH_qr;HhdpG|$9pw1*exf`}E4hdKeH-}ebf%e#an51f^@;KQIg|uz4eGjo zM#sK^T}3|^oVRzNTh?GLg^m+6@~x|W?$O8Zu6jZPb^z+T=nU5sng#kdYl`{g>A=1k zDdhR@1K{%`#j%%PDmPy>3pw6RWdIk#?4DcmTl(N$-mQC8;?6zWNS}0VfM$Wxi#&9y zn>ygYQ2yn>W8e@zJEd3gv2XE~`!L0NcGdAW$h&;3^3j^*6P0aCkRxOZ zn6}>iq453_?kj0b`-Kgt)T4T{L&py=?|@;GAn{*^j#)tt(cO#flG-=)29D;wRwCL) zcmC~-?mgf)_M{F8j0x>1CZ$Y{35?;|9rQRDPzKKlR2{$_iY_dU8(1ATl3E07;r>n$ z+TJY?9VlO^fgP`w8;bmJ(QJS~Q!bC`RBN?!+HNG=3~FRrGulD}@g1VE1~utXa{)hk z(eN67T26?-+9e5lYicl~mr8;2$x6ihpY7`WYvH_JkU9!@p|m1-MftS7<;Go30qpE5 zK?5g_q2*M49iT27nWA71=*y(%?}zhl@@p4GI7PMSYZ1#U-TW7Sau`ru98@`dZ(%=S z6UL`5#4hc6WsX6$AFsOT9#g@`8zD4yA8!HF2>kntl^A( z*S4QT&)84l9%v;yOl9$}4bW)U9;r$a?xUT~+e4>awUep}P>ZOLw~L6Fx0C)NNGyb* zv{it^Z5yT*R?HS2qLD2;O4XK%)tYE)vyU<*;YTp1^3V=xZRA%C7p@<{UNn%}LupWD zq=vG(NPuW*+^sE8CH|NB!Zim*lPTL6zd1VtYF_hGDKlu*8KoH2c8S2EhRnHk{!eOV zbLb(VMTz@Pn@z1abjd|hDwC9eCbT5KHvd2)1>w@~oF z4^oS47!B)neB9v;nIHti7}EP5T|cso%pez%PcMK{2_uNW&q%#N36AROj{Vn}rIV&Z z7GxU5LS%|PD3G%~DOrODnq_Y~>HQ=J1Rb zDXU+M*0T6CO@&P5HqqGOQoLAJ-W|15lwRj3{GwK|X`e2X^_}c!n&7(OqEC3b05ZK} z_Pjm*71}T~{1P0leQm=@uKWCNYBnw}OV=!5;8aI%z8-3cNO%4sIm9lz3(Wz<%Q*Yu z%RW@=U@j3>&WX7I2OPo@t;naIC-xP`sbLR;aUShkM33i4?{m*Vrm703H@_s9;R){9d9Wmp%rFrR>|50x*PXV&zHx7r5iO0PB{kLHgb@%y5K^wDss*dguWx>D;SvC zWP(iL31Y#(SRoWk_Kl%)*34%FGyVAadT776Z+cdl8T?XlRj3iLgw|z-@b}hr!qGJ~ zr*Kd5*6@oQMH)k5j65-;_O}JvLJ1i7x}&(I>&dJRG_nQsCQGsfJaFm$ap;}Q)SX8A z1sWU`_aN<)z0pI1A(^{QyG_+iHbt=OtjcNKbvETvi@kD$d(9Zhd>iWDu4zpI4A|xf zRbCH&^e&J-w#}i7O;HG8V5EXskSV zdQlM6X@y%nA6ygfF1oHzguQ%N1cbA!+}sAIpL1%RZHU4GZIHX;Uhs#Eso*Eh0A_@F zAk;j?xgkb`92qdW)L=Enm1Fb?!HEllaOTY@agCF~H47@|v^m8)%Wc3hs|NNRIcR_{ zgn=`5w*5ZOEQf&q$oU17MfF*+VfXs9&!ipGuIvR^%M-%PzI9R=AJMiN#;F z9d8P;WT&7!#yqpj4;-pwpQt5>Fj%R>7k2mVw&sVr%@fRWy?-I$O;DHv#GQ`l+9Chc z+`%gge$M}E#RWR-^?2owK7y@g*!-ZUQU5aYBl|uZ#QyYtqp;2?{R8#)A?WYfEGZ4c zypy=+8^h;Y*cr$=qV@2cp8Y)z+}DQO3tyj}ofvPb%|)P5{kk6FE%m40H_(Abb{j@8 z`m4ke2aGo3>z;NEMIC&YQA&B+-#qyGGC9J%kEZ*#j2eO0{OW)zgj#|8G$SZKzuu!M zIbAaLE>dnB#!mM0X3oz2cetKn5}GCaQHc;&|AabMFR+Oy zCw=-0vUrjNW>&jc$}feBlr3P`_>N{pGhg9h3E*3lenm68>J7rq#Fr9e&h)v>oC{@J*rei6NXsGD!if?V;s|YV!#0 z<|A@6d+XAUbOq9ONHLJ#J%C#~^j4B(rYA#^0c2htgXT9X-L4MnDx7xKR`&z(<{(bn zhwibM#coT7(xX@4@zyv6(sM7Aw!pbG1klY-TW&&rTtuHfCv}j?PfIWqrXw!1uetyo zn=eT-p*ROUi=UT*q&qhO(M>cZamTDWKQI~2Z3#qoErX_uqS+4_V-83Q)M?L9AliR- z5clJ_VZYx7pShfK!^bXT0~8t+cGB-Wv9{liVD%diCcF1=?#+BvF)TF0t$%Q7xlWRa zGpWjesANxng2ZFYa#=Wx`ZLc4;X{F-c>@WNu@lOFy_-o!O4wva3n`4m2n1CS7%LbN zE#YF{ktC~MpdFfbhw6-B=^RuAy`!!Wl2>5LjAI$G59KepUmzHoM}&(TjwR{N(J)WL zsYR@Su$WN3qBJT@Xvmfko;#mGeyk7^VMrJgQC|RuT#;o+21OxcMAU-Bq|lw9LY8QC z=P+J1=BDaTbc8cmV4m^GsnR;ud7-r6>4tCO=vhf% zpg_Iuby8D0NOVu8pKv&%ZI9?7q@Ao8G`I{IBw2)t8eO`R0=L6M+ZPu#py$VemZK=u zZr&4%=~h(_Ap}aT=&dD5J1C#A$c{1!@j4hI+NqzM?g|twOMYsM5`#Q$UXC?yzE84> z6=RPQEjp>Oo)~jZ-7mUfkk8s#u({(3Aa<|!IguzV1`KC|irXL{Efy*Cf)qzzBYsdJ zLOy#fe<#XEqnt6ao*R8T*5wj#`yhT|mxh1aB{xpmw;z>qVPYTTz*c4JW4Wb!1Z}K0 zkY?f(aD=V*&DYyrkr8LnfW0tuq}Zv_G<^@RZVIUbp09(SWSYbUrMJ^$EdJC>Tv0z{bK1G!za2 zoB}r(&gm}DAWeV)sjprNOz+5t*%!>deT?o&G-^A<9wj6!{hup*SlK*KRmiI{^>js}Bz7&B%-)2qL-9W+*| z8Qh~1bI;IN{;sAWrk?sbNC>i3L7E5N(F)8%1BcCKq3=f)OAla~^~&_0QiP2EMiGiy zSUa0I(urCdIGYHY7}*({(ET5@BQxWFMLYh8?ONskDYj!{1p5)&iJpe0%7-QZMjJpF zVFX|_004pkAYMU<{HJ*^{aYUYMl=2c#r%O6|BYs3{{Kib{zLJnNT+DxWar{&Wa7ll z{qw@sc8*H+21X|T7%0&bu+Y=f|7!|L4F4ScBW*=qUOEwXXE7z`pAYUQQtT&^fsupd zpU)@uuYvy@{RE2syqTYv|C!S6zoZ#i82(F|@gI5rziCEhcDDb6X56yHow5+ScRg*05RmOAc-B$8@t2_Vi=Ap=QHh$r_oMIBnv5sgT+zKbAy~oTD6GOet1e z%we0I?{1Q_Yt!ScT{)z?ikf=L(WHL6UK#nQ+KRefIaTHH@x1?3p7G5BoeT7)pENI= zyr_LGyjgA1Tc(kj&w*=QM@TO1L#vw&LrEX$i>I`zX0dc((#p=YXz3jLqwRfdaOk#?6W^w7js9M+CsvBtn8 zyROC=j&T31F}*ddySRmrkuYCj?$T8PmYD&*_Qq&_V7(N&W+c;{+ca!QS`Y<|7l+p9 z+fSCkWRj)@#%^xpWU!Lvg95#BOS13*#4aLY33qTcHqNBsl18-5W_0K;VhMpW#LXh~ zMAh)8fGabiJ!)4qYmJ|1oJ=K>FR1g=qo0TQ@C zFv6gpSo9sUv^Ec^k0p~`Vozf@jhq3;TCxR23s_~|HOwT9#K%yt$N!HsW9$ErW^Da` z(Tr#R4U65_zgq0}fEI6>;s7QrZmkiQBI zA~o|ff@C%tAuiyJIi|u2f)p>o!+^pmXjE{h2N#EN))3!MN$Tm_YI6ac8B$<-r9n0o z@R!lSbG8BJlSevG7LyjgSg2t7CrG=|2H~Wo1I4^VGFoKdfQjxa$^=;2L}_ZvW)AI%Od2$D0%0<&j>C1Eo5tF zjEkDBIyDKyl=(=vbyG;jH{cLPz$;=B<43I6%X`vgx7JWTDXDHmOskuyW!FQCGUNBi zsL#DSGzumK$pU4C|H8D@D3%Qh2}2wAKe8xIFWws`d>X@H~-iQ@Xq+lB!Al2EZC2y^Za8*QScM{F@1W*3?ooC zP0_#%@(JChE#TbE30PQ%m7Fnxl%#6sJr@7!fmCmri;jgN*cMxFi<)~In_EpiFSCx) zaSTNobV~Y$<#16Lr(+^J&jRm`6vQ6{Q5yeYYh!0>Y$L(T41v;U0<8pbpTQZ%47 zVje+xMN+iEjDmC9?I&+vPbixjjKK3!R zB9fp(>j9v1JL`x=bO%7{+BCD=Vg3Y>jYpc@rT87yp-)C?F{@?SAT>ovJ z@fLHH-xEIX{Xcl@c!V&gRs*Mj3l?={I2#qp%$l`xa=w|FF4YYEl1HI^9)DXi(#PN^)9-^!6pG!y+bvvA_X)Xqz30 ziLXuY%&|!eiLDOi&4AhIhzVhnN#)gFPz;1$1LZOPkkgn%l8^=K3CXD^OMIEG2*kRA z?1cr*oW=zfv;A+N@oHm2@n3+(j^NFye+M*nR;T`m>?~9JX3233g%>q+XJ^mouoHRx zR_BjyV{WrExv;a?rtnQ4${BRf0QbvNsRB65Px_K?74e2YYan$LA3j`;IFVe|FqS-6 z)abAhUoR>N*U!>oMzdL@)(}cXWdEqd{(jnVeBPN-8hPjG+S2XmQny)2`W0oibv<%9 z^sbmgC(F8ZeJa|ck)3VLTjeB|TH-pGIXnME5noWz;!xWZ{FpVeQP#FahrecdcQ#|% z)vFXm+Sk+R?&tEOvD@6PER&PVUHZ>)Mpzb$hdJ1lr?(Z@zVh1$fHAG|UL7_x$-ZBL zb#9IRq7)xSpZ5UK%L_bK=rFtyw{nM=^jjmoi*KJQLOp}o$6%W#Gze9|6|GD?6{p|* zm9F)bBg#t}dr`a0G+x79LLQ|{J>WAntVR5-TZgFCPxp@>XUrzSt5D5Byw~~i7xPVw zo%{QZ!LlRn8}Td>18r+RG-EYB<(be;3UW5>0DvVWSN?>rRBMP@pTZI?{G&d!_-L>(zzo017`I!yv+c|VOh)#UIJ<7L@N9}9FP(p(!`Vy_Ug?mIAoVcDIP>xlj+aNjp{Qc`ZZy+=?-T}S? zkiUds?sC5`*Prb86f3|qj zr1OloL))KQ03!M0ullFG=*LJ;Q64QefaKt0t#rK7^ypIF6_eiBq3=ftpBs0};32#9 zn;alccw0d)QAE79PUL)TSpwG1?u36YEG{wTEu%YI;auHmx+VCWRSfds90 zfKKfsRDYtX__KP^6H|p7T4cRK0#9HPZ_5LCkS>t`0WA^VLm7GQcz9rsqnXCfMI&Jp zXq9fuVjc!?ZJjtfEl`!pQej~Ji}X@YBbQtO24v z5#d#OF}8Tf{}O)pmYO5PixS z{s6*|c!n%HY(jN<>?{dju&E+%>K_u~!6hsFX=Zf7g(hk;Pp`LSI1~B7q~oAGX&?op z6j*^mm^ou11<1j&DYQnCg&T>7B$J()-bniYUdy#uDYk;R?VCjH;rod zE+9l}Du}Z;&LLvk%86gwN3Pp0FbLp$Q|2|0-nXt~=+XpCe*V|utS-UI-l_zH>nOx3 zeuwStowAVTf-$!qzDx=AnG=C)z3nToi32`$Ck*Wwg$OCPf?=~|5$!;*)Nra~{LqoL zr3&dN7!g4hqL0x{pZ`N|)Og@*^a6Zd!bL>R=n|j8~JOCw$jwpA$cN zM!kvsBD*V0@@Ima_B|ov9;#TD@L@&o;ky)x*q)5yEz%9e(SWihITK|e^>Wx~JZCx_ zIk`eImtoC9-GeDE8D@I}ED`-cdKPLNqS9`%40A6HUfj=Eh@HShip2zTjxkadQuM_X zQ%li1wXq<)FDuFFK7K2~ZP@fi2P~OD4?Ul^gE-{}?v|#n5i>GeCo*6crZt+aLL z-yq%KsAJ@j86GUW82ybs9jnTy&aG0ZzX4lkTp!t;h>X-#6Kp;a-EMm<)o7C%5^O$x zcW}x>jiOCtcenHqIIt(T<1spisS4}cdo>GZ@k#gI|FGtKt&ME1{T!P-4?5q)Ba);FkBlDGbNS3OE9LoudQ#|$&&(~#EBax-D<5B;Zn@TASU5hg8jwR-+1 zCRk>)`W+^#dzH0m;wW5(i?zbPN1#HXPfv3JAVkT$#WR+ zBpwZ!>w7Z?-FUt%j|)p*&)E0E=nwYh%_*DvCh^X07#>saBw{5MY$hfHMh*?gE;uX zf?OkuEdHyW>~3h$(iFJ+4E1ZDp34+}Onj$*jTTU6SvrHRyT|WepWoVGmcQ9ZL>3Z} zdTv5huw~_s8^p-5CLraRg9m)va@89V5#-k6a#^WZ4nJnjh$Gmo`MlqWTPui#FCL5a zbo({k-#eO?7lQv>r}SVY1=jHV;%F&7G;g%D)1Eiey#@qtfo&P9TU*gx4Y7xFR8aH! z^pv_5`3V}!SYF93R#fxa(01;tNXy)W)~&RpyAtgb?p9vO^|UDO@n)rJK_?NGxV{56 z4r-b#@8PeZvaGWVTh0w$l=u4QIoX1ap)7N)BBa=YULm4#ee$p8r1gVkoLJemh#MC= z`RqBXA^c;>18T#sf0P_(r3o!cAbqAg<;DlyLtJ`ywA|q%Z<%y5p+~}3_x6q^b~^)Y zxeMhUqEAdSCnO)MQaCQu2|Qy>l}LJ9VG=P7lmu^TQ)~&0^(x<#QI3iM6|l4^{X4Ia zUo#U+ErC-4lCIl8g2<3dro(OBOhv7k8Ka6@^fA;82O{9N&%i5 zkwc8jmKsc$p{`^^kVcdo67CryXn|n^cVDs)l&rN3Bt09K{1MI5iY%yVn9NqV;?61& zR-%Yx$TzT*edq=$d0bJ2;(kPNd^?}AI7)wCvJ2AEKrxC22pu3VS*4h1VpqB6no0Ps zgp+jZ9;UOwGhBZc%rad(q0PYXI#Mg$moG`n7SA#|Wt_)A%34w@N@yr?jGon>rXMjH7)0VY!4Nf)dVNW6Jo3yk5~Xwb zdTg&BL+qJP_l>R#S5skG%}h8*;eqPr3aGe$W%59ElRk%YgfDo*H3x~BY#mu*X6zPT zr>_mo^z9CgF3bcqe^hYIy?&(0IsW-%+2I2(3a8y^rKKS+!#!A5J?UeiK7&0%p`VIQ z(hR(AMFNaXF-|DRLSMg+72kA_PsL@4&hLk*2w;4|wp9ldkpb_j5e~)}6=od%gXA;~ z1%JetK|=*o-@dAy^*a>pQlDy3P?bIh@yR7j?*JvXW~Ubf?_0Oafar1XiyxVjV+1H+{G(|Ps89Y8$< zeed2RcTb>ur#}t92y=2Dr=-jdm*#Rta!&)db(gjn2-oYKrpq`0$EpRd(gJydfM95R z!06UiHg-GDXqdiF~c6Z3n z6I_^oT@IF^NDyU#bs~-UXTNk=9F#6*sE9bSYRrkqMevH%!G^Y>tvfP-&BAcuV`_W0CwjN#FHPCae}^$)p;LxYTvq$T$5 zQ|rCWxYf!YlOqq?Kihh_Er1H26kFD}zrT1aFpA_u)DOXf(PvTAK4dx7x zO5ebTXQQmimZb7)Y0fMQY)-sSSy5@~6+bdrpuDFJy{hm@8mkm;lm<}hHFZS z#we(trV>GVtEQs58#WK|tbnR{?<-_Qs>|pok2yIJQNYzmHtT;uq}_~^Mg=R==tXv6gm?>p{4*bRp!|`7F@BxCEvW7P$xZ=oXqWA z(bP6*ZIF*F4K}Co%24d=_>dhwk<<%2`y=BB69L84CGpM4XMb~C9kYg3j-K>v&-z&g zHqXWsmM@oBV%)tmkVx5Ps6HZgnvO_wHwOxS13t|{64Qw=Po1~Ad=P=BF9JaFK3t+r zJ6(TXtnO1Mb-N-#d2DHSZ3scHnkYy@^F2A}fpU0Tja4yCh|QO>;QSXP+ll3Lh7X1xo^B9^kG^Hg39DnV4YwYsYshE|`+OS#m2P~tO9papZ2-%AJ!a9Hq z{jI=7kgHsjt@subMDxTvu?dKcsOY6JG`v?{Q^y#a_p z$7!@OdoUf05Vs1{okmRKRNx)*6Gc4`H2m#f3nPWx`!zpGbGhFKQAJ_Rhewf5@J0zw z6}G6*O0x?u;dk>A)6ly$WvP%DISvhzF@HwzfOtl?E&$;BOyvvkxfnOs4G2K@=k)-x z5_jK-7WiUVxJs(=@XW zrv3m*8wGdFBApCWffMvWfrSJ9p-xp-4)>;F$vtZZUw%(96oA$lF1%hlvy`tZqv}lC z=%|?wS&Hl4ojf3@Cu*pV8EtG%EO#xvHMhu%-Xg0ICvxMz4jq;knJ3g0@LJ zQO`Th9Kli0A&$LFVPK^y?!tC+I}?W`uW3bGGo6m9MmQA}RU`;el9v?Vw~dS5wUdB% z_UVqj)@=he8W=n^rl$>1u8xkENJ?xel)#r13UH`G2jHSIfq0A$xs=A-87<|&L1xTm z$uDJMh-5D!YGsEfloh>nTv|YS5lRuTr$J{ZuT9d>phgTfk^y&Om?Jc3>!(|DtYqld z6Xa&H@@aBj<{!+qsIFNlg|3ou>0EQ=ToXt~UK3a-Zuc%KYS2^E52NYG4$~Lt4^6C4 zh_Rd~cx!)lXb-^5kD#Z!7xPMT(Vg#6;J`NdSjcc1f|=OV_ivh#hX~KmPiPz@cT!Fe zC!uNSkF?74w&VmWE|enNx1L;h1T6xFe;_Eb>;+idO~ceeq1u~pb)HQ=mx8FtK39r@ zf}$NsT0^5W=L7?hR&mPT?{DfD)E1Po1YzRVT9cRsWAJRmLY2uGQyls-_o6^1YhagS zynsI0wL4Q5itj#+K`ju z-ThT^wPLGgYKi5Ml-5>ppeQMMy2w>yV0cB1OPvEa?2944Ft)nlh}@2~i+d{%5YG7pEu?~hv(pOg=ex4Q z5*1mh*FTU&iuz(YwRRXw4q%<-Hl-4TzdK9$u{Ng8vV(!1^^&8w>C?prio)7N{@cyb z#=HWiyC!IW{wOhQyqRE0$GD}C5T*VvgicJY#%#}wKHRfgzSl9=C*OQUn$>2UlkRDy z*7r@|EQ8n|$pAH%{ge)}0sQ`mwIQ!A?6=`hXMV@9QFO` z+Jkx&JIH4-@@{~W!igH7unL7~iq<9*M1Chl7q%TSMcW0WHxNnD0jZ zXY=*zCAttX=VV7hPEDVIC-<|wAx-q#0VN_EXC@YhGH40&;}P04IbPZ^|6`D9#D)X- zyHw?zp)S~#DmL+&s^nrUr4KnO?Bs&xA6MmRgkwT@w+z-%_%bd`B*q-Jf_xT+NRko< zt;F!xrG}5{huqYC=nB};eso2z2xbK0O&jWcy#POX{6BK&z_3KA*G^U%xpB8C~Wl-=iEt+-++hoZo9=QUj zEROa!pwU!Dz;VpB&NQ~*f**l(L_g-2Dz8**Ufb}m!JzNvGF+LW>5=V6orN4hpNS;W z{i;+c3e=3eBW(qh){y=2Iaf}*v;15N-0U7$_-5ME6m$G~JikaiV~(fR#rgeuNNVm} zX!9zvCb7RF4;(d>uV<6iuHOK{JH%P|sixiBI0C=E^l29suAhyb9|v~(fpa*;U5PJ6 zS<~)YU5{RNQsl!!gI`43?v@bM?Acr=S0Q#wQi?~D*z9ghZgGb(Lc}K4UEEyskhmPH zz}9G>PA73eu1i*Igd-!y$;rV;hIqbzN{W$vX~`xXb&V%sFp-`)1Sww5dN#G zQZ&(-clwRD%u1K}T~MCyFhl`J|3J zZ2UqMDE-x-oT-^K)`(l#oq>iOO+7sf8}}QY@J?-ml?E?s$eSlVKGuVLf#g9(k3W`) zE)^6yJ$D%BrEqA82p?+v=OLYjOzRdOK#JoFN-=elANL7dd*$BF>du8K9xkG7JAZ8G z3NIPR8+QCsspjLlx=x^4whvu9EZ1m0>jUr|yT3PX!utd;c^AOhd(MXT!W11%>v@|s zkMv`Y_bs=meiajQcHZnZ)9^Ee-{EEDh^9!h-JZ}1uWR11sG1nWl-GA#&+3z~)rvdz zB8g!AdAf#=7KDTxxO*_OCq+cdDIgueLk^+WGvWQd9THk5|^xGr~HXJLD5 zR#lXywz#}7DrFQd{=9INvz7au`1&_im0$8cEOR))Pa9M72u3PLnaTb=F^lI`W{+?A zeE`bKre3^(ue_l*auh&4NSDXHLibyeo5LxCBnJr?WY0P-FLrKh=^NvC95Ov?hIa1R zj3277T?Eu5+SNoJWJDc;Z^x>l!7QZ1qlu)HO)DCKsJEyq{CBqz(yn4S!3zvi_j-4k zmS#DR+-Ra9Vd+;FRUQ5s(L4er!h2wmnEveSEr z7Ffv=Z8fShN+6;z0ckswrTiP^`$zuqq-;-;J8qrYr@r_+xfbi{rr9T4UtW_}Bqi6Q z?X6H=VkTaTXY;B@2bj=1Z3%h_YnJhK#sBurxgE8#%Q2`DchPq)d5$B#%auAhSomn&?|`X;CpmoiJ}DL3kAd0mGfU+vB%m+HF>1Q`p&_gC}U%%ud^4 zUuohLN!oK40k7mYV^)1@zOXVTbaM?upB728O9NH?=!9Z_4GBncZU3|#AXhmr*xl0` zrv*O+;B_lH=K)`La1fQ`=Vt>_RrHCQfy}B)U00B__ER&q%&=TX`1}6H`{jf8glkPP zvgs{A%`b1%%&DuBaj80bJ?A1}djq89$7Oi7bzaKDKVB@zL6~&iK`wiwy2gUkB5vSu z*O>OJFo84IN&#lHdl}YBbXqDeA)_U1_kIY9=`0PuZKbV!bNPHkNPk0Al!(La#k#Gt z)A|!0LYrhEt!GZ{ZmR5P=%}J&;K%>aOoJvKRAJSrfvp~X{BAiV<8 zZ5_2Uc^+8&%=<@o?HYvU&b3zPrVOo}Y1IJM-GIrG{i||>bg0lxI7(`)#A35?q5>1h zx&diGG1?C5Lv&6e5FF~J46ynUYPe4BK$4=7d}MhbI<#Gj795O%j1&wAp&D@P1BD*v zM8pZ2)b=N>cQj0u zGOyXdiX&a1Yviu`p|Ws@&GHT{!g^~-ol!uUXBL}~-BE2oz4(-R;K*fHE|Oq%b;F=& zdAU3%TF0+!`u^2XU27s`TBP-~vw}k~<>Cp2R{JomKofOmz)0G43kx$`K;&abcMa!F zM4Vw3+2fecNKr<`>G7tlHAH2za*SiZxbxY;%82?yRT_1JJl#P`d!wZc@-Rj93T>C- zS~;#oHto6z>P~6HDZ(#bYobF+?T~zjUtO~KIB1at*oNnw8hZ)@hY?!m$o;ywO>6x3 ztWK%u%9VL`YHU`AuF{uq^?d0Ea_bB>4ewyW_OGdjK4+Nh>Om~NXy-nWwH>QqmCPkp zwJyniQr zie3s$!yAE_CbOw`X2TolNXHmib;m6-!*!J|&b0L{-5`gS<=-Gm$dF{Dg6>#ud!(tU zY(gBF%@rb{squyKzhWIvHdQ5DO>+*8^V2ucWG0xWR;9@`caY#XB29CKAd3nECSJaf zB#Oe@JH-gfv9TV2R<+ft-`i(&NN~vTHL3^YT-#P4YM1^ObN3iy z+t)98zHHmJZQHhOTf1!Awr$(C&0TiwV(B5EgyP!d%)TF zj(&ix*YD8}t;$X4}?n`NxZeEhDvgf)45!DRzKudO@yf%@*vO`0%& zi+Jx;f%#GP6*_w`=5G^7^0sn+0;cjLr^ELvucDoM(CHM*SXFh*bt2=yM~WdDk<7gK zD3z{7xYPR;8u6D|6a32k==+p39w_=?f`fVo_4m=pa;PG3K+*_uk-!y(Z*{3Cbmr0S z5zZT9t{ec?XPZ69;jV{Msu6x1p0w65Q_uiZI_MiRpBDMNL!I#yI@%hrVvU1?{}k!n zIUr9cqyse^@$Psomun5W+j=f7U@whu%?{3wg@V}r`^Jx&)Bl(kdS=H`FBpM6PqFgV z7m+`gUdq}DoV6Qt3=OMIg_ikxXMq$D^2-`a1Juuay2jL|aDDKriT+T7K`;Mgl=zSDCnfQn1)v zH|e)t8T%w-b25-q7VgcMYQC?3gTClp<*_&VOSG-@2zTAE)9|3jmUV!7YP6B(SToJK z;$C}^2eGmF{;s>OYHYw^p^obJTj9-ftg}7Jo>mS$$!@mAcUmNMYQzjcc6ukcH$$Kr7#1V>3evOOqc9``FrHgbKq(=qbHo;_}ko%m;2A@65WK=YGn)op#0Ohsh6b zPM3%o0ICS0XB}i+LZ)kAcA+dNzi!;cu(%51CIG~nTRA6H1x`D)ss{A45ra3ERyay5 zSdcCpEiqnlke+%&4zy{ghtXV4rTolt2r$)%i}phrrP2vxc%gKmRF#7WdWrqL?EdxacX)Tv7LhPPhW5sRRsFSL2Eil_br5mTq zuJtV@%jMuO5AVE~85=n<>)j;PI=rCSO#eZ)Cdy1awj^zBNm)dx4Mip|@_^(Z>-C8toPtdJdO|RNZFD^Q z7uU4e(R{MF`1;Gemzm(U%$Z(+kwJL?x+34%#BGk9gKlI)(0mqi#}tjC8oCl!UqcZB zyS+%mY?w;<#J$cAR7Wu!86q$Q`8Gsv^aF4sljD5 zeb@!tsKP?rV<`J?SLm5l^*bb6N-<9{&+Nx)|E5>B-{sivv%ew!CdC4qYh|AFtaYIB ztsA)|-%esn<0$acwI_&ayNx&I(t%_z4eYBsJAQDYx7JcezVFiUz<|vf<^tNO#Dov) z0}iWA;ACFnyIO_)_Uj@u`f}WXGneOIe5}>H3Ul1t;4tN+txR3c)tPe23O%(C(T#9e zZyajW!dozfcrWnR4@LM>&t^EVzZ1ivv9hl)q`l5dAbWQ)#BLM*c4Q}81?f9h)6zZ$ z{PyhGbCLhFKlRZ4W~{~hb4jlz1HsK^`q?T$PWKRIp0KmZL4w}mw;42A`WNA8(nD? z?)g}lH>OK$M-gbm^GUq*I8Wa`&bslDkMA9s9XoCbG7HmbJrx4t<9sjy4k%ec8XQ$~)sK zxeo48)esIQXHqgNK!vovRc})viG()UH#n^n%#HSzUneZC!1Vml? zMGldN6B&4ESQYy8S91;K23|PL(Stz>&Es!o&C~LXB7okct-(aDbhSvh(iCT0rwEX{ zoX<$K=5&o11;*!)@^ziKT_f{zCAVMFUc^49d)4Z)aocP#J+JE1j=$0aOeI@Ww3mQ- zSY+$ZYbYXZ-4H=65(}|OuKVam;D4<*cjwNKZeUtT{7xX+n=;%VlfvL5HOwaC6y2zB zw16*RP@{*XP+z!Xp?KiG}fN_HLP76_(&mZBl>|&%NJzO|Y zBQ{|ddj@7bhjv6{u_<4^4kjA^(m|M13<|62IEb{aH{9_Vkfc_XUyw=S5lL^#YG#Wd z4Y%E=(P2%zEjUH%_Z+6)m}po>&9O9@ULmn5#vlBh?rH9!mO=lXXvoW}<%An`47K)A zREHo#V-3iRM?*WEr~$RikW3mrQxGbXU6}E8qchl#g;AxQ4Xxyp^7olvT!Aq}0_g-x zJ7UxT7%d4U5s>0EncR9DDg8KYQo8n)4r%V#dOP*u9?P8=kAkPyw{?tBE&^BreLL43 zkQg^i8~Q@zaM~skZaE&U&k6DDg&xS(ma6^?DYJ@amg5D^;7DpG$O^ik!5esngpW8n z5?Ob$gy!g&td-H!D#8+(w3RA?dUVS+3?|2Hn>ZXji9c`^LylcvBK@^rQ3L{3_HUft=uwh7nv%R8_+&I#{5}`5w{9XHc@+!4eDr(CL zAY4+EpaxJ zh0Yc#EQ^SZFy`z{{mPDiw9i5Pb2FqJ@8)m;r83;0G^8(=BbK_k;jCNw;37l{rXfCoHNHx&fY+G;3uz>ndGr0i?Pg) zKvKi9Og$&&XYW_H_v_uk!O_|5{#sl7JIvT_PZ>9l8^Fm$=*->ayO;tpx8`i@i?RrM zYI19yneN3pqW2cK4-%~t0-6>1Z)y*dUA;i~W~~{ayYYncZ4~j`QTWhEdnhC1nx5*LNE$tDD zux^|q^L61kDc0vZqKGK`eV;Br`uC5Pl4l&R2uPSxsK`GafnT?~uv5n;BX5;@t+!QH zU&}cKZGPvo2%jL!bg5@?>^q*(`1+L7*BVruwYUy%{J3V?cc$hRERRiphpy*_$YyWA ziuoc7hO!K{18{nt5g&hDxH~5eaGiF2sg*~adAqkW{2fyF_>(Ehx~2B5p=-T>CuXF1Kh_0cr1Q3lX;Tx7hs zX#Kh&NFKR7?3>PJ_kjLsa`WS}Pt?EqZ3VJ1$ZJJUnUOTr_KN zrBWBbpo$DYqy-Vk!4O`c@L`r64MDRZtl@(!L6ALi?gU?SnW6%~$1j_yHv53X8N_|@ ze7yTTpk3<6aY4x^T!3{nfVl#IbQMeF{b4N`2GD*5lcr*dy!cDNU-eB@}1DB(rAd=;yA&B2@J!Zw8=J;~G@mI>D`aU)8 zuP+m4F=GKSUjqVVs3L&rdI}wglnQn{O-)|v_2Tve+>fl3!4p9_L+eQx4WdE$4+5mw zu-dIWIL}O}>ISjRr`||qrAw0qN5pcdo%Rcg$r9weGUhI6PCc2S880;NvPduYt|ZL!A~$h1Nduq%9@ra(PN3%hRV;^M#}N(<|p-Sb+SF zu;wUDe)soCxLqn&fLSr4e|tJMTpU z&xQi`D772u_1sIJ-smwePn}CRF0Tk#ILkBl}e?K+V(RpZhoeP-to}NPH-z$-Qdy1G^FuBDj#ucP8CB%1U z-cm#(45A2_uqdV_Xfib@^CP)x^GA2uM#FcsHACZsBGJ2BWRyw>(u2u?3RBgE#mX2V zA67}XR*p`;qB>R$x?GGz_X|xsqp6?g84$1qx75}|Pp7jB2C|=%hs#0JMq*oI+(bHO zw%SD7oSz~I$(H7f$T!iBPOTYV%Z~gCi>HqAAng5?0vC6+3G0?(4jCeGZ zA@r{z1~SGN!YCyWqY>~21aQ580r)R(s|y44wX?8OzSf@qY`6|M}|v`(Ikd z)Xv<+f`E~kjf3rf&Z1(EA%4&5H{eeI@!Whs8(`F%tjQ{%yNwWmf)p80OsW|~)XEUQ z^xg6>ce#_KjCZsAeWOYBWNvHY?s}ZQq|4p8wX0RX%<+0KxwmQ4uGzD%T|P1D_$uF} zo1JadUoMf?ofIQg?^N(nBTTI&NlCovb__k$_4#&ueLfPS{chCMwY^y}ny{}^zqPwj z>&m*$@p^x{`qPp5>5aq#{V+gL6hT$ibr{vTC*!N&&LZf}wQeM=kokS;;mTLsPxBq3 zqG?>Cc)2xk_$gHNOg?>EDDRBz`W&zaYP^xf=Ng5|ghbu|K&7mXb7EW$G?13n)JdaN~Pj zcgMVIbVWztlRf@lAu;d2Au)DH;B(hRbFY7lVglAM2R8%LOe$|F1gl)e`Vk}h|0_sL z{vVL|<6n^YW64$t%esgEsdu)EiKoZgpa{jsp125qef%yqG@Ayx0KcDb)(BSRNh4rODKFBWRTxEFj+{oDnA7#IjGBm91GwLmYX`GJ6WadE}Hh#>o%B_TOhw@lJ?FpZ{i2;r`DoYQw*>sGf+29J~QlT%#W2 zUT!YA^HixNbNq$GLk)j7ZvPh{@mcIzz!1VUoBUH$D5X_^862DG6jAYB(g_WI zD4bLUJ`NN?>2$@R0b(lIX?yHEJ9$7zhwC#$epH3cohiwD?0dc%j*FAHfHK;dl7zg} zE53#yfE?XH3xb=05faZP^+8RVrVznq$d)4Zes@;%8A zZPc=5{oOnerUTE6$^&?ugJdm>1UMvI3$`q*Et-HQEP&ItNl7IqZpM_#Xczi9kZhY0 zkZe^52Rw*Jxzp5)?$U&2K`+rQN+L!9&}}|w#Mp$vinao21O&%02P9xP@_iY2fGs0J zhi`0TfK4a{!x&?}YHBSl984n^mA7%c;DRyM1W39d0pQ|LMTp71h!H`*`z=tohItd{F0y?Hl!dc(}PCqkfPsaOl)hOs)w zvh>OHZA?H5+0hrqIA{0ax@OLIM=*lFWU%ZiCvv^)nTL94mlcgY}Toi8fJ zjblmC^wY3s=ZTVZ^~C}(rBAdpwxSen1IS7OEZ6=vR)O{D)Aw~N(u5MG+k|Yjjf7dI z2qrr$TPw-2=#qPBpT9yDSF$lKLzrIBCpjL3E?kVEUp0#8k|o==pP^H?sW}Y$_TRqZ zsT$C-*U_^%YWF#AytM>^v9KT0@#r~9P;p-K_f^t2juaeZ^c|)xLCNt^aJ+>E4x$+b zE$-A9wF)P>CN0>WLC3gn|9)ZALH62An*B*|aNd~q zcf=1QIoxy`vK(HP!)Lt1G$bVXN^sJ9aMCCl4#?jz=En)C#hVLhaF<8-ylRK>SWlz% zV2giq2%}0rDL#qPd?kg$nuIK&OsO@X8Q?-Cvi~^ZGxTO1-RvJ*qh z%8iFI$PnRZ39hNCiIb<5=wkW2ne+R(jK7YU3)}oJJ(Vw2H14DU>Q|&)19DZE%1gOb zx*7R2M(Zpt&0}L;ODO-yV9Zfhes&T=;@_Kwc)3`SF`f-Av4&V8B7dV2fAMO_?)Gr4 z)#x*S?aI1b@hZ#Z(d5~>R=a%7qIT`z*t&7s(tdVxbZh-8q~q00-GsE}5?(742rXS5 z?U+Scv`0tJ^V|OQ)vg%DZ{OB6)AP@qkmuh!Gdou9O+Cu;dAYo0u#kMjcMYkjaT3u( zjIW3#Hv#)3cWTU5i>3NzDr;rzZJ&j`#6UQ=`R{hjO$zV0f3v7*|H`7OiyIWj-e`XO zk1Q(sR`JxuCFV1f^1rjFvBjISEXuMyoXwTjHGi|HE`PJATN~NDhwmD>7cP(a6)WCA z8+P=uS1-RmyUtiT0&Rv>fm!7=NpZ(@DFTeukGLA~T-n$BkOZUFzMPg)ljxJ^NprY> zhCsBCC^)gZ4M|U;$U0{IEtsd@1a}4+Lj4FHk+e`?Dy*<4bL9zpm_?DF>S#&dp1 zIQ+>!DipTq4$J8I|P(U1#e zffoNT{Sd^}T{;$U8ct4u92Vd20_EqRXVR-kt?^F(eydsB<*2dB27U3@ej|(pLcnoA zn9c>23l|BN1(PIjrXRm~)ri}MoV&P0I7w|~laKjF>@jwsw&nt`U8D2vDV+^vGADP225PM<#(JW1Jd7Q7fkre%u=IQ$*ca z*3ix)X6vyxj!oRq6(0DMtHE}m;$U~n-0sb5{ap#2cXr^*P716xffQl9%*;!mWCzvK zRid*gIcCV?AC1wHTXv0XxolwroSiOoK>i{%;jU%e{axp*p796=pRM72+_K8%9E=$w zb6yDZc1fV0}R8fl~ z3-6PpIyN&2CytqLfC)DxqF4y6L>lI08rd^ZJ{|XN`eSBur&`%Y$C6BPbQuUJR0|wOPoUU>1(#4y*3a*u^ti6T#Kwn5Q&$l$CPFlG zPo(|86P}G}r@Eofh+rc=Th?Feg$)+O+i4h1OyoHoCuyd`&~(?ELtkqjthIeGhb1{; zu;&3XLQ2PsSlX7RnEkSVB%%h|@EJBH3BQU7lMFLCA&~LSMr_L1ITKEA7nANjs$e@B zz&VqKI(kCsWEnnHHr(3SmMP{w!~m|zyRKB80O6h&SbafH3A6E*csQACI@hU$)~Q}9 znyeT$Y2$c&9U#xW&Io}oUS0;!_=P&uvblgd8k6Kp{*0Acha0Y83)g)HgX8#+t2h&NAIm} z)%{Q|(QHh7igAD5_adR9OJcJy^6hiaqb}O|mcV{~3g-h})^|qxosy%Y%Ue05s#!}W zW>pTQI}AF4sl`r>(NIeVB`*5I$>p^e5zB2oQR_FEM%(G#vtjnSsK*}vi7FhBi%tRq zs=D5@-zMFV>5?LcKA=-Q*?7Qx7PaG^3>T41Sy4vL8!-SiM{zRgGSlTqctwH|7P>SvVeAqM8P{_C}ea;9l$o@8Rh~6u3cDK=^ zUzEF<lZ$gp$Ck}nmO`>GrN{eW_4w-R9h%kXp_9g1O5HnE zCReY(WG#No02;!_J^f7^y&Pjo|9KxHDZ(N8Ey>7_tRBE-OVj&^cO#Z8*iv5Zj-E|W zOKxltJN+yQHV#28R|~cmiVEPv#CWr6Z*i*!tf(leB7|ZoipEb4^#u;tLu;O`A~5CH z)EE4B{`EQRW&)bu$!Cx1N7Rz-m_()ULV~^9;>3Eg znC?Fh5FryO8}u?hbvlRCQaN2+Z7>;?H!iVE#@9k}8bkb;9R-%2jXc6H7 zf?Vg@={Ma@Y2KVp`L#`euoTLu8b~SIiVTo;Y@(;M zgemEK-i6pF9Xq=3JbZ0VJVUMyva4E}( zjLF43h@#{zZc=P1N?i55LwxB|V4&j|;v^i9|GtsqD(1c{_B(-1$)EyvM|8hL#m)%4?{+XV84H;?E=8*>_`zPw$wfoW%Cs!kRSKxEsybt&ZmG*0%ZI zjhUMZcRjb;VyTZHoNk&;J1glC+F$7BCLds3*b0<|hmp&aNb)W2Ls5Wveg!{GX$FF_ zQIUf`9guz;Qw^rQNzKJ`o4W7uzoxQKk4hQ}=%^Qx8sxrXf$IhXz-3hx(aXC*T|Uh1 zhPbJME+v-LdnX!wPqL3(NG@Z#C+sd3Ubm$XlN*+1Eb7>85zCO3Gu!8!|BQw(NaVM= zg>--2(}p#I3G>-I6*2}N{n~}PRE7=nuJ|OhbBBQ89jCh(o5R5Z$rqVh^_-F{s4%+N zLM4akCJlJNV1}{louHJdD`DDW5+d)Y`m?&Bf_NKRwFRv)uLTD=qNG@B&d?9iQUSTj zXAmemQ!{A=zz}%PSeE*wt6arg^<eOUXfy zXas!>p$g58qFN=Q9$G#Wx8KeT-utm7@XHbmcCyqN0BHUh^>o7MKxu$61%UKiq*%(idv?m zAJ;|d^|q>zzzEx!xr#yrYcFfeI4wJ=Gc@*zlZj0x!yfHow`>t9!xy$c51OA=wBQb; zq9tU#0T?9mi=}=^2w_pkAAl!ICDdVmaTj9sLik2{$V!zra>&%&3TH&gwLBr_9GPVP z)T&32&+<1Gum8@n)>N~~^3NLdDYnkj!DyMy7OA|@zE4ms>>sr@2uM0MDT%GIAXDWJ z)!MJhSsn|hVs62mKSEOoerjEwF70M@r6Xu~oGYe>AjWn{wINI-LZ8_&4!Ni4eObrYL2`rrNDv0}dGgX)Fe#((kt*dWP45A#4C@8-xaW zOv01E2Ka3-DVoQtmLMAoi`MR)DWu_eWudYhdW_Nu%DA-+#~}Swe!4}a@3+1 zC^5w!HDWWnX8>lO{r9VfB&ud>`@E2%QoX2_17%^ z845nDPS1ggDePtrMr0#nF76161UoG=UU24>haV>^7>O}~qFo}+ie3fTFp1N+s}^R_ zmlEHan$1_Fpd7vRact8*lMW(2R__h|`9X$H40^UM(|3P1-a9YfJ7(!^9kYU^$~UQW z%HvoSMw1CR=Ho>jFP#e{y(QOR;3f?+g0Bk(5g*Svoq{SL?$wIBTM=Gi)cwT~dL3Wu zbeWIH5>jxzq&n&lS^J&$$I3DmOLFk_3ze&tsFd}2eu>=}rwO?pnlb%g9~lqZ0bmp` zJ>u&%Ypya;C2^a#fzi7`TS<+tcY5+uiH@Vf*GFbj%~*l6)vNl(*Qc%(;Bq>o?D!JOKO_#6=35{AmminNQZAwA>`R|j6sAU7#oPU&BzgU!VaZTRc+*V>GQoEJ% z6(72NvDC@)7#@GOYf7O@WK%1!0oB1s#i7lx07O-huq`I?84%^tX@@C`&P1jefaTB@}+~ zGN$i4m3-a>vtkzfe_U&EFeqp|+>z~%Wy=vs{N70~Odn2KOsgidJZ2#)vbiNohB zDRNE$k1-6clPO;Q?blb_4xTl6k_Cq)S87MFP#f_tj3SPbUX&|g1gWEUHA#b!5MMZq z=JPh4SOKz*W}T#DE9R;tO-iq*L@qOk4g-7cDqDZYRAGGL1Cmug)(Bm`h*olZNci?p zzK+lyv$Cy?K`oBG~v586D@!Ml;sq=9%ck_l@B6KT2ImuN4 zbyVeeX|-FeH_EF3g4MU5a??@+x$`)g$-MAVgplpJ&ZCl{_Ju5bW;#wVg2~fW8Js6$ zUav68z`H$og9uRkbYZpDx}dE0=4&P9qJAyQzrfUR2;hNPa1EKMcBVB9-^-mQogLU& zE)@^5aySwsBgb+HOWO|n@!yn3!QOf;0{Ezgee_{C_aHRM)LejYI@I!lp)G|r*pAiX zFiN}@WHL8ZzbFTtc~Rd2s8XC)$%nJ)9bFpphM#hYZwWHAO>|{)jK$b>iY{?g^K~Yw zNz1QIq!J{%CW@R_tt`=qKuJKbEK7kd>xZ}L))P(1QAZ3qn2D)`&NfYNhl8Gvso5Bb zp#!xNLk~3WQVCFP$`GA+8>dZ~$O4i`v@MyHfCWNjEiJ%fi3P2haSl{j zA!s230Gf2^)JQ-zF;f2Ch?7Qd&tXyS^>4vv(DwmcOD&U&3$pEo&d0tG>9e4OBU?b;Z0w3@r_0IVL4xuz|Vt{i#>hUDb?ts=t%szPP zoZL{p(dHqGpVPTJyex^Iy6uRsH-U?is2ABOn|Kzc`JloqPULLBoLq{(###d^g5o!t zEm*l*Y=LWD3=HJ~p+`3$9jV$05R=5(O#}_PA8jn(E0g|II&+BOgH zZ5+SzFziH<`t%z8rbMkSrplH^7Bjvbgsm}Hq(8l)F`$n>@M5Woc+3S)4M#>evc!|?7U!MO&SP6UlC zfxwakHvVq+w^h*5sWMCWB24>M>n2jRO;&&HlPbmmJ3jb(ppd5j`K3X6A!iHR<=#qB zlLsC7?2P_7Ii~ElL*#g3n`Cwd2JwZS^2QNkQnnXWifb+U+b1a}e0|R# zQRPSp!J(wd71oA>)ld;^ez7gOuJLCiy~cX_E)Gd-fCrKLPck5JSQ6~ z1|ujs=w?wtOiV8NmMOd8ht^8WkS}kRMAMWZ>*hrJJx@VE;^hg0Q#YCScd!e6^tljrPaC(r7EFf|F(%g za@-s)^!6d>pbdjjgVW)Y^PZ_0*bOj3Mk8cq!G=+|hU?72f|Cw(X#cTLkoiSoFRc9M zg+j8Y{68-goAZsSMx{wH0SMz1P)NnatQyltDwP7p=+vgVpd^$uUNcUFBvLkV&^n;7 zr2}s5>1VK_5DgVewgpqDuxCz$Oap!gmxH=^)5W*ts*TZy zS|h$1u|f^ITguJQ_SFzT(7cq*FW?3u&pTNEn3Qo#87dE?rc!L5y9zhnI)Niv6bDrc zBEReu6u740)?jz?Q8HDg_eLGYa8#qV3ln^RWE7#mT^$!CNT%vqdIH5Xce7dyHdId;8b`Frm z2RUxZ5vZ?ZBdhfwMlnAZM<`@U8Yug52MM!K!>=!cm~HaS%|g6ZmUlJL!UysJ@6( z)F}1ANNM348RWbsgupJQH87g_1jy4c@%=r4X_wo`;I59bR|#e0!<4^@p|4`(tQCJR z$$%YgubaTsyI%138XprV|Bvf^?Iz91W2yWq{gx5TA3e*Mta!fq&FTa``%sggrulI1 zH-2l;WlY)^i9ZR=hlFlLi0n8ZhRjECfdwwp zt}t7aLCIJ;ChZA&VAX+HF(S4r|V8&ppZX zFB?592r@uxZqT`@l>!*RKu%&QMd(6yg0Wg1ldXo$!az+D&p{bExoK`jdC`P)zdT%P z91Yu>ljNmnC?pxxhn-JqBe;V`aFI>9pss>&;Z^TQ7{8X36xAz_@-xqV7Rt7b`D>9? zfX|qZG;03%MYd>$x7=H5^3zqwQk&u$>rPUKoHvi|u&k;twtZ9L?mvUdK>FN2f$CYr zb%)Tu^`Reyt$FZU2!>*cyTU zdIjO80ikZDsIc(H`NZ7XroZ@Be zs7$emK_S<0V6CG~PWA-jJ1bsF7H#c>O~yzRRicqF;$%dYErjY(ZUHXw-i^CEgTlBu zQ)>2vKsfrbH5?6UsV^o2B^u*UAX6QzY$94|X*Bu)H$<};@u$Q!Nu3|6H=jJ)fE4Vv z_AUEFXH9rv(c|rfSb|0Ed8pX6C$qMF6JABTLAG>^DDw)0X<=nfUfu9;=fq4HuoXY_ ze8>5KP05S!IE3neO%9z$0r=k%eT)>+q-!*)+SsH&(xnu7D77aWIu+e9k&B3vk&d2(*Xk}3LmV^M{FQOpO^cOXz3Kx2yImp1D@o7bNkxOV2+ z$f|p^cGuptKfmjvguejc&(O03Rv%Vi(3IZ>%x#ABOJWxY^U>I*ztB-(OfX{3QbvBd z!0)PtR8db)&ak~~24wG@Ttis^ea9bpXBmXCX={M-4d=CRn>+l1C$^q1wK+Pqeslwp zulARSF}FMq7cI7>@{qYa)EK&FDyW$W-!I0J@@~1Y`#wT617QuDdr~IL-#N1K$9< zN7MO$mj++iAN)xAP`n(%)`RHZ9`(wB2W}8vU=N?M@D6@x{h^zEe|#N+I z!P8|r2Z)*S_tb{_eZA#H>*btF0mH7&gykixE|nH=GaKkDbz}bq248p18Q4w0@Sz6F zD_gPkP6O2XQAXsgxkM>Vg6)@?>1O+4ci3733zI5*d9^QGiD|w$nwCXZme%bVrF9)l z6%=6Umaq^BWuG%st|eoOzf2~?3L)*$TBJ!%j5RX%>TXxG|9dEt;hc3N4W`;-x?isJ z353M*_huM4H) zOrw!E%#p)^4G0|G!xEGSLwic}4C@P}%!#Hm*UcZLD_>(lbmk+F$n7X)=Ph~%!@kuM zvv-)OyA$YPk+?o?SfVkIXClI)!TQ1sKcHGV{(8%{XnYZ?Bf1)Xe9*3>osC#QwX85! z>UAVYfh{1GowGb>j-aPsx(B&1I(cn)tPEyDnJ3~2>ZQ1sA8I3bOXITR0I_lYZkwsm z&`oPgF=^4C&ytWT3lWC;geCzj&jnB}(MnT2*(>9-$`4HN!208W z7oPh-FTI5bhj`qBQx4bSvnniHNll%2F!{ys7RmZ$m#vKGU}uw{S<+Y#E44tOZWwc^ z@Zg!K;MQDc;%s7J7rV%?me^6-g)9P^PQoc5e;)A#gxf09j+e!RPIU!zVgEj>~49~-M~>X zpk^1$*WZC$u|XB$_-FJg3T9@_hKwOW>((EM_F7J&dn~k~2R3uCQ6UppX3RFa+tMT* zA)c3)3r2^(ZSm2OgJ9Y9Wsd!6V7v^NWT!nC@7oy!W@+G)M#owHyjE0NdST_{l4a%Q z5K|O&pucpS9{x6dyUh3A(|ID>`$fTS_^LS`gG~B@t1s7D(H4CI+*L)@6mcdl4QE}=|R>{XhC0|1n@tY{%GNV%)3oqhE zzpvmXppTCS+qVU*EV)1 z(Pw&8rrf_a=Ful&gRVkRt*Xeb0t4+CqG%-^4bxV^1S&ImCKRr9+$@UE5-M`A!+U#G zl+7BflSsx~(D3$D%hFoRWwrJE1t_P++C^EyV974*MP(N90~5}EtH^IzG{$8N-E|XB zo(U)8+W(jgV`B?TJ1apxAkll4DS0OR7gMtHRnky`ojXRXp5qf{Y^mJ^7m0wL8g{z) z>k8O3Th((}mDQ5`=EqlGF#aDQhRLZQgYDAPOJ|3EHX1|A` zEmrU~ceOOYQy-r;;6(H7^IzNg87zQXh{h z`{NYx{BQD4rG-Mw_UKgxvcG^H9imi(EXae8Eo=K+&E7?wBwdoScX%q={SRxsWc9=f zX170QgVtWbxZy9o15A&{gxVjdSRtX+HUVB(A5ZU?JT?&_aI#WRBrg+;g)>0i^cjUf zJN2@P1Bn>&s?<<~VASy+8)laiGYpi)E8=rs;(UUeEGUgXv_`=szn*(HJw8k9-B4lk zxILcMsSfU-5U%e|dVaqJ*Ny4>zCrKtf$(Bu?9gUZy@+-WGdd!B(IA?WeFF#yL4fz28T%s|r-a zfQ?sTYo2&{CyTF?!|le(D*02>iq)n??R@ME-7KxpRb=(6=2P@?dn?z~;t`(h&-!P# zgaB5DERuvQS`@TbE9k5lmXwA(RB^mfupIwZd;iYqp8sLc1CI=|BN-8_u%UcsPcM4= zrst|&q%McUmkll_#0$Cy5t=ZiX;b`&Ju7X(`8lQo;0NHV95xW>zrSVuD&a#CMlP%4 zlcEl=*q{H2=f6846v!3vauw#XJ277UAekj{wkA1XWvZuS*Ua~9#bZaAe~xT|E=G{s1H9@3>*~*)=oA#xl5~%`Gb*04$RSG!cL*`oCwCfc@tCKH>ag1V4UB>Vl4Nvw$D9U*JU zu%5EfM>@YeDtpv~tCHhgI~V(hjZE(7FVtu?GH;3w(|r zavAsgATY3>;feb%ArNpJqqN%_sxW@HDn;Wkf?xD8JBG$#7tlC4`Zj~@j9hjwaamvq z1nO}46yt-=D(WfQ&2)xIN06Khx56GgJIC% zZ*3egN-rKWd+9e_HnA>O`b*erB9irAqK95c<}QV-hcaDab(pms*}#I{aU$Aj$LT|k zcPG?V#??rJIW#N%+g&HDM&jQ8a1#!>ceyliG3_Jd+_R3N4nb3|JT^PEg=<~f7q%3^ zaXqJ8e9qO~)b)*eo!jaF8a`KhJ~XICk*?fSLGU+yKa<7XT)%_w*H%4alHn-108(nz z{BX!4yA*#Z?M2X_YWV6U?n&e7swhyJ^Q$)Z<$Mjg_xgt7FO&y`)&uxDA$|~o?q&pp zClq6k#c&VjU&6Gm4exIihsv$}e((yrd6GYYBsYN+qP}nw$X(y z-Fnx0Z>)WG?7R24@-tt53ny|~t4 z@obHJ{Ct|eh^YHj9eF^igo$aq3GZMIL3D$_njFc!nb>z#GRjH5#n;^O;j8&5p%M>r zq6^mluAL0jM9U=Ly(ude^NC#Xnk+WGz&s6po#=}+#J?-tHwS8yB&)~HU7Q~gU)3vv z4c&g~=2*5Z5`@H+Z1jRKar??HM;6oDO-DQatBWEG*-q2ILf7{)8GiyQe4QPtl%`%M z4yHriHVZB{T$Ud@EBZP>ZdwBA7;#Y!n!QkVb+ISb&1SF@|HG3ywu>ZTFxq$U1a#Ec zlb;A5KO(#~q%y4CQkt!?^N;bnxOKK_t0cbJyb-av|0|>5A82KuxK|{^ z_soE;*wFICCMgijX3M++DmP(3KSX%p5r3Z#uYf`YFs$kBhny|x*le$OY7CLS@Wz^b zo;w${L5A4*>zWp-w%tDB#=|ab9R=} z-3U5SBA#h3iGn{}jG^44=X2ZCO7_Ym6*1WZ6e|wnbXA81nfjkrU7@*A2?rh2F(oFb z(Ah>J+m%frxT9EDo8o5;=%u(+4fZw>TPo8cFsq1KjUd9jytTXtVc5mgX2+-y^74)i zY?H&vmt_em(-GP0f&#lmU}%i!hrEq?2-*}QaB@-HN<2JwWXm$nbj!5hVgENz^x z$5B>(t`Sc!SoG!9$n}|_y`~y8#4Tblb$-`0{+~75|(lhWBkOe`an=8$B;hg_i1 ztce79;BX3tU{bY&!Y{pI1ikX%lZW1YWl?ViU^;j^)xWXPNJjeu_4U9|!ip;Px_7*6 zTiXVPw_&y%j*7UXYaPq>6tpDvkaW$+JU1OVAaKW9h&@+1;t5AK00M)_svlyx=cJ># zm-b%Ss+y+pD@8a)q&N6aZa12pqW#yB(3gs2K5t)SzniH3=$Hi&?da~zvPSJf;|#3K zhYnAOVYZpk79#Kp3u2xLI)RSe@rV(QmuJ~mT0dgHdgBRs(y_6Si;z%_SIqU$apw3HPoF z=;YTa`%h*Ekw30BWMN=kA=f^Q&Qr zK923be2lVP>=raz)yOh@4!#KVEVt5UTQyj@ZU-gK@Lj%zr2gTOxoXw>|gPo z41Y7d|9e#4e;eq@!t~!cynj5b|JmU&(J{0CR}Rm+N1rzy_qz3l)>p4LUYpendlVr8 z89q{=m`t;bm>n@{{j2+7@^ULqiRvL9?=(c?cxrR|`f{4FrsF%%v#p&=*J|;v$ghm4 z*Yl4*0$J3_Eq?`i&dpt!I2|jd)XR@0(IDq2hZa$a7Zq~aWahb*8_lh zU2rz3-EWM&c2s0MOf6kSeM7v{*E(}=Gk+6)9&{rn`6EYFPkEP%V^SwUB*&5fY8H^9 zvznob2YgWDJKDl=Op7QDZPthb#VVEO)E(iR+eV$THH|)uLurq%8#c zoLNlLe@PzQsxkL_AWki;#}XX-SElP3rZzU&!;|jcwV2antUS8-{*&R=l=&4O`#JOc zSa-wv-R+El#LHw=w>oB803=ozRHT#Wml7#_nn!=wHO!(-c> zOBVpIi=Kk-3a9Et4q2d2vD2zx)EqTbH zw9QD&ZrZ+a*FDk3$l2zum51`KjhlnBG;|delt~Vnh1-QUsRN^MC!SGOOkIu{uvFJ> z&ix(Ek4J{B{{xsref>r*3Z@Evd2Y}Q^MqkotIkcsDe4j35v$-s{^ z&WZ2?N;8G+&Ww8&Riht!Vzhsbx&hE0yX(M&OGq`?x1nDh@2pV{CO2#$L2IxTuok@p z(6~tt4H8Ig(*Q-_pfGg4`kc(KA4bG_UD8N?kvu?RUu>}|zKy`KPnvw|+D{00>Q`}Z zguX&Latjv_`(69rr;Y>{h-%_}G;L zpf4&44-i&MPd(re*vSMzJzicy@}()R?@I~e<2(=ev!9!=0i0gXmLlM;(Eim61#D{% zSrb$XjFhlDrz3A{l>nTl=MQ$HPRS>_OcjaAObTjCz@c5_C(ZY>GcKAi4daFn$PL{{ zR|Y^<#FP^sKhz249xWUxkaPeUx77Oq{drw|wF#UB=j;L8l<<$|!p1&+HoDMTMN zjs$GL1=cV+Ti+kWv&gM~{=q=zE=8CIzz9JEfT}m@tK|P;m)-#&#b~6@(bS3^8ayVo zNH;rV@ZF>iBY*M2hTAGBh$c4TcuAT0HL4P-U=17Cu7pG)kfoWG8Edl94-M2J;UBYy zL;v*JNTL>IigCaI9$`Y+7x<&E3abPM7EdOsNxA>b{8?#(%zAV7rQsP+(Zb?kaU4Tj zlmU4{ASM_H?z}Khu&mS!dnjgs-|EmPa6@}8HsIv8cv1kpVQei-WWwIz-5h4}tHw{0 zZ)6czTkN+uAKJ)N@Q1sjoIOTCfPJe09eUbioj)fvVV_i@Va?hVpzPIQaB%DSv_b5+ zxJvsCCg1We$?oLG&C|JH4c6{PmDlEGm#Uhs1}#OyA7#nVD5+}ZLWIHW_HnFTa^0Kb z5JCX*x zux927lXP@P0x>3!H`O+y&#qtoE=4*}!VEi5O;<=*`RZWu)6zB- z?)i5DXKzK`t#a+@NCsVU!+Qc4q&j3-*K7UU%Z1QJ^Iq3~P$aEUGHbPM-<`#h)SzX4 zLdj&R*#3Ox_WFzASxLp9WzM7hi{VYm*-q+#lHPnXJifmeUf=)A@WxD8VE#WCp6Y*O zcmw}pc*}n=yg#;oGdz-iGQ4Lb8QiKE(w~e(KNXRraZGb;?*jr0CRF;q}MjNj2ilN8~svW8R+C!UWA{J%X8Iw+BkRsbF-+ zNZSq-DI_vc1ZL!h>znW%_(~g96QV5_@5rfDOGbE^L_VZ0pUc%diIlguaDfD?RWT?Y z7OmqDza-ty%@-GRHb#C3Nn{Kp7DuQmuPfZGDzBSyK7v*c*5{;3mD<72UI^`ei|GrzFh2$gr!Cs3U?2Vxz4c;S zwfv+KbAR{@>m5}oknOULPDT{5tvnZ-AYRnbVM!#l8_qA)6vKB?r>@CJOQ-d*{yWZ- z$)rKmA)d#B<@^3mx*g1qEi-%6y>}+_haJ^HdWkVj`1*V$Io1^!I!KQ3%crNme?y-ZwP8GDtW zN_um$`?xqcbhov4$;;;8{3nOk^0&jAK3OcB;+ZL{o~f>W74{MZVcX{WJ>_nl_sB`` z=uQf4npn>}O#9R;hP~C$+_#(X@=25p*r0t$nl&YJyhu4IW}U0O3{9;fBB|(75Q(HNTurI6laTZ z1j7Rofh~PlznOT;uvlL0%~~SiJ(d=#5fnsel1l0CmQZ^ELx@eG=~@XxVbqTfI*m?u zRNJ4id-YxdIE*uViMwD@QOMlxde}v-F-(7ZMt8e8JMr#Dm)YQF6??8`(SIKwb(|PA8Z5(w{D}UiDD5CAxWF=+k-8~mDX7H(tJ7A@gyt2{Rj3(m5> z<3E1FJHPwce^pzXG^9ARGfqY8Su@PW7~(O%t&BChkzDf%>2n6|*Y+&bNp`@#=DW|u zINT>3^vK&2f3bb)O>dX@jIa+&ck$=HQ9K&JD|j>nb+8sS6jW&sUxQzsB%F;;dz)y& zK5i8K(Kg}DIGa(BAtQZd-4Od&<_5y=G|1*IY@Ub&Ko#kg6Og`k6q!jTf0d8Q+oC!8 z7I-KB6nM#pSo&kuK27URj%aI1XCK=mU-df7Q+5X;k2SY!4a$N@c!2TU#7{W(V)gQE zpnT3AbjvdCc_?gqae5KZ+UYc?SU0x{SonGc5T3e_(RN)wKQN_ zjO=5b;Ukk4h;ZF@9fSTf$!P%d+SEFjoP>F;WZl8c2{h$h`(s5HkM3F0-2Ui$a**x3s0Nu>raQU^Sb6sp{nC;Q}M_?4* z}F+2JwIU*Zm(ev7x*M}ndJM=g=K^yx<*XA!0K4=oo}5g2K9ey z$V#MMC$8%KXl%wP2`?)oJXK+1pa~U8g@rYCL=vgF6$Z|Md4MF~{(QC)xFpUNGBf-s zQNS1~J?P&Q9f6Iokw2_W%+e@r;Zb>$Cm}G!wB`Y~`W+6>O3F3VFNAEMToHE^(|-y) z4Ni4{E%B!0^ASj>$8)DBdfO+1E5{StxpxW>&Arz;x2H?%^Vdcj4)hL2%k@DO>pEWE zL~|1@1a3CUs347K-O&t3+jK{dHe@*kC4!&X$I2dgPyk9K$b!gLM3!DGlQ`eoP>-Qwd(UI`ZDv@}VZ zbPB0sLO_TPi*bVmu$rdLf-G^5i42rT;v2gMDdK%F@hl4}h$pdrY<~284#0H*jLxn=*T4>z}{$K3@3aR9zihlTZ79Y-P^i0a5XIVj(Ktz zryBtNfaZ*jQ8gL!XhSG28ZD>RuQ}qMHE?N*k%4q#K;npnwj=s)=lul6cxq!}&os(y zF;Q5WJ^^(zD=b|pJtukahi{^z9ZkTStir2JOHVA-PUx(gfaeFy5st{H@X8B1{KTZ@ zQGL_!Q(5c=A#``f&y3GTL87nqS%rgCN86Vb_T)vmyAosO7B?Ww@A?Cfk8Lz@jd8^J zX&2HX2i<)I7rV10n)rG}{CpXa}!8m zDOC2cLQi*#s{0@PQT0;)ZUgSI$tm*Y-8`vtTt#Lfz(6C2`%?|Wkht!k*-O6H%+zkS zkskbp@U;uw==eo8!L~r;nlR`so)CWh$UTpZaDt zqIa1tqwJ}3cs2h+lgXbUn>sWyC#@ITGZ8%TsIS?S?{^J4{s(76UdAA-l}5H(0d@i= zqAvqW2Xy?Y+k{X-$uSIsmU2^uYkfEU>LRWxJ8WZZbaFDVd&KxwT*hk1 zWYsnr>!DwfC3dV}c4BI60MYTcKV;(7RVT{6vu+%tQs^%K`Y`}+%PDLGF(j^TlFSRt zlNhNejKX3yWcB`i6IjJqDI~^wpOyeA87yoCeQ(-EU5S9Yv`Ginz8*;ls?31kNC>sj zsE*1^PK$>Bv|2K~YZTdOiiD)o8di0?@82meU%DhEq&F@LfcDfHM>F_vy+AtZcfNo& zUcqvx%$XEot{l*{t$iha-vGjOZDqCZqX$&Wl1-jY>64114Wo$M$ z0VF{x%P_vix;y}AA?ZV1GHJ>C7*@LAtS!`uo>{V$!ySs)@t#?YWf~uB@k3n*OXW{t zGR0nnSG0@8@^{L&B35}FZTH${vaierR|~4I&a#jq|25<{pJCAen%(?~UnWe1w<(1oyPVmPn@a5b3@Swk)N%Vbm zz+R1uw-Zs#`6wG*6CzltMJD#81LDA1;bp`z%EI7RO9IQG=ivCUPz&*WSH)q!9DL9# zwvwnJx+ezw$K|?fa$qJb)IOM~Op3X5Zg}{v%+H(GxqU_`z}BB&ef9=gJ^;7PeOFtCmBW)#yDg0wntRZVZkQQeI`%A4oj- zVrfcg>z@>Wyb_^&LhNxyVjuheRcT?mziIMFII9w$ehj$df=hnd+ST0vD}VL%omRMw zT$8jk*JYVC4rTry_h-;NGXKwoHsmJ#BZuVV{1t^B)gkk)3zC@itNIFZso7m_?< zUZirxzCkM}F8FH$<5e^-#4dHU?T?XOD%!93&I4+2u|K)DkJnF^F6>0N2Ac>;h(LBX zDoLPkO-+G;9F+anyR?$u`8plJB9K&w4-)DQ2}ZsBTa=H2)sAxgA*i(kmQ68!uZrbM zoBBCkj6|Xe9RU>DQ-j*ZUMra^g0-_P2BNS0zMcwAZk5d#7+WS_3U~GZGx95Y0lwC1#W=LF6fb8t$ zuC%r?8h&n#4yQiG6$3`sfs z%cN^P>0mg?)Vs$&j|4p(0D>pc9eeZFg>{)ylON$kJ&sXQ;rxsI=i7!X01=?Q@#P?4 z!mimPf5F>ND+!~b(lsJ;@|2m53k&q~rQcigmP3=(NcGb}B@@?=^&M#>O-)(I_uufa zMoI+iQ$&9j|6cTIBg`pXjaPaygFFeBb~_=7kN3s@>Ic`5$$JpEt~hW2n2FiDD<12p zOgSmx7|;C#F7Xg^u@3n`Aw)-`cbm|quY~D_OOS(2$)hPVE1N=Sc28iW=p9i=7J}?L z0cf%mO&7mOSoDvat%vgP6y;vi5J^XJI_`ZBuTN!vrIr^vOo>VhUD^D&E#ei*jk4=g zrQTuh5#dH~pb+AnLp9tZNeJsRJ~NaXx-mcRp0QwWy)TjXoQ@AUZLY!%k9|)g!X;#} zr=lDyENM0`Fe3M=MtT0LBTq!|=evC;6Nn_qC(^BPT22AC1T(Fhr);@jFOM&L z+k%!c4VVD1C|}^fiZBZc;d>Az2M@?JrZD|@2T44;R*mhtWOKR9ZIO|Z6?3!_cJeO9 zfe=d0i6>O_TeB6{fAAYZYf26d`oNRAWjU?o@h5%RehPF;K zNbzMt31kNJdO2TSLo~$bX#?0H*BNMYq|IfALl^SB^sfH6V-!PSFfMy;5k1ft(+rOK zKo&^5F1OB%>4}}Ip}?Tfq1FT;KQ7=gD8iZ1Q^2m;WD zWP(ulH;Swc-=EEw%Ir(1gRz?=f|tA;MawiGiLFNLx1_t=2!4Ulmc7;<8G^ zrR3H0$AXZ}-O@Rr#91u4%;F(! zmJmfQD=Tzk6&D>rj1u?lGOirZ8P&}T6<5aPbIZ;O9X<)9o#yuUH3m9Q`j1bRs6scs;{9C8QN2GB*=B1p|K#{!KM!g9H_- z6yX#3Lz|BLcyYN@z#1&Oh9k&YAMScWq7fI`>N+4Ok9R(_uF{NrG;BM`QIxg;&PY@*QWIUDc1-vd?eh3DQ7Sm%Q;ARCI( zez5oz5}~hvU&Wr>1GGhMx?Kd8F8~7k@`01vL|Rchgxg8Cq<$D#r5vLqKup|8T|GtQ zPx1NCbRiPBou+fD8Xb(fP~{wD#64t%!b`q?{ON_115PVe|mMyCrnC> zSy;6qc*LP5@GB=-N$FrIvStWUqZ&*che=fSaYAQw3DE~h{|VTxJds^&vdN}XHQaYj zmhg}wX^w?-cEY~Q>&ssLt*TjTcy0?TpD(hwUsR}Q)nrIZzoRen~hG&)ri`Qgou35ev);d<6dFo5)F? z8a$~?K#)yjNrt_s+~NKFSzhwi)z^AZ~~@ zY~2$=Yg56*lCvcUD?_)9I2wpiBLNQvM@zv!8d!ftbuJcFaMf<#zp7*$hhaY4{fS*; zC)~p1{;|10X9{zPpkcn%rY@?i<-Vg>75E%v4cd=lM$i45Vu3_t|3@Q_fK^i$O*8i; z@nq0rJPM8bhvlQATq7sh_l}qyf9_+v=IZit)R`%G{fC#p;F%n7Qw3ofIswx9O(4v* z3#DabUaf|1$oOt@PCSBb5Vc85!mZ;TQ&>e{jKeHP?9V#3&*u(PLLYnNzG89DoMyN0 zw%p^19~mU)4SGucTansB$WI9NguolEjqB-&-|yiw!`8Mfu8V`i7VZa+$!F&A*OGT5 z-7*#4g*4-Wfo|*U=ni(MslNFPfSSo$+?dcUTV6=zrtGS~K6~Q6c+E1xZc)YhHKyHs zr>?S@)!fyZ5>2{QSJ==q#BE zlSLZG;;0juxa8*7q@0Cjs=4I$TIf%`E0)0_t8aDM3FL>Ah4vj^b#ey;=4b1rauTH1 zQT(tRr*sk|-t^aJl>Z=LUBN-CpY}8LymNI%#Yso+J4-KUWr*{-L15+;%t1eIB`xWA zeJW9Bp;w8PlKX_Z+r;WPq##iT*tGKZrocs3;7ZA_jIElSQEt6J&2b9C0jV1VLeQ>} zjTDvUCa+aQUrSwwV>N&&oH2A}Q zawz_>KwDO%zTuzh?TF6XdtyOnZ#EM1?6+OqQKSZ_<}$SC%n!=hmqJtYl5WhTE`E3| z_HxW<_M@k53%6=B*fU|8WE@93^I(D6XqxoKQoy}GH}|0tF&9<5AEx_B~o zsN6Wt9xtzr8y=n>z!h9PK7Z~>&wRJjboSNRi<)*9IL==cqL{>~rHKq`i)@L9QiWtH z4qq}2T?+cR;W-nz4BtXCb8SZ+>D}aRWM3)|Ty8Hha<)`EbAb>&^hHs-;HM_7W8k`> z?42TZieA8o7nlZ9u$gNGoxtIS&-XdI3w@!UVfHit_g$0IajW*Fxy9kN3wGZ7+lQ;( zH?IKS@hwXaY`AOyy1y4%A+gHDgYU8Dv!2;|Df68*ItVPD0C~A&0>(Co)x$RkHxe%i zy^%6X*oH`e>DlAkJM+jM;&Y&>f<F+qvVd5Pw*z{#jXnz?{>SV@fCsOuIam zZ+MSV?Ju~*?Mdv6_Ir>w4^Y*yx>0RTcKc%{Md!F7#x!h{o47^yccmH(Ac zYhcxZ^VO|wA+aT;Y+>gJ%N?hpn5q~teb~h4v@m_R?kRfLl&Kop~C`` z@*9L#t^G$;b>_q{fzEHkkiZvGut1+*oFLOf5zSXl6AK`PLnllVCsQepV~#CPlWUhS zYiKL1v>MYnN{IKL6H}m{P}9R6A)xMvJo4(X7rCoNAYcokl2hZu!~Wo8mzro%-lCM# zDNl96k%lgO49cx6p(balLT3)&Xmz{T>ajk7P3~?mui^^( zvKx90(7gr)$k-@wN-Gfmn8F-i>CHMnnP4UG`uiY~$p5HibFDevmtK0E#<)&aY6ZiV ziAM&1NLZl|n}U85b|utI@OM*p^wIz${t+=ArNdXre_ZmUB0%1rSx#*4g0(CYTTJ+| z#8rkUXU8isYIM9mx8wjo_~){1OBa&EpNil*=I~feX;D>USZKnw+buoLW$3;m^fvWJ zu&yEER`FA%J_Rl{ZKp0dgu2Nu!@<&G`4x$vNHev=2Ll!w$DcAeT&?e)b5T%Of}mXU zvMXhZ$m^9b5slLbjbNZ%wn9#WIC{H5lFMmrD{82xs5n#I4_fppqG2IkYob144PaVV zIQZt>)jwH?>$Tj6fAUbbw4t2Bktdm4c&~t0aU;8}no5z~BVx)=r#{{TaLH=#@p`h`+aU4eOLFF?A{R6 z6S897P(|`_P!-*U#(2rm0M+eI@P;g)KSmWOP4q_8U~H5FCYX$7z~2wM+YV3&pXYGd zIGe~DB9?WSYf!8XsKP1lsS!N*s9xJ1w~tghA1-?l6iE}LCn1>-09TM1-kpTn(WJg8 zE0hBy7)1^}2_L~jTqqVgJvLQf3yt}Vf$BG|M^i%cxjaiKn9U7N|P8+Y=4L=ZqQOR1VAv{xm%0{ zwNF43sg?(ss~{kqb6||6J4vBMf6G9Sjec9}kVD=FRMN!i&{lBjzS`zMzZ}4U%D&uG zSALtuk2+pKD#4By1rNJ?kH<+_Dd^7em?_jqA15^PSE&Is--Myn**Rfl2)Z3&UZ!l) z7g;?XWLnc@Yyj0*6q@>g){Q;4Mi=~-FoR|pIqW0EX{M_2EjYc!IXt9r6|J}7y*v3hlJJ`5PiQIm!_%+E7VbrG+&K`YmM}lC!MCZ z{8}a;+9g#Jj%M0dMz~ezn|7I-0VT#D{2^8>#nonY85X#|s0gfhak=rr%Ho7Kl;FGx zRzH`Fesqcgvr;x4vQM36SJuEEc>ut1-(W_z%nBPC%XpgoJXvh*!Sk6pZo;TTcdR z80=9wK{}o+!`i+xa=KPAw>~vUt^QWJBtttpq@&r{40@Va+b#G#0Tptvy;>~?`gN_z z(l({P2JU`45cgC+&?kac*BVi*=Axe%-b0G?E2;X1hHs6g?MVjsda!wKjapt7fyi?=?JR~vdmqLaX zt)ZhlelG|eO)mpk?*f%Gr9&w_4%!lXB(zfz6^%?y>Aa%*I2m^sNlo4~Ep6TiTFSFl za#gYs>$2zh66tylo}v8yhckbXTUb0Ah;^6&q(tvC$KaJ+CL#MfXvFvUjRP}|gW$!9 zVd~JD;BzQg82F?q`k^XvBv4EInCbo5CD3?ro5yxvyqU&23E_~gUiGUNWG7yL#to*tR6C8b^Iu_Kko<7cFk z!J4b<;`hJQq$Hp^MbqJkMmWmbK{&|1Hu~*(2)|6>8BtuzR;T)04ITU+F zd@un@%w3Sq-t5cjW9 zry1bR?v7}_-`Xw359vzj0gL#$y(g9Y0*@|=HX&3fG`5ZK=O_SEZh#PZREurSR_vuY$GW!)(3y_nIV4X(Kqa3cc{|4B8`T?F zQ~aDlbS4yMusd|FBSZ{W6or|rY`EFqK?A2m*%xR0lSS1?9L(mCdVU z4T;aH?t&hWzCxr#_e*?Iu-DUgbSVzGJW`o^ zbGdh_QvMs#A~0+ncJ@<%iSa%|K5Nroypry6T-2w1OpbXtjz3rmz+X8v=@M9(v})($ z8QtkDL>_Z5bkht+H}tqq1;)?#ZAvB75V=p;sY<{XT;fT^d@`#J@Tz2;T{Sc1)}sr>dKed3Q-G~t5K*I}Yvs39V_NY028|IyM}#Hf4KP%I zu!H1PrNNg*Fs;fSiq6WE$8V%UUqEp{w1lOIo?_EoWhu{clXx9eO?Q!+YB^*3I+tT2 z2%x|}B zqS;8CgkHE@7@0zqC}sOz+xC3K-&kL6z#gQ0Pri1Hp=G9dyeK|70&?(3URjv8jW-}9 znG`_5z7H8}v3!-tRdzQd5R;d}^mIcyobLhj!EN{`>0#-)p5Fk^17u)}8~<9)fc?#u zThZ`*8%un=@QIOwymJZt-kW5TLEUM3$B)@d*a-6C4x{U_=^Sw3dV7uQYTzQQxl$-} zGR{dggI{S;oj846$kS&VBsJ57{kxB23p@*DR`bUUIOIJ7eP16`zYU#pYV}j=@;$4X zM}Jd@|Mx+kwR9XkXKA+8kXx{5-C;-js53!NluFG8Xf9aiEHE|V!@(PxVbRyW!|yJOt^cc9 z{D(De7NISE6)3I$2j@SgNP<#c7*Rs$giawyAtcIW2UmgF>1p1E7herJ)ZBIhd@nVJ z5Fs>S4RuJ>DB#u*vE}7jxt%K7@`F!#pKsF(&ib5sE!=*~)1D76T#P&Be2l>1ocS8m zny=*6IjdDOkA7X73UA#T5^vtEIfM1ys$|mm$Y(e0kgqBoHxQ{-BZ#zA!5r0?YxT0k z<>qDlNL^myaM((H#35JYu8pmpPb5Fc>6iTgXPtTj(?Lq-`9RNp-Jva$I|jgFeWc#Z zKIa?J0UXD*Lz@pE^U2lI`-?S$_*>7GR4OUHk(r!#1LSIm@`+s#p?@MC%ohECrTX;& zQ4;1S#Qox##sgfK!lXxn7E6DTM4cp@M!?pe2r{N?K%{_1uCUT(ZzT{lrBS5043RUx z!cvv9hqkWwK{rPHeF(`fH;rza zc8cy*=lT1WG4_B8hl^S@hkS%T{+`=*8LVKqH*x?@| zBH?@R9+kHKT|?f>LEd0d{tf+J`Yeh~K*$*=Ay$~S@k(r*8b0u{K@PA#oPT@tnCzY177vr{N3F#s|ZrA)aD)6C-rNQjT z44vrWtkWw+Kh}{Zu?jB~Gm8Z;IU8(>3EW7vwYKq?@7knb^Z+lwb4r}!iR#8?f-Grd zzS^_6dN|y@!7&^?x_k1%glVOJjzPg>u2sG$3#G7GNgol*_^0he%T(9k2cQ3m!!4q= ztRZnrR4pa8_28VbH1-f6{Kcq_8)Db=%gZsRBBQ_{o>6rK_4yE5>*wB$o0r4;jgC-6 ze$iUC%9HKE!DYK$ZFLDh8t5AW2X@yUb-4DA*NXQKk$C_Y6JOr-OR>4F@W~EE=_aq2 zm{(J2**Af(GkV#L)O{(;T@qhf%98kaIYw%du=)m~pS5RJYAo?oo``1ZUc%Guwr3p_ zG#s^w-&3);z{o4s*6ApGxpXz;>X_RBIqZ)?K_DfEaurs!6*2F3GVR zfCeXtC7I0I;xpB|4{F#s@z5&PhKk3(y0cXNL>FEBGN5pRj6s}V1k+`R`<{^vmI>CJ z|8_V=MC*ZptbP=t;elLnPH5deG!dUVq|8nAjW&%;f0mIYkt)cnE=rMfK zD>L!bvAg*suh3x`D^)Qd7#c_X#EV*cX{@8Nz&o5CZO$Im>Jr?U5Xm{+?5jmH#E{LmOkfD|H~p$o-GhuBo!%>>MQ!| zUf$2Xm*iyVgwKeQj^-wwS8~qJ{M{ogVl+Vo23Xp7H5D8#guK06@hO*Yaa&}*tb|>6 z-|RLQqit7qC`dk2r`8_O{-=nu`-51ecksX~Q{Vs-3_o^0G-Ov$9ccUOz>g;5=~C@a zlwSZ3#h$?b6+2|0{}(&_S2*Bb7yn~8AOpicFZ|!b5NZGahXb-O|Fvva#DAm2f1|{Iqr`us#DAm2f1|{Iqs0G%QR3FWQR4qjC~-sVvAf4#RJuHvgb#?w z>wCYnirX+jxs3umizte!gKB~%s=n;~?drP=m*3VnGeVTa_tVwXu_vXWBB(z?;V+gL zA!xor6zoL5%AAl8Ss^spO7gmk)nY+*s*eK+u(gC(R0j=TAAxA0#(0+hXChmxL#AeB zZILjRUSHBv5~t9=Y8~f+zRLLbz&&Kp@=(J_3|(+Xd619aR0!rfyhfQd-^7FrCdNjm zO4rE(EZnTa%LyzvhC<`Yfqkdexe34}B5MY%ekd)_D)<0c5e%q&pw*(m?wAXv6?hBp z^e@7S&T!+Iu_3;4b67irSVsJ8fv`l+SbkdF5ljipHZ#8AUPXQBb9LxfoFvOn zt#FSb>p>F2mBXcrauK^y{QQmW2jaC~;3s}03NvybOnAqlYtpRz4;&a zBawcdd;Yh0J|OZ5etdb7L8~w^xxZLq6J0-#z0g~->o-e0J1KySSfl%9iI@gVwGcus z3^<*Pkiu!EPZC7aqbiJONXXD33?|FsWO}3{cyvbPQ zwcNuGJS=U=@B11P zF!B9T$dGcA^2A}HIL!=}A!!6>Wrk4Ug;uwB5el{eiBpb=fq?TFn1%`9X}fN|Y#4Z7 zU5j{;oEey#>0$>;c63ym!_c*jQ&QirEW!g6V-f1(fu>!M)Uwj*+lxgb=&Ld+fDt=r zTSD3n*@l*cOrR@6W&$j&iTxa${%ofoc4-^e@!s?`S&P4e zqUwJVtG#EwSTIt+`-qE_UP*9Rcy`Pneicq`%vT_E?ldDZta0v~(A7!B;3u(UW!Z>S7O_cgFDcI!UOFaQ^`#L9#P>stX>AO}o_e*kgluk>z-OIow=uEJF?#t{i#&!zgBM)#qMl1r7TM0SzUXMK(Fv zzdE?IrV0NP>-kJt?r+!-_9s=34vUgEA5hhyy;7}C%J4^pEr?^U1nbb(OiD!YRUNTV zss-fi?8-p7VN8lwQa{*#cW`y2G?G=Tez(T9f49b3T6EjQx5cC_TwNbk?WnRg0!Rm#O*<~NV^-4|^;R2iKlK-ikjlie#IxDju##%G6a5_>P z8+-@a$($NNw1xuEM6#DDBMvR{DPBO$ea3nx>}`KG7J#JFmE}Id4@0ywy$*>z8d^s9 z(@In^PY(8fwk$w_-BW2{Rgf%+B-aZ3BaZRl4_K?`$!x;ddON&NE9^zCW6ew375#a> z9>v-eOD;F>Y+iLWmux|_lkK)hD=s0X)GHK))sVE@oihf|GKoFg7=f*dg>tJKX9hM2 zjIEp&Ud#lesK>gVHdRa)lsO0#!@He1ku4lW#Q4|TzN(7!9%Td7i0-N~*k`u1sHkHX zzo7iXA(SWMNaZ?7ivr_7B6pbh8Il}5y{M2II7v9m(hF7lcUP)CENL(1$sP@O=^EOMwN%Al zgsrh!nzN*zwC|WO^s8+9X8|lCI&MTDble~iEp0rBNya*Mh)L-y$U)$*xT6&B?M^>< z7WuC4Tlh;;n%0)C&y;(ar^>Ny{*pxh)u#GY4bvpAC8AVgTl5|iisKA|VR!Dh?W8_8 z(g{|Z9zhm^TLCF*B^mb4KBlzehK+_Rq_JQpEf^;YO}w^rx&^7n`aYT=p;&b=XUW0D zJ&MM06C_QHeS;{)!Z=vo3_wdkQXwl%qNL6Mo7Ev3h71^^R$bf0b;DpbF;1B6G-Y!X36^Bm{UgTs^+=H_&Js&pqXg0XZ2ap zu)yDO99!BvO_0r9jl%lM*?s6)uWpW25@o5@wR;(y8MlLr-+% zwddz-?olkCUmt4wHZo7h-4d@C7GiWM+b2BV-nH9Tnwy`HE3x*C9UWV98{HdMVxK;S zzQ2imPD&97?XbCGyj|HK8(F(h##drsS-JbsVAv9@W`1Vy>`eNkqtXQHy9P)_F#znv z1MS?r!T>NPe|3B42HDNLUN!8)F8#ILVG{0y{G%Tvz5wL?N}XB-oGW#OeMq5%4$pNC zF>(&%0UZr6^qy?f%H@dxt{l?m1T!*Y;$Dt%#z5Xy#V(2S7cG@RE9!+gK`~wSf(t?B4ItxYC~)HNDq97?c#KK zwQ30XGEOBq^M{BS)Zw@sm*R#2p7U;=r4+@YRx}<`h41pqBTPka*dH3o>dz8C&dNG0_)>(>6cxHz3i$ErURhzmQ{4iE_;5iTjHq5K#Zw$bkR!M@B~`! zV41^^XB6j3PlgI?Q+GrPeRnCg4vG9m5zs6N(Q~0LaUbf>V4K6R(Vh7?V2%#gpQ@pa z7%@_Hi_o_8DnF|?OsFc%vEU9y!xSo7bu-4rl=Ma4y%kxVJhHu$6>1w&CatLvevKHF zgg~Z9S92dHigW>`njl2g8b)C3KD*#jrU(yambQGJkl;CM#DFx=+!4Ykvr!7ICyDE* zaG(gbkNy~J$hvL-akVJ{WLE;Pgr%NU7_?^%mJ5rX+mOn6(X9Id=Hbr=Z*KFV{(_2v z2jF3_X(-zTW)}QE%b|UDzHIx%ze5~W=fum8g}Sc!FYlQz>nqq=g4QPRo?i{<8Y9I} z&!~2zAYiT_PEEvs&g*ijXsVN7iZDg_ zIxcjFH&1dBYXMJ;h z9X3;6hTbr+G@aE#BFmAT-$T%4!Q`n7^e0TfI$}l-geZK++P6z1cqQ-0)LT*szIsJW zY}r8FCW>s%`(m$HpW+@JhjR71pHrW=f-s@P?ZOApW4Cxs=F#H5n~^rL?JNSpGU*(a zsaER8=1F`YKE7x!1nxpvt(May@D9o)N;)(LMAm z9Sl~zrof`o;NX+Sc^TheHIEs|?{!tMn~WrC;#KCFpMlJ~t$_jGpFZ8HagB3SnWx*s z=i#RZ;kzHwx0a8Dng+=5GFO92EBd-(`W`8Zh_?n9a1bI@u~?k@PZ1~QH`2P-ZWN|v z8H@wuELQcflm>*coC@|%jKEQ|u$=Y?EBp9q%<$#LAiDC>yMel0V&Yl`fgF*1$O` z@@2If4gTOf7?R0IIUI8d^3U#zB0!~~bgT}5??v9ejr&|)4qTUOwiX zz915fguouxQaf~S`#r}#d)-gnyLI=u?i|k`M@V8oWs*uaxas$`yJDf}4`LWDH4C6( zox~Z0V=%r9)*r(Eg z++^`09}tvBq{HaYf%B>D05VO?>|dFGh2{2h{?oBd2&nxBDb&}Igdg+;S`Elg*YwuY zlSKVh2fRe$&-8g($}mZ(&9NZ6D4%fT(5_7Nb(MiD0ln%3dZ4PMH(`9$5pn=;-Tku# zwI)*tT%R>bx}Mw$Hx5XWs;4g!y?hl}fU2n{vc2I18(>kp=!lDP9c{fDn`vE5sVIzt z?k7{gEx8lq$I7TYeDCb^TMzRw*7wS|3_O6N=N^E5w3_-0GiebHTX&p9iG5CK`-qAk zfaX;8EVO1-ux^#>_^C;93MLQqd>tHaTG7s**sp(M7t^!wm|S{V&? zJciqaMj;+))DrNJrnI+H2?TV5>rQmK)3U4!&h2f^xUd zd?|gfMRyaplC8g^5=ESngXXZyBcBoKr?TZW`Bc&X+mtxRR5VJHa1x`RfCVkhV@V+N zl(nMNyAeKprS7Ys{3`}cm`g zs8MN7JYli#ye@IDQcdfcN@iUj1??VxxH8p|J*>Ho4d!Pwu(C1}r zUai8VEqD*eA*)|LG5&ivfRG1IKvN20v`dtv?JYPRg2QW{-Vz#J#fBMsK65~iNAjk( z5XamHaqKp4=%7aT$f%ub__hNPAR()(2zE!Ih;aU^$(A9!^CB=5YL}UhTH5EjlmH(4 zrxbi%m#=^};%fQwEL_0%wz%t<;X#r>sfvyC2&3L~tsggZEk?i|bdrp1?%`0ZFizhJ z(&OVh;2vSVouwmr$K3Mz`eKZA0xYuSSro7r##FtGIWP$f%4tjOHd-Y~a%m~t|V7bKnFWL2pjr%jUxWEgyHyLibrX{P)tY0lv0?aV*}Yz*jgSG@w)NKpB37xv=C$8R?k zc4S(yRWwUenb3*agrlYDBy?5uddY(B{H3RIdqxClZ5``-LG~;6 zK;ZloU*uRO?OH{|`!msQ!D)9R(}QhMIMoFYLWj7tG^aWk29QslBgBRWwXNv2=Ip}Z z6#+ZsQ=Wq3iTv2=SCgB6ufO)E9So+2Tm|$z5Ua(TlL19qsy$H)U%Q|*@8c|3FERTg z8v=Fk`{cK^ZI4q28y{u=020>iiyVj7+Zoyo5`XBwoqV;qvBo!GlDGP^hSJ>LfpLi1 zOwK(pzgt1u?4C-RPoIvV)LD?%V}<)W*dyVaBzDgaj6{=$tW_O{pF{r<4L`^F2$W;u zY@0|!{TU0Tcef<*UQ7Gdk``F#_L}yK*Xyt5Bl7aki68g>Iq|Yg=mnLq)trfMj;?qt(|o`82kZGOWRVcXQy$ZUe{f0Uy-;W0LM{%wP7B^ zzO&-$vheTI(Mt62{b+2KZnpS-{D9bfLbCT@apPrZpLdILD)Luyv9=Xm9U+KKR{B0s z_Q(m9N7wFgyJV?55DE#l?*j-Ki+N^Ke_k*7tA|LY0|Elr{)|(HFyU~nJlNO@re2c+ zQen_A?x(<225_;D2>^ppPg^m&@PMDSzx z-XDK9uy8gV%a;AI`AsGg&Xc%%HJtL^pVny0g!(0u<`+E(y5&>1BB(#nN!yjtA6qqe z8+jPtG|q3IY%+N4Tkz1N(&3%T)7Fvx6HmW!hH#D3_ouCz_!$f!DQW?M_P61^&g6{8 z_sBnaAGC4y5JFE8b#hBwz8STFTpPR7kvEesFstfnAI%3vWiRGtSC&W&Ur7LZ)~%nv zO;hh4qr#$MEv{!8jW-n&+Y|@4ri|iO?1DSlq)%rLjVYH{k{pZX@0BFzsdjHi_%T5C zt%G|!RH;@vQbggcI{HQ9v}QeS zv@Dw0arodoU3a3J$QQ4^6akOg4l~DvY$LyGXW+c>YVb=!3`2DE6;I9~gq|6x&OZBq zm4IB#L5YAg!L&9S7M*l^W}0TW`rU0?=s!2z+L z7D!TIRL}4hF?|6L_AO!>O{+q`@B;IPYBquexyoQ@V7?2X57GE6F}3P$fifbMZlrU5 za;!$>g;44_X+6k+s)h&*2Z3lz@H4E1j`0(}vGIyIn-D(VB@s;cdy(2+6wi^{2fo?bzJQCg>i*1Mo zdi7qau!4Ij%$lUYWD1H!0!3MhcA{k0&4SVHBOhgs$$d`y`(K$Dus(hd-sEx@$)=xY zO|lkLn)eUGEFD?j-hZwsMN-a^c4@hOhZtbmk**HJe^elUmC#FhBKckWU#leP3Eq{x zsvuQ_Anc5Pdc-PGK5qX(7zk|`17*KhKc>oqlVeFM zy8PF*&Dl0wl(VN_(67xg_6stf1=oBY7L?gG6kLJoZ}8zTr&w&)6Fm3B_!`q3?`7sT z#rr4-=HVWD&F@7q?oP^eKn}J%1CwHzw*D>%P^6q-56|!S}&HGHzpi2^KyFrOOgWiywYaX}7u1<0QCTyBgH*>r<8`jpz zJO?qr8&wL0A!XGi%!LOedUN~ZZ@!#i3#)RZeri<%NP9;LEFt0;SR|k{{_1xKaNLo; z6_MByetvJDmA*TgZwWMPIKTT$Bu-ER;2u8ufWHfYY^@}GQ}Whp{Suq=zZ4n^bHZHn zShUf28O9?ejbg#45uMm?nQ;4VJOfw=qxRh*rlH3pwp+BF@3z8XRV8S&Fm--Hg$IyO zL=AfjNS%OC9&nYIp9U?kL~vAL4)h```mH4?kdXdW3VublCky10x2$3W)g9F2u zbEY{p=jO}$xdT;u4tS~vFiU#*zK{e_=ypzYtWFIA9gydyE=8p@lCVQH)T7iZs9Y*G z8!VP*9;S(AUp37L)GfJlP*;Q_wTwvcA;?(t9(*2dP=P`c;SoZYFUjxnNU>8|9m=V| z#gROLpaRMn4w0_o99YrJ#5N8{aS(8BHn7GQ7=IkF(9r)h^a}xB((scYwX&-~v0Xbr zn>g_6JtS-dI>0FKX3xVrLvvb%|Ig_cTp1NeNo!d#mDuP3w_TF!&|PM`zk}+!yJ|N9 zgeg8mD_oP}fn6|g5vtxWyZp-d30ZN`ArWbc2^-9DRmptzZ5i>8*ye#saC$U|hPTJf zt2cH5%C@M&IE0)}zIK~M#$F+?kd68UqS`k@H6OhYP>CYX2d$NVp@t>^l&_%zDxPcR_-_h9h&35L|4V93U@ z<@{GLy#Kdg$ofA811i^l1jBI3Kf$2C@^_Ufa*79x0a~Z0`w!|prjULF2{}324tsVQ zW4W%L1Gw|f5h9#HIq%(5h35#}f{OhKaRRnG5FU265)K%Gsqa1?t{X^gGp;W>7 z32q_#I4zKT9HCiIbP>!-Cf2R=cde~G+o`@?B_{cOz5W%P3bBj3z`W?89ZG3%;T&@zdIyb2>GA5lA}u@n{9C-MOq}i5phj1+Pidh8cHom$COo8@4ji(uHbqZS3G2z9dWE2jg*PLM2pT3wo@ebhWW1; zCn~-(ortoC_5Mn5LPswRU}R(hn-5Q7tQ^_C-(0s;A}JTh0Bt#YLv%qMN>>K*KN6HMJB#Gx4QF&# zyBzPOF}_e{Bnev(4z)6PXB=v(r0N{E1)S)lL`r;E2x1B$mnnB)0TF zP6^JEokSY%+qg=dgtX^9Qo)zLY>!-2m&p25-RdQ{oa-I9xTCZ35;nC@$Qw7lx$Sj% zK1k2Qau&Es@G=O3v~kK%(LcjS)I_xKPfnPqW125ie`6K^ki$7WEk+$}4Mm?2H+c

{afJtyp# z8C_WCTmju;wxQhGrXcA^n`q=PNYDWWfsK59FPzQ8tO&*rv%*LDCqWM*F6=n?#;D>I z`g0M1%c* zL<1bD;h2(XjA;jRuKQ&xP^{*j2MX!bIm+Cz^v*v;$DrWaGbKCKeD4-URLm-+(c} z3(HYTz~6!E^2WHH9VmE7sY5bmIXRL?%Kp7J{Jgm@h^XNk0Apg8nIG9*;Ed7%5XnAaf9oX&J zHv+1*I83`Vs>emow}QH?AJ`p~Mb4)WT;1~j`+i4J)rwP$-o{FiK)~lqOt3z7>-MWU zKDpl)tU8+j{iGuzGxecmC76NK1|!Lhm;jOt5S?q&odlAB7Z?Pi`i1&uNp!KWA}2s> zpcqKXQCd&1Mr>spiNal0r`{p!3B)<} z#L;N5r*>&)ae8#s#H<_3(Wj-p6~#bbuV&r4reE%j!^qd{cUQS(8E(n8X3y90+o|ga zQ>*jSN?v{tf~t~ZHndd}F}MqHXP53?&w~d5txU$B6mf}8)pSF z+F2Xt!E;2Zp`LM>LNQMY=Wuq;<9-nlkOp^e+t{fV3-}l{Iolks&kilIFgV&EgEH#d z3^E)ZHfEmS($eJqYmu9?lcw7hxOxF$A&5I5M}mm~shNewBwbj%H7v)hv&@)u)-4*~NU^eb;tN0T&)xB*fhsQ=+X27LV4 zS_4cW{d>6%pY9m0Zix6;3}>O3&M7Nx&sOiQdU;G6-@VrIOPfmuEnbtieC93~<_HhW zIF9Jr0?K1A75I>bfMV~#FO~$Ez~j)iK%zu!kKJTU^0!QLhON+p1eR?~mX#l`YS{LK zdI4sUPT}BA=Wy6u9|*|nFSErxq(4ZYi4v2-A#q7RcoRdN<(JW>3pes`6QJPc_9__f zgrlWM@@wcMh;=&io$|1#wB$jvdO+GFNOd{dPJpJvD3UY+8#QW)86;^dH9Q6qNdy*! z4D4Q^>ZGygvlz?3$H8E%Wd!bWCe}D(6d#MV#VAwmRD~5OC{5i$R5q9nPyiBaYPAkM zn4BHND12&219*8aOvBJ*{f@z{hb`s~T?!|Bv{|SESwDw4kon^?a~AkMg zyv8P4$%J(1jK=J=XTg3wI*i(s2*yHq%acDsZzik?e2^y?2_?*)Kz+4r^w0N$+@L(k zAn`vW#Lu5}y#Qm8h+F?-L5u1C+8_GgbvlOsmrkclFCWgz$Obz14^D>|Z2)7G-iuL6 zABq`{2#uf*;QR>#`afO<^M75&fAz%vy%uI?>uh4{?1az2$nyX9#OM_5oDG~!@agH4 zoE=?^oaGH1|Lx|mu`&K%dt(3Gy8m}ijFp+0^?&M#P3tw_jRCoBzM%Kg>yO!HHp5*; ziapEG8;uh+g^ac$m80h*KtbI3PX>(LA`?+PS$NXRPS({@Kyh)2VJdA4@uF zx_UPJYwJ`oomTZHZz=0&Y;23nr+M7z4gS%viatT9t-`r+$>O9z`RGj$dg z`IR2{x$wZQI%D4D|3rt}nCUdI|HIdXieqc^>?X@#Qc2SSV>UN(GFV7w{fn>b@sCzW zz!GNXLTa2$#Vs5)&t`P!En*4*`4?X|*^2^xmOhTKaz2U1sNuS%C`@7IkDoId?NR)F zks(i572}bHf?4w**u}L?T9UB*;d67*MRl<72b@*151y6rJs<`q&wO;O5#|w?U0CBX zAX0bCiHC~&hp)3-({cSzz7CmGgY!l`2KEYJey;!D`MNiLBhG*0>yEjoo0#{(fzYg- z0?_}RuOt8A>!NX+gSk=@f{V0BfnPa2M`qmXYe4|bLK^w!EihU9NhReR5f8w64YR@0 zmqK-jA@uKNMX0$r-*nWB?Xz?FRd9_q$#}Rp=~fA%QeU^pJOY-ylIs}_;vQQS;5JXi z-j*Md;;E%)eH&5G41vac9lw~8Q-U8^Wk49;A@Khm@M{9j!||`v0b0C_iTNA1h@JNU z65j`A>WU%UppIm3G2_Ur_cas?;Yd|Bq$LwkR7|=n4}=5mVZY{ZL)xNSYL@O3K?sYi z{jz`o3XKlnRSK1{2A|1daE*Nl=ts#}CV3YbAhHZFg<>_CAS~WVIHHCY3RFA?4*?1% z!BxSr?q426SwVb1Ca$kWWKYbvgCmX)Q+O5u za%U9W7()nDU%~e)U=Q$M*lk1C8zk2jH-6R9wmyK4wh0y9VvxpQuUZU$7)N1O$dx zvHjg{#mPZcR5!{MLM&R|%VjRK@4=}4gr+Qk1%gAE9SpDv`Ed}`+lmpc%||xG&uRpd zK7cVxDYb$o2BZO;(uFvhf65SJ3{;ID51<}Q0IUePKVoqWR6m&>^FM90oQn<*Zo#X3Yd>z*hU*}I-{7#%7bznO1^*`}-!vCGGbK3n6zHV2%JC)h; z(OjqoTThe9Piy1P^vbqIEd^tk@q3}* z_&S>i*g5JX@{`iFN=as2a-WU!FzAvh4u)lD6CB!Phy9TGGf}kb22vgJB-{22bn12` z`+nQLyFUafhBWLBdmKr_SMWZ2XEXX=E0- z4(|QZFvv2Iiv!3J7|4-D;iRwzlI&0Xz0<}d`^^MMve>~iWZB$I`_FXyNkmAprQoE{ z;G|Mg9FSo3#>0rz;&p~(xQl~59@YJLtVhv$uz9c7#pC(g6z@bS-jc#W4T2v)CA9`L zgG>-NE0efUq0FpVCnv|3kLjF@ut%xsh^=^h1Ae48{z4^moV!JaT=BNFx!7H;AHB|(PCV1YPfY)?e6CD) zMppG-dR-OjqOQ&qxt!p?^tvaF8e3DRmLI;N$UYoV!pwz(E3Zcz;pMFfl;^AawoA#$ z>|lTD{LdPD_xuHd=3eH;11o6)um5l5;fdLt3Q18 z0e_Ar%K{dKYIVcqw%O*&6VF|Z=|j}oiI~=-6;3jCwDj48F9Ol|71MaR!F4$%C}N|R zydaWBF#Zt|f{#_uhKBx1wib&MpyvK2-qfbWP6ke{-8$X?Xy*lf03N25S-Tl${R3D7mRrDKRM%Zs%3R*2VZcD%55hn@^`E!vzYb7i0Xo?vdYpD-qxl7)o>G{?n$fq(OL=f$ zx06UfXx}7KPGua-!3HW*j(O#%Fs1Dm6s)Mg2NO$(i7nI#Q2tq@b>=CCss(Q~jucgj zE|c!dOWF*wLgy4Y*wqqD=N#Ix|J)&n*|sS=cx0y0iP_?|T;q~fu|~+RcLB;&nTQV| zfXR$*TT+j{0$`v^nx+vhzlqxen4?1`5Txo4V5J=cYF!^o3lgT+u%-&q`ii~6vfe)5^!gL^ zT>$H;-hN$FJU8HAIR;WisiXKaoB(@l#N~DS4g6pOk$z(BK=xUvnh1c9)V0D7;OHRh zZi0UJalMlVx52`$X^4BeBT1rs0Bb#k^ZigSomv!I#`<=EGB8`8m*^KP5Z@4@<4d?_ zm3+wG$HfKgQ^)4{7ww3+I8nS(3zk?N$eF_vsY41P3j|0(V<0Gq+`^;-7@G?^m83@k zU-6I876@*d9Lcg-ECYJPsH#Whpz-(aCe|YCQ<(%Z60#)}CRY$_5>d>j6*M|+#!-Az z8~sNYHhp#XsjptvklRu)kit~3U2OW2!;92P$3mwb)8&{#pE8M}Y)tXyTgpz)uT9yw zih_>i9UlDsGKvIj$U;CSlVB#}R7?#KA4TK!&x2@w2{LOVn@vv~)qU)3oX(6BGjILr z*Kkpz=lqjk3>Zs}C?%%G0tD`KIRrM~PuBu^t zpp||2NfBs0J}R<`11-b5RNTJh=Cx%^?I9!;ehsU*(uy;LsRajeG0jQx! zZJ$Ke!lL>-mdHvzGDqOTB@xY@m452X8gzF+$nlZhcKb{ryFZH>&-`ZEOjJ&7CF5{a z;H*{CWmBuix?)XqgCFvMG_!N?dFMyxmy9jOGgrgCVk{_1F9^|5S{k5q|DKuGZ+oo) z+1O6O&Gdrw0fp%#w@7jSrn1GnJy=0v+`n9)lE%3~gvDPrr8;)rtE%soZCep_TblK` zjnVd{t^lt#@#)wH^MZ$X{O$Y~Pp8$i8_e)4lT*fbnrcOPmcbhgo7z+Mt2{n?#p5Ih zLmS_t0>sTh?a*&%D-~GRn+`G|^w$69U9r)?0&X#|ET(Tqztu}tV(Y>_njKKA90b+R z_#*)hLt~`n9vGiH(ZwZR^Kkd3fdYA8r+Z>J_W@7B2Vv+oPl!E>x}0?_hVadAe}ETK z)gb7lT?B+tkIPqlG^L)B#X5yqu2eUVzPi6O;$A_1XM0I$b;7&`F@`L#Fs~j&3M4Vh zBZ0~*Da$lFZ=SACK4iZO!85@*tEhDkheB&H0%s6^M$!=f8A+pLB+uNV1mz}SAWGA= z9E9<)RF4TZnkVubM@&sugN=jQwc!sYZwL;@-PHTKpikDKd zw&mo;5fY5eC9>_7hu1HD#f_U6FN(!CAfxAqZD&^sHqlmn7jIU9TuXvc5%7%P+gnH! zrSKAayYKiSrKjGpLl_V1dk-FK))_w%x}4E+@a6uKdOncAU9V-asw8JSHS|JRVVNgD zo>E-_^>Us6@3RMe{6saLU8h&YkXCD$@6BN)UR}{_k_PWROE;&tWfJ>^jsH15F81vj^KR)*-df`xGsu>ylgBYZv_Y28kM z0wrJqfN!66a7SJS#d*B9hYu(B5O@aZz6J*s_H?-`adU=Q>eZ`;t&A1}>oVA~;n}&& z+30$)5VtqM&s(k|adew{f(Ju!S*u3fxhg|Lq4!=yPuQ?CyH{|7UcyAqm3NLbE-oY? zq9UlJTK!0r2NHn-IMb@HZMB?7VXc-GB84sSbsN;0^uI<3&le*v9840T~+${?CVN4~A z<`u(?cGl+?I=w7zH0(=NGu6g?Ce__kQ-U{UW1GMsYH+_`-Po5|--mQ|lOW??S}Wh3 z(Fe%;CpT1aLDex}8ib*}KW_mwL}IXO7F=&Z7D=UC7#wFmafp+edA>W(GC_*EuQD1A zl$%r+lS(1MyoyotlO{Ew%~@7yg~pvZffn=3tA=)kEbG6| zbFZbJZzwqe0vl@d5`lp}SF@ol4^7S30`MDCK&}Qn7YR}Y1;dKF;IkePId%?W?1jly z9g9gR?)Ps?fgj@;MEI73!t+$1L}w|`^q|}2FkV53CA91yl%rSPS=%=Vq-l^3t1$D@ z93di@KHT4Gf!h@8g{P`2TMV~rAiTK%-TWRv)V=8D`R6HNaxW>4Mz5Wl^r~<#Vk@DQ ze6LDn;$4#VYVAgn4Ke&<5Dz~;Eq=;+ECa*M$^A^Ue5k|&<7_vH5$UWA>(1`gxoIh} z!KC$dwA1X!IDTmthvy(wuE)yGg3ArkP$Kq_GrCAmUkLuX2YV&a>kp3EEI4SbA~zfD(adS9n>@ zfM3AiaSI-$BQ$>c;Le>v;u=5Z&rut$z`WrLkVVsnOiu{&757~|fe)>6-a9Q-D!IS<>oTq^azB0w zVF>w%QHdL&+;$uplswlmO8m*FkX$FW!VpR&UWT^e)FTh6vb+&w?qs9Pv7|DzJfCJd zS5i8mX=ftkp>COkr8jIJuVe`CWt8l$WT0OcFzZuQaTraH*yQLIj_x`#YQEqzXLQYm zxUY>P*CW}fuMCXW>QTCvaMdP9G}6F6vveQhChzzp^v-F()WHRe1hF~IUpA)Oj|#OP zkiTeWh-Npk=Q9hI$O%3U?Tt;Fw)NgIjP8x;wIZk))=0aTq0{rH9e6hbJah@AyP%VB zPna1=W{0%q50o$Tg2DJXiQQZ@yLd>>37G{K`*;8Tn9hs}gbf%(yhf}0fp5O5hRyZ> z>N~|p8*Gi`q(5s1sl*m~k(Ck@!mMB5?sT-Tngk)dMxvV2p(>hl!kxnY=-ut=Hj}q`I94UyktXZ79^< z`fUX2Z&$ytVVTjcnP0)MW8iIm#3Q_IZJ&0JXj5>{5L+E>%vNu4cR}32P+(@15l#9; zuW8Xrf!_)fE(SK`(BeIsh|9HB6klwM4ma1&_xt|S?vW8gtGDR4`w4d26JFw!8>1}l zyt0<=`7^HvLHH$lB6}MKM?$5|hQ2@BLK~{YXuG}Uw;#BZTSA6&BPG?{33uh=W%3xf zD$MNj-lJCEYw^Fo-VuHLgKkKM)b{>l@(C*AJ%=0!mp+mTfpif0q?-+1Hfow)$E{BGxB3$2wkV(qr1ln_&#c$?z3!L$O^_ z{7&NDJ3^~y`~x+bSIbZYyIP!ku6IrFVTv`~yUUi?(yqnCm;91ht1@Z-w5i1CRj8;d z)3Hko-YGN%?l((!=Z3=@B{z|6ylepqZlQ6u~8v-X0Hp8U*)Lz8n!h&__ z6mIAfMFEJ4dRD(J%5kPFJBIZr%x{qcI_by6Qtp_xyePPc>8YESkP*B;Oa3{%ljWKO zWVs%0po2Mj)<9)!oYJsPp5T11aB-jA2BDZf5wi?-e$azBp)2P;0-fG3{zmpv#&rXC zkErY%lUqw<`m48_V^&=)W*0rE&EcCk>GjtZDGOY2RZ)b0(dm{10=m&~FhaxkpWcz+ zb|1Fz3NK3h?f39WDE`cK16`Q>nDVl1qj%KR;D@nUv0ptTSvV#Qd zHkM*a-0ofC9_!*B)d8%9h^?d9jDTMoadK{Y11C1+ZdT_u7eQw_Z7!||#wl`a!e50} z7^|Ep7F%UQvzZcR(RX>!;p?Dj>n4EY->Mjq!ykMMn>Sv`_b5@b2t{2(>A51)uFO|R zQM@{{{g9?U>{>312Ns1mcxJy*_b+9_4g{qzF((Q#Ef7#6 zwqw+P=%0O=FO$KyO2Nl5$;hR5@fBQA4h*XRj1sc&T2psxTy%ErCR+mb1hfJEHJf0` z)<=6ND%9X50=UBBcRj0OiOGjHc%xs9qsw^kp)5iJkbbIhi7a!8JX;9LsXm;!xhtCw z&D2w6Uxn)BBeHiv5N7zMJlGu=nGB1n2DAZg+srl@_sf;S`NTZ1U;}!V&|U{bc3B({ zer5EnlgR`#ya9Y1d0^}51gO8PA5?!^A+ESZC3iV9Qj#X*34C&RV*|Hw3M|cECdLoh zUhe;+?Hz-Ai@rC{*toH6+qP}nHgBAKW81cE+jeqe+qgHG{Q5uLT{Y9wGjHbQ+Go|? z=bWmuSJm0i`aI1f)IXa$E_{8U9X0W`JM`eJOI?l#rz6@@2AI)_I%tSk`*1)gff%(O zVM;9`BPzK22H2iVwQE3|sV5y>$-`{{;8AK-l%EFo1C6sQq*q4)oPv|5P4rS0D?F@) z?Vj7Na3+1N!n1?;Aa3ed+r_K@kD5)$N13q+l6pYfmNNEXrW-6OHb#ZT_H1ka#jC+x zZqZ0p;m9R)EU%pwU+VA7g#}@trV0bJ1ZtUG?WhhOa)<7l{TnEh_N#>Cds|Z?Unky5 zo~a_vm10q;x$IvJs)+9T+zLspb#vQU&xf!NO3*C7D!XloPU%)?IssDYtam%o37A zx|F}U!y2PPNq0kvuyZ30Cr)rugT5klfLmgC#CW(6vj*gq7I;^43YV3zGkSG@mDXX1 zn~cD5z%-9(EDKm(aXq#&a{eH$b2ycxY~E~E8hJZ6zxaj9L*0zATkPxQ>w6mIi}Mo{ zxlt=5K8}ezWhoR@o&aROegOT1Svy%jF8Dp!fb*=Qr5yyO9?ZaP+NPC&=+Y4 zIBRZQHa8XJ#1$9>$baRQGHxKiLH_l`z4qkW!(O>I#FpUDMOe4iLh$CSG=<0%Be+hX z<9iL*Q_B!`FTF~|dA$#RXIiir2ARtXtHxgRy|$5kRGAL?&yPk5JRajb7;clctgW(u zgRPa1zLC)}4-YQsZ*Eu=@$b!6%M03~IvlepEOA7asaUMv{*(;?;Ou#8L40wl(Ue^g zt~mmFg_lZ!Qf!togmfn2_8+#{p|lP6RBFo7(O7EA;z|0(^X`M1+?otr6*&Ss}J0S1>C~T{j zYq>yw0Sr9(40OPBo9lS@W?1<=)=j8{i1kdmDs%O=;;B)Kh&GiLryR`&!})ogW3@9M zDMM6@$oB4De3;i4>=&ZQmal9euZGo9gUVRZ`RKB;bY|EnZ>FClJ=P4CGgYWWW8ACE zgxM2~1ieDa%+Ui{x|)eIRr>wkEXW%CMH#!0r6*-xlk=rovZqB;DYL{l3_+}hW(OGQ zv8_Q9cRzYcDUZbJsyrBJTS`f{{}3($3D|-Fr~y_18NX z{iCz)2oWGcPp}_wwfwh;JnM{% z*$_Qr1d#o}8|&UJ{==F#h&}<6NQ(863(m$=36F%Fdtx}TXlIF3n|{vKIZM?7q=gP^o^DqFgDS`gkaLJf z$UN?Oo;RLaB%U9BJ~LHk&Rs2xY^l6AIm8Ur&~>@0bIFiDZo+?ST?O zp#pwES+Wks*Ry?jkEQCUnX0*QAU>FtW@X?Zy&L;D$jv<{v_086=U-?&G*(z_jHl+- zbR=HSq}!co0o&=#UF3&48wu!mtK-_8Ywf62ScGCPKhqizlK63RS50UkAzV7Uul%ae zBAT?ZX2)X6L_=+QD1>L~vZu7%5Y1j~uKsF_2mjZ+IWMl(SWB{7FEYWcL#+jQ)P^TD zae{piMal7ld~ngTpM9#z!u@icb(>?BtYl+p=X%gB&<4-It6X_kZh>KTS@{5$5%(IY zG^K4@5Uw`nDF*E53;a&Jc9wuW8j>x(xlf@NKP28*CAIZNyvyZ{;~1p=qPM*?c*Da?dgq@z^QsMt zFsLW!j0Q{*!wdzXFL1j?<6c66vfe3p zZeL=*=%V7qV|6JLc{$Gr4|NvIEp;u|^CVZ{&?$$0+nit2&>!h43|}Z;UwjY=Kcykn z?W*n4rf@pEqu96s+}-AV>uZ~>DLG_EQsfukb9VJMwE2T8P z{)M@!<>WJ(Axd@9C)0d8%XE-`lDqsOrQ(@LuAVyL%pJrT*9lfgm>#%nddv+P3!%|#|)09 zF8YtI1j(&=21gROluw%nJc;AZxBl(!lH~AiS&%(Gc!Bx%8*3Ay>bg64Qa{*0<`TQE z00}CyJRH3%=rQoKY5jrq0f{wF`7hj@Flk(#T+MK3R?_tp6LwsO>V$8vi4Zq@+?RyX z9-DOLZm&l-dGuKqynk>zPEQ$mcB_o$**(YSqMq7Au(q+M9CZS{-`ReDMs^$Q6cW=! z+Uyp)k=D)v>cRmtYj`1h6|#t7d@#{)8+8HAAhnvC0k`lK<#~V5qOZC+SCONE+j)nQ zHAlZd(lX#|6sBz*RLpw{gcIe3#7;GMO5&Dxbco>#2#xmS9;?Lh zTYw>pO%B-U&j|A->Z{IvHQ{(5nW%eg3v#cY=Rv==)WNE6zd1A)3DReKYT$&lM06FBO(f#fc zIW8fvwqE|Aub_59^WHUZ9c%FMbz=~mYmZBbhS`^phH~<)fZ_PCVK6kZcBTY_5xU02 z3W;)3T}4?~c_R1sZ1`LMSS!c_S}glN_xA0e*zoJWJ;ximrZH^qh$Xh}SLVnGOx z9MSp;Vq`Z^XsV8IfTL4Ehkf*0#3$gA*Q+rNjF@)+2mrB&IOb)F#C@C3=c0)@b16RF z0^Av~nh%rn-TbQ90pnHErp&fA!k$G|;smx`Rul`a36`D6I~63Y$pt>adI;;S(RAnS zch4O_@7<2b8|=$5WqVi5UPcwa!7b7Q!Lpu zM}Jq)j$6e(>ck_5pMy%nQtyHKb~zx_$JEve41DkX3j47}nj?AvAl&l#g^u>;k;d;EshE=CpzXxvo~sn8wcOgr9B>{>x)Zp(ZK+YtmLCCk0!CA zw`;SW7?1F|`hBnsnDocPu*G@R4&&cZiGe>Xs1t^>aHA-=+oH{I*Nmadynkq$&ep!g zPEm@3V$Q}V1)>irrvf4Mq?V=9Ib^>!!>tMLi6IB8T%;gsiij7PBFd=A7iF1H{jc=M zgzhWB4jZBxEkTl0i!|%y$WqHkIa9e(=7b|SxRU9(>)5R~qO213!V4bI6Ui0pLH3fxX3NQ0Pdf-IhsmkU*vZW^tyI+|6XsQxx)o!QTvCy-=58Po zLW<=&JO}vOt0+T^ODHB1ztz@IoQ7Oi&CT87|gw! zCE=KtvOXQNFHt6kTKTa`Gk*HZFF!J;Np1hBQrunjue zRU|A-uY~9B>Bfi(zIMY*WX1Vzr1^GmNX9h+c75{#JyZK+Juv0J{ZOx!Jslq%VB!{L zLLni0$a%reOyy-y zLMaG%w8BA>ph4dMg%Or}LKg}Bou=xeI`g}0mVdR$EzSdDzbPvzbBqdntRn3^pt6>K z8D43+Lv?7NsA#~ZTcIH$bUR zS`_U4G${KAfl-??JMrKZNLt4m{d$V^w}jV6L=8=QJVx~Xg3fene7=Va0%>wdD;ppd zTjbO+FzV4a9c(=GoTu-e1)UWY^NHNLb@?(^I)t@?e%`S>7lJjnyZ3jBuh0g*#iH?R zNe26sq$&=9^HkZl|Buw_S#$iH>B)*IG!}x3la#o2seXq~N78KbFJX~~0@kBM zq);78e%EVaD2LI*^bx~G!a=QQqB0R8FAy*a2PDyMF-gg=sv`qAQO>1!%1|NgCJ{ND zyA&=ynedX(MLeApA!%cE`2DIRI^UQ42IB#a3}$SLWj-|--or4IUv=HM*QCm$hKj&O zc44tB*azCa&Gpv74m1(r*-giey&L`i1V4XGV`n(l;pBV7!Q1AaQiNJ&pF$YQ@wZwG zC!2%8GKRrdPJX(r%N|kW8#}qXbmzK?0OR1Kny`0&a+TtRcbeA!9`o5pkYp2l-6sOv z5Nq5u5sPGOm zIRLV2Ruu_WD$rL59o&37ENx}C{Y#g?d#wf1NxNdC*P(4Q>>=e-i1dN*OAg0au%XSb zbn5k3O?~j$0Z+^tTVD;N*Mjg*WrOf3ut%NZM^GUiyb52$yX#&qOx5nZJ%3GPM#t}d zAA|w{SI^sf5?rsp$G4%sIBAYh4Fr%3xQMWVC)aqB?-J`8P~9}??WrfmozW7Zdt0}s zP*eiW$WKN0r@;}qC=RryEEsLN>=%RMeJly;tV~Bd_p!8p-7CfrPm@J}hUZlbBRMFO z;-7~hPjJ?XA|pIY7W=#}$Aj|4J0kr~_aaPiG4nzMrnSnbc`$Hm3;A-i@84G}siZ7bS$Xq9W`(GBL=hQx>ym3KK^w@u%7}W^7$jPZL8R6A z3KpLIB-o1STf_EvBlChjTg)w21}Za~nQE)mSj?N*CgX?CJjHhvAIk*O3xI{C*#`{s(1-KTD z^mD(~RssHWyCPz=j~HJ_L}y%NT}AUR=505<;y`ysz>mq{#7H0CYV)8O5K2ux!}Ui+ zCEB#7JA4h0RgQE`{4MwQdzgIuFYX8-k~m>hWx+D$e*a!F&`PF3$vsM$!aA2k1t)e% zKR$#uB#P+G@@N$K_v8+@2i+JH>##$`8C0jET1dVRVi1 z7&MW5g<385X&bYSy8F#=8WNv{3vHMb+nUBFTTS#6Y8-n($!RpW33+!KvnW<=_F3u} z`-1Qj?8x?I-L7)b?^qGv6n~C*(i50OQ>Aw44S=+LvQe`-wf)Q)Bo*AgEhw}}9TOU@ zT;|+c6$Lo#^M2dNrTr+l)AyxA&STcW-ddnA0gM3T^uG`Rf?v#s=)8PjY7nd ze~?dy4sS;LkU30ExXk{H2GA;^6>g6blc>duaNvn+l$o@O>4)(COQ@m2RAa0Dbo$&sM^%_!hhYn7Xc6 zmzLU!vA1h4VL^(~cTFN}l?zkp*nt0#DQ={|lCd%QoO5AIhr%G8v^5flH~VoE_yhlE zbyw4I_0ZdKw*|V-1Y2aH zJ64r+H)K3sv^G6QA>}-WR$}GKHjd0Eh;%paP#u5#xga;;b4I6nq)2D4clB~RIV7GH z)(-oXsecz`fzG_$Owe6Df!8h2#8gu_eLrG6-=ObuTvk8hrA5aHXf2sZtz{FCqZN zAZ=4fg!N@1U+cX7KwT}d$T3}0eQV$>`y1?1Z}&%b{0FRG=6%DLB>mt6=t0;}OV(om z84}2AVl{xIaCVu&>kwEnDU^U~VYI*&FQ_?BU|kUwjK-S%>9IPJp-m$m;F;5U=&efz zC{Bvdi*Z^`Keb8zmB`z9zlwST`}RJQug^jli@WpJ#A5XQ?sq|N^1ry>UV>!g`up%I z%d89F0>K3uF}r2bpxUv#Lz9-4I9MT~C6xFG5sfB+u+I z4cXWlqPq}$%SQ}^wBZ%!F(*_bG_E0m4vuU9;##Jobxxk=R1lM9od&_N3~C7s`^=c$ z1Xu<@Q~bv40VAoVtqBGyG_`M?sl|#khOnpj5&3LbBmwD5wL$^uV{h z%s8SQa_TK2&h2Na;)KE179kmonMd^%049-uo<={dYqQ%;pRgZFP&<8g%{Lskve4S( z4jh?jF+QP>-F2PHai_}5uvTVpJ-~NZ%BH=eZl0Io={9njA>UD1(hhCq4U@1wYGo^c zL_*-ksqH^nraxvn3w>}T;nq~b_cfG3IxBg=?AFY-eAN6G!K8!UWl*%KU`PlRRyRAsxopmIAlcwmkA6uN`-KMJF%SY5!=8YM1)&+_}VlKg8}L zwZPya^@F6WQ%t$@RA2Hw`_4M;(fY@3qC}(TlS%4O?H)O_umb4i)QOS|CN97(f;n0y zLb!g76sF8u1%{6E_>)HJ_iJ%dK)c!|XM_~^*z&r^(Fx<0=B$-~zx%w@)9h$%2 zdbx@46vl=7eIZZ(b=^?PKrfnp2K(FSGp@3d;e$$XDYEy>q8L52cYKY&YkeM_DO&z; z9;%qJ#CN{Jl@L*9u>gr;8Y)&b95e?OG`58ZPP?JKfzxYQGevVBvt+I&=~}#6Q5-jF zvOJn>j1pZ#C#ieYhPc<8`F?Xa1p)PFGRxa{xI|v7=~vy|s5%=nJUUFhcrZz8HYP+< zez~O{Gkes^l7&`P)l)~P@Rb{8<1LhAQ)+_Ol)kpvt{maOE)X(1RF6#6Jg8M%kzY!4 zTYq>Df;a?qAQd;!vU?S6aEE?CmAZhFk#^aZHEN}S3A<(m>-aqedM}n`MfZ*QHpy$> z*D6<^B7&a{rEC73PXD&T+v9yz(NIF&Y!CJuVy@l?=cd1)z*iUny5Brj)mas4iU8OY zBn~WM4_EJ5*rP8ezP=kpJ{92bv=(pxV1xX;aP+-lwQp{_o#3A`xVitXNB=$w2KX;{ z_-1{20C?WOzjk|l3I*tR{d9FP)DIY6;BwS)&2e6I?M5;>1y%TBASl^n znzA1w@EI5G2zY_>x@Dlp*y2$dnBE33^X-#jL~RJ+N0@}_44WTux;;a04g5U+;_}HZ z3W_Hj+|9=z7t+=d0sjSl>2u>ScojKIBs%qO8l)Woyw~yw#rT6}sK0@E+>rwg88kl> zJL|qq|6Hnx-_{0(2#TLiFa?_$eLSDTc6;YIzE=&3{aGzu+wt9|+P{}qp>m4am^jq5 zX^`^lX4zzbsFeCq`Qxi+F9ARFd}<>k<9R3h^hhzgSJ|AVX;%MwMtmZ8!j)BfcF~4z z1HafeVzBP+n0sN~-C}!m#6#cQ zAl7#tewR&b9X@tysZF=qAO5>ECZwaDr$=rBg7|0~dwGC}E0CF!DrSt&>Ws)=@ zEiA4<-1hii1a6XOqW;m1>y{e@ugDNbvXshV-`*+&bZ3;M7Lhjl-0@YJ;`A}z3yrC= z5_yb<+26TS+7gVz@DLRhlk3@w?0FmH-fXqS9viC`Y04mAZG~qma@zcCwI%}^d56Mf zRO!YKI}c|4JK!dBG|+EvL+O7DEOzWmsw?qhR$!tw^)CAF>OWJfdc+@ zv`K4Vq2K*dGtw0DAN;<`Rsk5>H6U_p&@XHtqa_Pc0iZ|u=fjeR-YO>8)3bjItQp;L z5dh7tw1>LO!d5a4YmW?wP!Iywmf}brks3MW5+rMuTEWZIuQn*QHL86=xl!)tBNnD9 zhLD%s5)dYXMC9Gsj}tN{N!H9G~|3HKG={sS9PlltT)f3 zIedjUL_e5TOwnI=5Hyx>F&xoQ+h$s6s!KLVqb#&5#=>~~lD*lpP&74t$i>ExXq>a2 zb=u)Wn?S(!p+0jenQN3{g&3kT?vd0W5xKr!2#W*L- z;yyynILGo1%kB%L_#*31vZdQnfC}t=&Gqk`iTnL^>Uy^^Dwx0L0@{$3w<#E+%LW^` z7jnB5`b)1*Wr9J>N&oHGoL90M{qzhDG|j>s)7`}mF1R@*)GT~OM>G1AF-vf5HQy(s z&g*yIYoX<7D2$JwA3&q(gxjOP%<}@l-Nj~GNK)e!viu@?dh7YEK<0csqfxT%-|D-L zQ-iE2_x33>-5$SE%%`L#q+c)m8m0G9F(H5~)@~W)wJmOLY;EMk!XIQePr3%km)j}c zD(P+)JdSYjU(>rR;_Hg(;F?M89E_@D$R#WcUb_nL^_%zLRU;UIJGxayHZ{IQ22(5z zGbg<+U3Qv21cOu0RlK8Fh9wwLu==^_8(HeU-(rB$_D6Tm`=qiK(tWDE@7^7()!%?G z-styV%AN4j8KVyYTrSdRu}+K=9^}YGHE4Wgr4<|ps$%@&V*fak;{#XAZc-`6p&VM^ zIH@iAIrv)zt&Bz1#oK+l+Ow9fhs4x<;F)}j#2Y~V8iLAo(-=hCe zIh6VN8N@tYB~<>yd-%ze_{n5q=K3!NhQ$Aw_}`+RMDd@8`N>gL{Z9_(e;F*Rs{Yqx z|8)nds?7gwYOepb(EoS;VGj2HEmz_{ukQbyE5XXa#rXfsmEgVkgf+yhHyx8x#Bd)W zrUNT5q?}YYl&X~_dFi|5W$E%DO&RAcgZd~)^wzNF9Haj2_PzpU|oFuk|! z(yRGnN5ArJ?DZ<7M=LLX!(Zi+*O?q6UF%x#RxM1cCq+%R<8=r(!}IyH^fCpA(f;ez z)VaM~HIn#8zwQSsQQNxa(ROjZlyq8V{ctOFM>`H#6ir^4eG|!W;m7i>w6h4db8Z*~ zFJ$$Rb-3~#57P98p==h@B3|P_9<{_$vr53!7sbDC)uT+XMkhgn? z;0zqG_U+A>mZMysJ&{GRt-!^YP4X+wYi?@9pg6d1%hi?Jo&STB znK)l*g z4i#qgmVD_0gk4vO3qW{}!-m|#JRM5By`i6#GHSJvlYjPT@e z%be&%rqQwxSxa|dXhCYMd}FOrDg6u$2iVa)k+nD$>+0PiQ1Vv!aztd>O|UdMJI&Yy zYl}SoMgz_!e>tji!jk5oR|2y-c_&9oNUQi9zu^fJFqYrAgFNdvPp(GyEf*1D$?y&| zm1BB}adQ$Uqb1m)38DV<-yTUhb}23~qY(%ZQcu1}ns6G96Fy}y+ZywD>ceq+bR*z~ zS+;o<8nDVL{SOD?SXzsBMps4Ye|w5ROlI*G_5BtN>0=59=_SH+a(3C#U|V@XtVx5ZADZZ zkivSE&?p77HS+S~O^<`HAZ^k?ar^j8t-jhQ)uPSOP8q=?O(}-LVSB4FDu|H3%0@S- z_y2EPiOeZ1DG6rODdD&<5X6h(0+EVxE4-1oB_X>bvyd&lh4|ppx6)~0%%+Kr1o0_n zm%lUE>E8yR9s#ixz-9?DM{6?f7k-52U30MthVK|Clx!yWM64d%PZv;a$93?qS9H1$)!;mYMaXU>l&L@Td&}?!_MjH zvgJ;{<}Zb5I2af8PjY9AL|skka6fE;PR&S*a~r{6F2#|=Ll~e!f#VjHOC^nk-`H3O z3uUdd)F8Pwk$hnxvt|gurC4qWprUQr-j=f_CX@%EV%6}hIzl$5s-TahvKuHb(3K_i z)Tgp0RA*8J6ew|xMHjX7q->fSd6;r%dPx;AAeo>NY=~JSYo(vQfrB1qq6s^a5pYT zoF5KWDvbaAFRS~(k$qQBml8(Q4_0FCzhfm>{s&fqmgsi_=YOy7z8)TJJO8Wt-b^%L z0mi?&_<$7Q#CbwrxXkw}8*JBFTyFE}G5X@;=_AZw`BgOFkWTfFy*avcADM97Yh!>o z)u+kd2cYQX1wI=LIQ|F+ihH>9YvU|e{@;8>`bN^upx2E^;VXfvTN&n+6^NheEj?;< zrJ1uI`>GxtrN72^7YN7K9%QHdBU}B#*rqvv9Xb3)qy9EEv2&c}=Vdij6RUSKXU7J) zlgs9P&s;S5ooW8azou$F#@MR3vCT3q6WgN_OTj$whqL!ftWHwE;@i|G=eqEp9biyN znSjdbR&lnI{z_}lR!i>g8V6x9XGi7T=7fyo>E$^rr$RhqigT<;DRom@p`#hjN!)@G za`f744U71%Ws5KKua2U%cLei3o%Y*@-n_Fp<$`$;y{nI*+imK2H;GV!=j@)}u2Z4Z z8QbU4rSZmnSd#pxoEZLl694+CM0!_}nS6H?8i+fN(Xy4J4LI691gg_?9PrwZ#`-3` zcp=LXQf25p)*Pgk&>@1*Q$UY|=p%=fm5E~YG^OW#KWAgoj@{-G^l4>|X5qeZXa7P( zrptlE%NaGGhRE3JClAjS-gS-l%6!wpfnLhshDHuLM)AX-y*pu08sCAN8@=gOhv~YY zkAjsD{BeHg-ZqwsA?&OxFMrqNzB+QR4{pfMV3Uw&?9mJKf+OBhzIwCIfxq?Zb|2MgrLmGV zJGew~y^^?Eo>TCO>s^GG#P)UFYJzz-3N=NZfm=x{HScYxLpMt_jG6doOg}bj?IPgF zm#5d6`I=2p+dEwYXtrT=EDpVRcP^e2;^hLci zure*m;&>~@YfB;fg-X5cgWeqri=+rzkY2J0fyJ@#zrmX35NoBejaSNXQ1C@lz7d~s zpVXhxHQgC%*L}9j`p|D7Si{Ieg(K1UL^0sF;%RE6M-A4^;RM!2k~>x=Qnc$Fauq%# zgL^CEAcj6gLBj_)Ms?1YWySW%VPeu|0G(+7|K$+ovV0@u^X2-<5y3_3Ch zUk2VF_>TH?v<_N8d*vH!hjs<>5wP?8R~awj>ppTz-yYF2ZZU6f7dW^5tE#-puAjul z&p7z?__yZ@T;i^hte|}YZwZ*FnEGn|xcrhfg2+n(=(dJ1idhIvq|w`oF|KloE~%h* zC33`{i3UvKHr`Y-tC0issfIhyR5df+2D5_pm?P1W0?!|E|DVwKru0hXMlfN%dd%=Y zd4-9O;k&E znf0Fr%$O#cKg`iwZ#3bX_~e`vkGXOZs(lOvu1+}iE2#rOwd|32lmeYp4O`FH6!G98 zyLkA4fu~ss1^XnpF$Wn0j!A}MfeM9$4qIVOS>N;fgi5L259v7!Z997 z^NULy3Y&JmNG3b}$rJz8NCy5~Y2^BTG`V`{%T@V06~y_=;LRSvGmm$avB{fHgnr7M z1dG=E@8QSiq>1;p>zYl_4YD=pjvm+6*g(y@q^`I_GaEi|dmP~KwhRa5%iRFvc?u+2 zP;Vdd(~Uy}dih$oSrN>w3t>H8Me$E7)>kk5c>)TW*Z$;)JTrm@Qt;F(9z>m|lY;)= z;^Z3kkZax+=&lo~-MI15TZ&iGt{-8?(P|`xz)=ImY|eDj7FjOokTt31Y>4AVLG%h$ z{Hlt*5+EH&57ZthpQ8xIJ^V}e)oRgT3obE_W=ihCCevy8ltwe@W3qG`9Cf9t$6T$H zpQn&2?zc=yd?r%?zf2p+J?Kg-^#K1yf7rh;kON8pr?m>-bj3)^(0B)lttGCWn$k@8~Y4B4E94 zD%m8Lk|bLLZL~C9qqi^pvD^K*=nA;Uv6J}h`YthH*Kgn?`{@WcQ=giS>fEVV*jr~L z+iF#{z1jnN%zK}eY_)5dd}!A%bQSkSlt{scEuxetkS{{b(19eOjm|9EP9xR@=|&9v zQqA#p5Q=4Yi|$`>WH*P(673^CE8))J4{mD6XFzJ!=c;(d=kM>h*Gc_CV6|5-1ztDL zx&taWkIp$CVqL)NWNsms)PIJbJ?qk;b#{ZxthKu(F70-U={#? zGOOT}3nd_YMrMm8#|B*!>@$&z4;e2dDK6!}itL%W5OUe=%=9K=1U7c=htACh-0$CA zi}FWB{&;$Z*n`B~yq*8VbL-0WeU5n%-jiS9AcvNV#~@~Q2%&{`kT7Ljp@Ysa!-{7s z{#dACOK1g-fHXLmSJ>7gMasHn$k3%sVIqmmAmN24VM@3%Dxv)nb}SeV8dCr+j939Z zs&T2G(TG5f)aYwFku;gD-k09KY@dLqyS;}#W3No zUdT{020vU=0X#}n1w6`XPv>B?sntMXq*~BWGX=jw!=FJ^1wKkz^FeeNKIi4~cn;3` z1@)Bn`PWl)ObD4u)5!UGjv{iL7g{2`59*!ap;$7=@Pd3c=mztd9SO0i&Bs+S>FfYI z^EJ;h;BSM9TJix=KBI?aCMWH*rXdBELo`<+rMb6&rB#slF4vim{uLGkr)SNuQ(-8v|Pu=uEQc$L_sm1QokhSJ%qf0KxSV!h8@5ex&AR! zWC9`gpad6);!R|{j6~ocf3FQCLbjL=QrHtX3q5>71okCEDKB+>i5!b#O3Mx(WE&{G zAt`$o=brIhVK?_aW}DHPTKn`}*bD%wu2v>Q`=rY0*E&!1(5mxw`>b(d0iTz7icnP& zz{gr8kEuX?A{(M*(rVrPm{rGIjZ8U4e{V|wH2h%cbL!lDFc0u^u29Tj)!sQDXMk`k zkG_`Y&k3(-m+Q}C_4geNl-l=sZ7F1ne=zRZahUIJYYx1+=L(AG0d2nUzLZ4E&6mP; z*C{+S=ZHVC(oAsjuoYZ=?>P=s0w$$J`O0D zR@jwuL{$5I1cXFZ#_X-*b&E_a}d-&?4Z^wcER6BcHjCu4I~HdV86wjefLwvTvK`|R6e|oG0i4YT!($!v6mg~OncQRHL7m;IAlU^ zJJ51oDcdWN53K@d{BsAa87q8>7y-!4EUc_r<=)6`WAth~8@RyK;@!~Sb1)O2nSbqi z>Xa>{8=TgIi-iPID5ro&(#J!OjdVUyji0=toNn+lB3(u4KEi?hEHex^_*Bb01vnQRMt?X=I^)!;~U zX@%ioD$yjQb<$1L*h`Vxk~ivyP*WqEgOuTE$cZ{+T4f79tjKgRe``mO>C%iNPUxe_ zc!AOcvX@(;;i^iuZEBU3LaA{dhp%9$ry04Uji9Tm(`$5_s2qeQH;g|f0)U^O=s>kY z2flf6k>f!NbJ2-#MCM&`AqL71?Xj3Nk?!%5daS zSOt6d``Y9y-ae4sVz{nz?uTe;-@}fOY|vAp#czKCZo;oE+q?g9P@G)z zpnrD3+v#B_5;9OKldFHSkFH_h7}Ohzt*+Q;0}?x=R`g4&$xS1bl2dQQ1_^_fHT)B* z2->G59rqV?;xwV#v<_mX(Aj&xP0iI+^^20*d4#}mzC1D+QS$$0_>XAaDFaY+M|=~K z#enjzyzyn__Y_rq5hF1bF2L%fa?0yFG~Gu?9Y#PO$H;;Hgqt;BhD-_TC(}-Isk%4( zPOH+w6sJ2Sixn0#8vk+TINTfC$qygkNDtk2kHB`GW5ci21<<0etS>rSYPiItdBJvJ z1{$hT>rjrOjOg}swvzFBF@{m($#_y?L+V(YOy~3*RHa_^iu6YXxnrG{U`6bv%8MAJ zeK+Zwce&w8VEU!FOfWf~otv@mXeA5-fxwy>YIC)&f#8& zqi*o2t@vwb{ARN`%Pq%?8e>iI>AVu0GN04A@v+Tggu$Z1W5BUoM_eDy!-uu|_-TJx zi99RUW-iraCJ)j>nv2VRs5;1CZ}tq5hr#@6VcB1%u4`IZnls7&G-;Af_8B^U>9i7B zj8gEZ@Sbyp$Z2@xS!U_)5aI_Ndm3%Ehcod}})4rH{El5l!}aW@^tyN+KNOj~z^5T8PF&%p{6V zMj5IcO^~jQOGTe?Jl8mww>t`aAu|FyqMoQ9ZVbgXavoxXomr?e6z#3 z80?}?J%}$GG8P1RL*p70PYw1U52R#AzcmR=ozjsimFfz4C5^970IdM_y_Y(vLpX+y zu|`|+0)RNYKVxS7+E8sIb!fJRGZ4br!;CM2`uf;v%dR65a#cRqlYxkYmotZTCAIvV?X`eu zYf;K5l6`5;%2@@*urh15IRZCuuwnsavWOJ~s-H(>+7tHt_=ve=@+vRynvC-A1J5>2 z6Xd1bER7_1Tn!H^Ix7xt0ipk6?Qca~A8&12$UC>u3=m5?(>HvBablgXbfZe8KDNU$ zopV{J{su&!g($!-&dfs|Nd-LqdP1*-uyjyYCSj-K5-#`3LXT&o#ePR<6^1!m*!UPtK^5ftz!{JK9R)0nf{az^Dk`y1@F-#b%866 zzQU0i>kRXgNGruhFsmrSUnYqRQRGQ^HU9k}6#J}yW&^a+g2Gcr&EY zWgi=cpDsGko6R4SKdXL&TY8>bFuZi=@13_Bcjh?wqJ;CI$i1YlX1tyI;J2j3wj@%X z<0)8$4a<|XT~%x>u+^cP*M)ZIAb{D$)deDa*K!GSf_+0E{v{O1tC!|M4RYngq~e6s zdjrAInGOr~qt+ofrmzcno;q3IhXnk2iuxR_FG6r9@Edb`-iBl$aKfuQXk~gk%unFM zKa-DjX6HAJ1Ng@AnI*?njBV=;NhIYWemnZS-BEB4_um?|Aj-6TkGvsZ?8l`dxWxw3NuXk&EGe?LUFA1<^|bA45di zWH;(g@(CH5t&KiRkln1z$l)2~us!u-;#hj7Zv+eisxD`wX^rm`Fkp84SD7n6tcRpHteKTDG5Wzyg$8^!CxX%B#P5S zLHn<5q20fG#y`SLHKlVvc%+%uXq2cS^O&RXCKuq^jjbs;E^!ddi}a9JfkPKptT3o-96c6 z5084Ie8{SMexPanV<>t+n=qmFnH_cg?@kNr~qKwL0cD z?-y@9tmZGP_JF9-po}xXtp(34SBCDaJaczsu$6C%o>MX(#S!^+1JZs}$qNdSek(Z4 zXP4i4y=@wRr*At@uLAnyJqW<@(!Ef-Ti`$wD|Mjee1-A-%rE*2a}l9*J!M&7>r7! za$L{4bCS8r{9R86)m z9p{m658^X^26M+7mnj?XJZumfszo}o^F~42Kf*H82-N z*9T>B0U=f5DHM9lbs&<`?iXys93GWbl>|k3>4QUPfK0Z^Z zJY<<*5@K^+-Q8ep8WTR~%gZrcBk8?4{u<_r!IgQJEUD^LQ($aM4l4&)F(d z87AZ3(l4MH3G21;vk~DcIPQVFGHco`R*;KA=@QE~jlip>=BKW3owE)TxZtm!_)Zc; zyEjoNH9}Sq#y<3GrHH`SN)0Ov%AuJ|z+oF>;x-&g(R6CXhWw$Y2XZofNEIV`9|OIS z27)|Q=|qlTx}@BeP3N$ffAe9DAhscq?{=&R5BbnnC#gn5O$Z~2jCNSEJaTg(w`SU> zmJ?Dmq6sB%JkK>EFl^qHI0nfEL2`2EflSx(Dte_>A;Y+vfJ*i7jO~0SW>Ryk zwh+!eZ^b+S&Xyr1ew*_Nm}t6m-P*&rSJPJ*h9QehAAssg@g$Y5{Ixe$rOHOoJ5EKy z_y>|$=+BH8h&ZjGu}C~Ds~h`0Vn9@>b2-Elyt$qP`0L~PNCD>_srbQ&AN!`mJw)TY zTg~008KcXbZ8n430~;~vY3SyyN z1{DdVH32_AYU>ft-G)k|`37EutUCo8O(=+5H}XiZUv?a%7L)oY$hHHPv%Rd*Knb3! zH&PJc03O|!MJ(UfRqGG11kE6}2uyLg;7c_FvZL2(%?$W(Q_FySxE%|tavV^IZs_^M zWbT)A$M;MB;PZ5t#IBia%<@>o-YGNfK*hpu7@42cjYQt^OoA-|loI)(Sm~kYfCN9B z*?do&{mzr5N3EgBL-4N9*n7=iZi6AL%p-1O#H<@MN81d7vqj!k$h_W2$_#|FL&aY3 zq!d$hMxn1YzsW}OksiMl0LOBP9ltiU|XXGQ*_hAsZt(=@3G^ z+s|R)Mg7j9;c3^P?;UpJ))dEi)tLyAPS<1~Z-?q)tj3_7jQmA=cO>n?0o7 z0}WkqRzk)5N=~k&Yw^pex!-?2^B$?rVu;%YpI=d3Rd72?$2MHE8d3-Z_WJ`FoDl-^ zN!Jteb6XckHxGX0wIx=EsGhH6TM@px_1<_J!u+YM-P`dKLAhXzN+w|9qXBEcY?6R9 ziWZGj2a>OQmeMSKwwfT4Pn)Ls8y?#o*H3}|Ly`DM;jTa z%l?8L=bOniL!5J$>aN;4=Ntsk<{6K3$6kj3%KE#5{M9+6!LbKXzj7Vm7z7Zd|9St4 zcmyniBNQ+Qz{Mx*+e$C!m-mNzUiU7#ov%bhBQm!~lO@gj(2Vt#{q0_EPaEVxhq_%~fI zDc<|W-Fa@_i1aVn2{GP83f_YuTQkx9B|#KZC#C>vp%HZ76T2L{>2CU~O!R8JC&f!P?_I*;j5{ zp{@|n5t0Xnw^tA*nZs_5ys+IXAjYA^rU{z&m>>p;l^Z#SNQg6M*%krvV2PB^b=LA% z*i>o(C;;PQQ!Mm8<+;&Jm&rjxE=QcH*kL%yrf}+7%ZZhZfvdaE46lqJDzH)(ZHypc zfY!yZHGgy%C>PdYK<4d?_}r6K7Db(^NQogCBj>{4E0;0{>A)o^o^jN`GF@0RV9QP! zJZhwYU_zA<+RnzH3>S#aKn5AV+@izbF@DuU3|{{m$gtwvLd+R6o+u;Y6Ss5jw1oVs zw$XWfEz`y{%h-W9v(u@G2-6P}0Fv!&yW;w@!&-S8>IMG{3h?SypePswgdABp5^Ru1 z6}Wzk;)&YgLvP=51By(rM9@w)5P+ovADacp*oT8jlPsvBLghK2bdp05Oo?I`2{?#h z92%>e=ZnSzdOH@PvV?r=(c)A$t`?3t8q9zJSt4&0;FzZqTYwEEt7&d~K?U=^%aAC? zfezAk&gxzndF_SJE*)^3N9xcQ2FNWbE=+S09`8DkKZ2`IM27o|(J1G{#&%kD0P$k( zui}^phbM7*(|*jfbnod*7EgN;IiwAwNi0Mh?6C$A6)go|<|qTX!5x(|wOJ5DZAZxV-Maf$ye=iIVYk zZ`a6E*j!*(2w+Tc3Rc_KLpuG`#Y<6*S68cwXgQCKj3J z@8}B#>cIm9?s0RBk7L0$IAYxbXl&?}uJ8R~Fob1o)YE2T$) zdeT1MWaoB)TJ&W93$oj$=UyDkk+@2TlsoshmelQv`v)S63q2^Qg-xQvy#tF|9muPW zb9IW_JmB(;Ml-u(q!%z61%?=Ig>8Pvu1o6vkx&(9#3|K^cK$5XZt`8FQ#vY-m%las zcj`Np?@hzrVsDsy#a>Wby+}>2TRqV0bMnGfK66VgD3Sm}^FyL`Pf1qmmF6DsxNF!G zeSPfnLL`#aLZLDqiM$(0ML{y(BzujobO6fV3%zIr{^bbz3YXvj+Xq6vtF#<~-4GuZvEdb4JDMlV?t@+f^ zvO-`(tLhB`mvVL<83%p7P*mph{G>G>@^Zh1U*28nqxonM@3KUF$kXv`!~k1-J|xLc zRgoT57w*DM7u%^M@i<8{SIjGw?88i?7NdVjkEc-eE=J=h9ea1Kn?59(0tzLa|B}rA zAqqz=C9PiLp#9QsHA+)7Ln(OdTtiwromwGTK=~-{+CX@NeRW3+EIE*}HVBbfJ9O6M zXI{SJ?FwCB+$~ ze(gAM*@GGJL(U!aF@_3>*|Pr0F&ArB%W?tb?HIWuAJxI=vAxZ6Qoe`SG;3w;4pAdb z=!BeI#6wV^GCR|4VF{T!0}$y)3~*KPR9mlr+724^oKy)3ur$mc0+Eu@1k(Z_oGi_% zbnF^SiM`4`wS>kYC-TY%*Hi^wpkgi)V=DmIVm^rhb;4R6WX|9kVsR@JWlx~*Hm@wL z#9HFd!pPH$T5Tp%6D&3oi`^6y>V%`5q6iu}$CKErMyX9S6^th~mkIkI)PfAaVJ3(8 zyVjv=iYosYNg$Jg9$b)1jV);*lS)Fg@BIMgPMkUHKCdRgx@8)COi>zbnK|ve3Wzl! z52}li<+u`H0pG>{IV*V#y9Kos`X}i#egM$dyLpM~YN*>}Xf4Qc=-`!f>A! z<`IdN9QG^%1$&fjLQHNlg%J2;7NZ*D>)+A|RNK51)+>`|!(!awX-QKTFd*XXsx2FRAk2ERYmq4+zUst5={G!x+ms!M!c)>}#A|Eg^|B_kwEEl-EPdesr! z7eT?*y=j{c`MBvovhx|i^l1$Q_DK^uo5*O^JnhdzfcRC|toJt-`Ds2)-dUI~F^g<; zc)_~L4n-`eWMO_ox~%RucTj%zahE*f%d^gD0~2WAp+3)y@{*9KU^gYN0PR(rqe@W& zrE*?%qmfk?nkFnwyrQaMTVxcpoKG{=sJf?m+R7m7ld3h#*oY27HN~7JOdy7#Rn)vS z4ceX{vyimK(uL=ZZrq*vF-8D;>2YTYwlwVX`IQPoT)vP60{B0Jl|i2WySvP-NEKF2x-jel<-; z3rURx6r4@Ll?#?sg{FVw$3@*xAbbQUzl;By+nmEU7xi|~J2Fr{#BH7|=}1Ak`RwhI zr>2RHQ<@H>Xrdax_=&zkFgfv$HxqJ9cQ7n%_ZEL?saV%Lico6yo*zj6&{z~5L%1Pi zkq2XB;lYR97K5nKgk7w#H^3H0_!FVJO!Dg#0GU(wKmwXBFiL1KAsp)iUH~8B#`ncBF4lj1vNIAo!q*sz%Th^4Y;X3zR7PH>S)wtlHjE4#b1LI zImM-cb8s;F2Oh0Y1A{Zh(#6AY%Q2(6+8um9(r6Gpz2ptJRKpLFcC7FevKw@BQtK3r z4p0?}0WV7gCZKULgqo-nbS{pG0@Nzb&I09yKg0ub%Mt?hiWM~=l>P(2zlbM|n)F$N zOjWTtab+D23d*Rv;={9Q2~9}Y>RCiISGC*qGvUNIPGL2-L6ZKMubz119A*-Op%^5O z#Uc3K27Y7$+41amKP`( z7?%b*>K^uP;QodHVTf4=zoyh4Dc}dgcp&3#6?}TwXh$6xh2^Yp3`k0g5@2H?xnv98O^MMRJ3tl~;juVd0b zZ!>Eyo_{S?R2FOJ9BQ6&lP6s|cQs=|{O%R|+TPkStjbdyz@hnqMd%>`y0L487&DUy z15iR*3oM5TrWFwnJ2h(HZTBB46^f5EETn>dPJ-lgE#aaLcFB=Aq%N+zW&0B$;j#8y zY;knQDD)I4&~3q(d}L+<+|y4S8z1X!59)@BD8wUAZZVv`I3V@<`)zY1QEBhN|XyXU-JS+Oi`C z6Jqc9!K@I&5x}%b$5SItgbJZZ2s}Sdgl!7{-4P)I=nETsiSjC!WpSi{*;O?)K@-_! z1rK8Gy%<3Xs^mxmiR^%MDuaD77_F;O6V7lE_eT1ij3OYU@_@)%HHE#Z;_`kG)*5SC ztR1R$nsLMkAsTSP1TR!gs9{)C9O+V@AO)AI-$cX`q0tiye@$cTiLv4rq?GCmrmx08 z?0kxJ1<@OB922#MypPa!Ok2@p4!QRfc3pGar}dZGG*YJ1yy@C<5_W%V&Q-%ypq{d zxn>MG+GzP_8fk;HS3lzp8p8y$n=24jdHi!fxF;uNO1;zYy?N*#kV zc5;&dX&Ztiae>-%Ej;-)oafH(2}RPYge8D$33G&Aq9s$sEI1dNXHwt-?MB*zPclui|JZ&+Sv!x|lcz;Au^Eb6$JNRPX z*R2eE+30!jBO3>r_4FlxKxMPNYy#=ah2dl1;qA)}5l^=Ycf`Z7pC-V8kYT_L4n`d1 zFY}26kfd*aL^kyAEv>%$<05eGbdC6(GN5uz(AVR+6wzr+<+*&E#ANcelCJlYgH2ci zw1DZ6%AC}(_mkaCpeFK4UEj)SWi~@qk~{sDQA)^dA_!6@wvmc=;wd$i7|*4(q@!1& z&jM5mYj^HiXvDl5``MR-V5?MDE2Nt#9tKM)M7<9q)F3P-s@5sQ)EoJq9lkVrWau#H zS$W|_7|h>P46|iQF)-=rSY^B4L>Q3tXa~k58;%w^akIgwB=T#?dFwp&jE5N4Ouj<& z&xMzq@&RQ>1MmwpeK&>{jMqZxBB#O_T}Hofn!|J)p?D=LSoiRh2P8e%LCPG$Y4Va# zmPl!^@HW-ohx{%4LSk?Xd~k_gRP37y5*u)bD3h{b2-_0Lg4tBFVGMO0osj^?ti!nwYIk4Gt8AJdclSZ+2W{AZzby0QmMC^A9+~3jlh9?~KCyimM z;PKk)HN^++B{M_fMH108(N)f+7me`gzqOK*ZBPhw6vY;Gk}y`I|lj&J7tr9IV$KM!Y-e&M2ANE!8}yC_j;uCVaJ{4(x3aG!JD%hY%p6DnFGjDWQvbw2yY#YK*;#a$evJ1Je6r5Q%${wH$S z%ghu~7-I%l1Ip919k?dL3;G2p$r-3oJUyGu0s*&L0)xpolv(<(`R%KHNX=$umi@A=w)=+b}V zp#SZ0{};{rgT4Nz$DNtsr`Hec>SXUAWbdKPK=;%Bx!D+*exB@{KTlnHaVL9Mhkt-o z#{VE=Wlc>i4gZh5|HFb#z{1JN^8W+g_6O5tAz)%={SWL|MTPaB#=qX1@8|kI8{XOf zZzS%&LjC_h;xaI@|4$Nk(++za(f36Cf%uhSs8=6g$e)yZhOQBs+pG}~ggBmZVr4L~ zn<;1QS00z@HZuw7W;Th&A>jpTRVn?lZdq-Qk5gMuCy&1Ua*_BX`R3K@+xD?g4y}3{ z{?f&d;Qh+n_4t1)c(3E@S@(ObSDfUxo1tfOx9ZrhLqD;vvzuvCZ(~2dJ4h-kvwGU3 z@jyEaQWQg0m3tmWcWuk~EVQ!-xOS}{4$WuzytqB{kqFTI_&14LXFj>8{v&ud`bY3y z_bBD7lf+@F0fT$&a;-b2=sIGG?f@LMo!*=4N```C?pzAmsshJG( z&cH;ovCbKeeD~6pt2?8&&_~QdTA;dknOO>!nd!ap%3yI~yA-xzO5?+S5jiaRFA~@G zKSm?idWx_Z;!j_$K!SG4~ZKT z6Wwc0JVgF4688%L&JoQ!zfk>eS1xL)tCI$8*CbrC9wP)uiEy-GKZ*1SZdF48?jV;I zhwOpQNIEqezJ*K~ss@PGqDPo%D#cfSf1oYREm5Ogao^wyshp1%d~;B$!zfdugUh6i zzmE8y7iPRdYT*oVuidu>m^Xigm`CnE3!h9vUY5b^!8V7F-1f6Rfa~=~Kud(slF5L0 zzIA>W%(IEQgV3&uiKTq(zlOBUhM3A236IF=MmzYTk4jwnf2Un~Gq`bbM-GfLr4 z09i?b<*Wb;Ik7%|`mSz8oKV74osg}zkub|7!9<5;Yb84tesZtv<51ATayG_A5EC5y z1jn7=nTs*>vqlnLvSi!Vqd%!Tl-wFEhaY>fR5d6$94NUQHAn1wE*e6?h#2}}8?>Ay z=;$^z2ddc<2MP`|3XZeRz_>T)D4wDN2eAwTR<~+&YI&m^Q>Lu&z}?KdHz+vxzL{jW zVbQHBF~0>Q|8(E~6ui^^r{LWk8}CQ(?)#76-QeGXcb_3ycx1V#6?<|VW)d7_WLZ25 zX^vOD!Ff~iy>?<`Ih>3e@@yW~y%)y4bYdjAN=R~8NODmTzKUJ&kjwReII-ZxA=^zd&5>hyMk{W%>be1KbpexEj&meA)c( znUEF)G=V}~i~bS32M_v-TT&~NFcN-XVi_uuwG34T;aoxVh6GI?KL-|PJiCL4#bWuM zA6=UK9HtztjBVBuyjnhr{!4PLf#M2HS?;nR!wJSexHX%;`?PH z$p1_GWv8N}$??wX@xTU;HFc5<0B6~XRsp=_t?aMwL{NGkjZogw$AQ_N7m{lmL+P_s zZ7#jUepwah0AHVmMfG~U3#_5mA&CCbnC7fnlR1A5)ymEI_S5-apB~jXJlZ^)wv!9@ zENItOo{nuh`?}@-9N@-SWisTK|BfbEd+=?iHBeA~?I&PL=iz!AN4EE+x zj8AXa_-}s6h_s|So~_wag7*#3XI}5uvZT!@dSHT?Ck8>@1uUqz7v5wcVam$_ z6XtDq_>z<2-pstjKA{W#q$jJdXYN@JW!6yV+EXF!_z<2jJD3FI< zpm+bPW3d6+=yt-JtgVY^u^^w;vfmPAx)UO26^eV1UlqugjZEsgzS%9A)#&ghUcVS# z)^ZK~P8=L(jh6JG5=wg42T2CMKf8yRy4-v=4%@^B=G-mV+!wJ5~m9 z7hxE<^DW+c&cO15Zgk3$ph+}k6PFgF9c!^-7@38m;LEA-QlQL;{zkqpHW%eql?7ef z0`W$X&c7#~dV@q3SXV@v7hqpXd(2^3Gb+K>7@bxdbP^=0dj}T<5*-wH4}2a*hAo^} z5cuZN6%Rdvy_`=Bl{K3QQ$B)C>|uTw&?c7EKN~Ht#S62C?>Ps)W|Pvtvamm^RE?~^ zAT-^*y@em(dr~#*P|(jd4pv>lIL*M0tpGOi8V&XbqF(tJ4O$rmT*1OY*gJ8kLuevS zWk4q`*Iy2^^*tM2|WATptE_#HQhBPZaT^6iBW=o8DB`*Y69m9-x*qWoe2a7*Cc z8V$kCx!F!7++lkT1fH<9@Cay9FQt2gtS&+_OZAMHNnD9<}+Fagx}YH;KO2-EW8z?BATWA>1+RyNiJm1u|3Jey9#Vn^YhWT&Q{vg( zUq9bEYaD$iOyqChm_u3ti}hR(50(t`@@r!i~gqcO+>tJ6*D1~q-yOVsvf ztx`U9DtVPrkf!-sRE~j{I#i2L=;%SW?)mW9rYzUVl#7L+L9Zfeq+iH1|K3vPysk?8 zO#?A*3axZMM1G<)<0M<)|nt6-uAn@`? zsU*<;T5}jIE)4ru;H{lrPa0`F_Vw-sH2>9FHU`J+=XuM3AnQLhQWw zGw?k9@Vg-T@1PKXl>nd*n}K)o{cGpf-zScdV_knt3MgWu7ul!KV%Ai;X(_abBqRXi zu)b4Vhqm{9J+P5v5+t_7w1j#e<6@)x)+FJfEX;AgUhv*(TG6 zV%$qR5M5GQKa`#X7qRT-);d4eue_y%H}j(m;@t9i%V+~?voLM1f{dBYSHY-nN_zw~ z%Uhx1vYPTB&gLW-d!+&Q6wBhznMm=!)ubc9>=?LHsgFt1$c{}zuQnS0(jlojWgSj} zZ@QZrhnqoeB;7G`rQ7MKe>)HXIf1Ld5+`0+lL~g+_K+&U%`%1y=uWG`{T>2QGQefe zP(Sjwy@m3MDD~5b<|2G}XibwVZ(vq=NAp7et{=j8ixuSJ10RC^+T)JtMzUV&tz^aT z30N5!I{O>mW0zl{6JWX4ME&7MWm&pbr|*!V$RTHGu*dx3Bmb*m`W_gMA6EW3r?3E> z8#~W z1(gIv7M^O|T&Zb_>&6ZU_vktkE23kZ+M~H-Fd~KYqj&gx2G&NTnK)b#5wibyw%IbuK6IK{E-#+HEsD0xxSV_Uk?*d<}^Rbj>KT65W6jj5LDEco!pW~?Y zu=w$Xw|b?Oc}3&2~VnVodlX6gc={8Y8DKtpj&q0@|^?EFb>^@8l~@q*2J2W z0BLN4PlTriF02Wld25w<<8Xl2Whui0p)iOv=o>2IBpA3$<0gLf#Le*zq0EXUzjPq!AR~b8aM;ZJ9bt^B z&B}Hy0&=+ktBKidDf}6l>n1i}23(2!~`3f)v&bK|TL;Y}1(#u|R@h?ejo=K;+7CrxFqmAq9i0 zK{tzEmLw2K6J26bV#Rn_R{4zhvRVfBi2p75mWx)^;ygG2Pr6btSG$CrAS&?Z8c z3~OG9AZ0D~+d*>AY!;CUheurk`p9A%-XS6NSPU-J@JnYAynMi6G7M+XvmQ^s0}E}> zvWd9FK~}*bmqoSE2?B&XZOBC$+=^lBh=H!C0VYb7!LC>nHB^8GWX4nyTd%xtRFT6d zR$K-r?#P=wHT6t~*28PvF*#|hNJF$BP97mz4aGfBSA@Sp(K=)cV!@@D;$v)29{?%#)j<``&6OIwwNGc3uSf{Xs>tVW{pCgl1T*Oj%B_KHh3(!0{#h>)iL<$q~Ntn=xj8c)+yC4^RI*i9)BZmAj$ z;GFmxc>5sQ7H?}QZvAMXeF{lM|4=y18@!RjEk zdf?TP$jKU#Sod66%km6-qKazt)H7HiZ0BE{%it%;JNnX;&T2epuZ_d}1;IkCZC8KU ztxxSnRkp<5*HHq+@k>|7huVGP+fBmZBL>aOIeOim@=cChldoAT$M}^uW|46+t_-

54 z_M%~uko$K8^Sm*A1An5fCRGMZJUk2QGE~O~PRt>;aq9uGWKPyKjl!6wpa}kOI_TT! zQF$^!L9CB;1lP=DW3%F=R$&aLAsd$EH~G~ZBASL8PEAq_yR?Paa2CHs+p^vpyW&;tS5c zMY3Qr$dCjldh4OL1uBAl6g!6CXCL$n8hit*XhXnhQHqNlg%WtXqgZzwsL%b#ITjr% zI%!>S->(ACfq1SbCasS6i%z3!d@G{9F#5bfb-a2WfmC~f10&goNzSI^;UI>;oE_ao zEg{SvDPD-l2twZ#x`!pA+%8?v+dNPsZq;A+=o#zk>*i^sLV>$aL^yv6+A>|l9Ebtk zzJEn}E`g*=CQbK#9CHXE5Uh#P(4z&^L)dtBBN9!9lF~MQ`(5nM+u&^zzZxE5Cb~9g zJT6C|dAkAW2l60(bPEeQTuVH$nqXyuLKbzQeSgoF3Opo=vzvCfd(I8b_fx{C^6$=H zL><#Zus`s;J$uQkSJopIEDf)}Cz1a+VRFJgm>ydW?U44j%KdG_rL@*U*naWb$%mXnX^EzJkVL)|eXQt{kDKDIE!U(7F@KRcDUFrXHnd;vT;v1vx*bBweK` zH@VHE*?Q_vnjuZxAUt#$S8={9(~o7Vy-AzDkuf;jfaKkYtNq_r}(d9Tp<#K?>`jRMyz~D z=scOL&F&j^?OWYbFj*HhjT`Y3B}Q2N8mz_+-t$%q|NYycpJ&{!0x`aEF^j4-!>cde z2Wu-o59|kUKi@a1$u4O`H~H(LdwW8t{LhCxZ8-7bZ*3HuWnqGMEn>~+(0B!oe5#*P z7yfoEmMusIgyIZEgs-%4x`KyVR+qf2;HV6gBT;Jj32F%@dFd9O_`r4$4biP1ufMGa zYvV9#VqZ&4-H3Kx`+;r{oaHAuU0bUBx-nT&#czz3;{9ItCP%+JcICX-_GNOG%L@u% z7gdxrjjUinLC*CsPV>s|dK)lCqd&XyAC~P=zN;93=*?xTz-Wq_tiLhqfK~Kz>zMrg z8!(*nrWS2*m=&s;`wrcH2lk2;V4|QE3GBHtsPG9_4_FdLE8n9lqZ%~7x?@x}rMScl zJjKJV1g5JjPQ{PQa18*O0eO;bFPX~fDb5{}1VMxtpmSE-q6xjYdCA(}BQ+C4is z+t-!f%>8K{?G7c3CiQLe5U6xSmA3NyLtHtK4V}mL&G(8#g{|R~oezthFs5uF16H7n zHwe$+wqumx=OeLn#+)MXQSZRP``CsM4WG0>gzHSew{3KYbGtkJykZt4%MqEKB7-gR z*Q-p0IMDauYerRM3vt!Fwti`{_U;rk+0r(+Cf_blaxPkIdE9h(?ZV^Dz$si-@ z3L=%GZ%+5QpO&Js*PEV%0MX{NZFq{8J_pNNV~aOEead1ttPuXR!I*`G7D@Bi^zqFM z`lPbrr6;#{@l60q-71(irH552rKen!cd8nu7i6$HX4g2Z4-BpzImW`(DB+*rKZ8#* zj~XHkhT5|ShW!c00>roP+vg*38fe?3uQ$0p{8@ROtY5-{Ae^%wbt`?bVQsGE^TWBt z!WxEffhB*9u<`t2MPs{MI7}b4PDq@z)^SQATl1yRqq-ji zG1UsRHXnj1x-4um3RP6fBtg;1Obl($L5a3Ju4n^^cbemrzBJlE^6Tji2af#N7r<5o zyL{(WJJ(9uDl*8W9qnF*n{rOJW<_OwfoH@K7Fs=}HP@ z-0y;gX#mg+4>6w##!L+xj!h2(X5Nx(6edd$6~SpF%RT&91{GjHh@v;`z(w=j)GN`G zzZq*?RBW8mchJpbA}k}R3h=enOP`Pm;;|daXN)UBZxJFm4nz+pZIhR5u1HI=u%|?h zvw3w@4#-5EtsN*-&7)GmmPK{Y?c`AXF%8~Uc~ml}C~}|EuW4vKN@-2j&7#2-hf3~; zRG}louA+?9xCu9)+tcMe<&!zU_s`OLte>;*P z>d?-STtb*7aT2RzDH=P+ZNmtk56dhG4}6h|0i{wd4nZ&0&?GU3SR>p*g` z7?!zzHk6T*#Ye(j)s6pNf<5Fq^12+X=w0hj*^3=mnl;Qoc&3g|)*KlI8KGsEUeB!- z=xJ%;ELGEVQ~)am+0*rM^JVa1kB?k5BnI8X>8qkvS+F`jUSO~2=;h*1#`F+1Z@BaN z;$vzjL6xvEDz(2SFbxLGr=JDWjfPs@kRq5Jv8O*hpubfS|4R(Hk4%lE&a&bM+ybFQ z_4JZFZxANu{+-b@XUzUH;nCC7kkyOtJI$9xkw|gZNY&agxe=%Ust^^N2qM#7#&r9BAwIgb~*9f-rJ=jycND-vtt9Dw6Fq}9#M0VAcLuxMz~U9^f0&;AY^ zn~9$H9A@W!2g%p4x^)c?^_@GI>zvA~$4SCzb`wegFTIuAT)kh4XS|iXHFi*H;~}~A z&`aj%Tr>h|X9scA+%Fqzg3G>1HX>{82FFfXGCLxBqYlJAi_RwoT8!4LM(d!wP#2q3 z6YHp4sMasrbpYzf>~TgPkcCYf?dU9gx=CV}iAb%0#a?jL!n$>MkGduEuXrcg$4{)= z&svHdl(Q>#OWTzPrlZN&U0PMQOdwgeWG?QUwX}z2@5(~6#-TG0O1l?W|muXa* zEKODlb8sYb-wB{MUB@bNrYnnd#1;abuY)hKNaLS)aO%&5FJ5F+=wfD#45}pJYy@>* z<2L!X*qwSH$$Zi*dkcZ7r(aT%H!LY6y@gR;YATp~C|`50F(AYocT*rq@X8x9bIZ&C zCJ7tJK9ONvskGFv@v7b~%`kCR-GHe=BodFBa)>0aZlHKIF;LR66eww54wQ^J(}aas z6gB-P*>stU24e_rl~BpMGO(ndXorfs7l34bqLq=-rW-Q(C)zfu!QwRKKuPt6D2AVC z4HwcHiDdpOT3sx%ti83mK*>dMhV^)6&-CQS8kJQAuztWHRB2G#Q_n>12?hENE$A>% zdZ%W!+d@oYJ(H~a?y(E%itAmjxkkW>(AVFup1zJv{10myb`1jx^hz%$YH!n&m+U9$ z2d)=>*3o68CQ?!>giY2)W64uvLZ#Jx1SVeUN%0-Bdq7O9;El@AV}~5I{!?%ha z<$`8faXE5p1xzN9{H$X#dUH5TM=59oN$$yn%3hwBOi_W!kX@>XOg-61CY_PG!BdSi z&>6kSsD#YKQ#)cC-=?fC4i3%5aZbf=Tp}+i@2?A>DpIbfCareC1%KXC@{0Zmx4VhZB$B}^?-!gtKS*eHF7{RHiBtS|vHqDxx||(FI8|Ch!gXQ> zkTQVXfW)_p5%~2pOtKWTBGp}E5=Q*5!?z(gKQ$y6j+UF`=rhKY&9~ zTv{;oe+zS>G_Y1qPg&_Y&lpeQ(;)MH_`aPnZuSgS`!M{w zg83i82r#p(2{ltzt&{t&+iP z)f`zxw0lD`NXeSVos|!QSJR4!8rXupU72JF2@Xj@mhoi(TfQd z$&?$}lmM#Wd4T&PF;${a71Vpy+{Lquxj%mBfoD8q^lHS(>RBV%8}S`!2T@o1tIeD3Zs zQZS}rn7ZMZieA6Jy}gAN7%cwoX&5@0`HjMLYZdRqID>v0}g*97MA&E;sLi6gN{EdbMKuSf`)Y5F5@k9{&vbsMeb@FF^$&#{5 z#o}<4z9NmLup;vp*-tTkSP_+SU)PmgsvzJ_){n^{`PjT7SF%fU1AGhm; zWo+AlNTN(^kx}qj-5!sISv|tA!Mb&+I=@!O`!Tc^AwVCfa6Yy1k-vAh-D_m6>y(;Z zMLY^d1|b`lY)*{N#A_&jLaOi}tu+&CHCgIg?(@BoKq{^z;dFF~cf4@oC-= zJ-DjGRT_T%@9SBcC0EV&%-PY`vw}|gSG!H{ct_f)#jI?T%Asbpqw6*BVbyqzIAZ{8 zS8=-6);c1W%t;CuOC^jrd?Nfo?tZ?(Kt;!Zh6qkXPMbvI8k*Fxv;j`!LF&Xse$-0n zK6+8_qCICmtfpC)?j|D%zH^}eaSF%rVxx-=~ zOoUxL9KL#IDF?@)GCGHn7ftU%Svm|nv=8)4E(hYb$9xhiDI0hM(4qkVzlROLQJ~xt zsH#4$e1Uh8kT(gu=bgg2ZJ747n-Z~%Pb_#>a>U0bCdyomJhdMzd-E`VX19RSC4daDdtYD51UME`A`>yWev6dRZgi1`iK0y^{ z1k2e*>~dH9l+YZ^q^{gK(YmuF^PTUq2oM&EIc##fjr7l_AHetVVc8IjD37Hp z9DYIwPVlpfuNYAt8#tr=uKs#ZUgvE>TbaVB6gmSF*baQKQAY|j0$g)OMoUIp6<~_J z;vkzBz0Kq0Di|P&Hp}y8`Ad#}alqGS*6zqabsVmWx4l~=6nWOf)WFfDcM?c>Og#c* zARR5jpxbsH+u{qcHn`PUxX7{3Lo4E`cJD;Ab4=8H<>T-T?BM8sEdg z#R;Y%tN!D}S`oR~DP-8i{r)RmMEYAGVw5cB587snqq)ROvM1>J*$)w{h!jU0GWPeP z2}j*TVqJT_{YYq%r}m-fT~U&G&^R1BM$cGNS0k5|qmWbgTOXM}cGp>Pzxqe{Dy?fB zyON}%Jf|Np2pJL1)bgc;7Thn`C z2+8LW`xsB?)6)r&w;BmH0J=Db7)}$8vPpo4O;uc&2p36fKq02NVF&@%t^u>O>`FgV z2ltc&s@u>8C)iHVN8#%@P@b^0xuDzq;yFcqSknr7CJH_YTS4!Fs^pA5(}ft?Z>tD= zy`3VTG0Xj0flEXd)TKy~dEH|$00?6)rh_$crs+QZ&#$3aG@Cqxn znq2A&^s~ztW7o}CBNL6!P5A=G8Si6ALci$5$At7}$HTVIwF9kUV9i4tC#k>)Ay}l2 zlGRmuOI4aQQgTG=hgyw!BO4dVJ+>MW$Q3T9Sy`UD#77A(8D<5NIoyvwlmxW|=fZAfA-o%d$s^amZ z3eBSEfC0qczCjopw8A|(?KH*Xq%mj&)aD+|aAedr{^HRfW=jBY(u2575yw==T&U)U z49@VuPW&YbN4DN;nmaMy8|2Y56dr^F30+r318kh^jJ>8ySD4&Ho;2Fb^QI1(;DDIi3DvSq$Kx#Mth|EG$v6OqEF($c`UV%Lnh6+U=>g(rsod{IgV6||$WK+QgDRIX}V%`CNIXmZBzf#w|fVv#%qap*zbB%SB!x@;hr>P)oPkS77u0cFedm1IK`N!%Mu2RTGLLqvB zLWImynN0yDJf9Bkv?{%g&Vnr7RqnnX~9+2pOsF z>&%PtA%GFYIzkUiv?C)*K1eD^)SEk8^N}DJKTRY!T=j@+a3Xy54Lhu>$bSSZSk=h> zS>esYAWyX2NqTL@%=tLWdqU4MlCO~>Cx!-yA{a{p`b~(COkYBDrIP}I$pc)gsZ1eM?>Hn z6pXm%O_I(BP5B%z_JPiu{-Sva$f3`}tR^INJQ2r^A(v{(J;)=q_fs7wd)F*QEZ2?6 z&wADdEKAz-Itimorb@_#Q@`GrHoXn`9aU?og$>Q$y~(Fsvz2u{(PouJNb1(`q-t7N zolGUU7;OcN%%Wiar)2pFY(>AIL<)&(C|gqTvTyUCg)-C zB22)s{oGBR(6V1ZjK3un6}-4GjO;hZ5xgd3gi6=r>NqXgF*7$!>TMv|fM-bSr#6MwRnHB`@)&+BQ+7=XwSwRX}QHG{!@a!h_dPkf*-GgWwi^=;z35>OE zP3VX}>ff!>_b-^GU*dHl2T;Yhf>dS^m2c@!HBL0+r=~~hPOG?4NhFg}I3!pMtz(wQ zsZRr(4908fGC!Zy0j*POOSoP{+Gm3=o1FJ{B-aC+S;P0n7|nIi82y1vjbBZBjeOOOP}YKHc;$q4q{O(2fuU13g-czo3#PN+{I~Cy&1v^$h3)V2*GZ$&^i=c?sH4be@2Bo2rP4+;XW<=X))MS6m$%udw zO|CQ-UyVdr&A4z!f=fi?OaF##bXKJ|b^l5NCutbHV!AAFh=9=99)%HUw9|HINVixWw%k-X7>C0v-Sa?w=7*FZU- zQbmRIHuLw4&3_nUA76rR8D7h<8TQWBw!}9t0A$y)=$LxU)?eOC1S$ev7&6VDAV1+cb}$1a80*B*^i!} zJA+)hfZ27b0FUjRK%<@b*LF!Ol~LAFQ?!!69kU3`8}EoI+5=^&LC{&?J|w z!^1Qd)uV)PBID&^U(W50N9fPOaW4s7yUf>bu?ot@9beoE=twS!(U`^QH&?bHxNv;t{JsBUgVqs<)JT(M3tV$0_DSarO1%n7S#7_kB5le zDg*FLwB9E#IKD}9iKU*25z;c{0nwRz_<&#lmsA`N|6Syh`LF!t|19DDQ)HBd?f(@S zRsDNpv1jZ1`7;OMygwcm_#1KjujtGsQ4{+KJJO1BZ3)8=@O)ab2f&+pczD$g$<4e2Y#_u1#+tM9yYhlvHg^-dmM0wUs0#(YD(m zbScO0)8gr2UzGN%>u1;6M%7{DFI~N!&Yztdo7PtgcYn(0rx(uCH2&EiwT=3#Kl-My z`5S2S^zZ%A<(>Jz^+)N8$FZzpG`FWy&Hj9=M*|=1zpF>he^ZaP-|CU|pXxD(^}nh| zvcIWEjURLLSQqC*5$^9=Gqq-R$N$zJ&G}0`g0H>ITKz*kzB5?;SM~UB{n1SdlqW9PpQVtp8utqc;41RgYHx zNj)O|y*~>5&;BUx|3y8r#H;!@^Tt0e|D_(0`5V`OpS%Dfy7Ehx5eL177|qyW#garh zQk}z%Bv>C49i649nDG`F0tvi<{gTN0E(B#Jl)XU$$|=@~V|D`xgJxMwRt8gE>hYwfZEE;#bKGGn zya*&yDly582$hj>qB<;i$yL9_dZl7nA8u#9u|DqlJTE46FPYD*z@EXF-Cj z4;lc{O9X=%FNyF&)(PF;q*PkE46P3MH$z4Q7MQ0yjLAtop(T#qA7D3&W1y4FSf7wP z{8cE0@u>j$G|PVBa;K^!^jqi(E_Wp%`o|zehfOOB%Z1P`pD99BYBS04R2gJcVTFmRv%1I(i@a(Lt45a9&pVSvC=Ow zzf-0=XTZo^)DH?2i7zVUHfbveQ%vEGwp?0^*s$=D+cpm$|Hd94|G^$d&@LOucqx)D z9hZqyaZs}v=s0|F6iJr?k>3K5%TRGfeB{voVvor4^PpwUpd-8#|6q^v=>Ic&^!bZD zg8x6*{AmZzMpH!%f!x zojnr%8+&~CkL2r9?*7saxkEat13`d`F`HV8xsO0ah;7V#mxo&HGCIpIWIAtunU6pgGkt02G zvg)9+{q+BanV4wAddv0cNKq#Cwh-QB-qBG7xzSP{6n+ zw=#(!A@}DM5YvnoU)G-zh;avA_4k?Hi3!YQJi-6v9=%Ve&Yl0Adwlqpdt|Fxy!gvK z=KaGx)|#TCv(*S*v%1GSE5();S(jU#T`->E=)_T^;zk zIr;qDsxX^LCGXrkeEm3*)S;1U>)d=)?bgZ7wf$8NEtgv2+Ml^Jdq){xP|@O0+Y)@g ze)#ey&sFb-?ZU0x`h~4iT`Kjbr_amf(WRqXQ@7GgUS3cBe_)Rz;fhcE_DJq&(3W#Y zdT7eaf7sjJ3v`hHW8LI^+BDQDK4Kw!wkE)^O3veMs(=|3rLL+OF}#ttHwT&ZTVpm0 zqh8KHAE2BPv5%8u_^aWH*Or`1GvAu+RMxc?4J#~au7vH-VZ8<)zS-mHbkLD%mH@$e z%z2wPY*06s*Gr(PinCt^sAcMRg0!VLoUkZCC@F6iyoKdQC3owyrO6N&&ivbGS?W85 z0{dPS;50fS`un)-djvnKH7V9q@+bV4sVXJ zRdPgpoOI0~#EmVKRdO^}%rbn3T)9$OBkMot4_(`2i)|kG^dBOMjFS87jEY|um;nf~ zkL%(wO3eUhV7>Cb(zY(5zk~{A6@5$RYfTE97wB(5z7`;32{Nh>Std0vRz#luCLz-| zrWK4ExyBhTBnArttLTV_%DVg=b>IFLZN0_E6N!3lV{G_KZWGH#6B zwu-oanM{Ah>yl2{U~4?{{Q?pjFkv#k2kLE$&QX40kkyd%fa8;91QDr_Ol3(vkpBGW zYUyl9NOnX7&3~iaUhhF?$zJRLCK8ekDnju$T?TcBF^g8I+me-{aHX-`EeJw9f}-eS zvx-w|@H=%wkSMvMu$9$8!@( ztyq3giL@;ie!WQK(1Se@u{%||lYy(RG>;i~`WTne@N~TDpW3jmEJg z*qK$dIF{A~U2cq3&hDD)NPrBQzr_K0fvPJk-rh9BNF4w7*}G<*Gz-gKLIu|Lrl zDs1Gygoy<{5;TI5A|>$g2JKn?|5_+TwTaOrOG`lkg_cb}G6GJ?A-*Is zMv{Fps(hiA-TEPT#GuaBmccivt6G<}ScpGFshLDJ5>cWMb;he_ouWb8YAY~pCX!9i zqMu#RU@LT9A_r@9>xJPHk@(UxkSykLPz=pPYf8fhb%F>_LiL!1_5_BDvCAXV7cRxk z_eL~?w9&BU+e<)xJ4J)%Oiap`a$qAhFgA>3^bW=ZUSKe9PsY0^(k5&g1&SA!Ikj-o z{NiZjS4~eT0Uu^zr_awMPoHhD{TZ1=(L?}kRRL{@!dfyPPZGaROdg`5=dG6n`hqb=fMxqy8U5syNAQgc{iHH zAA3+ze5vlT$adjsHkATPI;o*fF|La50cAuc*_o(VBrDdcDe8|NlCTTOLWHJqI7+=6Ap z(P6;SV7La=0cRYdcek%k8C`s{)(RNeTh>AXvwNK1JSf=`Q>%fUct{5=9%~a44ZBMf z+?yWP{sHZn`tuj{=yG}{dtzPOP)Z@WU2&={qgxa^FCN{Th5BQ3Ojs_;@sH~jy~FX} z$QA(n0W?s<>F*?`a_U%|juocOo?g*Rn$OYXcagUkO73m+qHd;>4H?~Pjh<-L@x~}Y z)KpgtwemiQCO3!ba|io_jvhBci4teJox!=wf4pKKA=Ru@_W5=ZP?$x$ON-3&d4?sL zI36LU!S()F9R6_{H)!um+vlgH0M4}vC;JTVjLAXtVki6ZMfrLK&ReRv%=hXC zo`J`3iT%zBB0|flJyQzk&6@#MtLfjzVuh|y?tqL{1fa9xh#pI9-m-yb0Gs0ctbMB9 ztDR~XGTRgO)llS3h0Bg27Uy{IT55gs(oig`HfrV}1!Vi81fL_t6-*eBrAwcYh~Pca z{FCCRFPn(mlb^oKj&dd6gLa;X=&2kG>1mX;V0;qD6$J4TF45w&ZEi+Od>wD14W&i?^8g|7 zKD{U)i8)>A^Sdq&9JCPQH3|>M2f9%0ZNUJ*`N%a>WC4qeIn}nyj#v+BRuu8DJUUmk z&VftYPN{BEx`-b>?bVSN#O`1)HC8uv^%hRd4Q}Z_g>U(RQ#5saJK|fP@Go8Ghu|5U zV*;O}t{0-BKwN5MT8HWx6+?0-5LRSvsJ1)20Tw2u{tF0uQ1 z*bm)JXwN*IV_0?@gXaqS795NB9A;AO*SpPFE`dy9n6x{Tx_sO(aVvvrAV6P~Dp5Pv zT*ttMTRbQmh?H^CFyU*;u-GXH;6!h@?Kbvp_P06aums zf_oJ(!Z?WGc&UAiP`*S4V3OEoq|n-|Vv}TP*@$B$*&3p7B^WCu*u>jL7HM^=qZw2? z14iaW!Qickkd*1HHhNXNe7FXOU^H%8jcdfQq8KtB+XY9y?cLL%n9Ay>p0f*^JnC0UF zRi>#)W(FVp^`l6`*9_yMD%AdV@W1Necqgnt)(I$eJ+`V;qi?(IT{>bXM%5z5!7%B- zb{BoN|GmZ^Qm>q+!Jqo1EA{ZPo1hu5B{_c`!PU{4M5>4HoEV2oS3z;weU?pLl{i_cc425w z+$R2W1KX5j;;|3o7Q@i6Tt~aAYKW$Wb_=a9u(oAngit)&vlT?F5Gn@b)>Hv*FrSLraesSwGiTB0$v`8<+Bj8 zq?di>Ckp@@mNf2Hkuo||{y})zP5VLEA?KLw4qoC0Qssp!MqJS3F7A3ip`KJ~TqkgI z!pa<*?#JlEl8%o!?SbO`8o4^slSB*>%yN7HUNlk;j?~XMZ5(PHqW* z9}2J#a@Tc$n)xx4+56?IpEpDiiOA&i5f@JGmj^F=)fq#N^UJ5~FE8AKXlJi2M=4-# zF|}|wOOjM?Cmlx@KPyxw0IK6mwpCx)9UeU5Oy{4b&?!4mzGPv1-a_%C0R&LjL&#`# zj8WNbPfZ|_**RTD_bfLngHpFgIEiIn#gMBu%tkIar#FR#3l9JJ`C{MAFzYiaE_V z@`_r2Tu zXdZ9%a$0)rSKXXI#Bk>)iRcM~oAypTA)agf&}iiK57$}S^4wRGoUbt6<6E zQ@_Pr(ZhFb=CJfRN(|O?#(8Yx4i+S{mZ1+Hc=bqmYGEH}gbaPW1y{W`ZG~XId$)mJ zoE@u~w{1fUHCm~{wG;vZKDp5bsA=1vBV6&Zn*7mi{5&IR85i3coz!&*&G*+=ORv02 ztnat}0#se=^8&0W-<1PuLXr1q1GP4rI(!fi@YN~9gc8+U7|-&^TTb7kjnR$I&-L19Ryv~WtmP!$i97r6JwJpVO z;Ptj!0Mpb#$4^8B+uiWQ#i( zYD$8Kma_4Jlurbdf8bqAZKcZYNgf)N1!V+Nq=F`HSAWv21?zO(aB?%}$UI~1B`)}8 z>fSy(f4c;JfNMmcxS}V<8l;4#-QVU=6g1N1E#B$&C_2l_El^qY%?+_m`}vL;X+g`q zC04!7{HLZrfu&rc+JC!2w2P6!c$PsL0x(iLv4i>V?EC}?2kMgIY1$tw~WkSL7=k( z58)k}=tc%{QnK0;zkP6(-zGMch}9aq?|Ycihi#g@R!4%?p|jt}T7w~Lb2$6nr-B5& zUrmVeWH8SS9v(EWY|I~;S+3Q68~v$veF3DAD?rtvC=5scs{pSNv~MO&ye+)=0zCb; zJYC5jF3>*CB2;F|ydS74+655cAUwE2I;ij3P+ZHS17IxpJkB587mBY-cYQ-9mQr}w zcQ{=zR~>E5lovUuJi%u!Gc=z|6xySbFqlA!(b)EY)ev(#)expo?D0@hCg|Fh4!%UrU(Ki+<0v!Rq%ckwn|2-V9J|DKozdvSfG4s zaw_=PfmECpT5#6Dfq=9ZgaFzJGXq$RakxVRLm+7%A(F8|w6~}vilfVF%~*dP%|;}K zv3AN125=hW*L#19%*9KZ~>XHb?`Q^ zD&6tV=Z}g~uPET`RomQ&MkQyC@BIjO@4+te=ok(3E`998bA3ALq`28}E4;}wMAo6; z3x_!#@Gu|_x2|3~`UG*eS&Rrn61>gvx-t|HsEKWxhJ+940i!%KObD_PH29K`(B?$) zYy*mqRB|`>KUOjD_Nj@nEI-m2?=OPQc$z&;rJ0Ya$V21C#Rn=Co7^5PN?CckX*rzjrS5_K?XwCX^4D zzy`|ol2s;kViiy)La6Qfz=H%rfS(iu^At*3Ax}ReyXhaeGRtUh0Rx12*uf>+P+X+E zfr47!gU@qQ8O=2W(W94j!*^Tm{1~DO!Txp!>}E194#2+om3RpiEjBQqO-YQzjc}~?B6d><==j*0n z9MYkV4ZQL?IbJ_B^z@^k>?ll^1`6&je#q8}K%#+tT;q|64>0IB-H6&S2DN~_apAm% zug=6!3SRA$jh zW#bXBP5q0g_g_IxlDgxeowB65ah``uIVX3zQ}h&z!uI09(A$%U4#>&S_P@cBvnclB z(PkY~Gs+D5LdLxzfQ<25HPE#|PmCV6g3EJNb#d-Q+>jx z6XV1Wp!keyB-o8>WV%3TPr;31DzZi)q$&n`h@_#M;kD5wK|sMS-E&NAkDpYn%P`PE z0<>E_ZRdC#M#O#I`-_M2mC;lZTMS^5;s&cUd6`2wjCPdy?b;YZN-~WbEnXv{n>~HK zHwd4Y@*UXDRmoZz4ddJ#7J?IS&ULd#hPyu**o0vjS10W;>OL|^8hrqfdl6uD&AOaXhkvg zs@x!kp3+=iZxWl<#Zzw|!0mdcXp=x+P|-PY>U<5(+}@xCAeCBJDHSLEft8ozh?9x2 zA@|{gKnav`0%426@&?sYf%+rQAnPrr`Y-V;@dv3J*5^boy*MF8BVS{n!+~m+VdQ zeFHS8C#lp~47JeX2G9}i zIYDbs*S183oCO2lK?YxzlJ`xP!d1hpFzE~;7PfKxvlKSx0U{$wSWOPSv+GBnsj6`i z&o-4U?Ky$F75>iDJye_U(RDWmPyeYRu8dtM@%?8p;@*AtSe2_Y8C?5W%9ePybf9cVVyq3giHG z%2*3gJsX47q_}D`%~L{%H$HQPLM%kcM7e%Fw(;+3tlWb+$x-b!9%4~X<`9Vf3`71y z?#YOmzVVX}hGoo#;Ot^c0ytYU*_p?skgfg$417pT*U3uZ1OhhlXRlZLp$mfJ0n6Yr z+JthB1pN69Bu;ERndmX z8%4MJX5<|%*?u5V_oiSG z&U#5=R$al)Ilo612Fr5(Sg|FuQMdt#(bm_B5ZLDEYIVLth48Ydz1fs#gkP6X#`N{S z0GC4@T1XrZm&<^5n7?P1oUVI!f94KTAJqI4}s21ZplqByjIA5qc~&5hx4_oQz8XVv%or8 zUDbxr+nW8W^QdO)UlJkgy$TAqCM5s+mZJ zL@6y$F-SrC>DN0n@$M-^h>T1EB#8@}TB$K%HJFg*YOH8>(kr%Gyn}+sq}XVg92(3{ zNA+3e0ylIv=$Q_@Z08ZIMssXu!}Tbc@$?0BG$@DB0#mb-H|NhWNWCaU$c__1$n8S2 z;$&yDti*u31Jfda2Ii!xQ2mFJlQLfpzvk(g59XeSoyJF5LPdy{dEYQA2r7d-

tfmZME~fFMwf z$Ls51k^G!f4yE!i-De;)o5FhWB@e^_Ive0L0OVovp2(orCs3x=58QcYT1zCnq4Yn5HFj`J zG#aASG0va_q+LV;GS-d?NJxBkO@21ouMPH8(lnC!0!sp+4ty-->vLF3{PZF5acBz( zk|W?`qwldvSSy@^z_#{Q!7P?M#=|;Z1<>Aj2V%d0^XvN>NBuOp!}`^6c-D5FD#w4| zTur9u`R+!gEjvU4w4=$KcgmwAlxqYw^&N1YL``cu^6*Jsdca+Ps`ec3=k{(S-Z(kI z_Fvk?|6H-V|3D#m_H=3ZYWG{gw|%74#aFwpVo#eoIzq$&tOuTJuXSSNN)t_rC>l%t z?Gg|!iMDyz2|dYjaPnjmwX35jjqrGg3MCVM_s}|UM-7KY91^alR_E?y z!66%IW1&uT&>C&tR?^>TPzQheen8Y6#8k%;Aj6GW!JqK$4Alr)im~%!{NEXGb)GF zN6us6w)3g*V|#?J*!&Y{YAo*)9DlchT`P9;s@M+v9j2Qt7_bMQtJLj0FDpM#K`9Q= zcXsLN83%b_)87t(Mwg(-509ucaCLv5tgyJ(u5zjQGKR_M66?eVHv>hL`DP-R9p((j(SNdvvj_g%s%gpT; z`}5Lhx;4ig$enF+UA>i0-XAN2A1~ra3V|&c6Y3L?+n}Ck$ycTw4GR#){#TP!O~-== zy1`J5X>bi0TnXI9{OaoYP`3}@+FiUsO^veNYrR6v9=sMOw*Gg93Iv5|<&aVh(k>vaOdZbfDmx1(DCHhbB&E?I!U_VqDu2 zu{$KAVKfl9he%Z(#^Q~o)<{n$vJ45VVt0U=_D-ccSf=9F=##rM z60|6~WP{xVl$y@BOIhhTuQe(BkZUSme0j6eY)a$e!V$5iBRmqjSesw8KszE zpyoF#e&)KC5sRK8?5UmmB(xj8yH6=XU=Mzr1L zKHkRIJVwb3%e@!TWDT$}jV3bvXsW^zj!H_T=Vlry^&zMliheQTaF30JQY-zL3>h~A zg+@d0dYX?#=dG7w!ePc{GFjrjOM_#RNscaoZ=q)fviYO1M~c@*WrxD#G3EHLW*7Xc zZu?C@)f9LRKWRK0+okc;xl$0MkH~H|hRgPVmkz|<0kI9x1ko2q^NVbu+p%L#C z=0p+Xs1k*fSn~lXSZU%=2ilNDo;zcS7;?wZpLWN4Iklw8Qk%3oizlI&+BFOnrUDl3 zq*E+lupR}Av3>@dY`wI5)wc4J88p40-7W3IzfGAJ0Cidf(J03`;d0@sVtwtBeg+E`cbaq|bwWj$)d zW(H+)M{wP5wQK!0RLZYwe_3aO`f&Nn#-nDhgzgh{ncN#rE4|u}dn3Oy*Cu7z)!D&{ z<}+Vmti{UVL#BUSyVvb{@Pix*=4)+u;w=pEoa~%NzCC+XAM%}vDxm!Mv&IMtrS>F1 zmR|$Ok8$;=7D1AN3x|e}qN;NP$>$@fe3)3^rdjM2K<=e+Y^>h{EozafLOSfH=gBjPZYMZ@0>|yspApr{ki=R{^KJP-uNM^-znAi=mu*-OJnVE2fvJl^|}%Ns6fIxR4JLrOGb1#CEOCDyZ}}2orNZBvtTOn#IVWSlM+>~W-3?WQx@ZgKcldYFZE~u zTla|O|4=TJ`Q8SvlJ;O{c6Y*(Cr|4;x>)G~t6E>K(grQ4SO$w1R%&e(mfe?dATILE z7-P?8*11oF3Z-4KSi2urqUE=Sr8C~b>W~`;&|VSsplhx~9}ZwR$|dRt**F>lj=`A| zyiQa=ji}V=PGcJ97ZU(f583dXEmCRv`YgcTp@^i5g}?YvXLAw7Z%+?0 zMg*{#UIPbM1FCl5UBcJ??okX%#xE7-qY*Oo{P-#<*%s~ zqv(60#n@xEO|?YvjKdLE`fF+x#PC#y4boCooZE>yGZ^KSh1{Iz4+p6@(ld;9vot85 zEnqHRH6VlOHZ$>tV`Z70q`|tW#|oWE3>0=RWx2--6L@N5NJdblRx(`RUIdCM6xhK~ z*!Z4Xl>iJvzJIJtoYhoIjWP2XX6USJa2HywKAUXz3O6G=Vx_saZ!|rcG@$=2yZ5k4 zcfaRpy@F^pTx_uvV?fJ7xXq`dPBD%dlv$9mzj}hr*)t|aZcghwT!c&^G^<})b^TSH zCS`}sjxh3Te9ICvrm<$)SjLF*#M}3jlx#zkguv;-aIlinv_K-z7^|!)?Fqm@g1S#Qs2dzLK&M_;8A4eX z7~HZ6b^&QzkC(xF4@LzR1iW=F<+C&zc5lGC_^Jqj{;gafB$Mv7bQ0k@Ac>VTaqc^B zV?v4M$LCBEiRb+{z|{bZT@Rs)AO9bD_Z%ciqbK~nZQJ&=ZClgb)3$Bfnzn7*_Oxx= z)3$kgp6Bd2`|j?0Hsbzy?>`-pRTULknNgY5k@@+3{Q-Zh@*ofFfq{1s7%HOkvCdB+ zX+)snx{aZZ6LLqxz~}>zEBkCqrxAbKua=Fa`%>L)@y9N^%AyDs?u0|l!5?_Jqv|4> zPgdY7!XXljdch*KZ6?VH9v-Mb*Qa6ulnpNd(_`fr@`YRhLa zNPSv!u5Ly>2Ci*-k=68jXnb#%KxM@=w`Oc$3rtFO%*GT>d5G z)N=yj{8?6zR}C8Egs_>}r5opoeOK3n4`U*mzGoy4*WZK$(JuJ>LC0g}u-`Ac zbI(os-E>5}KuiJu<^-Rk*1@%Nd?a6E75WhkTH8@}Cj6ka0NriLQu$7c>&C!DLc3s% z-Pv%29ye-?{@x_&g+3lq$U2z$ia0g1P_AT3ek`Fnz6XJXT0YOL@gY;I3vHAuPmy*d z)-w4#X7(O~)%iVT%4{%+jEE_Xrw`89xEQf!jNyUVnZmQF&yhdi0Xl_Ky&Ag>1p%qt;%0==4TzZsI8EzZHP?@V@03 zR&QT^>?c|dQudMecpujyZ^~aFf7w82w|jJ>G$r(U%m9B%(bn<&O}5#Bw!!*{24|Q- zC{}8~I*})_zM;QdddNC&5vDEt4GWBib3zlfaseh=q7X2Y)F4uwJW5hYzToZkBWPMg zE;kpGQIL8snEv8}{lX7!N^o7yWOos(@prMy#zuwUT8p3)%OVH!2bNt=zdB2=x3M|R zYv&e*=<>H{%0O{~M1U-vn7xR)udd>(?md1%j5ew=J_0m3*IedyGn~LR{{o!Ak4noMK+d9P+WTjN}NxZ0~Eier~lcem67xo8Tm`ql`HCAz(=Smo8J* z(a_>&Re}yj3Z@>1=@?M%RKf`+%Qaq5*Q!2Rz$`WpNGY_dhI}eOZ96fvD@kYO84)hD zD^K(vARP@f=^=Nf58JE~J=hxVk!a6VeyqD(mWQF#q)Jr|w%n{(px*}=QsUlWgsX$% zwC7^g+^NSAk25XQQl>PtJC3EtJ@XbAZv@Z@vrS2d(IpFwIS8io4}1?hMbLk3AqcyA z*-f+E?2uVF^$|M@#jS)W_0swnEGJY9>Ct|bAO=)xFlN3OoP zMpd5gDx+T|z~J`N#fM_31j3&B5+DQXS}zX<)GQ7#ac&A_-}j3$Ndp9oIu9hy3y=`G zgc*Iri5J;%;LceD#GO8zgclsxNIZ5Zss>D)UZJ1`%R<|M+9cr9B;_IjTk`!OMEGw+ zv{yP_g8gK;wMiK~Z~-zO5^rn^X(rJ=h%O>eY!n|1AM|3BCs&_n=?S)hmehJQg6W{d zd5yu}Re_dp)C7=yQ|=k^*>W45MJ+_lWTsCk8xF}COH*U!UG>1`rxm#}pv+~^{nby8 zcSGk_qukdROGjQn6>nvcD#*0MD#%XJb!jkv#-%(_%%xT6^for2>($nwXa2a`eR)kl zH)5C=1*$dEPKu$yl^wQ>0=6F}L#DP`-k9dEKW8qEdnp98-gO&a!XW{eZd?QXxNY~h z)!;m01;|A{_i>wEAQo=yT`#Fr2E?8#TmDr5u*!Fn0x2u)&nERzD97~SM1QWsS(oQ? zpt!}ANYBy<&Xuwr@IbEsBJgt!E4FSTAp@?cyqtMh<-i9B20+hxZTNqrv40fo|6OkW z7pBX^_P;S*`M;QMGd<`R)8+p|bb$;~{4i<(zNB#XC`9f5xC$))T7`e<+&>R~)k*)Q zb6Nj>;Qy~W_y1+Cl!N}?y4^n;_5Z&2|LJy_*cks$xBK67r6kpC+WmgG49fuih_-)n zrAVDfk&UQzlABk|88&h46=8aid+ z&-%9lovGPb*1VjcK1oogJE6rO*Cb z+B93*TeQtf=^FQU$H(LlrK*oc{|fAU*K76o+0P1R5|e$IZM!EbxfISbs`j7z)05e1wm`Sem0&>k+aR1 zNJU##pyXCY&gH2+G?EcVv|y+pdzbDuH8GizUEZ@{X-(@W`co?{`l^+3%D^(Sz&G6H zE={hLz&DPmK6%fggvUp)Qt5^^#NI>8t|Zjxd@DzeA9SpjtMO(_eL#<4pi&5c zFaZ}#TB#F4!HoPt_Tb7~6hp9Bi>R52^z4fYoIeK;X-`prl}2fkwO?KbR5{1MgTPK^R{k2;TSj)d6Q8_*eOWW-sES0Y=Q>=N|!( z+yo-4i9;X53?{8{qR7s-Rc8sKNEeo+Mq?8cjk(M8`hBlp-(<5unxR=}eq1AhZ4TO4~_>NOlmOo;r02#_nI;Mxd+zv>c!PcFNk z8zc8F@PbWN-beW2zN9cKXM9#VDG~d*LF<8#ynn<2gaoxXX@n<viIpV36=M+kiuJ+>#&Ln6x8KFkf(2 z@Nc*q(E$#?VO^)NkRkjB?q2*0?hXTk;gzl1IxHRUS48~hTbEReowxPC2K)U2- z(eOZP1N{3h98_R+nEE|9gTBgYZB6V5!&oI}xPCBUcyr=pJy1Z9z9JZm1c?OR8Hcn0 zW73$ZvNYD?!2hBamxlOEQf29 z%_wyWLuv-DSpzlO5Zz+W+b32F@ZWG3AM`J{OZQ*kZp#~FX$ zWpVHyaF<2o@GrO<`cJq!Hk_=H3TPoac*hWR>)KBn&+%jzoWn~7%eG(`-PxSFvx#N525VxvGg3uY-xJYlPg8L&Qu4?jKg;j9^o4+dwdhiE zv`Ui&cgC9qt=jv8O_L-N9OhQaB$+iSyqXst(Ir(J^efOumvt!ihM^1RaMfCdu$*$G z+s3p$2jaLu$|b(c1u|cof^+r+q$KCdlMYQRX|2I(#WR|HgT#MPQb*?+2+I}18AdLj`ezv^X{($ z4PF8b%=1Rxmq|0;2zHL@;{TSr{rF#Umv!gqKg-?7e*{a3X(Sr|mb)H*1xs;%{VjKs zU#j=xHE$?UNhhIli75b{e92t{WYRohi=Al`V0Jnt!h2$eoSF;KK*XJJzg}Mxvv1Mj`ixf= zM_|TA3NETnG5NT^Ty}KppX&*Z$6v{Th{a%e@7?X&V(9%1l*H7ja~^ih1>KMs(L^=` zp-Lyr?U+)_R(6*lgM=c)Im5?B2JQ|bTE2fbzI*yT-YhGV8ClO3o=rAaQme@dxLtzw z&5yHW;BvH?^3n2x0oqDo?)84WvH!%{So(B9@tvJG3cuO}5z`Q(*JN2trI=0Y$U)YwCZQZms5$Vpv$Ft$7fSyGybsor4 znS1(!Kw^rT&WvTD%%C%)xYGA3oEQ?JU6ok}!G zX^SsW_r{AgVw$)&OqCbhrsj>97IEHkIfP}#+2m+KgwtBVu0l@ zNW^m4PRzWOn=Nk!dhuRt%}0EYoCv#se0akV&X~9^dHg6-I4OLHXX8++yLZNMG}0d@ zJq5r(KP~!4ld`4!UU^ahcCXgES9+gj>DJ_QMVv!_vFng)&kgC3I={tX-LhVWdw%FG z)@&iNf|^uAP$eB;!3EG=c8lO3^*(tK=2_f#Ax!zfWxmVLcb|(~V}J$D`pU6fHtn`o zYFu`Q=+V>(ugBW*9glyyx3#{ld)SGt9TE_HOm5y* zYl(C(m69O~gUbhc^xr){`$II%jO>2k~m!knWpGW zk|TUW4<-q#hBc5?MpqF%Q-Py}B&E-YLc>>9XiMQpSDe z;9AqwJqQbn)R_L`z9UQmKaapU&m)H({T3XdJ>PFAh*6H|g~X7_o>WRlnLZU7cwBnG z=h+6_CU~AxyV+|5ZWifNeK#871%7VhwNL<-Cnty_4eGvh>}_4(dJM8kz=~v$9UeH~ zfa_P+iv6ixUOb-!SlVB?nblCS=z0zC@g&R;is3O2L>OKHz#;V6e^Yb%pe0VyV)&v>j6Xp@ zhoNcUd;y2cGLr+#Rq z*M=3S;V2Ih)j~LewUuIs(iV*~JdqZ{00*siq|XS`*5Xc*xW)i2^l%+J-9-Q+mZI=M zI_Rr7(IuXn_I)AxF4IzQ2y+cIE?A!AE19L`jj`^pZpiXNB?!=RRmly4II z6Ud25a61&k1|f8f(zj+ag>cu@>_l0XPJ6?3afQ_CI5=f9SS2L1IA71;?Ze{XH2V)g7-)wh zP*qFRQh;F6av*kS*7Om73jTbQoYYyBzU}sL-T;K(^!aykzq9^ zWWWfV@9CubC#oRgB1R$r;>mXa0S zyA||bVYD{PI{B>)c!kC2R!-FJ8}=w4`-4AG zHqdI`uc`F0xyu;OiUL04+2p*rZ!;V>tdtyMq`z&K+geNxHgkeWaI_PH;UCIKyxb^u z?k-2c!hOB@u3vWx&+PD(A>LH5%F;AeZ%iP6Kp|k-?!j`qKR2~(jG4UdwpPG?v=yVi z1Xw`4YncJ9om?SS?7}~PvPOV;{qh-#%l%Y13NI+NNg=Md7|69$1|QOLR75a+DKU<$ zw%Z4EyXLp#E_H9O?JY+y-=vJ)yG%y4+3p9?4y3F}7N1X(n;v}}^JmTc8KL@sXrYXJ zqV()7Qf=IE)uH~->ygw+@hR&bYfW2BwBdOu<~-7RMLjlJF3pK-c2MH3tZ@xTRtaYF zz7L_M%3<7IP|-mcUsF&g2{9g5r5+(JvJi*MaW29|RmyFpI;u#U^UvGy*m&OiWBur!#GeCjjVL0w6YcPJ2wYfg=NSazs78gymk3AUDC zY>zycPD-LNb;_)2g0_i<@!YXvP_@V`StHTR3U!PbP@2OG;_EIhXB6>5Y5iQ;bfgPR zJ6PH)az$F(fNEc7^z_FHl@Fd|OOqi)(S*b&u(HSM0PieW57i1(WYmymQzOyHPPYAj{(i!{hDmZ4m>|O?8SDet|)lP z+F)?fcw=0tcI75(Rk?!~&)RZ?_9!__UhkeK)D71H{U@CNnC7kZ&Pz zhaS+KGR_x;*SX#0O)S0Ceyvz5b&;Oc(`q!)dE7kUj%2T(e@!Z^E@54(lxh-&%GJIl zA}C%m3^9* zU~7;$ZS3l`AY_|-abvca-%zY+%&AZ(UxslyF4d2RwOp}MRU{NG&PtW;tP)FE&6-pw z#{2qhswA#SYkzi+6D#@Ku<_uYiDO8$4nX~DcKQj&&y@Rh5XnC$zo)whX&H3pVKeNS zqwK~FX?P>lYP+IU47y_zXjdmClC`@~HD_srA9D=?($&U|MQi@lKibZ@O=7LNaDPpX zJFbmDEXLYu2+B$8B%x5TF_pHOSFO`FPK=(z^q)z$N_59VHiM`kORxn=QyzmbH%@98 z_R|(9zxLKwU0F)D2U%T~oyD-)Ujj3>GJR~3&pP<{<6K=@BiCK5BY1HGOXf56*zi2< z?0=QuJ?IJf`hO}1y-kxq5Miqpg>L?Y>=Ylu78W{JkadK|QY8yJmM$Qzi6$c;cc>c% zQ$Erkgx<X=2{*u^q*Gw9rvkLec$u+sr2RI}5I-5n!zmeO6x|U}>8p7nR!NjY zN$f2uB~T#sO;NEUrJS3vz`BbLQ2{?a6@+|@Hw-dC!MP)iQiimC_AYtY5{y7gR)|n( zB>XuKOF1BBbOMxcER~?eG&w*H>pcCLC~^`cZ|s=ApsbMoaG)AAvs^@NQgLIKibe5g zp!(Cmi_Pin^}f5ReD@I3oiSk~6yKTtcV1PeQ~Urdn$Xvr1dh*ssTr7d_wAk8Gh6eB zfk6x`Y~6}jN)hMb!76;tH@9!+KAfWw*hVy$RbqYZd^WsEXhRDIYUiu^x9Bi*IEwU* zmbH{sdWhWk9~y8i$3r`=z}@cMdO8n1iL7GU-87rtiMlfg%WHexH9MDeznZ5K1Q1+0 z%VmiDkRfG{BF(|;wH`d#cZ=w+k6wk_Pz$)s+qx4p0{ry4=o`8dgR`)H1MdSLEMX2fU z2S@yA;R!$Gas|{y&D#B;Eh<~f2cJ8KX?7Ao#iChAnY%=BHQrd8Pd}Ek3FM>}?fR>f zwi*$Vf8UlOWin=}yl-O%a&&*&3%+;*A^qSDY*oD4)r(3@*x++Wg&luiO$6j?np(N8 zD(%mvlOh)VZ>2tR6>wFjm#_KJ?wTUh4X077Ld&P}?W^q`)XhADFv-Qgh^Vx$&US{p zT5&m2dAcxTiEgmHPRK({sDR73pXEzd+EaNbw8tK0AH7w+o69vH^?G#(5Wi4i>+B&{ zN3|^=_zeGG!^^R2oEL5iu9^juTFq3g(tbHHIXy@q@*|s`*MrqmB<}gc5%fzTMRB*z?M`l+tn@yq;{G#9!k88ZU3;jGOdODp}%zLo!R)@ zg}e775-4N+o%|}2=z0uTWuJ+E#oiZJt10%9xpRQFXF`YX+m+b&rS4)@0(`Wz3fRQDGX(xFN-#e!d(Rjl!&^J5snkJe+~UiPbN7TrM={vhIAmFH4-8iE zCxJOH^UaI9V1JL^3hQ%@p)S5nv@MqU&$+K#^Q~|s?!3DFqm2RVi>v42*~Db)!j*6| z(DJ~EAy87Ag4pATN91lEHJZC%iamrClzml6UX{JdUNU~z&rRX8#Z5Tm7Rx(p#cBb; zerP9xo)g1-I|>I9=YrXZ_1yMwHoP%GzK(_l9gi-JL2Ddscs^dglKZM|fm z0VaJue}>IAfK7)R8g3Hf1pIprJOCX=lGfE-HuWVFC31o6=U4-;qX4V2sdiA@A$Bm6 zsEtxu!5+zk;@I#r2i zJB=bPqQlqorP{PEI6LRTa(COXfVJQm^7PJ>JY#YvACLv6>7?aK0Uv;=s8Mv1ftYl8 z*JyUU_F&Lm8g)v`!xFo$vNu97-(UDz3pnxDG^;R6hd+(-_?NWs)d&;6JOY^ z$vxa1hFx1pCI}ziuq^&qPw>S@(2W}7(yZayt>X9ey^)H+-jWBL)XRcWf$ig547Gz> zYiBsLvq&T0;&Uujx=|icKkyj!gZw~`-H18Ic+idrI8c$rv6Wa{LV!d#)zU_Gco3&E zf`fx(7b&hBbgA<|3(g3lwIA*kqy+O13SvR!Qh+cW;Y_=)Wl@+_6UOV&Ws>HhpRhCB#ant@u~;K8IpQ@ zhkcwejy(}>r!-L&XMgTkS$kKPCW+xq7>61T&8{LN!T5@@El6iE6~JA7jp~rfrda(I zFfD*~%cmqM*jGE6u2&9!!#IWz(R&sX+5(F+K5=YjFHi;T>Aw5?qv&z-mru8HzeTc4 zF4%UN*<2-?N1a=D>x!n9rTrNv->UGcqg-agr`KJPhUR*&nyw{y1>B`fUQC_{i$t}I zlEY1-PG)9K^KmUEasGQ}&D!Z!l6q_-@r>I&_OjiRM+3+?2kI+IGM-q+Q=ScPw$1@p z8hMLBmmK~f0o`bSR4;;c5xe?2PNBiVd=$)HErFevPP}ic0pngk@b;R7irR>h^G4}m z_3a@~XA9A_;`vg6IT+1rSXwe1>iOZ7lxol@&%}^kvhALfst|VqogK*pv`$|hP<{K- z$VPJV8wp=d=cU>SrNz-@DNSdj6h3U=G4ruNK0Wyh4+?EqNxG^7(fase&2PnQWU1oB zGF2>GLYfWb`Tn6sq5Qh**-{9ywkolX8nS{pD7@&M!KWk!tI{2vSuis)Zc;1Jj zDQ*xdvGGrdH$}GZGZA37sdPi6CZ&QhgA9TXGiLShxvZONFDvqIoGy@`_N^lwmh=2 zN+#Bic0%6DuRna7c@G_Rg6AC#BIgT2#ErMJtc`3$U@+9yUr%tW7e2h~x*B^qzL?(! zuAxdkTc1@Gt>c-mca0_WUYN64t7KN%;$`0U-&H-3J~HJwJmLd83cq=r{KwZB#y^NXhkN1AKyb&NabRjD_?||c&L1U;whEqGqygr--d@6 zx310WFKOz*!6^}s7zGM2hm7?HBCr$F@v+_BM8Eu?kI@ps^HM#=;-Y$(%&Ze&wPfrQFR8#XP@hgafX)&WX4X^JHF%_d`5__OQD$ z;@6nJW0l#t80v+kn{N)p-Y>I&cF|TtwANllx;V*|Z*eozXu(DV2Exr-M7rQsN4@xa zTZ~TXX|%v_nprD}T%Zo@c{&Xaq-35H3) z_9wU+_YLprzLi*Jccvp9pUK+8mPVcr!f>w3Tlwk=f@;#BvZ{zl5lvZqxDT;j7)zWu zz8_zG1O2|vB(bHs!|+hC%PR+X;aGdj zH1jbsMX9GpbdlT81l<~d2GL%^eb(sgE>)Eh0epQ8-UgWO z;g0>a6SclI3Ws+p-|6dOldnzp^)Fz>`hUON=h)9b0%5T)x~ni{Y;)O8KRtlTI^b!Y znSlKO>X6j=5X=g?)G-|l?rq7NLT8sD%ch=r^6_mAH@P~*?Q(U$?9ge()18GT0DN*6 zE1wE)%{Bzxq}hU4_N1_3M#WL_tx}z((zik$AJI@NMP+m$JU@ zGpT5*$ENz!qI$~Hx0$NZWFT@BEFoTcPf;c&#;M9x+t{%g5JckqzDPX zkOZ-kcMHGF&A2Nz*7M`)G*P-mjcBbno0Lb+70nVTVUzUJ7P|M?RfV?Gt|!KxtCUhM zD$VpsRV%Dql42HvgzJF040WDju9q$GM%oMVk!euXGk^4jg&z3n5)g(!Uef*-qtJsa zQ(n9HLS=aJ#`K|U(GXGbEH9c>m+^IHb;}jc)c274wB(__mPx$E$Mp?gtQjM8m;5*u zPqy#EhZLXo2kF(WDz>=W(qZkiT{l`oP4Z6WJYA=BaXsIMTU}=PGQx2OP3A`icvd8B zCs;|}sV2MCs)FxMi>o1`?SWU;DS2gF(CWD6w5CcA#8|gC$ZgJ^+D~0R_wPAJe8I3$ z?ay?*?BZvHq`!Hhahz#Ok9MSD~Vb%^_hU5yZIaK9+Ca;`d2bL%5vpsk9RyFvdYV{_cUEFkjqDd!lLCf)%LO;80382;nj5o- zy!xx^6H*Au2T2TbB(WZ2Du{kCzuY3QME(N5J{mEBo>j44fdr6vNid>El)K>9X`K@D z!Jh-rS%-&A<|l6KwK;ZUoKK(T&5dkX6RJPDpakN=2GL;U#_l<`9oskFW1x<2HOoeJ zWi=OeVhLqxvo{)evMwbdoYUo%YV27AT$dj?og%6cMXXWF$6S^bw6%V1?UhHje!uVh z)}=Uq>unw}*?@@a_E)*w_K?csqijX&-hT~eY`HGtj!3`IV@c3((F0i>D>PseX1$t{ zfm|ns6U>D1$YnL0N7+~Yot7^=C1BD%@A~yEt33*?MHRZ*-Bf_>c(mp`#_~p1XaGHN zsOS$5hgBcJ)2K)s@_{ymhbGSENV(U(QdjDMzAGbcyJ9~~XDqK*Q#4fMFoxca8b{6@ z)3}A%eEB6smm z&d3;1R#d3n?%AKzehp#hywKj%<6e~yZ)!rkTeXTguT7v`W})5R2HmuYhDFGgX!_hF z!=$7#5A5a!E123zmiV`q?mUOExM?>!yoSoXjcDcle3u<~v6@otXuU>?IiVGyAmfZN zflPk5fus$}&{2k!MZe{kK~K{HfFayPWFR+(rdvGOFz()p9Yv-V7Lgt?pzm^tyLXy6 z1igh!07n0}`Mgkr1^TBMD3Fxj?g)&Las(Q&LgKvTV4qFsq^ zVV4dQf&IByNY|}b1YcuHV%$-xhC`*Pd&On#z@nLp!^BS7*%N4nw1wly6i7ZzIyK$* zT4LEhln&XwObb^oC!HEYK=rxo&_)EnV7T(npyUjR?STyW-WS88jpGM$Gr~ogCaUyN z!KiHgc7=H*k3H9v81DpXT^fo3_WkYDq9hNReQ*LE4lM zVYvz*9?DQ-!H{-n*g@?eV2(F4ih4hp??JD}Ogr;^S{14EiJe%YhiqYmBk+d%Ltr+G z2VQyC69wX*t`bBI-0e+Vz^oF;=olm!3y4G&T~Kfo=!eG{FxKwJ#3_-4WO)6&hxela z?zRRNg0Th{uCPJy0&Me^l?YF6(`Zg_V}1ZP`%0fmhMR>Jf?le{qjls7-`UCW%xA2K zEP#qUCKfG?wsS;^)e<+kzS|@x zFqdo?5WvDbE}nkZm6ZeruA?J{)x~=dGposVzH=8qV@D^u`Xv*sbvC>eIRCxcd_H@% z%L=USGxTgC>x9D>Xo0-*3RIum(JzYE5VC7Rg&LX+61+*21g11L0J-_A3i~x$b=r`G z>%(!5U@W_X=#a$6nmN|+rtIt(HZ_oREYn&D%cabtZv0riVKk$RaxA^e#jGQh)o6<7 zo!P@DnZ)J+nl!jtx0_1FapRWEIYwY_!aLQ@Pc^GRbF_tH?!~HSQdFEBH|8p+C^w^t zgIVj$O+z>^j^>C$z3kBXdth7{4x%KpX#)yWWD;aZ#2fNB#+;LCA#Vp)27&HZ-Rc04 z3`#ckyNreI_u|{vskh6XIk4=`5PXQ(^^@PWhw~Q;HkK!~eTw^Dtl*;!PLi3*GT!*| zMKtr=MyvMvYdTI~;Va3@8_#I1$aQaRet@4;fSFF4o!=nJvCHc?`===-3Rm(D9V2pn z*q8Aa3l9`k!%3zvL7WU{&^1bLw32Df0diO&-Xth8v5E~Jm&pmpYCtlU-TuNgoqVzV z^}auwB%ov1A#R7Z3I`=5UrT6JI*Ki6cLg^SZ z_wDJZh6DMSkB6E6Q>Vse{B2KK#yubr647=-)TDjJt$9XI6Zt$${j<+uu6GE0o%ZaN z_yZ<8`thDiJfR=JCPMNS%4QbHkw!{P^Wtn#i?StsI^syu=+*h6zkhetARfVMkv1jN zgEztCInaUr>A9Fh)VoX9r8{;@wmFUlrxrKs;TSTzy;^7g`Iya>_$jl3I|rQoT4x3; zz$%rl+B$jx(aw=)&m^b|_Y7M0Bf1N-_i2wN7M|njH}O+(5xK9z{gZ< zYeLetV+z9+*T#KE%U~hwmx&ezq5B&&YQzxe7GVxB;H+YvXQH=m3Qk{b6*~H#%#Tbn zuJz+Jq^XzKzb{M8libH@?^)GpuN{b2%o;SPL;V7n3V!Bj27I2!);LO2A~9q?p`gUI z_BK}@R27#vuZdYb7{L5}YqU4uiZ)n~k#A5W^`S#mYnkH;iQlJG%&aja@I7GK?4RIN-NjeX+2!no0~6` zVM)`ZUbdn8XmQ3x@C+w%>NQ6@ki~EWeeFHatvBRIS`AUSVjMPjpzS;SD*@2IZfe{= zTUV5r)L^9ifp)O|HH3T4#Ykjt0*I$k7Y;*JeZjE+yCo=T9+WLr+5U=gcswdVK_)IwD#r?LY2n;wjOk=ih zE&e;65MsgQ41?gxu`$=fwhDAc!5YOWI|aHZ!ST112JGlli7+a^12L!rF$HsY+8HP85J0n}UhUi~ zzaipwRx@eMiV(QBS(HQtP}*s6rs1x%W@VFdY0DFEamgsv-6kp4IcUrd%Wfr-axG;k z)y-L{)!lYV6Sqguco3xytw3AN8k!idgKUYL&ObM`-6O92;u0n0s^0}-;4#=2slg^| z5Y=76{Z%!XW1GpQdgdxL?v#ObMCz_R7<$T1r&hH`Fm28Wltzv$i}p;8K`j@a;D$Yq zD;xQ2%%16Ewu<_Du($}c7#JS)ZRg{?J_4ovj_rv%b4v%$b|7Mo0)u7gd?o-s;Y2R^ z@K`)tAz)taUUQ0pMiP;#fkLEj@brf@T0LDs`z_54G0BY+u+WT? z3&;9mb<_tw8>T+yq?gC=KK(xd(!TTkDn9pU z*}ayUn+=11g5-M(K?hQ?dAdDD^(nrO$tJIT1Gr3`SbN5OrJ(LkB!iql5*`ZOsDrKW zNm#1p_BkgWiHLeYXMuuyd4~x;+&g0 zTN4pGwRHgVkx(!^g>D(mc7siBzYXG&GCX%$1mEQy``eYD=cDI4SnVwVueXSYEuQTM zaaCJx$EzY15Yf}4!WpX9@1J0~Fq72eR-#vG39N=W1XdaGfem`(VGX&-EN1aAza~k3 z<`P)3hx#{2yzX2~T05EvU7pU89rtd2gDj-5Vo!-{kPxenXN8lin+;ZpN%a2J4QXWH zrl7>S86%-u*E?t>j?8+JRZ(TPpL2q>kdVmYJ!xJ?W;Kc@`eOC~25%-mcYmaHLF7I$ z@yamET=VGEm{xRquuTF%hcIR>AUlsCX~$;3q5#ga)(ks=ULOd&M>?n?M7|f1ytaV? zjU$Wfj6x&kP+;SlTw&u(iIjhb5t&3pPp{s4m$BwceiBLJI6`$(i<20N0{6&ALuo-R zTwt#ndN#Xjd3#)UuFpQ!L-)Mu7G4J|y3JPdTNmUbLjli8R++m$Ex`)>;1}keaTUq9 zW9`*;rut6pR7y$_s%0BWm=YduQs7MqQ;YH@ok7&B(20a-Oj0(lGG0?o;I1>I{o!+i z5yGMV4OA~==ptn(@Z~v`HJQEf>fguDyZb=TKgUo1ujA(ntX{WTxvcILIl`o|C6jf} zWzQsnjYo}?9d4)gHs|kN5Z;!cTIgSGAPY0)SPNgLwCeV=Edi~#V|HJlInvInMm=)4 zV+CO`n^WOr`|Uua5JUj2xZmtCNK>T5D?1sdH)@%mri1b>MMs8JzDsa9_Z;f?G@h0#Md*O&Uu<|2xfnJKLGSex9bAw+mn3UT&kHdsuJIE z&*9V1o2R_zwnqF|K^oi3u7qIKjtIG?8{c4gQaKL1swOh=Lf^|Sh~Vr_tKAfSBgg#l z^xa=?P5nKx>0^**I>pMT@?w(D|4BVYg(Bbya7&gq*4bt(3=+o-9V|R0zirlr?Rw*6 z8tu7r01H23@3&5xGh%2SEYCJM_tQFno|hlpkEd_iXh&Jax5(!W#LY)Yj7+dkmj_|> zr}LJMd--<95BLPF)W7QRK8ODPz8ToCYl%A1>{uaXXw?8JI6HDHsSDz6S>OQYeG zy?SAhY9Dv5oFkC}o^BI55%( z47{(gE`RchPkEt<^S!S&Fd2#BtqZ9HS2=ZEiI`@J+ZK9-SR5Y|7xA^ysg~rUP%Yep zD*#8|EYrT~%vGtr1iJ{dbMCZ1O_6i+x*i+mtp@8nHfDfL^9&hFl&L<4V1tyG%1Br_Tr}%WTAxYGzosaGlx({P=IFUZYxu_)At7$OsXwbM6lWmChnG=;;&WngPXwJs#@X~ua zxp=b=%mm-^V;#p)3z1AJv>`z+(H){X>yEH*GgO@p*(yEAD~4_dy%%p4M-I!hLErro zz06NnhVtoWD{Av>>80jRs9il;vJ=?PAQD(khQOxs{HpFA>u1_KT42%8H`S4_ETPYk ztL%Km^gB;?lM<9*QhX<>qhy{62hW9OX(r-B?!qY*8M$vx!|j)Z?B)on{jucoJR1wpensk9TqmI<6sV3Cg-cWAfAVUv$h%S)Z@Yp@rhWp<> z2^Cdv4e9R5&fMl%55O=$w-N!K55C6EACYUe&^%Sg*v?JGJ*Cbb6teCrtr%Z?$F!?!N0cJWWPf=r2& z@muMVQenS%hw=4gVmAxJ;`u==?YSv~F*XRa4n>)uhk z<4tkD&4TSvH`XO-jDP9X6Dt{j`Y@`ee5rl`zE> zv-%@*Tb=?g=jvt?Vad%I2DOvIsUn^T#rek2qJ+UbwhchtRK1_&s6gFg~vY8I) zcZRnQ@Y|I<-&~&4IncWxpkRra7q*f?q|#?F>@jC5516H0q&gr%Z$v8=OaQsU4eBIc zk*!Ra!f%0smohMgxJWCu5AlvlWDY%p<?EpMq>o|(d&4#~pppcFTG|=p_ButTA$D!& zCH6IdPt9fdn#)_AaoPB=Kr$zy%Q!<5rLE_2*2z6BN1-1PQt45}=8&hO&ce zHMbpDk`d^NmkQF+x=>bJ@u#E})oWZH?TdgbMHiy#%a7BjjcVx1nOhxx-sYuW)7>u4 zw=cwzbT&&pAGP;O?g-)s1D8kq(Uisf`^}^yw%R$ zvPXRum8ZjmtW+Sr0fOwi=4P95e8E8icNw-R4{!7G=@xCi&0ToaSR0$HixY>_mgNi~^dBU+6k zU5%MwUT`WcVPAu6@OR-IAZJUgV2PevIE(jGula$3t5ChSt3b7h@Gv-KOQ4)O-94b& zgl~bj&o7)c#!g<3;k>N0cjxRrR?-NfbfC&7QHq%F*m$}E72SE(`1i223Fao+^qXK1 zg?!|E^vC(noY48D>*~Dt7mPi7fT9s`B z-UYQ6=drT2l`P!gsg4+8ko9E*IS3b_Y76vbI64a!Oo3Bv-Eq}*aZP1?j5Bv635X}i z^POdG240u%1luSsLE~v_Z*g8!iOunpN%VP9+nOM_qGVJ8$&Mv6(JkugwzbidKa9{? zfsEC{M76c$^V}}mnr!hnwv4vN0cd=s(38cf=m>E?$a?@rZKUS@N9W1*Z?WNjp{C5t z{~I+``kR_Ega1WMK_m1a^;9u1dND-lg8~2U!McUP!2geH!v3!{`Ik8T2QdAYIOX{N ziZ~Tw)S)L}WT*ez)G8{{6EHBc|LOB8e5q0f0v39D`ak>T&l2NbOMicIMMb7ROALQ^ zaTOJr2^d*e|9auSO~rDs{abSS=e_@Ta>~F=&-8ziQ>{O#Sg${+*t(w1^-K63dfgH0 zGy>YQuu5nM(OkKN%APodRM8BdEe@vk$2i5jt2WW;kU>P3mi5dH4kia<=jStT4=<iiN*$b`Tz5dicF5wf`$7_i(biaeqGW@N%KdrL>t5@@fomPIaQ@ushf3nl_f3Q==&_C=ne6T?cL)GUGI}K%^VhjK=5fk`g zr^J7;Q#e=0qG^$euZt+vMBnHKcATk=G?z}s@fg*dR}}gwOneD4hr`?oK9`tc_p4YB zwd5~MGtrOVuX!nD8a6M*bS||p`8qwe^HJWmiSzNFhOd4G`%{noKkU6zjBVfEXj`^z z+qP}ncGW7|<|=!YZQEL9+qUhhQ~$lc@1C2RlXH@r+?Si3%$Je$WX{Zo(dU@GwO|iXuwWf9&r8s3cH{} za8M_JCdiGK0rtl^dSP_Zv#?;Ga8g`V z9Gm{7L8N8Gw@FDOL)%SWz!Rf#4DWP^#sa=lRPd|~z?s2+ywk*!FJNq#UP_YAlmOtg z;NbA5$h0PDIAB?1mY09L(}Vx^PV4{iPN5})Sms=U({FJl{C~XDL$Gj@!sbLmklSj& zAMaG~AMX^re49t~6TNCwHT=REjh9`TgyH}4PGKay==&0vb#-^nVUYKdXxwl1w-Wr zv5{nxhb>wNCKn3!681?#31pS>^Fi8-2aG&ADZ!aAANg}m09MsI+d821{&=Ud073b~ zEd3xFf&_rI5aM78kaxqDS3tEBNfFLgw_FI&iRl)GxnaW^DR9{NQ@1XB_Nk$Can+|B zYH8mi>LW^aa3;=WNMuS`+F5^k8+3PJ1GGu|hV5f9uyfP`lcQ5O>6~udn-gRVAnZ2N zJUIf=u&gVB*B7tKniV%%^KOq%fQy%wj>;0b6Jic1mO}7gLGcO_L*a_@=eQ#A=LKyK zOheao>*5lRUy3J$Fr!9SBjm>K96u(p(!TY9-TZ%-0qTxCND812PlkS8UJy89HUx2O zFk(c@9O?9BromrX};fzivki{VWI~Tk7k9GR` zuUzbrK$A`>!JrZ|7Ux7d^wtB@-M*SxZpLVuZ}dD7Ufa0QPcD}DCl|Z_U%6QCpIq$l z>`yM1yYnX(OP6Yh;zLvSckkSF0EU)}^T8A?txoNK=3+yhU__jl#vTJ8SCii;P$oa9 z7Mc;U>ov&~r)2L{yJlZSJZ;MM z{dRq~9h9m@v>Xl;91dE24ja#n!4RzM?P+-Q97U)&&$&A)X`Fis_7guZ2T<~BR2(mn zK0~pz9Tt%a4q8RitOJ(J(7^qyXLu+$xWUN;n9!_#^_Y)b(hnBD>NZ3n>TD}zE%kA` zg0L169+^MF%|wz{>^uQ<&qwZ2itGeR9qD9RIL;mCWK>eKkQopo8f|DM`Cu+K=F>5;tn67=7uUDtbVdGzm#hrh z9zx|UA0Dt!og5~Wqq0pR;;+<;g~c-S$+ck|5wXnu=;APS)-|Qu74|ht?g!B7K}QK0 zvIQQ96Bol|Y*0)3dj?lUCa!u^I3G6udnV*LhI%lmb2g$F2z{6&Fdk`b50Hq_UVUL~ zjpU!x2rL3|@W5ZEKf-ANf#uaFa6o*)-!xBNZcRxn!dfeWDm4Z#7gplzLENZ(JHn6! z^QQLn$wi~b{pdMDvAC%-M^`?-HiFB0bLh91DmWpe$`~MP7)vSMn)5Ynr zXG(ahuWkq*rTf^-kaNk!&;GQnincd1K`ee)nA}M^}g9fA8<)(@NZivcFDVQATDL);N~6 zh2AdjF6QCa^?lb$4|A@snlrtcbZ%SJ(XXq2(Kj!<{iB>F9{*c8ZJxVBm9%d?qRU_4 zMfd}!-Lq2Y~a-@XfWMmf;N-_Tf>FZHA_f|A-#GSAw^z!L@HE z^Tic;+JBX=S@ig)nR|wMRLDLx{u7Hmt@>4djfN{exR}Q` ze~u>TR54i^_xZHf`-@(_$44%TdPGc!Rbf9#buOSkgo**jOhCYri&qdX z?Hc4V2NSpc?J*UP|4+yf)%73NYbzn|y0c*#X~3zirszP*-u+sd{(88tyd>11>z?eQ z5UsIR>$11@lu%yj7%<#Rt+&{-PIzdeVp=7&`f%lE+_{Df*`tbi(NsZmmuXvVzv&2m zi#Mws1@U?FZ|f5YJ)RM|^|}4W=r^ESDs#1fO?c$<_r#8I{m{*#%VuW-yqYXxB0u^L zu*-TNTeQdZ;a4)Y?Lpq{m9+OX_yLAK_+O`0-Md{^_@Jb+&vPc57u+{H?puzh%lU z-)JV0ZeAsgLtSvrjXDK|rW%zMvN{onpSgcN<{@28@xeEo#kQ+0y#JWu+#b~$fF6Rs zB*RhCs3jaMWaVlrpL)nF7Kef#5TE}GJ^-c$z?JKF;GY4QW5`_ldg@M4Z_;KmBNZA< z(IT7sK@Kd_o-9{@N~T=skIoHp^Ajg!Z4TxhnLuEFKpCwkylu1yKT-e=7+|VKoIXj@Fq_m>k5?mUVkJi-(WkYD9IdHj*gg%rOhy$a&ZEl-KAr+*JRwRdh zsVn612Vl|+051)Y$zK?)&ADeQ--g-jXZzDw^W7JY$Q^Bi*y`{Xj@pF?8U|m8nzFVK zg>UWQ;h!YGiMMLUTa{F5aQezvAoG;S42DfvSWFwL2YEGrl>uB*gjUYfNvqDbZO+l zLs+FVUgaCM*|!g`1Y^I0I3Lah?cOJ!jp@f^AldAUGpSfYIbeS*8}i>G3L~4y{%K#v z3AQw@0R)9!9_?~(IW>~ZGQHfu{?=Lx5_5jzC`b&68@tNQ=0+*Qq<{mp`g@)>JUci* zz!!A__whWt-#Wrf9z$R?^o`{`Un225{N>U6DnsM|=iP`)p zo%WV!y*}vY$=^}OwOJMf0IGDP@3lW^{Xs~5 z!lX<-*u6Xug0l3iegv>l1_)dA`e;IRG%`y{d^%@s^w&=deOLvf9oUw!EyK2C@LcA^ zPXLN$-F0}Z;G_{-dxhyx2`wm~S=3fEcSj8Bg8fg$I{>a7WwKwxB#y3QUz}7k-Ri=7 zWDhyQ^&;P+fGC>HzRAsOuychcGbM>V0mXX?J&0?O%$qx5_+wCfemOqxoj$!i#UHNp z_X)IHNb)QE?U&xF2n(|oTCjCFS5QD5hq?g{{RwVub8U6hBRcZ9p=azS6$qvL(owyH zRGuOOfPGNRPt$coj-6QLc2eJ*D%1}-9|nEg8@0_t{>P*lswaqht*Te}DZX->pu!WI z>cq@4Z5@FYAstS?LqO?Yl#TlG2|b>2FiJ(It{cxRE1+nodxgvyD>wZIQfFSV(!E^n zxEVj;(IY3js>E3Ln}D=T)d!E?eTsg!q}UO4uScJsBgqV>JaAW&qb6&+D4kN>-vryj zrle4BsP@iVuj+QsB>=ORbNjy7wjZe!>6$ItO9&;Zts|(f-|iji@Qd-$=>e}fE6(## z^QW=`eILNT05)tbx;m2XBn!*Zm`u3Hi0Z}FkyNm=j4^LllkCLW+@;{UE80Wz3GBu! zW-k|WaaITt3w3gNyx*pRRD|%&H;(&|J%%@rzEv|Z&>hYY?iQK>5|=1`z1KMKW>#je z-=V|;f!p{VJmWXz8BWgnrtF;4%UJI-q9eq=M|J}>clR#4N7v+(pP!N^E1cqQm&)Bl z#Y2L}3S6jrAWZ+N71$l{JKR++Ual>Ac}dVhP^oKD8NyxD=Z*J$InTEFONEA-XA$LV zn7T&tB}Q_mTITdY5MTZU{%K%?WT}(VI#~_m!8Dk$pyQ%NfBszZf-bEtBZmOQ?=L4R z@rMjKxOKtC+lI|FxR(D%}0G zniB>RA)0Zhv=};D16{GM&l2faMvpV&r8Mx0-%Mi`yDbzAT&`SdU-2+?qE!VCq=ENL zSbUuz`;hHZbeYK_Q!?)$_3`nt&`F+PT}YtcQ(4+QeYfEiZ;St6_8 zOm#>5pe*4KG&cuo#v0&kG-@_m;4xP8-R<%)QRa)504*HRpXep~x5zLq)MW}|s++9Z zQVccmgta`>eq=^vqR|QlWf;Ms|4&+aqk+ytOepo{JOii47i@SApq1Chkt&-oKa+SNwq2l_~C*FuRC0>>2*%$ov(mU}29YN9(Do`nY zJz>YU5Oey#{iTW^On(SH*6jzM6hbD*Dt0ZXycUnyQN5~y`gX_pzTt!|sEn{C7*=z^mN?DS zc)ermu2=`SI)`bSE<8H7OjUWx+H$(X3`U|JfP)OgZ9cC@3$ZJZZ7wKJcH)9ZuCq&ZaVQ5T!QjtY@oA6 z;bF~&IQRa(guun{6?1=NUt>g*%Ja?6Jg*Efk&?{=g(*rNA7j1z+3tVUX@{iSOlvg& z8dmYPxV@DL(nBIYQThpTAajj-h63J?SrL%s<%ZPUIJGk^19th$qK1UE61}9A&06ol z=u%tUb+5mO{xY)LwY303!h(Q4s3oA-A|UJX&26=v?Hg4)l*1iihIQj;_r&u76roG+5HBUjFrp7lDg)rr8%MH>8# zDS7jTlQh6`3#>MaS%vK~nOtghk}gQy)iUcLXgmN79}qz;B6p<_O5YBm$4 z0KVShGZX}l=)oK>uR!G?JgW=%Fq1}sg`7i0$+V4ukfd%zU@uV7jzWS@H30dU0T zVPF%j7MhpgCgcGfB!c$eHwvaYTa*;DH54K$3Cyuad^S$UKk z@}tnf%D=3d*qaJ4IIZ311~E2g>0V-RLu{=muI0S2XA2*KTX|yGRlq?vq9ea$c?}Ne zSD;?oDiIfIrJ7baw--}t(o%kbbly_`*c+#*$983heO!Z<101?K#_+PD zN?fg)E`JCM^^sS$(NZf4_E)vo$q4uD5}P8~elg>u+U=MD7W|bC)5Pk|TLJNmWzPQi z2om;qk46R~s_cQ^VXba{W^$n7eE|Z8V|@1KJT1k%fyLU^9)LN&t*Ii&70Akn zX~Nxpb>s=V5p*38T z$LQIkMbJQP0Fy3;&@O$!GGsn`13k#kJBk;Ex@{|JX`5zq z?(l|z=5r*+fOT+MK-nfo@)bxBk7wAIz?sikGRp_0g*=%dXiez%UWBacz*q5(LnGBI>hR)>_5p#|Wsa|2x;a7QYkky5A$O+mX54 zwi4?RA8O*}vRtODT7ok-^DrO|GQ{dKbR2z8yvAr8${;1`nB{uGH4*ZPZAcJF_S@o8 zgM?)5J_X_2oz%Y_uLBv}r9WZNaPM3NiM@+zT=S$HsUpP0P|@gtjI2@(w)a+}2d5N* zVj87lB_Nlk%oK`W8|sV4-32Jex= zt=MxDim2dF%Qzl|QIZZo0rE5cX?q_ju!neSg*=PQYw3jwTY2>R4f)gQ#X$pK4V&fj z%C*?7`w8_Efy6jOS(3CVcIvpMj%{v*IV*v)b!u^#P3`0Z!8&(V0A6$!bKf13A`p!{ z=#U$wnt0Z1<9N`G)DaTh2M{{?mu6$23=Q-^x`>6KF2K+HkwF#@p<*{qrNBZqT=}^J zp1*j@qo47&r-Ms|2@mC(_JQ6%j*$wIVR}CDgi~&jv79?f$qb@ynYn1_XPo+<%0c=O zH3hfW)eZ)Q*`8_Y-Cg8qRQL~i;7Q&1gChqCHT~P=jz33jc`Q5&u@p$QlDuXZ1;1+f zN+PRFRoANQVBwk%D5F!Vreejn^26)y438c*wOiZI*{qzfob+#554J^ri-fH%7#i|U zOY0fo)ZDc0d5HmAH95^=CdPG1Du+;7OgiQ$&c?K48HnDvXJZ%R#8UYw>A35?X3*mR z)lD{6=x8GfCeFf#%_hwvo!i)d%t~4qTJYnZ4{#*;^f#8etg6M1_BY7{ zP3IiKtUd*&;NQSw-we{~s-kZfu^k%DNeNHfla1F?d6x9^HNM-#4g1mH^#ocw1Z9a#m70pcUbRwUmxcg&cb zDX^XA6TKQlPzyS#-L*qpg~~!|Z-sF;!a^vtMHpw3tmZtC#HdXuIO*fvv67hCjh@vTFwW5J^3^x44q0?n- z3wIA#NI5sCx8vsCf|)Y(?w+0+Pd8w|gn{?|>ihn{;wcJVv7#GIc*o=W1lLZC0Ut^P z=y$rrLMk78V_{7T^RNLBaa+Nj-cjd`Q^lrwloM@lh1_k~RGW(o^a-vAV=krjgt*Sz zRT=Y%-<0g10q;{i!8|o}RQ>k^3Eeq0%JDYjM4Da~i&&$coHnUW0X7nUKltMY1e9_| zrj@3rJAlIR&Mx30971`KI{?zQDZ!7ke{A^Qhb^g5}ko)m;MpSy$lu(YQy z&-m&YEBt1TIRH^O*->^3my`>L-9klS)9LqDxA%5->96mWs> z1R_^D{V7_Ph|f)k17f@zJ- z+*F&zM2x1)1{ysh(YC=&X%#Kh;dYrTj^Q1ZGBEl}}$u+sPxMUp!eNNOVw~=@E zZ>|gkyDOlr+=d?_%2>ES055LzmT?8c3Z5FLON96dj&$au{&p{M=O=(XU*|?4u4&Ks zP$tLJL)UCbo)H0PvU`4^83S9$X_R2zRv`vTb?s%=6@cbgG4O?HcWw9_<&!?ZrEcVs zqB+Y*xG)0syOII`asX>o>SdJRUu!LxdU_krRB9@)0(vt7F!+XH(|Z7$4FOoH%tF7( z0p-WX+&N1vc&S=dYuOi+p(P@SenX-#W#n$&X+mMYF?3tk1l_>1d%_$|3ePD`qJnO{ z{p|ORooiTgmklrB=Iob8-0Bb6a$p;FJWz5w)2`LtDfJt8{&B+gHz`^g7#6I~afJvx zC^>_x>VP$$0i#HX8uaF@)sYk zMIeCI8gBAEk#-ETb#xwBO6cKe7mgmPrke;#Vo-igU`C$Us$S)cO=eT5)S8vnqVG&` zt8#>kNnyLv6cSt171@pU%li%K4U>iJ#hEzY8h$AsS=RoSJ{UL;ZQx`hZeI=74tV-+ z^iDX~{Beizh6=~d3_|yuXdI)8Z7S{lZ|? z&451AL(v4`ZEGp#C?z&NeFfMAtI1Tn!f3P^QgWeiU=9P_EHR@-{lzXwwYnga8@t%* z#mQ(4pqcw(62`#3J_MBhLh}**nvI(D_a^&oO3{wNMjg4HO2_<<{m4%8n9!N(>)E74 z@m2~SG7QLja&I4SfITDK_mH`e_8HIdbN3dWMhblX>z2;4EDu8%#D2R5 zfH|Y~+g>mABvKcs4#Lf+{#i-xoD{iJTl8%=5WiD%nE^e@J@D~!wEym>q;%!gx+sHj zbXrUq+Z2)wUMjhyiyFQetKhctuQ(U<0r3+uk`vyL6Sx=)wl0cB#0@UVsKlK&SW<;~ znC9`{8wpmOLOl3`)noT6`s6NspUh*)G_$$;GC#gpvY5Jfv`^J;2<5W>a3LG-v(djQ zFxK4Hvvn78=%|$3zTC(_waBM;zqeVW1C2sF^OijGk_yjR_pal-5yF#mSbJg%_sr3T zLg_e#KCC@(DZkD0(JV=4^U!}XyNW&FcI5Tjk{!u**K-`_C91MEo~7qluGA>Rn3O4^ z{zmw!^!|9R{dQhg4zH_a+A-h!HizWgmOKNmF8=IIlF5|TuA1WVTimnBhBF3u_R~8g z)dVq?^cArI8*Ir5YYG#Mh9dxDB#)6-*8O2yp7-ZKCb@9cnOw0<%H_QL>`ZNjOTn~f zveiPG8Fz6W<1s&^K%g&C=^PZUc3m*DXqUz{f!?Kt{?Cvi91{~(XVHsf@SBL(`yFFz}w>S56x1Kyh)1)|p(EX08YZd^1HhhTxT8d24}tMU zB2z`+wF$Ofpv!k9l$p5~Bq&4~6d*KCp=FxQaU$E`$0FURZKz&uGrr5cDFO^~u{g;c$B`CJIh1ixf7!pE=$ zvwg}@l+HV;2f4XWb~cOThD>!GNQX2ub-U{AilJY=I4xwedi>}*Gtm0`7rv(4NSb@s z&{ED&QP%OlJ%(Fpd|h5$808*A4ZC)+ZPy#Dy~p?Na}&j!?=yV`DO);5u2;d9E$Z1f zBtgh8NHpWLHkXxEUmN2VVTf-dqE7Dic%rMuIbv;tr}uqaosa3jOQ3fo@KvxJ@F$2+ zvH@t}RgV;7O|lIRbd~#7?++yfhirovuvHvL3cgU%@3l<(Cw~pE8T!=p*2LZvkkQ{b zBu?j!OWKbfKEbAj3dBnYcLSR#4M zwjhQ5f)5)#WBoXGZl~dOVcV1}x3#W?dfP$FNl}xlp+C*m$6mx`LHUXm%b-e9pXtGap@^M3dNepV@&Q zSRb$#k^})hrlsLp5V^)l{L#}D*)0VV#mk*4SIaY(QZ}E0V;+?uZfbCPAMm-NP3JS zb}MTce@*=}<{ooSYivrq;|R`9_LybDXlMQ&stmqw+*go9#!# zs!(ex{lYV9x>2E|nMG#d$K;_MWt3XOiP@JR?IQAlp2hfwOMmsqS{Hhq9Y7PJcA2n% zA?DXMT21%3yxT56h24rHG^zjWQ=xnHr@Hr~kMKZo?gO_szi%@B-$CmgM1iH`Nw(dz zA(sVKl`MuVH{8FZT;lKotx!L;$xI`f1c*Dr4Sm~_$ANiBB>IN|ax7(mN@AlC6tej} zV7U%D8W@v^TIjVfA@eN!;E;e}v`fCocuz>cFd$sX+>|TC81c_)xiEU?J}45fk8io% zI)23w1aaTaBrFPkA3q4_|k^7q59tAi$(}S(H8#p)`C;Xh$&Kv z_Cw4T1rCToY!y5*wj!7=FN(L?Gq1>A3Y6|IqmO^S%Kr+I{nbbt^|_ab4)FeBqvW7w zwT4BLwpKIN=hSX+Rfj?)4_}Vv80a-bRRzP5wqKp3oYIFmhUxaRS@!_ab!XI(wR~gA z_fnK!_&m^q*+3V^IpJ^=s5ZVLZ2=$fi52fi`dwA~JQKcKvn|JzIryUYgw`9V7sDsc zhRenh)G)!2?w*fpx{ba@Fg2z*X3sNzJRO~G_QbPD#h$z@W8LCGjTO$=Fh2`KgpFSj zb01TYKz-ZjjyT5cqW~DQOGz3B9kI0uX04x_QPtt8mpgzHR6j>#CSaj1umgD=QXsx- zwVC!apR;`Jjnd}e^G=0($te$H5%>MKW86AcTtA93MD-%oe8*LJMs+IxyvO7gXcy5I zR+2L>Tu6DPn*x-7(o7I@pBuRQUdN;dwARlXG!%^QJk zLv-(XC;C$Amh5@p5Dln@h!xyJxQ>Vdv1DL0lA)&uKI#6otUGr)jqwhz zj!fx0KlhVAiX+uU#4eiAuxo!-r%+L06PzW3~M&V}=frU&PQecL5 zQ&3sO0QcgbbeyI;KrYWr$CZ};M<(?X(}*C#zCMMBWUeUE;Z(VmfqFT2b`b?aNL>YgL4J9YIp`v8w@PIMAraq;Z#gSGBsCB6**FdcXS4dsi{KhsuPs3hMqf<&i zSI&{PMdI?_@26k{wm+Tlzv9VR82-0R^Z$cvXJY$5k?qR=knQyh3}ADD|0*ZpJ^}~- zFW>hchWo!*>i@~H{~P80pXDSLrk_z9E{3M%femN@J z8ycJbbD_-eFQELN%GA$CQ2sY;{x6`MkB?r|-C11O+0gl)mEu1u8JRf$ji`(N*TR2Y z{VWvwf%1RW{J$gD|M##u=l>2?|JPUde}>hWSy>tW1FQcBR{syI{vTNVKd}0LVDi>b&|9=guhXL2D^;Mur%l`6F_GvlcAgYFYOxJ6H$k4VG3%O`P2lw^zc)N7c(h+1s zDi6RasZ4-Fp;HOiW!U$;!*gL*_d^mLS08YlZ&s#JrXkJp1PY?ElGgPZgx_a@#Kb+lu6p5@md%A{n?DZzM_?|e4Tde7Mc-f_4QTI5m^iW6M zi&Et`(}c2rsy0QD0mKV4MNDzIfiJ4W@cw?Jp@+{ALBX~lA4u{`Iea_pml zz-${|pCJ0D{W>mt(S}Jsi>@7ptHR4}{mo16LvUUlIE*WU?_FP5{BBRsbu|UA#(V)i zT%EH4>cTfoV>@R<_lxO*&bFlp_}={z2l3TwmDM5@)uN$vn{SG}?v?E7_3z(}VQ-93 zNMCp1A;_7p7|r_&(6%9iug4yVK!J3P+FVZtCSq}{El*)K@G zd!{Wq(jBm$(c2u2UT?uaZ z&vi9=PCjmHliuowp%-?$HuFNi8T6aF9I(Y-y!=3WP*?%q$9#4ZSmNOAHWtK?TIzsH zKw(hoojn1Q8i=y?xO%$p+jSDg3$922zw(jW2GUo}v$H&&vah&%px$w4S_ud9Rk_+C z|29^Xi$eZT>*If+H=(Kl8U_4J0x|$=eyH`+Gkb!1b4I2#Qe~mQbt;9=cMzFhi#2~~ zWRN5v={+EJ$sr#IMSaM4dV!*=5V#NXH>PsC#XGZlT zyr*t$pff^jQCbfFNr4oW4OjKueC)*ApxP$eIkfs}l#Nd6O=q@k&Xi$Dg(|L@07yb$ zo00q!JGT}JZCF&T6A0<`>a#dxi+Z}>*WUg?;v7Qi`#;qBmzofDd++=BlNnb4Jj{G! zsoWbw)cx{a7gW`rirde*M3V!gc&rXU%eC92Psu0$Hvv}oiqc6S+WUH`jF zmXWJF&YzG=KL0j624K;qcuSR?tkPHaWC*~?B&$sU6GFRL=0tzpZA-KzW-cVFA1VU$ z?)t?&1_{x=3ve{h4_O6%EFXTgZBcJz39^1hxC#LJ*zg&3JX8-1i-#_u7Fa5Lg11@)yKbEhwV0<5Mge_vMT6szhE^I{3 zzTkK5V_ajd+Zkf}EGKekXQo%AP0U)*N4>Wh;tZ>m#oh(8JC_*f<*5}g6qX%3y1K>j zNrFgfUzB|9!6_a~+c)VY;59%sf(2wbfYz(+woSVm(K39$!B5zb`VeibLvNW^Qu#cIiQxo~j zg@QR40_z7%#$$(BlA3o>%$rN1KK!jUo(kluHpvVVfk%wl{!`I(5V>`izo7%KdC1DL zd9x2jaq{BLoe$FcMxa?EPMiDjGu)db!jFAD6eu|MQy zHcmo&b0;?tOyCb#dH_X6#SqQH)|S)`xB+3vx`t=Jx%JVi$=yN@!$(p3;5O-vj>y$P zOtE7Nvw9czr2}VdpAvFG5VoZ|EOE-RKq^}fnZqvLsOw>7-bkImSC}QDT(*Gg7*f7~ zFsS#l+*yrpr`;!`{8l4d^;RNSVb2~ws?Llx(dA`WRqx+9U0HulsEilnL^|uGR6gX= z+Uug#wI;{itJ9iZ{4BY9k#stA9kr_IBFdb{9ImL(&)B>>*t% zuNNe=Z)0vMEcY+%*+PcaHyW}NM=3)+mOX3$XA5pdE*rJ0Qv3E$$|83usyxZ73Gzy3 zG}1L|J8ws8P2>THZFDv{m!uhs>ucy2H5xPu2T27;LCaLWjc;0fX=L%!X|q@?G31q5 zTw^q{ZdjDbtUI-v0t%qJkGsIIt?E=-LK^Z2f<)1xB7bwIL}7H@sIc0*R-LDwEDcc% zfpR!nh0wQYeaQ9W3#xqFX)R52WNLpLA)(3UV&{r=enD@b&dN0`fxZE(mVTr4?EC8E zT#(KnTqWv86C&p96&rNLsnG2LTiXNYoa%WBzK*jGP428qZ^hVX?5^rj5ItDtO)yc# zwes8m9SiAXN9^=bfs_GpcbGtb`tz&byYx+GB2R40 z;1RfN{wC@Cxe*3l zED*j^``|%!oLpSVZ2are-75!u(E$1+d(i~}by?UL>@p+j%H1@teh73iYO3t=;)JUZE=Nr}6 zaGY8arWxp;O415)d>e)pdFIi9s{E)?>p`j9SLP;M;3@unzu;uMFiNG9Q-FE}pqY&< znc*}j|NOqqTu|_8T?!ru+D_mX1o-2MYm1DozqG`$gKe1H*X9tu7m088FcHF7A`Q0A zCEGVB)a^IMl9T7jFx~tpf2Totutn{Ms%?UuUHQ^yAEhl%bN#|Lh0BMp(q}U3${Tpx zSePIy*ozQ}6fFx6i2!q~Q$1;I-%NcEQv&dl+$(bbsd31Ozgc#q1EeeK-(MYu=WzF26y9Evg40S zc&=DV?q`?eG#^H_--J4|c6v$pvYk*Y2vRcUByZzkj=4%sm0**yej|hBnIrP_q*T&# zoQ;B(BuYMjWW*V65YcFlFcSGCVvK)5JLJX=C|RBmd(ejPqqiJ)+B($b<=cak?6@r+ z$RIG&873$hr+;_5hRfHg@>sZW1Mo%PWLe@%lkXkRwL$=Vsv;3H#1BA>$J^-c_NZRz zQ3iBRFoMfj_y0Ma$eIBgE0Wc+cJpEuz-v2G;Bs8*kz{JQ4VQ(t_D;aHOrJVPN+>9B z_uiFx?ub2>k-rnujr?r8(d?Ck&y|PE-3R8_t@)q;orZd~FE|##np0#sVE%wK=gqCv zj7c-%^=@JixAFbGfIH`B8NFf>eUT#VqHgWx=P|C%GxrGsY4rG;oWHs+rF!K+>XBg8 zJMZ2fsWt-bTra43Z*J6{D7bVPK??h8=k;B5_0G*WcD{zXPRyp0C7xNPDifc1};fA^6mjE%H zJxO`~s2p~Sl_;M&{y0{%8ZY{gH~Of7x+=8XMY$}Cuqf~R1sW`c{M*SIp)ohes2IIB z`&pjk01GwZ$5EHXNeQsa1~g3+Z|vqDGAc!_I!v3fHn2yT_A)n-$L}No+pp)I#;#xS zOZ)`%-QXiT#{=*`k9ePIXx2tU=`s6tbMwCHJ ziVr+LB|%pFLBDfxyLWXvQ9wyaa0=^20$f9Z(d0sPRTjNYMAwIypB_(!?986Y3VmFs z72zyl-!C-i)mEpV&^bU)z$q+aE`-ht>Mk(iZy0Ba7aucs4bd9wZ`!iiNP>0$TW2)<6w^W`aJ8b#8MOL3?>pQq2e<;B+)+o zR5RivQ*8EbC7an1q)F~&>YofH&F)C zPU@DPbj-LtRaOUk`>knKI!-$1ypn~y zlpiTpJ58q!TlQk79`2U#bMAjozc)73nqV|hIhAF?J}2Xi(p6#4aH|OOUDBoHy1vwO zQe$C(OYmDlF=;_F(^UnfmHkQ-91}&ZG8;_d58k@@Oi$0dq*6TzHyl?4PQ*rR)3R?! z+LsHD6K5CvngfCrl(z;H`qaH?ML~*Gv%5d3dKJtEvJ2!I@Mz-+#!?YeSqJ(^g|t4mJxsTokcQt#a;B)(wphL zL+CC`=%92$3r>Lw+c5hGi@RWv9LGVeuu}4D6iFjJqFC#L)e(2(_}O&vw81Vbv_A}8 zCxN9Z37~ahaz6p!l;51Yh%md&?7<}!`BYIb+J}ugAEBf{%*c0*$V-`B?xR`VLCmY<3QHtmOFZUV_s8U&d3W{r zEO!L}FTcdKk590i>4b(1pdCX~*Fn(m6~r6WN&8s5n+Z~)%t4)GNLwW@`s4x(K&J?WRTrq699KAa zlC~I7g`yii_h|cEuo-(z30bS|YE0VUQr5;| zb8<8}R!eTxg-Id=TDHs*QT7Ld*d1Nk+B)Pt4CGSOGSZo_kg*nS`yzlW1-Dp%XaAio zLNv{r6PuwxSdNp$ilu~#bu9KMiM|%z`q^%#@OdJDenie>B&bt-9kzQlkUje)8g1bI z*Xi^3)eR`BI86_D(#~ETg#jl7IR|1OtER?>!HpWaPDIt?-5HP*B%7!DE{L223eq39 zJ@qGtxN~t$Iz?U{D?0s*-6FGA{`g%)9{aYcag?7SrLtawVsO)D4T-(ZE0VaZDw2M} zdD~InJvaSG?NEw<<08$oxo4Ou9`Z#~ihU$x&Y3duc41SoGN%B<59o~dz-9o52?Cw3 zFoE?UEX|B0QX6KNVWi6d=kjyq*}14KW6pyP=&~Hg_VC4qwg*S>PS^bb23#h6>2rdz z7q5pL&P-uu2_^FZ2Gp8C`=SzPA5EY0eSuFA z)rEMI#p{{*2LusHX7;Y#iY6U6G4Bgs^MiFNCaMNX*0pXZE8`B|jDL0w5IgN@)oSYp zZ4u;0#0y$pVIX&e!L%t`@DyiRG~$tH$y9;$Ri$-fO5?7bG2w8c*t?_2L*+20TkFx` z=wtN%xF83LLE}|2;sG6;A zK@rkWAvbV>(CAS~dKX!wcM6bzDELQ1}?I%9LksvVu3JIiaSWm2B5Z? z2fkGU>$b+^=`Iq`EiC9e=>e*w0*s>KGZqE)gDbCHUG2~H07?cfU32wM9wB9yxS^!4 zbP0Fg6N^9CC%tQ>%Z8W6HH-%%#o7B}36n|Fa;8>98dXaWLN;*wt6I!b!5|a@+Jg0x zq_p*Qr?`yY7YOKKU(t1iSFEsK{~=V^lm`5FtPMgB!EQ*3X1JjlOYx_CX|*w!&FxV} zpm!|4qS4Ub-7eS=!I956UC<0*1U#|bi*vA+R^aC}*84&Nk`t8QB+d}wAmOn#VFoek zoST4`paUJ3fb1N%=_Un-V6@vrINkq)ymx*Q-Fwh=+qP}ncK7byZQHhO+um*4wr$(C z?Xy4gojdp5Idf+IfK!#K{FbaFwN{d<=Y5|Si54*x{*Ly4)=K-pAkB}gUZ`vKRkn|2 z;m!<=sFF3{!*mfF{%zOVXnz(Fw1W%apoqfzGz{>?w(ct*rw*HsS?o{#NR=C8Ay#*(h0{)ZZR)q+>>`mCf=v>w89)2uFkMeNYh{&R8|LWVp-{ZX<-Xka9P z24>YnBv6mwFh1a0FtAtT#$(3)8B8e1Si10^qh?V@bf#^-;=S;(^a&%Hmmz8>r44hM zz2@vRU<;(ZvdI8u?8=-vKuGCSlu{EcRTGQo2;FOD{^zx4+~;2ks4#N|^o&2j=qYj` z;bdwe_0eEjGqsbR2qIo?NGboeaL$wl0_3NdSoNsJj7ex0y#dLUJ3=4&NTOZ&PE+f)gVw=DbqaI}Krh8}V>v%`FH!;+}Om^70q`|4% z6+3~QY!9Zo3-wGTlrFDeJeQ_A?jxPan^@OwlQ1<%8c(C1B0YCD<9{cXaV93>lHxlE zB_Ng*#|6Gxew1(mY+)gDJejdY?x2u@x1}M)Bz{K|?Y*jPk}vZk;DR~EEBDz%YLZ07 zO0EbX&ym8x1pH{L@5*T8D?9mhV|Gc3y>jLFXU>o5ps>K+^Umobk>1KXFD}&qeNU?H zebm_cg#bTC95W9%IeR2jrA#tbwF^6DNhK7>5*^a8g-aOCjYSpfgn$u| z#Gqx{gL(r|*GUqfip_(`mkbkxLL}G-HZ(dzzv`<7S!tEJ^lmITqC2t31bnnm;` zlI=hKYw1{Oe}bs+6f_mH2lW+ULL?^ZKR>=~yc0E=FV%IzN@gvczXyNl<^&yo@Hoh|SLN0h8?GQrp$AN=clwLxGrY)n!Xcu`!~I_gm7SOwL7n ziG4x!TwcdH>YGDye-KC>Q_ry;x5P-qk)`*bfi4#KxjrH6s}I?c@mj(?Uba^#3wP&A z9!e4udXS|k(~Cwkn`4GweQ&c5w_O={b+op*_!9sK(zHk_Ao3Yn^oqqH8+wV`dD+_H zlhkW&(x)s(ImP8~@WRR)RNvpg@sR~IR+?0DS< zq7PFMA~`BVehB~ezC}5VP1fC$0L{NuO?-YwWX_k_FvO*|Z;;*kt4GZ% zH2I?nRJZ7TyjnyvRKldcQ!<=G)`>6Biv%7r31}fMFG%~hoW(LS)x_eQL~xM@+DchE z5sTWf7u?9l{WMHQIeevjq1Z>CLTb~v&Wv|hzoDQ*rN0-w81j0A{d?$6@0XX3`VZ{Nxuo1Oc`BO}@Au(Bj|$zm@S~BsfEY?CtjOJ#d7ioG z?hutWySw~%qr(2f3`)#S#D}9jT0T|9!|sXuPgZu(m@2s03`tSfP#qOzQSKY3{MFBu z;Mvzf#2*8Jf)`D$ezzSYsVz@04a9rrJ>;MsD2x?^0G1>g1L$!O?Gr8Fg$06t)hQ6Ica=n0lxn<+=j6tLRe z8uE|LT8sB$7AfnW4xTOEtJgNo;=@fduM0Uf>F39YD8jC=t&3UA%1>?$*mbg@?<<6H zE9f_Deu85Sf2Vo$Tuw?eU7KN=(XXH7Pr%vCk`nD)ZP}V`E0^#hvO1-@qV0Cdw5hpiUE%Oxo zaiM!~)+)nlWHq&`lmZf;@jtT|1$q640$-C-VjEDytT^jn}$PBU(5rBS!2Rs#2fNF548G1wJYuA9w*^-W+ zdw9Q7Hj6_m(=;973Gj}|e39v}Mal|gxvc$0QGaQyA4-@$-WCF$WR7!P4xGzek~Yvi z6|LVGp9|dg%113*KSr;os2azr{Q=1!l&*rzX4=sh)|s(|=OKsx^5%w({iCo;8|1YL z6f_r(2=GxUJc2u~qS(K^SxEH^3>Ro1a6alr={{~XrxW-Y9iP(lv@lodcj}60A+kRe*e2*jx|0`MFnUNl{?oD|e7CO;`>hL=(-=I$U8Z?TzLcMi*%B zY&|Q5qR&~yC_k9bI59FUti9Ow@Yy#^fi-}@z+PvfIk>%hYRsqFO#NG5Y(uu*6bl1A zfh!lI-KQbV&H9Kz^I-n&zU(tujL*%Mt%v{%!k2ek-on3hx>D13?9BL}tZ!?&>*MG@L(hu_JnZ*|z~|pyeIY1g zwkEF-8Qh*0PBw1p+?2580re1=30`j{aQ8P1pAN=%F+G7mr!DMoeJ5BX+rJUb5R#;3dX+aA_vG;@r5VO&bfM>4Vs zWu5|ie^;^`)IB8%xdxXFTKw5IwWB@btNU!THzGqkd7wMe2r@v`*XyJ?kjv+}JkcblitL<|Rl%?+S$mt0aom z>3hH1p9Kc^*(eSC`r<^nMIg;Z8sHt3%Os6EKI4qhF@T8ksR{ZxsbR}j32rLJh_dG3 zZ;b!f`fPfEZkj~%8-@{NyM&OoMfP`UMHJ$I-W&p8jXT|CW{C&d*ea|^8 zGPS!S4r+xI1o%ljyxNP=C}!dZ*`bobG77d@gcS=Ie{Ea)Xw1q3{@po`ag5%(10s$L z8$cEEvqezf0MdnOKlwrLHlugC(i%#C9nXh|vSW;JLz7Y9pEVR(QaCW|#Ntz-tk$m$ zImqBrqW94JJLTDkF#<)M8c&1g&f^`gMX7px0Nz(LeYh9wyr}Mb7733&V~;)oteb-s zI5cXG*(GQopO7c#Fz!Tg4Z$~FX_O!X3n-%`gLM!;1vU>aMfiFTQ}~dmEY2hcBmZeN z4h1u0K~EfPt2N-r31clyZT}`dhS2d@9K^jy&W)NFfkuSHjua2Z@HPW$-!_3xUwJMG zfFiOFK@(|FJwlv-*lH4mmi#!V!%C)Nf)k2^jhWiXVmq?c59qhGwzyU+qu`=B|C3T1 zU_C1B!Ll|1YzEP`6Yq6=+qD)EoJ7Z2V)PbzN7jd#KM&0;#SFvmoQq@;op{^HY*|&U zT?oJ|S2*$&7_Ly-+~Hf@J-D+{UNdpbC#xd64+>3ypOT0hcSx|e0^G#+gx)WJWc4i= zCAv~YX`_6iG7)-P3lbp->OyUjnDPmj2JVOfV8_rmJ zs*AUkoMOT#>~aqIooj*yPfn`-WIB{$UUl24Uj6VfWWVKnyzgMu44mr#;ncLfP()j` zSw(PO&KlJ!`QdzE;~OTlSQnzf%3E<$OD#~V3I^*YmezMiJ>U74D+*hziJ^XyIV1dr zEGXb8@qhxHL6)G9y~YlYbWp7O{+Ig&j%bs^a4iLKTMRdWZo(?VewnF4)u1~-aw znCFgS_~s)*{PwXX16-Tjdj$iW^3X3TADKpj-~wDRlYV|1@R~#8jfx#2--BQ#O%Cg< z>X<%7s6WxkBIgJ}MX65gSSg|?`mr<%w~N?>U_USflLAHK~X3!l&Q5EmpOFZ~7%Dh01{r>L)J`A=;8Y)G_$J zX36$mP>8!tIB!P#tz*bd&4Z~u1EMvt7@^1wv`Ujb!sbdl*G%_LQg#XjC-Xwc_3fc;?w>95#t-YmO2H4wrPHjJmp&u@1u zD6B0CtIV}tGMKQD3h6YwK`$PIT zXh9t_(yduw#i&asU}wpe;Wp%9Ix>E&GFBaUvmiw7DYFnU|+E{?uOw0PG!%l zNuE|JQRK*#1^nJz&h#^}7j*sOx+!pMQXF>rYudYnk*Y~UT`%f%nwrP0KKIU%G(y@{ zrx96b!HV|r6%?6~>ar-ZbXu7u#%~13>U`q{YfuS$oite@L=&d+BpJrS>e$c^kh$ML zHIB+O*Eoyb_9{4jl@fzNQB=4V;Sd3MKM(XfcRf;2wIijnJqPB7$vE(2!FG@Nj8)7C zBNCi)Qc(8i!DmqlvYB$@Vzlu>0G@Zy64sTha^CsF`GVFs>pDg=6mW}>L;NHPfZxQu z0O0xhZvSO2?Vo_x|2a1GpYm`lO#f3JPW4}TI2Mq3K`4O`z$kqX!#}+k#S9^g|MI*z zjzd5Em*e;+cJ{yJjV@+kcyn z`{&mEpC7c8v5l#d836|;<9~9wNXKH2Aa*^dKF0G**7ooN3HqtH;9#c^i@~4>%<4(W$1Vv*9m8=X;{WPS!dTzEclYdrwf3Xnz^Ttn_@o3;n9Oakcnr**dD& z%F?hsJUctesK}W3TDpq%c)i_^9I?22AaavG43rl&RFZiaLU(S_9T}Lmn+V%0w zO5}7<|H@isU2h86on=R9eW-={axh9;%76>wbW|jqOU!w>$G0_l4f@W(4UA-7qk8(5 zURhqzakevG)UrGFQ<-xb^bT#i!5djYG!zaITZDHXWd@V#A1zTvGh=6@xj*_TV9h(y z!{0)TqM*zK9Ndu3X|&)%Wd-)*gNU)yC^&;$_QH=;5kQJKvg8WK_EppSgozh)ni8zz zm_%Xh5eArdz8$3sycN-Ja+Riy)2e4zZ+w)2W?lR--P;{Z-kz>AVwA6QVxl__@$u|f zAnMh?d?4TnM|kO{%3s@b5m8qo_Rd?{aJf$s0su)doWp-zF59lvLtxzHr?qz3Lv2!= zs#bh;7`D`p5LLroBgSaN{3xe=`gEg2ZtVMtgB@`eQWy4@W~2jkQbfR85g4T_dujLw zW$lmv(<);~;w2ZQkSrv;)FM&XfRXlidMenE@p6EXC4>f~vxu(RWr4^Z`HNOjcWRGF zr}eu6H0{SPbrjH*dhG^JaNrH=3)d__<-iILo)!BCbILsz`ySU(sjlD+`x`xZt>tqbM@90D&k05w528u zP|BO|ROlb}KEmEd{We$)7M-BrL-jB1eJ*6gV=#hy#e~Tigx6tnyv)2T7^Lj1l6(~* zCbslP3+F?fVJh0`cT|B33=DXutOIZm4~-a*-b@!s;0oB$lBTJ)?l1+=mO>7sXZCkF z4Gh`OP2>i|qM<87*vb8HHDCY~`|YL;4ygwbj(COoSL6}{Tnq@C)1VRrw@nj(m7XZX zk(N~*EvN+$J{NYNWOB?LPXOO=xq{4)khWe8N2qjzb&np2oJ>wk>?`n-S`UIrjhS#rAkFY37M2beaYEE+t!&P3oUFZsnQO ziUUDQ8nC?Ld`1?C`%ob1os$~8KFy&w^VmuIGTE(t znYW2e0*jsP8_9ccaebM``6;RvF$PKda!zfRvweNGPKias^bVQJEZQq8-lau@V$9J; z(WFdj@bFTqrUzY%FQfq*4u0SCKiKMD-C8#7K zVab>I{08o@@EFI#`Fy<4H0G?3+2qu>Qu>T>Z;^Km234%5|>zc zvd}NWl$5zi{V}ck;+g_2n+q6aXv~8V4*LDH4x;1T6HXv+sdSt2v20IU=7lcWM$T}Z zwwATKZ56~z2h5RjS&}(5uML}^yb2k}FD}VRk&4CsWt|`wcos_OemhtrN2al>0P(eC z7s|@?BbCxC0jtSlt}zE2Fx@6(X>0hx0!G!6Ib^bP%7PUZbd2lv4mF1tp=`>z-XNvJA_cm}Zpo1+bu@zJ z-D3KDbNe-$N2lccz%I_}I7!>a7)ZzF#RIL$R&JOJ^Hd|p>3tdlFKH&BCci9)2?@?Z z7+LIr0LL5N-l>BC$F)>{c;f9yP~r(vOb*0IrXWWdq6`)!`4lM*naGpT7&CA9I%5jl z#labG^D!aTqaXsb#m(LVL+Y?DVxq#m;4g7CLKq;7iRJ(rG>&@t*c|;1kLTBp zV0nI_Pf^-s-M%Dt{Aqu}mI|10o^@J`yB?zw8yRmlwGU44J~PMcxmGvU0@{d?x;dGR zI(j?Ol)s&@c}IeJHIfyZB#X~Xv4-fDqGEOZbu(mk-P1uVG5LVzyirobyAy!s1P!ZH z#Nw53_ZT69N@*;em7t?*{=1=0wQHa1aWL0k$o^$D=?3Q?Lqo;Ih`<$~^CrR#>^11S z;@Nc+PSBKvd=*nq24yN4_~^ewF~qqtR3p(R$f?bA`84(}bg6f^o1ECHb!@uTX$p?- z+rt6Gn$}cG1J^(Ey>jkLk8>(!$(ufFHQVsYuT_R0b!eAVsm(s!nt(1RRH>&}x#z0O zq*QtP*m<9{b#2ge`P?f9yTx2TDppcAx;$?6zRY@hHoLdF6zG@HTRy(7nmpRNTU);h zb==(0u7X&*`kp9z=gh1#FKFX@+I;@w1;4Vf@pUaz#eV*@?_ z()XR|>kK4%Za>2XA}q5Xn&<{N`E0NDXz=C~tfLRv1!%jb9JUm$vXRlJJfRoUWg%9h zLC4zl6nMU&OpbW>u9S23gdq5rWxSS&R-2!~z843)&opHB z!yR$9*c5dR)ySCXIo};X*nCmnX<=q5I&RA30B-(g6<_ha1P@|p-%}I#QL|mhiHI3z!niUzIj)kq9ZbS*&bHAoV?%F zdTR7st>4Z~W<*&OY5XI6#$^5u@q)3_Rw|fbBAM3seWy}YT@jlb?VI}R_fETu#<_G> zxjVr`VdYDa-k+ z&4stS@JHllb?x`AM<8ezd8989>T-avbX=sF7_aBczQx@{YUVALG>t_`9r=`r92RS9iwkNei*8}0;N%(e3la}NFvXddM zfg)-zdZk7M?YUcN7&h%b8P$GySpkUm+M7^dVJ`*Y`p+-p+us9SsNg|lQ4JKEJA$fw z>b58O?o7S(hx{;x3j<-1^|g+wd-s494{+N=dRI}TxpQ?OKW>oU1VUGV0ydI=j;93Z zLGYjx%Zmb(*puZ5M1wA84VNy1#ixL(ElivSa`h-$;upw-gokw6!U+Lip(_bppiFEx zegVpc4_#2!t-=nHCCC=FE};M)_@x5Ndt&NZ14B;qQV-?@DG2}ajmnsUCsJ3n<_;|} zhumnpu!9DcCPV+!N&+rjeFQJG`8XM>^}^0Plit{KKkq_s>yw5XF!Rp9=#gbPfa+Y{ zaIckY@0M&&7zQR*U3oAec34(iu9kPTBq=~ND^DD%biB}msmq0Eg8%g5IwpNJ#3Xw0 zY$iBr_5g8MejLSgQ$@T4!R4zjkDgWUiomt#oI$-E@sM-YVa*X((p(JW<8y~yoWo*( zmC`}aYmaPl^Jo`|@Q+o@hmu$3n~L5pj-2=rw3`6ftS*@A-(9!q#@vvw)xdDrHq_L2 z9T_dx;hPOE;zo@t36nqr*0Pp|v)>wd)`2P$=(c&Uxq#Rve?>y5i6}mKJ_UmT*5Lqb zFLM5E%GP_)Qcer(Cb{dg1)y7_F4OxCCw4wOpQXoO(IEDUdaq`(w3Qiw_I62m-xg6? zlQ(iY)HHj<>VV7P>L1Iz?^Y4E!8>)bE$Bvp5}QtBof9F1uC~k(N^B&hV#eAEcOj)B zT$?>28Micwqy%*rigiwtENvud9x^_<7-!s@J0w3Qgwbfz56vqEcDlI=i9<8QVDQur zooI6^Wl%O**hv0Op&k#&`wU89!X6xkUhIALyW}^mdWPLE*#tyBPM4u|*lIWZdDP0i z?PR#)Z4|0+63jC*q33LhBFXk#oAb0ra^pYUh%>Oflmk?8V#+rW^CQyLugv1Ak4uEr zQDOGGk^JM`qtM1lgh!QKvWUqs8S#IDnG{-+V>f6i0LRAYA%FOO+9Sxo--;39P_L67{=^9!9PnPND5r?vYJ?$TVyhrNQvD( zEt?ozu{!}N_KJVnffyr-<|1cKNh8cAb%i+Kn;7xuB)7ih5F!K9*(DLR$2K4AcC{<3 zkwHm@;b%x9^#n0mno)o*M-VW|E{UUfM*>)3_%V@D9zqT`k_MW1pch%B3jnt@tWD2& zsXX=2Dj3;lu6Xe0nykaBMX70F@~E1{sdA+AdPlBmMt(9A*dp#o=%8TzLg(FxfK<58 z_HEc3^1~bQBJ)=M4W)gxCMCt6z@DsV_s6atrsm!MvL+pZuy=EpU`~+FkDOKsfvgb8 zRHMAEu;|=|o;A;H5p&7TchQ>BHMtnOR0tZi)!koiinXaPeQ2Ip(3D?+vgyxUNm956 ztkbUAql=!rI6N=?u;=-mJxAa|A-thO`r-jE>9Si14~lNlowB@Fu~}_(Kfuji^q(u7 z0ceJeH@cfXV;O1y{t(-~k+*qlI?MA1S|MAczX2KX4jW@RHZ6oRHtcK25Vs*~M70bx zdxO~JIksh|k*8xM?&~{=4BCl#(v(aWf2)L~zB#JBWVFL$*h8|7x1^aclxuG(tICp9 zKY4jA=;-P106rT=Wf0d>7_1R~(g>S89M6<{0o@|>kUSF42NS9x@gH+^ z@KHvaUQ~bDfZ_$^UFs(ZBmz+!niexI;X{LbXa)f}nly|`*#?Xapm^W4z1x%rudA7T zf4tIYS(=YzMFJVgY$1VzNDGM0+1ZY(NA)Ds7+hbW=ADnj>4QN97fa8?Tsno)KP4r; znGjS@Db9Xn*>%#&KM3hKD3AyZTQYIdN2bhp`RgzUwANfZ=5K`vb^Nt(yby=7dNk!C zlUPFTqVd;oV3bN?FOnu7q-JTi21Mu_r#RTPrmDJ~@z*>hpg8W?3!JW5pNEMgqk|;2 z(zbExR&yMn9tl)LC+fyPgEfRE+g-_4qRCV11(BzVOXt$lRY#VR{1b&|&B zVj%%c_B|t`f|D@bR-p}uu^2tjHt)N$>jea62He00g9K}upFac?q2EyEne3Mzq;h)C z{7kr>-u|7Uuk65mHRksQVU?OWH@^h9BC0U}i8Z}JwtO`l5o^SFeNW~k+4;^Y8q?#m zbnr3nk|Ss^f{arDg@u@LH4T5MKP<6YR=oMAo=GiYET%4rXAXtzM)=x{Ln%yZC>UJa zJsTNx3~or1FW$e>K*R6y00UevxDud8`!i+?v5k0sFL&_-yJD&mii*e&E&GoNE zv#jKHF62ei7L97+`MA5``UrsRcCrO=APMJDutLy0+dh-Mt;|`X-)4+6V~ug9Hcb@; z=1~%pRQ2TmtfJpJuqC=%ELyx0TOOntw`MhDoLI#&UYD1M12#Zd1E7+&3C>sdh-Zdy zklo=HdAgT(_}Nf~o2R3Dx2q)|cjZw4x5mbC1lk`iOs#u}?Rzp!@mWn{C;_~U_GGwe zj({Ioj?Sj`s7`VPQ;Lmh!OB~vYD~9oVQ=CH)or@zv~=l?J#5OsY_-T!VFLdM70CEk z*LY}8Lpyi%{)N7M@&gfFwfdr-Nn=c^5i(X0F*I)5vVO*>9uepLpoE*jZ3CVL%%)#~ z%b`e=i4w>Kb$wczKF7m4tj17B_rr<|zadg&$GU<2NQR+Qozrl4p?vPZJ$3BnOJZb8 zwpyn>y`efHIMCH-`a?230(^sw3iFp3LY5MvEaVP(T>0v9l#k1dv2YNeCo z1xyZszUiIlyMgMoH7WXCFt!%6GCO@SOnz;%1LAj0`E35#W@iQyWQ_m^ohohFnXN|0 z9$7cVm$a8$%HC4D4Y++eL|&y0mq3WE6V1&p8AEs!neUjNdNZI)N<}JZvm>cmimY(T zBAv`xDtCi5rED_P3XY;b4WsqpG%{yT`$0Nmb-CQ}2Lg5Bie(NLz%jA43Ujxj$28h~zufua1l8}JWsXH$1$#?wJ;*j3l%F7w~0@R99iAvk$* zF>-D(dXEZK*gdY3w>b1xMT#e!mCQKLAG@NVFv%)!ADLkCE`FNuK72izCy%+KI(L9t z%csx0Fk+kQcD~CP*n5@5;ZBaUp*&Z>ap*f4fQXxt76pvKQ|6a< zx=)7KIS1mQ1{mw>Xzbl|cz8a)-YC7Mk{*EfU#Q(-b?uyWvgK<#e^CVOeD4E9c#3zw zRct))R4>)FddC6J+?tdL!Aq0TB`Ooc%HOeLWg_8zU$T&qx;ut!tNa*06t z0OcoDzRM?gBy2fv(_m?ZDB*3^h8?DxO3~;SY1fwo!tvI9$XLod^x zyDf26+F0Y3!pbW_{L}Q{s^oqA#~1HEr2i^1_?rt=4L#fG>GN_2OjQqGa2EZnaC^sx-or`k=m1i2(>=~ z7#zMDcNbL?qe&6rp$bzoEpkkGgKpl;NmQZ!g@Srx%aiL900{%y*%1x>Dq?E)_$~*U zDko?pbC406>(~%fu~9BIMX4~mTwD@E`dIu1&DNEn^2;McN>FN7D`xzi_xZ5HwS`FV zW+oN#CpzMzl_6jzShlF6y&?=&Y0!$MSxiN%ZW6!tXseJX zjFTi%T^RO^7X)RX0xnruOI_HGriYJ&)JKY;kNMCv7KNi9!Z05w5@@Zw)>n24LDh}n zk*I{!rTGZDd26L7@nR?HBy&OT-~^~aZsjRm;{~XvOSrhDq(b&qk?^VK2ek(q!bj}$ zn%BU0tRps(6NE#vfBUb81&S^J@Ut5sDWXVNq%(Q#||op4W)@Q6C`eCNpE{ zb^*uJA#QJZR8bU&$bNEEl_v>zP?cf*2@S*-7=v~E9#P5sy{c}vZ*a2@*ywe5> z7+J?ArdEtC_9hF6^?MEfW_t`_r+XQiU;!RZ6==fv*VytWI~tn0LmHf+{hmWTkrtdxnC`|~kCQw1%_8aQtq<%7F#Y9Ql$_Nihln}Ym&WeJg;GSkR zj-ZSR7PH5|J!FACWH)0IxXvz#Q(;p0yt8^c-~#7`QMUwxn(OZbuFPv9e+Mf``6ytga<@l~cq8E3Ji z)=~K1c&K;w-vM{-yh=mA(76I5TPv8+5-(uj+=Wg58B5NIkzPs>GQo9w$vR%!<`yV6 z)D{7-qbfTM+X}3WQL}U4M}A@-dsCX@xx&`6NiNpp+4;^%B&=^oS!qxpVu)SwnOaGV za(wPtS6L*88*FIA@83DxY_-G)&b*Wk)ry>Bi-?)GXnWv+X*btXwre0b1ycw$p z9~Nv5v8&QCw_J9yJ3XN{PfnT5hXs4pl%K7!uV#f!=@c5Wv{EmLAq2#0k3 zurnl&TmY8b0W92~mvrn^-o3QknqrLN&!B63tAjnx-1+e#DMRS1-Q9Xh)DDuyK@M)a z0X(^7)CU}7IAJm*^Xp68@^r;0dK9J(&Az!FHj;79wHli8TybS$Ry6>X2dKbhWX?C6 z4fm9D_}~>dfVxGfbe3&mI|?0MDJS(3W~}Zd-aJtbAf^}I&H3`xtWLh_g)JFc+sud#&Y9>HumAn*4lT3ATScYS~1Ss5N3$o%pP#H zK{&_^!Oxj-Y9(iH<|i=w=`YY~)=G4A-~>%{Pc#)QqtEr!YU>K$&t0VL+ES;XpU=kZAK02J%y2a(AgVv; z?J7L-;YobG&6eBgOpR#|1rhzd3o`;C?&n23MRYG>sQpGFvy;QhAD%k*llj?llbdsU z0=)J|5xa!jfyj4XmrnumEQ~W@M$O1cB+viIb7z*Y@B=)2=jz_XjN6i0x=iA61Wcgy znOfJA?lAk0hP4~HpAW6v{vv`rfTNv2aG1e%l?}?&05-I?h#mIxQX$+8O(9%?)lv}z z^7fxd^uFbG*%AZJ39VR&Ikt1hdW3=$P&oF#NRd1g0-AF@mH6^YyA)Q2cH`63kQ}Dt zCthttv)}}$bHSf{6=qN;*LD5J2bXjy=HyaZymO@u;nitN$kk&CJ=YW5NMhDX*f^T zG+x5uR5N>3d7`xuV}hfsU~aLEgOUT9F8bZQW2q8VF3ELvD=L^t4U&$|e@mpB*SSCbq=r8c1VofV@4K8#8RPkKsApZWjw7%J^*t*e_jTo+59 z#1BAazi3?TKD_3_1H9jL{+Y#2?OCx1oTDCjfT+Mt!c)DV{NuwRzxSwupOV{+2k@jH zLiU5ksqO2XS*?s;5<8H(=TrqPvtu(2CxFSHu$YmM}Zzl@0Mo*uo1e`n9Y_)#LFxj_jr z$PN5f(t5#uZdL*ezm3((AGnvUNC>cvS;|j*RIWC?dejlX_8D_V3T82LgJ`O-qt6ig&ET zX&p^@9{FpT66}6o-gk;YDf=lPv*J1pw*PdIhMj7!^c`OjLT0i=-h@G{L>OF?E-B8W z7>dvrj0a8gqM$ntIPEh~XUuDYIGjf3YeAQ3M8 z_GQWb^LU0^lpoOGzISIx-~tEz+PMte{9b_K%Hs?K(D{Y`cY1didz2`Vz7wPKm%PZ0 zX?w796}ejSUZOqPh+*}>demH_c${Rxdcb2_K2B?DKI%AAJx+7~-M^d1aisXNCEPFt?~NU+5vM^y`xl5!II)HrOnsEuEG42v|-hEC@SsQO_v>-XO(DH;cYDwZS`M{vFgxOtvY!n zp0)SNPdTN36Iq@{+Lyr||3ESTI1U#@{g>ns+kXqj{3im6nel%hpz7rQML;qAZ3O>I zKq3AMdlFFq<@hg8{!at=|AsyNtEv0{gFXE_2lanhEjSZ1>%T5sNr~m3YV!XeqF4!- zSlRxqJN>^0qW=5B@PCf|KLSyVj0_y?|Np{pbz5vsB%hVP_RYsx+HG(IklL(CP@_G6 z)>Y5|9y6n%X9B)umAJ{CocHq4<-OH#B&&{!B`(VU2BiZI}aP9+W|!` zy?SwX}fO7qG16!j3Zkme~b zT&5R;rKg*&zcHAdSTBaI8%uq%oJ9=Dh+?A(5YZZa1t_u^PcpQ@Sj~=~4^}c-qd+a+ zkS)9ev5Ee&KsdNei8pV)WcXG3mxTiS%R+I5Ia`ICDjNY7b7aP}MIC5ltqGA$P-#X` z9Kj$I;;J(!zW1a`5NMJwC}Mo0OW5D#!; zIn>hx`2d7+M6$}wQM*@@1)G0yOr|UugKN}afCS7F4m0Q_6n(`ktbvr^}1H)a#4E^z&f z3OyZ1uv6iHCdoo82V}ALNQ%T@2S7XE=&fYCBoYLO45c$zn;W}nCmOW(08(|1vd?+C zJmt|3rPbK?%sGNoxv?i258_?k80P$4fPbVpBP&$TAbc^V@FxtAg4!qT?G4=h1E`r<2BfLjg6@#XNI**shfDek_SLUdOl zPFBKrlo)Fi0mM%4&7rtmhui`aD!w2A)%f#o!@qS<1dnM;I^b}^jhd;a z=&*{5KvOtY<0-#>>n0x2;D^9Tl;Pt*5fnQq+1ElyB0Fk{on|EV_HVX(fXoglvAr@O znTdJJQNwYy0q0UiI#L#r7k$W9G60aL-fBQ_GcZEpU7;E;({UnTk(u3QHvu^rP4$<` zJ1PK>=PxK@t%3~pVV`j%q}{=h#D&T~AA#H&1vMih1*okM_~vm4x-m)J`Jc1H%m4gx zbTB5u&QhP5LSoE(X56|jrVt!<2qNM8Z5-uAqB01BdoeNwB@M>~X+@N6)M^~h1&fBKK>!?6k|y!Ji%2(?40cA)m*-MYmNx}NQ3EIt zlToR}T0-oYHtzNUq@8=FB9Uv}gmB^y0IzJ*)@I4YNxi6gm?eZnth9^UNN~r2S?K{? zPJ##+ia|~YU{Cb@N>ED+Mzk^`&loqw1~#b=W0qWG8L0`T4xHS@IGTIP7;OYJNe~C1 z7DNcF0J%S6ehtJhl@x!rxbH%MMaHZ!Ab=igt2f6rYM{bfxsgjqhJ%cKRIrzsH|YrmX3R*;HZ{jA#(Ks}K5O=G^bN(|d#>vdk^Xk&fbx%+wA zK{}qXP=iiM&!7x38sm8M*Y=~(o5SBQ&eYf+zF~X3*i~aTaQqf~ z@E3+^*?hvMX;ZZ8x9Pj<*=vVu-EFd-+XEGgJ5NA|D!|4QG|-~Qn{=2XYD}F zZu0MD4@!Q6isLELw-?3GZ+5G~sF6R;HEzZR3p&DmlY@$56_!X!7!utt7VVWs`pLqt zx(ShoD%(U^)nME-H=vD#R-7r^PV^gZg~yNX<=HJ-p1oJ0BTdu-$H~1<8Xj3Dayb<_ z1`{c!ESwC!ku>v@X798<(QY#ylH4ZkhCGvpZSU2(pHYk?QwdoH3rPkm!wvz#U@SpQ zBf(-sj;k^@^hGUP*kTrY0PFY7o+7s7fa<+C-CI%wl4QcIj5?=8n>#tZ;$@f{VyWkG2U3Q6f4Gj z)|{*?C!?a-It6oL_Vpal(j3!9QC64DTSVWaEF6W2_a1Y%r4{`B{m-nj|PPrk7M5oy`@oUV+W z2=+t7sNh=E`>#dDB9AAs8!0T(6ve$(p|vKKXV846$+HiJ6*abH{mSjmjK4n_i^BWL zc-bm1Z*+JxxS24NLm(ZQwOxs`dUj!W`?#5I_#unO#`Yt%GTQUO(zjuiT5aV_>cQ97 zvuAbVPsP8z-^nHvxeR3Nj$ctmXBXGlSF{9Q2i|w@t}^+4EL(mKe0-RGlpR9*a_Q-A zZqTr?J-MAeoGq|55%igX@IICb=mZ&E5=w3Y_d#A$Gi&;qAlQk!%|EKv2mYFGNa^=h zs9AC4KR?nKS-A3_HKj?Y37b9J`~D~*M}^$>c!6QUexl#o^j@F3!&x8MU2{EZgd@vV z@W|1v9zr_huegwDJZApwv$qZit2%VQ%r^Jb7=wGXm(RU$wv(iqSl_$`lch`hQoHJRKTHme(8ETpxs^UE#U*ob+B=k zlMQUnYp2L{`nHX5cT9gna$ApvlSJr04mz7!S{Z3f{stJqAg>T^$VEiQuV+kbF+tdF z18^mm{OJL1_G{<+{L`wsKKTf&QJtanZ1fO5ZNsWyfI=AvA3HcDM2BnfO}kVgz1InL>E6D-#qm4> zMdQ0-XFS}>%>lKi2Xa-J1mJ}H5cO=PY_QGlH+z6$1xJkQp{Z$ooHpEd(?z3|R;Hph z#^R7%Fr`q45@p}7-gMm1djknc%w7#Of3Lgo9C>56UxOiJ6-b+OKeB<)Gj-u)XP<8i zi`lVw1A@zJ_Kb(_Xb~)$oY@nZY%1TyFmt}NzE-8RCKu@Gh&M;?jHm98q30gSR-YGH z>zrMY-gAk0VP7hrZhKi9NbVg6G|V4L5|21wz)g%^+m^u)$p(oyww}y^{eO z@+D$d+TmI>Zh}egnkrY@Hyh#@;z=8iCDSe`O+ybG1pf_c_NRNgT$bOszA0YJEg*1!d&SOb+lhoee)|GLACs~O&e6_vG2MEiiA4KT}Yi) zuNe{{qBn>1Yzq%xjNp8NC#l-6CfctuwfE~~_b=C?mzPgsUq(G4MF^qlHUzw)6DK64 z8-*tLnmYv$0O(d@A0h985LV2FHyTJUC!r2fY`OtznD zX=#e4z%kdN+w81?G%^#JD(GuaEK-XTZ$jAq8Lt&9l72$`(zvqxfeZUHL>$!d?i3wi zk;MU@TP66DKm>F}Ip?RXqm9Ej=_p^y{M~h9VsrH6SoijqW>p7PWhV2%qb|FWtjT(a z|DEFWrlCdE?dx0$EBidZ)Br~R&@toz;eExO&(c+-Nl*3(T|57yo*XmT3%jjcQEJ%2 zcL;o5_%(h&_g%g$AbG=uI@^c*t7j$LSriXrLx#{zy=L)O!ED3D#u7KTzxF{E1|w~; z)=xtghLm3Ex##rVXkw%0QHOn@1bm+c;8Bx<;5l3%WPWi$!Oy+Ux5!#vtf|6HHu>b) z8<&73nTp%OW?5|q#Xq}t_|bz)&4_D?$i^Sr+_32A+#n0i6SQ!0lQyuK|d`?_Zk8$Z^K;Cu1~CZtxO)n zh*6-rI$@M=s}d}N)=h|xOEc-|jjN$9n)9@K`E=T|1pDQcslVz`H6Bv|NS3~op3b0= zJAM$Zzy|wKXz(ME48?l(d=+6cx>vYG<@O=SD=dIU-v>`0m-eh+(y+Lfq!)*^BlJ;8 z`(P7}IlH;F^3$c`aH7R4LRNmNsBDXN%*XUt>6lZPN)}Yoxg&pq+trTTLYNJ9_%f$V zk09|kTaS~CF!s51spmYlQ5~j59yzKi4waaK`S`Ss!Rj=fOw3%+hq{>O@i3eV!U$w0 zKjfkb&3}MTi+$Ad^L!;Os22)!VzF-s_cO@sJ@SWm;ly@Axo^O^Z{Q8>35c~4;rT^s z+D;j)14R)QA8}Xla`R`2LukJLg3Lt7bJ(IBFii?t)&p!7s`nY)YLwRA_dla~v!ym#pD*gFarZhyi!OQ}?j zU=l3!n|-GN4Xu=a5{Lf?3nK@WL4k^Db(Z4fz-B zYyM1kC}I^hUbKWCx!#0rl#w#XhAJt6-q>iwX=LZ|llCwaW!(i!3ZdwQjBF3zf%3=~ zSR}!3It!%n_Zk&h8$UUppEh-I9X$tUq+)THX&V;C~XJG;CQ zS=qteQJ!9Ydbj>ZU)B(2qn}TcQgG39+`IvIStLX2u0r~oV8lfezCCyXUHSsQ^6>F?UYmX5>X z^aCA>k%n-q%ogh8B80~Ki1aO=`wS5nugB7eGBVcWI%k5n5>s@gYHigvPT)Dhq24XX z4;I_8H$z;;_Rt?$#|6j%dHI>aquk!3`F^kARys>cL(KkC$pOy*uMnzk95y_UOp1t1 zY!{M1Yf(V*%4~%1_`uO>hNOy{>79*mgy!f@`UAiaM4fzB;#^4W^YuBTr^jP$M~i;6 z9#^Y+2innxi8cv0`)i7F+rMI*vrG~?sP|WO!_TG2iJmA&T{O?1R`zxWd3H9-sO}(O zano3wFS~4A?SaLrcC74-y{~=^50O_}J>&_%+SQm97F%%!L{|CuRPJ@R1H(^oL>Wn+ z>?1kkEZo~4oqT-&N*Fl!M$oL{vLFsj35aY@hNG|Tp}}f2L#)3jEqwW=qn9>*Eu4=g zX5rT1k@g*#mYzSvvNeJks1Eq}>(h)J?n%hj_Z_AqL!b&818vNLDL*%=er?{Q$mog( z%}&R1=p|({#-F>t$iAATqkr-$I{u-)HQ}x1o z*pnQ03+Y(nmy*yM>r48MJAsvTp4!Mq7OzT5_0!e$6}_lii=kgx;vr6VZlXjIH#{Q^ zL{f6T^X!>Pu2q9(j2%z*rmc|3?b0GqZY(!ymDL!fYYV=_fb<{T?k{ZsyixJU z&44>Iua)DCHPO;v4U>Z{@LDVNiQA*QvHj#}k|Sf%5X#z3rj4A&V_XW9CZ1b1igq$z zrob6G^;OP1NsHB!PpqMt|$t0SF0PY0kS5>X_}v(Gk*iWaV))dv*6C1funE1NQJ zv|_*-r~@%cYJy4fS>H*YwL^J)!}z`Rn${yzl&PIvnB?eYO{t*z<|hRMIq;5f9vTzz zKtZo>9gQho`z(a&FR$tBV4k^RrFk%*qx1*13mIQo&%3xIPAO2t9Ii-j_uJx_@ew^w zSH*XMG;ILXFE%tHIqyDAu@E=@Bx@X!5O@!{ZKKz|^i)J6R0T9@K_WW8;qsqhVf!mb z$q_B1dt~X`iy$j(fWet3;IAvKWiS4Zy(wrCm)USOXGiLYIUomWt>7ZvQAD-o6!1`* zybQMm05MVT^`y^Fl%w~&xnczXdF;}-5SGDGcyBVXp8^U(;G*|-uSwawRhk)a;M1&# zOC|80660jRD;d08C30RjBc;GR8Gs;i?l1z*?DV%#;&UZuUR?Fka57cpL&y`B^Mki# z)|+AI`d&96y7bOi6GJf`JI`F{=>5fsK5As{0l8c`=>1NRy6m5dhoF8Ilh8I1=rKM5 z9y|WzEEKwNPdT9DXtU)P93C0K@4Hn7_OI$+X*2T4z3Qxc1|fN;$i6fWXSZlA16L8- zIC3^Oz_#xye2D#Qf!D~-zGXsyT4xQpg(na#6yB-e@B>d2n#kU{a0XDz@ID;ezz4^k zHPZ!HehETK!As3TKYf!lQ06c4Ab1}>wF_F+0b?*>p$NQUCX6#x)~#n+Dx9?``dV5Q zBhGL>S8ICV7vHOfv?j2D&rqQ{!g5~;+!nIvjjGCF&X1LDQx>3fIWB zC62LZbAo!h7#=4a#Sp1czg%ymY3JIPXO7SgZoG2#Rg3ZYZ)oD76)jE{U-86hbs~vq zpC7>Y2To?fhZSCX-GqVze0FpW%^)ZKW@D&o+MKQ1BdrgrhL97)LbQS#6|+QI0FO03 z+P5zG+)XCe|I7Qmwt;;@Wr=0Q6dqs0WsiL9J}x{om}E!iPv*k*d9L+^cSx^{xbCN zU;{87*!joPv~+QWaxq(HaRBsG6DOb418=%^*%-oE8g#=nv(cHlQbSDSx;C}B0h~9q z7ADeRfY!uHF&*aor4mL02aBFqU7khTAt((rIL7>?GFsD;1sLKAL0FUMENFF!l(~S^ z&1utG+VICBgYO;X0&~s4;}7vXD*3hmR=|}CROBMX+kKr zLP8@;BY^U3rVgXnv^Rl?ZYrUTtJVVkdsx5~W zse?LKhmn-NcN}GT4&w}?*flO-N@EUNV4xUOq~a)0lW)7q9C$X6DgQeWX@DZE)#vN2Fv*K_gwl%#ZS zdlmd@Amw>cp**DXN+He28JIG!Vp@_&ubd>WJ6A~~g#a+vmf^U7V%DQ?V*`^+f z1W36%_7A$PiDY7Ag)|m(IN&N|f%{Mbp2CJ`D1pd|#e-P!@~OR8HV`VQ$h6oDs;H=* zh3duqtEKk8xkGvVV7wSxOZVonk_=ca>6+q&mM&#FK?ltsJObDRkq0gi1+FPodk3P6Q5CsNGS-^h#0p{#1=+ z(o3ykp(3zFBv{*cv)%o$lX-siLVk<^C7F17NT~ZMZsjZ&Q(Av$(T__8R+(!1C)~X6 zk$cSy0k=&XP#ejxi534I0pg5^@LZp(hO0?1U18pvkw%S^*j!V8* ze~Sjv+m0FoCg(>GB(QmgDHTHqy}#)MJHiF^clZ)$!Q66SfC2?9JyQ=T8q9UMa$u}t z%`>w}kX)pd)+JbumL_~qxF6EHG<=BNPp1RV*~7W`@j0ow4rV=$CVcU#5>SI_BS4TQ zPaJiH#DORPJfyrSt}6(GsuS1^B*VH218-?Z=F1k4_IC{*I=}dv%xk~M;j7R%f)E%j zP-g?DA>F%;^@(3pP^r9s2J_Qef^r_NK=2NcIDU&(QbbkL}j=f5Sl*@4H?=kCJ|eFP6Q_)Oict5@T1*-O!Zds0?3a_qV*V8T$-a1)!?9nP z0Sx|zA?>mf@QpO$L1}qa7hJA02eNu@gf>IRo7!G0d`>x@Y62cXe$~js z?f%9i_?f%t?JD+`8E!_=?(&)43U$F$aV-mkb)M4YG2QRu+JFVjy_|}4Akrrrx-H9< zD~8OZaa$02-y4i}mU$|afE0ckXey`9-O&3T`SD<(v(t9F@wd2#G5C6NECd2Zxf!Uoz22L{da+){-dy z)MehyyK6;#MZ*a1gyH&3DV|3YmRL3U5{q4Vb1G*NdU=LoH|~2U%C93xo=Xs+0+Npx zG;(tl#Y$h!&R0b~w*e2fM!%}udx%%2S4bHZzCwycIrEfzr3>nh;1&tKrR90KLPg6> zd#1Eki!4Wy;rK(#EKAnmlh5&vnzZSUNgUhcS&uNFwN#+BLpq5v&ZaGvm4G?!P|Ia` zSWuLvCB4PjV>-22ObYYNyP|ybx4;s|Xcd+ZIEm2E3uRgOHIpHpQov~D!^?{a_M|T$ z(~TbpDL*gU^#;20A-xtMy_O*}FIxwMTup$insUGwCU``NT81qXUjZe3C|$|!zrwv@ z_vqTpmp{REeBoveYEI~`p2h4A#1<>yVZJSqeEgnM;Wj3^lX@N8J(6blPOdB-w&-lX z@TFc>o1HXty{_$l34nP`;Q^G@Xh@lXeNI_(rw0nuti#=W5`+9iFw8%!Q1`ekP zL}1k#jx{&qhghfGkNHMgswB&uVtlj^`b!ldc_rI-7m{FkY5tTtM*J|dw5JLtRVD13 zl{YM#GU}h*oks&^9+#>kMVRuS_b*V5eUG4nb3)rBL~HgiLkp>|;IPk&ovWy$CoRTt zW6ltPUk1|qEZ2W7uzaFS?CI5u!^>8W^%cuA7e9e1&ze!PG*;1p!mJd{xwgau+K@7A z`w>uiPCyNCa-g8^`wQusm|jLQB0e{&|6D~-=~bjj+a1_nQEj?o;r`vY>JYHNdId`^ z;Fk!B<%D}E`FBSR*71JzC!e)WcPhvhK{4;lV_(x0W}qyNiDgTaLGh+c-Y!g{;+0w5 zUf3P)bgae%GOGnRKK`nSpcrsR_6{Cb7c5?~=pR2_^0va&v^V5NJRSCZaZrujYNE^Im%viXvZ&!IZ#KBH zHYe)7Xj&f%^Y&)bic!ObrGVPna*2pUT*-BroNGLU5AdEU!9VUdW4?`hAfe6XS9}S9 zx4$0yF5&m1$imq5sdx5@Nk~`m7+sH#58rbUt?|}qJH7v07ZRaWOG0$jih*Bz{~gnx ze}Q>4oB{-bSu6CrWdT9fXpf^?(DR#i8;4K1L6+>C_VJ4Z)`XPKwO|N0ot! zmMnlqPnUdc<_wYcnt_d@!2#wknSqQQGJuQ304$>802CKU+X~EkIaQt{Hs5U};@Jr4 z?tUv+TQWaP5ie$O>oibAgmRV3f+HC+;2cAmNAX(F>`ksx-3af{(z6bY<_rg@<`Oi6< z3Feq$v_0x#E1c4(sJ-3d>w{rItV29N9J&Bdb|=Uz)lx|IO0~PLM{}d_o3(((Vc=d1 zH>`k0_Nf3SqU|P8@!oO^TWvza!XKaT9V0E`q_6C#nZs+Er&jRQN!~Gw5Q7{3)zlK?Dc48lYy4=Nsl5q#_5gv&sW^Yyqv*$ z?Zo+JHTPCM@T9I`aO)~G&4Ti1N?g~hC1(HNq#+%%jwu>L^KUCp=j(SozcfItL!N^GRRIuYEiS>rkrbuxL&?UhL3hA8e{vA#_MFS+2N_%F>5!D z*6jF?vc5$Tc0Nyvw1^R|W8jBd%f!w)ChqDa3^*<59dmZydBiiik8P;3<&4YX;^UU=v|^W-P#>0IH1 zU;NPhyh~yO%ILnrbLNiidEq-VnZap?4ch5xDAxHc6plio1fKFV(kL|tcPK-~^i7blB}CDtQR*Q?42&U&C&&!QGoy*cIjA$&>EOHTcc z*8_p(1RvR#hKa^f_H4jC%>HMFQOC(hBiKkOU=9)8VOAH3!FM;vpaO?UO}DwjCVlrR0$s1ZG*bR#Y>l1 z-C9%>^nSuAtuN1?*CdCYku=X}r!t;^Ad8lHk`Egb>9?d=Z;3W}%b{gmbI;s|X#H>U zgTgrVl(ZCl121uy;mNo%fde%p8x)F71mYGUPJr;8GzCJd(G*0wFvOr6BBH95W(Zo8 ztSh`IbGTIo!*0Xi1~5>f3?$|#dD2Ua{1aB~Z|@Zz42Sba7NWygMnR3IbVS8m03uoF z5I2PldLr*%3WOwiW_Z2FCc;_%x+Y2ZY~d)g&ArLmB&ralzlI#UCZVj0o%EGxQMH^{ zey?qw7weIqqn*t+8kSgYpnS4NVW58~Ot$e&cm79wvp{zS$S1B-vCC%BHZkt(R zXJ5JF?KzhNTdpeeyy$%Sp+M8Monh4L5`bJGzkLhph5$Ns2R*A*IPd4fd~>Gv>1*`< ziSA$>`9fFpFy3FWEQfA$ob1bC18nQ3OHy14O-jjgKWT*GLsZB;Y*dKqYSewK0x+zqKXjONUxqaf7LY)KRl@=08829VNNA} zqF#$~0#xn#rv$j43&$ycM9Yx1zmt==Aqa9;lb*^8WCdt?#PRtqc=`8$L$`1rq##od z2Kz15p5FIcHekIfBHi!VSYYi}L$dwhQE*jhL~zw9%TDTHKdk5jMFqS9u!~Gut0%BmUp7d!{wQQBQi;}qj2!hK2BvyTc?Cgk zO;p7W1H&a}fAs+dDYMIz=&E6N^k~3l*sg#-ne4V@Q;*%b{+t@s* z)}J#L+Mg^?YR{iMW%DR16r-t;x1fln)W=v3XEze32&I!7__iE680}B?sXM_x21E;V z*WYGhQ`Wof*ISnJ~mXB{E{W z1Vlg$X!kxPfiSztDC$-t;b8#dPh>%&Y#>d??AbJ`fZMdN9zK}ZJi^db2A6Y; zsL|sxf&(_>b54p?1ojqIjhX13u$?a!eduU(fat#Cc+BO>jGz>pX;*MVX7FEN!_I&( zCLB<@%m?K5`IBBHAth(#KX#qn^hMTPSSU;2^942D(@_*_0mx-LLvKWq3xj3B6+u*> zDd+PHIVmWdP1T8yBqPheW31CW`f^Y)79_&+-9*8@BaEfV%F$%K*LBD{tpUz_*{N8E z2lSy=BBHgSSY2DaFI;16A_~v(Sy-{xg%;DeEMY{AEf?c={ut*ycIjp(^+u`(|6WP%#Y>vkIbUkd#(y~?&9c3Sb3-!2Hk=Eoz42pt5|zcP?n zq0AHY`XEiVLXj5;#zZpb6#Gstd&66%Ad$nNgaO=wgDc+#GYEEw#koToK;+3Q?f4CL zk4ac6!G}CI$osk2rLun0P!t72o{s}#whM~uw{j~H^Fbp1W@BP~k@Sy~^^An$pBU5E z7+tq-Aoz^4He9f8umo{X5=(@2MHw-Mh8XQS3{$LiShNHQcoDGIKM{cVpcP$Qqaxb8 z{{qDT_}s+r|1Xi~zv$=xp3D6|=CPIk#bX2igU9Ch7mwWpD)3+3oQ3{BZvG$qH4DS{ z)o&ll|NrpU|07q;!1zBIP5z^@{`>a(m(e6EBRj``#;VtCvBi*lj@0f8G4w-ydH_NJ zzeC?~@pZ9x38C42^C-qu^(A!EMYDSTaH?!F5tC5i5M!SYXdWud$ho1=wOQP~%3OLmRHaFIf3$q~y!CAE`qi!)`y-dvtHSgv2lVe`wJlp??jnoKY!_V1 zZ-k_h9=O_Xo5{4Ho;XUYZ<|RU7A0;mD3?4}ZH%S`#FiB-4l_ zIf6mV$55wHeCW*tCpNC7xoL0|y6CpS|DCM%_H-K&rFiZW75!5mzk>_Qp@AmI=P#5s zgjIH&%)Qds-{gy9I&sk~?Z5~n=Ln^z#p2~0-F$IpbU<-;C zu*|$=kUE3m|a$GyCR0+vM~oYo9w(!M9TZB zk-P7dM^-q!Zp3q)9o*u+(EIE~LLAN1oJRu+nZe(fkK+q-T(aLYlN1Qk2PE#(0KXc* z0v!M13ee(d72MyrS?qEK0Leo@rj{t|DZ*&l7AuDIVq1NlAckb=x77F?Mfs$=@&H8O zHSD`=4oE9B3ysnZB1l1jbu4oza43vmF2w+8OVH6!UiO~LHob7COStbGy$H?hWM7H3 zM({m&L)O8-tN_JBkPzTtQVb;=nt_F3%o&7_6OwwGmYN(uN7^`;9x2d88NB6?0GS&A zvxx(3$bFIXS3D}%_CAs>v;jCNXhVxZnT?>{g?ye@vAI28*RE)V8p5ha_;O zKf5~-t{5ZJRshH?{djj4Ku*-GtDqpl8P+}>3K@i4Cd z=mKwv))orMsCrD?Ab5FHeC(hlJ9!tn?7C|5D@EnGuxV8TmF(~D^=j-ENtM|LyGH() z09k;{U?NN_wPI;szYw%>?+vrk*bnZ0lJ;#gD3AYjUh(W%G z$d4Z&EkpeKuN)L$4VXr~IK%#`8XYa{jH6g(=g|USBC!_4$ogObAiabzm~oN_e6mjH z{uU+D(xqsvz;oYAT`aH;_b8K-I)YQ2A4f!P7RNv*X)!(_cRyC46vn3lSIjjo2;szJFo00Xs{>9t&2;0d=t3vYxjV1|>{j;3f^=2K9{^$DnRlU_zP}5PMD8 z!n<3Nu`v&-xZwpU%QnpWtN=8CY27v#ITZdMfz=lO0;{L~0;_LIGS2ItSedrg1#yk8 z4!kmPFKq(#Qz!UE@SLdYpF1|}L($UDUm2k$)hSo{*K~tDzg;Gyr8~h2I51y4`#`QF zyHFyGyHPFIk+2Iiz!aus8dZ4a-1F~k%74%%)f^70(DPR^X8%j5mQWSL&r zhrkrtpG3+lz8}CsHENiYcZ;_1NMBN(rxUWEJ zh8)t;q>Jnj$1eHF*#D2YYPG+(YV>ceI-2*v)3qasKF~--Op`YM@zzk(1Idg!q9X)F zF?Z?K(zW1lLeCThj)CxmddAF7);BBhK9S7H^WEywt5h~C`mS~2hbftYQ3o|puL8{q zkc<3;UhT)rB+_%1;6R)ttBnq15J((AyXc9%xhmUSze+Udpa{rzF3=iXSuqOAG? zb_XvgBXcwB^g~LVZzucPt?k=-X|(41#i40uyGkTUPnTv_&o5mYI=h;sy^+!xbD_W) z2=8;bpboH+4Uwb{@Id5k4fCegaeUo`%c6^9Lr{-m6Pl10m3G~Azy3dZw>ulQ87J!>kyh(n8E)(+S>tzOZ_(<4xZ=%S7HB+> zwtt>o`GZs#x*lZir+yiYw6iCfdGchPv_8CUSlek)FJN>aw?^$Xzh` zd`ajGd=0lX>|$&pKqRj^M4bal7MPByeYwj%GWj2#Ww6ulz8Afoef0xInLfD6U0ZC` zi`k4_)4v?Z@QgnE;2CYJG%+91c`9@tVa(S!bZM4ZSFkShg4*J<#BN0g9w0C}*CF<3 zFNK;KefK7;e*}UM0qBo!8KN>Pr7^+u3n+NKmKUE>DWYZR3$KS|Wif8t%zM4u zLWVCd@3cZ!LINSV(D!DYP|?r!(cDOUMar%Ti2urK;tJE`E4Ceb+N zdvZ8BCMb^PdmI;}4+2K5AAq4Lk`n#?u8f+yS#?U_b=aWkCc`7)tLKBfkc>BiY>x0} zi9NUEqNc+>#nV!*PVu=$Gz{Ol8I+Si()!-VTZpOUHK^?;{_Lz)e<_@L6F_NSK%&6R zQPkCNf8kG&jR8JwC6??pNHrS_8Gdxe$=^(v$9&WNUuV|WI9$I63RsMY(X)LacA|4L zv9ZYIy>ln9Hew6nD+jt|%^GVHX$HPxkbeI;Fxf8XUD2n}2D~hPhB{X=kb>xGV)?Ci zD6&m6w9>nb7^O{d>HDU5{$VNw+0#_~{Y&wEmk7?Mr8|+@_$`n#sfGj?t->>4$Z;I( zEwVM@B`^Z~bJAd?Gb>JxXchFFhC}jBUv6~BUR3^eycKN{7Z-0vCaK+|J%zsO_K<|Z zN(%ab>$W??PG74Jn%`T1iSNOB5xnpfV+muFz}>c#@~D65+@ zu3^haQBW6yR|glt;hxMJAJBeF_GGH_w+l+%nP-PHDADNxq6e?*3668vOAC8hP~i_W z8eadcbcc4SwMywQi{ZMKD5-fXGQ&;cy{oC<$p-*M^!D?74y38CPC!ZAIwZocnQuHJ zH;0a#TGb$e?kG-n>k5W8S7=-((d~RD<}(Zc$f^GHdWXQKy&*VmrU}jNHia&dGZ$?X zaCaL@Az8EAG%dOdl%AlH+nhkrudkD_#@5PYxz7|APV_8s*c8lp#I(cez5smi(^we( zt#Cf%^aa{PS}H3Z1{P#FertILPXtB!`~`Lh)iPMEbj!Y6_~PN#HCc;Qwv1))XR=+k z5ur^y3zw$)hhzTy2hxdsy>7*jB>A)GP)bcOrLAM2UV$fCW|ro;ZlDt(Em~))=1u1; z4NTl@pCb&PdT1G&$#R_;GFs*ZbR1Otfx<6V zxs4d5^hRLie%zz?MdyhNc3K^dMmL)7X5v2#>Fb|m897b@=n?Da+TGu#@OWFWopi)M zpD@uu*~?2q#jk(9MTW)r`LT@TZUjC}> zOxm9a;<`Gb3v@y0%XWJlXq+Z&liKVD@Ve>`?9?xD1<9mSr+-TTadHiJ5?OU~XTwpg zP^vs4lvsUo?}6An!r8J4@|3|WjF^dANMg+ns!4F>S6CqYUL-vTcqwZWmSlX{tJnLz&v1K->c_zI^484?5j0st&vhJ=5G(d+i}vplxeRVX=rPu+Td zMec4ozBRl+Z%QCX>%!UAP}HJG5!fprjl%+{S;c4BTCvkSo=C*HLT|x#M3IPggTn^o zh%6#?fd*E7Rv>2idp9_TJ&X{M!^q26gxPfdMOiW>QO#OL){LPdj3}%2+u5avwP+PX zwlda&f(%4P{6c48Z_kFqvT`bDF_egxk8&UaGPO2pEtuz5rdfxJL~V~xk?z%I_XnT@ ztd=M}Hb@Q?jY;N_=r3an3KDL$49-IapSekmlf4F=L7JTX`E)j68v2(U(%Q{^aO+Qf zKCdkgAFiet5H*-Y`U%p?BvwR?;@lL}TqD%R1W7R4^ij&LwQZEG1-e8@eUvS#DpAWI zIrkO*QOh8iB3grQpDk=_!nAVKzQYOB$!_AlES*PfLKiSSBhXA|WjQu|T|;D-%moP> zpLq zoWPkS6j+2*liJ--8<(2iZW8@QjKk3VmY%DiK6MQvB-BPA0Uz<;4{eJPLUWPWc6svm)1Z|{~pf_7#SA(N3aQNxyPdy&cFecE>TBZia zQ1OhwaiG7jMDf!iK1Sf+HIJvjF)TgrR&SGXLh|PtH#zRTJY!`}G90a5x-*28^@!xp zqSdFK9;+r~Xb`0;b#j?*8Zg_foP5;K>h%c_|1)3nm7O9XhF!i!2%b=5+y4yY4so0#DV z3YNVuo*oW^hx^l0f!z>EzwWw-3eQ*5U`p0rTBCK};xc_qERhya(qq=&DNhex_^-Ft z6K^3?kvJkP2iXHPg42ZSlAHbe66q1vRB7e7eTUF!|7cqRp^_@kZ3vy27M^!Z-1SGy zbyaW|>r7$P`sg`+S{;^k(i6v)O+=Jc)?wq{%klLsq zG_tzAEf%*x-v?d#>j@N2f|F*QVC$qTb=F#0R#Pp~-_QHobp5{SvHoJ(QoTi{QX#d$ z=HniBJwlDjY(2Tf1{?$+zy?sF6t?BS=VO--3C!WI;>)D`v-lnVDn3B7+sg<^SH#a#cL9F?0`=i8!G({k=Bl6_{+R zB3d_~IQ__k5G`B>@hM8G-nVk~dOU$twT+&l=9mPbY7d7E#UTYkC<$}FCR-8L}P;N z2R2`A<5NC26)N_6*n4ws9tLY-DmQ0r=|!zoP6YMipfQMOXJIC^jEzIOFh9Q$V++lj zFgRf;?4=3Imc7<|IIfci2aE{4k0QC5@MX`HjwMOkS##{Vo{UpeS%p&=bsI7Ed?>JV z?F7=QKb+j6=C|LN+p612$H24tBO<<)TindRKRqqc5cS~PwaxrtXQ!j4?r&d96AV4r zlca&g(t;pw^}p@IFCa1R7UY~>x=Pr`+Tjinn5L-`Tc+E6fg<7f(;KwR2J{Lg{32`M zdO;CSRY|Hr^w6j2onJMl){oVwl{rDgv)fsnELJk~CfvJz(5r>HFj0u1?Cp}p-LP7* zkBElZ$S|sf+epR?&wxDX^JkXIh9j<8I_T8=JOXT}f+)2H2TrT&7_wDDIO9^b9;%G~ zvjwODBFmIUo_{GDvss!*E&)}5ROhi+LMR z&Or9+xtlhNGh8Rkf2bTea}rTTprM|uHZCfE^fa!H119pOieetbVV6b{GlrE)DTtK< zb7K$+Idy_z;>B1uZO}W5zC6~_qCO8=HLcAXPOkOp3DY0}XB#zG!oWbvfKY}&=*5CW znwf_O@4zKiPdLd;=L1WuhI+iw*1)RX7iqk)JAk0NHuzSsl)h7T(0y2#hyIUGc+{9$ zZ(2iV#sp%Th*r>rYD_O(bQgkArjeJ4G@Y2C{7tok$ZiuJT5_^@T7MIRSZ%mD)UL|4j~UQx`HHO>d-X%*Jqs7D9N+Fd*Zzy=! zvQjm-kT{(kxDRiS&SC2*dVSp+w1cD$B-y&lCZu9lsC51!<>jSX_x*82$}==HsxvYL z$}?|idl+DoDCyWu$vw}oA2eSK$RcN0O#oaHb1DH(Qr$cw0fD@q93!L$uB$S%ENNC#3)Y!2rPZO3eRDZHVzdv>^doTRUebdztoow?nb6+W35=eY`cDG@|C{?{-I4-X?>cQ zx)|v4e0#iqmzMeDfy@DY(NCHaPG0c25#gyO`%->l7I@5lu`ux|DFgr(O zv`1<(ljc!KC&w0PasBd}&(&EM)q1HLopn;+4{PIlXl%@_xwu3Vf_q^0!EazMLU+uG zg+lsl#Gs{$mTT5?2p=H{jv*8>)PJ=$q?SiAm(-)OD6mvA;?m`l1* z_y!mlx~0B1akL}eH>6rB>$wTf9Gqq+#$=*@j;0aN5w~m4j9XYO)W5M`1OKE+9yTw0 zp-_9U4X_Sl1kkkE038xYo!c34B1YDC1(hIX0lBThDC(`sOza4f%X$v!&l|N|oo6hv z0_l1E7VESC)(80?PhX^j5KK+Elzw3t{MFcLztDz+x~-DilKr>)-f}TxCD1|KvGXu zSBib7n3)985e2v|f;az_Vg``Wz@94Tso3!~2?cEL2w59a2aKG!J*TT+dXWH}Zx9G} zr9s6nwoDy`#X<&ZPspiL93aDw^D91vC>`^PAIJm4L{An#PSl)>fFR5T_7*(?Ihbq! zYBBNMAm!mvF+&!dq%G)Dn@Y)#Bo$Xe zCe>9`vhzU1sqYxG%{)bc1dx>?W++hULmRcHr1h->+Sn9Rwnjm+DzhDjf1_Q2e6 zRb$@Y=IEwqL>RVqbS;`|*ueR!13Ua$>BY@6vgAis^g)>&a%eR8V&^1pw=N8FY%`+A zNS~|^uyqgX=!oG zuI*~nRx*YuPk}~FQ@0fUU+leOa4zA$Xqg?`wr$(CZQHhOYll0wlQ*`L9ox2@>^=G8 z)SYwY+mH&+$vT8jJ!Sj3S9stGp*b}F_#_&KsCmDFy~M4xn%%oyW?&%IMN$KFd?6d+ z_t7?99n(3urZvZcWLyY+UHh5QfYsc)_W+Z~02ABXVNh#miUBFEo;z|p5A)s--NOkK zMS>ise~#l65){Q!Xi9i!$_P0wXsBl6VN&|9b*9wsj@cfc`hFtz<48UDywB_6$oy@Z zZ?X)3NzsrN5ogm8(R9CxFVMMkr(Uf!3V@#5^zp91m+2dT6RhS9#n3$^eG z-WHu-Lw}R=0N78BRv+0xl2$Ic^R9Q5(mK@mAJRN_5j}lrn(!zoP;BtP-g=ftt+CA+ zUIluok@3}GBKdH;U9q98is^zzTa*Klw_t^gyGq&b3%R>xm3-+ty+{-=XOS0W?O(aQK{O~I?-GN>b|+y=^XbY)3D^_b3a)oFAA1xj3F z(RnRhxow4=Y039TQ}Kj=Y2Ta0#Wl{4`d1_7IP3*8B%pZf2ITS(?SE#>2#*Pxep9f0 zHRg5XiSkXyo^@weI#`h2y}66mE7X}|yDZb{iDaU4^=oi7u5iSRbKK0JUU>YszwQeW z)5m-Aewq1s{Jv^8d|K)2P&VEoD`kS=rOP87+sN|-#~HH(9KHOtOP>x12suwF*$l5& z#$J9ty_=i-n^kQN3bR)K{e0I5yVCiXVA-ccaUcgH*I~OcyQezQj2|uxg?$=I&TTr|vdEN1l07d%_IH{ftdaJsk-$R` z*`@4+*>u8nSh35cxD4PpqFlrNmS`*|`db67Uxm^-Y3;dBqcC&$0cwpXOuPtYiU#Jo zI)jRgxszDsXgYS8U)l_IwdkG>=Sdg!X*Cj=jIe4xt_Q>b{yBBi>A1?FjkQYy*NysN zJe*+RpD4;f{6YVzm4iRM`yO$8Qb9)!%ECq^Lq^_rIw)4Pf zRd-JR^uYEraBMof2ndm1oyu*k|Bo|eOYU6Z%{a4fCZK4d2IB9lE}2OqF5j~~;nUbv zbzJ2rz#(l-UW_~j(O;gYaX3?VigzPMpu#}(a2g2B!L>O1@W{tdOgeJ-%|J#paL7aB`y*NEkktmHfi%;RR}SJVn`@HVJ6jTfbKU^k}T~q%ww!9h|H-%xlgvZJH*DvJrTG zvU(pvqhz{tGxGXHgYoZ0(Ys1cMSLY|OSu;Bs9TH{*0IFrf8vsk`8Ftrn)bgtOUOb> zqQB$d0F{e(PQnF3ehWufO!%IuNInzyF6=0}o|7!m6i!GIK}?1%`r9ron@xvmM1wH_ zuib%bQ5{iF>YF=MtuLNm-0BDQrv+8HCa5x=A_2bN?C1tAew%I;teD2IJ2gG{i2c}9 ze539b{O%eUwWZw>4y7=edi7czJK~Lv-JCPt-3DgA!97M8b zqX~@70(Pw#I3+|a^N3oWg^a>Gdw&a;@rEs69ae`&=TK1tqHu9Y{&FLisDwr5&w8!@ z^$}aR(v6VURusNGEVZbcHK1slbzo>cZe;ClpCGb*4uil&AYwtr*$m6~}>5nU4&JkIab)zJ49#0OS+3H&=G_*%h?cA)P>D z0l_!ma^W`A7o+;2wS}4iMP2B90hz^z=3-bna+#}x_t>5&=%wg4j9DpgcZyCDymEs& zIc)JpRMuL;vg+hPSXDTJ0-t&kDWinF2Y|akBF;% zKbJSnaY+5n;}PTXF6XB&96wv9f#l)YJ{yGrfmJ5B(u4dXtV+RI21By!JqoTlH`zQ& z8!`WEp@9$L``ID?GWV$VhzAJw;ejQ7OGd=u6EnETFJn2Z@J-nWLRfH|N5EG>Munb4 z3$%s1$xEdRxv=oC$&L_&5AZAZP~ig~OOe5C%J%kL=$mnv>XF;8&>N0nt~`;B?x2x} zF?c@pAsE^~0z+{lFFl|)=GvfpmG&PMU-15+A3MM<#-hYJi8*aKz^zQbezvvc&{%R% zwzG4KZArZRfzX{eOVHq;!N#G>K0w$U7`rx)Vb-*5yL+dAB(-!Mrh{^TiF!{*uveFt zPWIo~uCercorIR_>BguL+`?_pt4!U|5RFsaK-b`}yVt+cw)2ooKVb38kgQigMI2Wo@*CEts{x<9wddKdRkV@K#W5FhbZOv z(7-Ls29g_57|KyNV5vQlvAS!QLC4s|gEPBv30ho%q-^5aQZ=C*P8Q;X;_c)7DW!}e z-@o&_9r{UUHeVv^9v7px0Yr2WT`F1y_eaBPXhb20VkIE2M~n;`JMgK}GEk+hFtF9N z7UJu7I$sq3n;KdQ_aZD5Xb~hNEXFqK21S6+*DqX@th^uqq+$}`6*n0zLo3aBMQ3}B zes!e5Z9+zaf{8oft44x*0Y!8o?(SjFajtAi)y8BMMeXG>W4^JnNGE;gmevy6KtGC; zJV<<@k+>S&nQ`|A@ce|FiK>aKn@B7r*xP-0vG0?jk5mUlxXRH)TfUNq&+Y~5+C?)Q zSL>r&sw<#18(vXXSI8n(%L5M}2=kV&oKS!zGA(lw{*kz2p!SjmLsz2aMydc-?Kkj%{x2USY9xOEmXcXrWV8GAV_J*|b<|4A> z-2s7FjDV{&@LDtRAOz^E1jt+((zNxrX&%v4Rcp`!Huu>RSy7A-JzX8YZ^h6=KNO`a z-@r!gdS&YKq97GF6Xl3 z>5nwA#jwAsMu6aBMa)7q0;#NCk_m~Q*9DYUHbE6`3KNv1)Ymp{S2bR$kBNThJuT)Q%+^PK9fC?d)f ze1>!)JS8P}|KG>Z5m{c-^DI1tGmbNg_pAE1{fh=ah_E>~5rS8FZk8>?WHbemyfyn% zxn?8=?06u?4_++#7g6}76rnNTa~R#EoKD>!A$tl^{LHn)z5EWw7iw>}3QLsB7Zr#jdjYdm5!^QPJlP(Uowrhu{1d+yxIOiKsnD37IfhhJx% zA?IHnx2#O+)-Hj;UndSZYh$iwJszvLy~4~YM6~4*aP)TKmm??rIz0^7+k;oxG6PzG z>|+H9w6bxXeL)Kb-J8U!6$@mbs3-qX+BL;=BsgddMroLVg|#PzZrbW}4!H1<7{Q5mC>DdOgHiK_8r2Q3h`%jPaDQJ)sZGpX%RT2N66Bm#fhbL zHyC26(fpu)&kO$Je-vF@HkPMjJ4WTBZ_RNq{ZvHw!7B;Q%#NO9YkblC-htaRM$J%^ z-$Q#*H?zA#_2shnh-KR|oMCp9F91RxV=&q156(4ES1YjRvXaNg6CQo|KsCB`waN;` z-~Lq475^v8ZQ4lsNhsk-Or#m4;UF0}8W$d#)y4hUkZ1f29pd_vM1Ivvue6O6c{fxN zaRoEc0h1CkA<7p4tBH!5m2-kTo%>h2)Z$U&l#bn6VYW9-KdV^-R%D!V=6Ce1o@8VqDnL z+^Vyxm9%ggmy3!j6o~4bUiKCj>#b)Kf{DqX^Y5vBadPY3kyu;Ygmk%z4%wMLIGuE- z5Hq{YOeYO|vgq}8Bb?zy;N1wV!+HcRFK?cy##?lE8Qgn9{QFh{l2ualNsJKk(K_4gR zT)V%q^=_2VYIbfB|AbNRR5Tf!u62h1%;aosg2*$jYKXwvSGi>l?`DaRub6tlhoqE; z^|#uBVojFb_mEl`)l<=6;+k17uDWYPAw|s?)?1;f;7qu&#q>WDQ79mkVcJeeeI6Hv zTGFIZ%Fc}=mX~2(Zn(K|f`dqch@c!Q}M} z%4uQ;OR$H;mx~aZ4ji2_vOO&|XnWXA5~aJXH?!i-W-r-h=7Qms)%uY@$peDl+Idf| z>D;h%u){;Odq%k9*J_+; zMXKEN<3wqvGxL+$iQK$pcW(t7PcC)(eq;p8u+a%251Vtrm24SHiOG8op8VIAmn2<+ z%YF{`QzyN%g2L?N{yqGNcn3V+1X!lZ@YWGJgiKo8jJCK%T=4=DLx~qkuW^a`69C~a zMbCu$hGgw&-C{9!J*N7TIR9aP17ZTmlx96BW)K9Xrm+e!@m#2>)ZNbPmd#ly`bG%v zsXH`80Yxijd`cUiw-o6rjXJVAbnFxO~sFzI#&5%^}v!vm*x%2}_ z5(8E4b)~gi%pBjZcG@CdHnAQw8p_nSkO-=2VsR=l@T{yH%{W#jT$wjZ`(_xd@a1pz30}<%{XD1`jP(u!RIoyOA1?m--BWwA2p&iR| zZ|q0S3|`zjsC#9Gt|jXF9PBFdb_oE8#+D;4&3q+9Nb!rJ`(WHHVYL8t4V=B6a=nqT z3EqHoKCPoc7_;64#+Z^qn6$%|w0_l(HaP=Ntu@0YAnd;2pT4~n-tFx6HNn3$4 z4?*$tYlLZgy`!r5@+%Hz-P|J@VQv?*ujX0dBpuLxb4?IsGXv4PPUE|oTuSHyuorvg zt9b}aIeT85i$nIs$X~9v=-QoR!q7%RA^f|1eSZbyhqC^v9`-@4WXx}i9CRBoT@(Oy zEXvE9)cm~UcPI{dN;yKe!MK%&oB8FF^NX|vqZ*6bW+yK2h-(DFM0UI*xy|6VCZjI# z;V-p+EN>uaoKO8J7$+y~({9UgK)W;y1iXv-KnPk@sYc9&%Si&2EnpXYamQNE@aQiq@|7KmHq|Px@ri2IfusLnRlRDvG}lY zpPVasKAXDML*EBX*H~j0ty`fnY>UD4l>`y3oh4!;_$8TSW*ipBwZo}P4p78f<(^Iz z1_CxXp(RGk&C7mb!xH34se~>T(t{ZD+y3Kya+zsVNslw- zCnB10MZ5RacG6d4A~+avWl-1%ATHguWLQ!>Km8Wz*+lDu zBoM!*ogQJ8BSjZI`Y|lKv9OR~V(`hiLId`(L&xq+U8OyZbSUnIH|;{Z5^dEyzjTA| zbQIZ$oY0~YCg0KrAhPzFKBzSwl;{yL+F{0$?IkeAbOHF;PdY7S{tBN=)x~4BOEu2> z7L4ksr?f_b0BTqf6=nF9VOnn>doOF4k@Q;w?-+Fh1Bz@;_T9ln9c$WkNXTHJ1i2Lw z<0%1o_<4MsuOGV5jHl>A5ZcXmeG}c?ws{YwT@U5!?3bihWwpL% zas0D*b|K34Dve!ri964pHe4EKw;PXFjr&qOe&w@9W4zw`6!kb>H~)zE$mk9NK8590 z45aoioZqEA4HI54_TKw8R0=Tqk?SO^HF<6ix1=%`e}&VRmjk$DCO_z7HP;2GNzq(v zQ+KMOqv4#fFq0z1c~u9-&W^rS$kfF$%62cO#K@1)<4|!sGVd*WUmR*87e^=PE3S<~ zJvD`%>BJy~7G9@w6^bHFa z;&vj&Q(<`vOVjyRrruMRq>pF3XiDsEI7Cr+kIorzE6_3h2E6ozIzS=0`vVa z32T@#f9YwJK~;i3>s#Xqd1TWE#6wDtd8zeL4Y~w(GExwo+`cqh6I+4^(vG^lQmH4W zFIQ&feO15kl;`<8ap#Z_aeu;@fvc|rpqYz5Pvwipe-4OYk`smaj&~xGP)K?vG?}wUdi!NFl+< zZ6kx1V_m&~7cAJUtp4T22_vaZKUb!d_70($qKkdI#+uPhY%JbEwQ0IYs76V24y3|h zJGf}?6IKGQP=zpM$emi@2R>%5-4a)f#PF>ye5v`9SbSepy-Ilx%BlL{3UB3p*KS%r zxLB!tI?kUzhyG|SOS0Q*=XbegxIeYvr$)`VDfT1z2MykBW zbKI7-SHIe54bmg~WvQ28E z*{1|);LC;BS|2b7l8eqF3pl(-Sd%O$z;753WV=N@_W?@-tNKNxN}R`TZ_@&$MtL@0 zb;u-09?>84oVqQb7H zX|yc|W@=8;7gk*6AI00KsG6+s(225xukkzt=Ic2&+aHL2re+@X8UMlE*}NWKu-JG}Q{Nv`J_nSOug;F2p-JHjQS!i4GWUGWLF6W>y%BU)YbLG|F zT$Ex;8vjv$mr%p1_-@)>cXh|~N2J+jpE!pWIYZ2VB)B<63&kAvtPXvmTe=9{#EtCK z+E4z9jf}=W@NLDbEzB4`JJbZ3i0_Fdq&X`p)hm?5YRSSA-I2gz=qWk!y_l_-%xBtS zENN{?XacE#XJsH8;DzOqeL5;P(s8gHm?pEGaY(*R9$_0#5)##u!*KAq8pQfp&}9oJ z$>})nbwe}ds=Y5(9*R zIgycI%Sdghh@dh%qlh7iKxd$`N+(@99JCmd0FwDc7YAuh?;tY$<%kVw2a7 zO?UwqmC!~s%$eL#OAMlK7Ikfsi-;n7pB9t)<2^VK2*rZN0wxSC`R3aG46i?5v+zSE z;^~P3^JElx$d3)DzEh}!mQ0uWZ6p@VmT2@&_8|It{#dz^uX^{f#D*z;VyzH`31Qte z=Ifni9AmeMAk{qLUmJ1W@4#{t{^bT5P{n&pxr|7*Kn>-<>2+1i=o`feF=&3e#(V=} zDTeZA;dG7{JVB|<#?g!^lwowfKe4Jzn#D0O>ufx;nCTU2bu)h1IwC~dL$cywg@T`x zobw^q<%hWi_UkazETHQXUi*XPILfR<&4VRXZY!}`Q z6DB43MO<*2>~Wfc0jKDq_cnI;6Sz>(y+v%-SN`wCeDWtvV|KwD38eMi74d}ffI|JyEDNM>7i&()Q-K1NC;ZJ`t7?g z&>xLhDN*7X;U4va{ELbZa^9-%3O>yr00$_4wU9=e8kLcxgOUs_YgvEcqQnB&POB5|NfpUl)^B^UaV2hjY~|C z$v1gODcguv_YQ*iahrMHR^__x=S8v0_u|y&X8e(L?`3Z0hBGa&Rm{RND>}CeBB5($ zcDVBgU7A_;HsMzt&o_i^V+G&t&IeYTeJqC&PoR$h)%~vd^34ku4Oy18m<&cDJ3!7W z{Vb4H$^touASRiRO9MM=yqaN+k^I#{DKQt?0lmpXQ{VIsVDpOUF$Ka(#-9XqmC<87 z&Sg`9q%^#i3E8UR7e6mJN1kee_QWfN!IzCiw8|%0Frz`GOYv@9%QxqJc5JBSu@LYqoD|U3szv3_WDye{QU1mz4R|ZEFQJ}v$l(0ra3P^J=3WH}P zTf`BoX^CwNIy{5(yxgv#j}<9K4V!PmR_){cvB%S}*=zzxDXr(Uzi>rYl8zp%!A#fx zQl+MnKccXbb;$@6gbb#MUA#Mt;u8;dhU9aa0V5%;aS~jJoD+L#w?dGwpmHCiEs7!z z!#4LIdr!7>Q+C#&&w#KTG=1--op9*>*xVatop@H(Ra6ryY+|5t3xs{1S1bdXvm+BW zM&Axp;xrQMm%~4ey$=1}6*&&fubIK}(>ijxlge#erE(@p{qR(Bai%A^yxtellAD^C zH&|K%$!;7a0;tbmPR=9Dk+|qrC!-P2)fwGkGK2Vgq=OW2V^mpqzhwcL#K(9axy2?EBx+fvo?74ivYtbv1Kl5VtjQH4`;6aWFMw_%GTD zHkSWQMv(2_8A0}cWdxyOjetxr2QbAM!>MA8ewbwTV;(bxll@C(kqw2s@ZVk%+yA(v z{{bxi+hyTk?`mfM4}_8JAN|Asmw?58(~-(%E)H(aCT1?YybK}^whqoJjz%VC|2R-# z{3kj1k7xIN2`c}h3jaw^^7Au@dAdrdxPCvw@0AkYE18(N{&7dj-v|F%_|K#7h2q~g z^N+Lr6If(p`Uj_}{7ohPKLr;5BQE%T3by~$9c2Fp*!UmJBgc1ykm(<~5as`+EQ6W# ze~B{wuj7;dMj1J|SeXAWDC0QNKTyWDL_zb_mmiqmq+Z<+`+YD>W5D_XmQ?4On#m*! z^)LTq8L^Tlthog1$3$pdDoe{c0T)$G859W{8&xq+>fN3VyleIh%N#i}Pxc+&ujShe z3JL^0l^*<0R5)q6XCil6VVdvBs?va$5v**FKW`aV4g?(5Z(GOv&JX43H2yw5PJTWC z4c&h28Vm+`J=`iy0n@=2Lj0I!tV&0&2EIxOIcow-eE(Bf28T}GPn(uM|B)elZzgw| zsK;ENI@|0_EIyB&q~8Tauey7)U(Qmj|2dsSzN*Z{yUeo37j>_aWU$rAxUxm>ey|3 zcrGnWAweI}j4_<*qjcVdmXWpqe3O~mTF^2Lcu;|BBol0=sa3BD8+)^`rUXivRqiCF z%kVKsoK+iejGztn4dXQOFaj*?>Ikp`@rC$1S}VQN{(^S_UUMi>ly5&%U zV)=n3|MDiP2R4HwJ|_e=e_XN%Hm;NW3ugR(NtW@yff*_O1v8@jH!x%0{|_^M<)VVD z#WESfn+R6X>gnKOnZl_)j}V3wjj|>~F@^{N8zP0nN|Hqqly$)Lv8s@ls>EyrS!Pa& z!hz`Vjx@TiBD%#VJOp*Jx(2z*j`j?^CD?{h9vuso%di;{FLo@ALwU8*bP+mMk-lPH zBbiK=vGq%fPGV+oY4Ykok()x=Z*e|30y$Y%*C5Mlbe64)I~|0Nd$Xc?DpJ7VNsGx{Q2OV>Taz%5Y+gr)3n)U@|^rwS{qyY)`(h^K5Q__S0tsMe`nO8sE8f?7`A8uRWQeW;UqShP8AHlV~q9+wxveXJA|bIi8*eY7{TaaewU7X<%FhC7u8!o{MzF3vYd>&FTLi!vH0 z5>9ouUrW%|b+zWH6iy>W!5L(lHM+o2l6(J;u`9>N4Hfyziqm1`Vtdgw)0O{h8{}c$|%ggS;ixj|H?9U>$!5C1y4MDdXuCpLMYog zWQ&~;UE=J(Th>#Tw)I5$Yr0-?YWxSwC>~_HedK|z?&tFSMU&0)Kd%s0{HF>bn?1|r zeB8z(e@^M94Kp>@J%lhVhyZ!MWujx3)==K3_RpvXfz6Z-MlYElQzTIQR-e9gVq)k` z16kidwM&sl1zJE|FL?w$?E8am>}$5)Q2E7VwP7B( zFpLKm*r*>?tYS8t@?}g~qUP4E7cJ_Q=H5?7Mjv?V_rn2seB(=bf8r5izN11&{plwI zNC%izDHdT>J*04~$O-sJ^xw}v;|asHnryH^vEnc_OHEw(m$%km$fL^p$G14ew#Lov zQa-4=x(yy|x3hJHTg-UZk9C)?{z`Am=XmRIlno~7w6(ZhZ?~342iyBZ@QMZs zz}u``+{NA*&IekBlnOD!ZZ7^5Gv~1lG16IB-FAX!vcA2>ocWAjv+VlIakVs$+vXH{ z^NGfZOyv@S)#cZOOL4@Bz@t6^an^W9Z>BDVN>WQV*~|Zxi)Cnz-bbxe(cX#q`1o;A zH;LnVtMmT*BZh+OXW6xeFaJ9wzOW0(4Y)0O4J@w8$VLh!$osF8TL3BT?H26ouAJfq zXb*?(!mW{!y^7He!He-8ltBNz!9fe`L4FD);CcG#S6uj=w!OfQ zZg_2Fx0EwtXx7WcR_Rye@z#2fYI?uW3b4?QKIRKt29)6;g<1RU z1<=4(e#8WT7qDv{v?1Jvc?U!1=$8WF%k(#f2i(XE4J#L6r+J!TR1K^1JJ!H#o@7k& zI{BJM77!UlOao-TkW#H&aJ>fs*V>EmAp0cqmbE?)Wg5n1NCuPnvH z+4EH=2tIGj!VI9|QY=02u}9wRK7qrD=V^0p!Z^mAEH?a>0F7$ipqdwB=wz^9IIN?S zmr(voig8|M+@|l8y`nBs(uleQBv2@tGdak;cD)`8-l-c_e(+;|pmSRKos;inW>F@h!WxG#!8`0E3U_g)}>a0fsOpZE8XRxjI zW6SX0Od#U7dMhRrW>X#OBk3zG7I(#)%AS=#Kb`r&rRc(?V^Y4*1fAH~NQDGI$TY;ZvBIFKKX-}U5PUtcJ^j^;4cjj_;>MOTtVW{_n#rNI!QhH$XpdRR>RDWpJAdV&b zdXwoc7&fhXk{ymFKd-F{?1E``ZmeXoRsUYtjAKfI2t*guTzkMfI5bZ22WnwhObado zk9yQidS#VIU6wxSov=4{;wGKD+nIdn3;B1`5t-&g|59_!Ad%K&8)leD3#9VOW8J{< zQ(-lP6n3Z=;?CF2s&XM{(azmVL6iwDWwLi4v@FI{(3&S5nFvlpqp|R(Vc8YpzwuPy zl%hI2c(Keb5hh}igxGt*m0K5Mv?4cT)&pS_M!yY!CXWk*T9d==ZD**sT72zxSk(vQ z>eDa!wo#W80LZ%5KHyI?u^NYNUb|5IAh>9cqoL!MO&qroSqjF>q*bF^GnH!kkPSJa z13v;x%_|*F(ID=|sPY`d>+|5Q3%Ls~=2?aiD?ea5nlpFw57leuG=zF2Soqrw?3hBx_TGlg$GAY$L8y$*S$C z@7cVJmVFhsHR=2!K-xmpgp8|+tfl_^n&R2~NDh8(U=8;IDt?7ZUNMndkdfdFi3#C#1aTqU&W4)8b7Sq)3%1xddR{g9 zse|>|+?`(s751%IZ>qN96N4NV>J7t=g}Pt7$#&bXoEt;UGGHAQpL&g*Oyy zT41?iSL|v0RaR*x%UP(gVvvqny{}`tIf}BVtH4Ay^QRp{CQ!Fk)&?7@p&?eD&;0&m z|As7t0z7$u^WKx9Vm}{qX@<|gDZqC(#-9O;K>^^-1`r-bVT63)V5s}^x)iZ6`1R-d z5acFZv~NEsry1XbU!*lyv9pFqzlJ68eO#w2xM@E$XuHz3@eo= zGFaW81Nu^zE=+fxmjQ+0gQb`ZjitA^xCz5lvXWE6Cv^Hy&hfnaZpB$X=8$ks-2NY` z9M6venH8VP^M_s(eF2jKPc;a!{S0;f?Th9X1xzFnqI9XgU8$7fZ#|Rpw_93FK zc-N*U$a9!!Y=H;-C_jacQe@k!-oSdi)yTQ0MLEVpt=9E6ET2kPu9$K^b<_q^yX7XlvVc+TEYelcd%C^e-p3TXBG#WQ|{;=gmGcX!Mz`X}KASHN9 z+{x71WoVeoS!UA--UbzdD8KN{e_n;OD8AOry@Fs>E0zx^Q(CEFqqFYeQ z4Dcibg0TOqhW&k=qS^Y7!J0~aJp_Z@RFF@$VmYN4ySBkpEDbN_@H|Na4g1t%wGwbY zo~QEnxaTqGKWMKMOl>Qc&?7Bm1C6JuI&!(lvE`qx>xbyFv#pbFW{!Iy1lJ@?SQ=D$ zu%e`pSPaEMtJpWy1&M`+5-Gp0Jl%{}sm_0yg>?UlKS>de&43f-6R0^zD)LNT#;&jC z&I5*Km#RUIigrG6th}CD+}P~!KAbHZHFlTRm79!|c(Ohb)J%}{fPCgSemH;E(|LmZBy_ks)={+*39I_U$%3J;um7@L{~QyH!(g29 zw04SJI~uXEb(*t$B0b6R;ww0Ga+_3=h^7-4rdxx^n$?O`w~R)3P;3-{%9P6J4~}2z zXQM&5FTI3BY|b0U*bp;j#HX&wV5M4=g0j%_M8oJ* zat?{Yk~flxc{ha3il8MMs^4P4$V7J`&I)zHI5#FTGc^V}sV`L(!HZKV)c8>EhhGB;9o2s=|7c!1f8gaHVk=*nqAt~x)+OU>Nmz)4? zNOyxGtgJN?-1O|;-D_l^ikAd{7B>PK9Z&tBiA*F1_o&s7u;~Fy6j;QgiiB1%CcxoV zvmoiGL5Oz6q7?#oV1Oa&?BXP}XX&8xN><1B@!i8kQjjQy;$wUA)6WHWYOAWGP`_p`0 z^tN91RST(*sTr*1BtRBIYlg>hucW&ls$(DomC964w|WvltI2tY*M2!OLaba}FEIW^ zstL#zBdDdVei0SsqIhg(LTNINn_ALh z4f=DLG?lDHnsf?sGqbLRZ~1v><*~y1K%OGP*il>cyT~IhhRMQRTkc4~XFxL;iD{q# z5)Nfk!p;WU%L3RmPg@UU7OL!>kBJ^#1_{$+rm=p zQPPBb*Ajfw64;TIWk^!S#;vhELV`sEl>!f1=2>eQr2C+9qP;@d`VZe^`?_&!X(?$vy5?fcY{l_JMgdig-A z0@CAAQ~`A5z5vy}iEpU(D-MZY37ve=4}eJ<0_Zk;bA^jdkJz*f1&RhR=E#@hL`=>< z)uMw;STQr)r5Bfbl`rGl9!Kao$C6d53|e}>vi;!58Q1YH*o4S|(D^+$U7gu;&u(w; zG7&p6PPG}zJ>#)=JY==AboNSWAHG1+K5jwb{=-qb zBr@rcuPRogi~DSbY8t>xq-zwEQPzbQn$Yh@>f_luH(a49n^iN3Vs5kU( zsXkF9Et2A%*H%(AAtq$W^joUn5F&EU>3e!zokqN4g8ioPh7st1f#-D5({GPF)(WoyC>HKNzyYMv{N}m z0^7xVoSwMr!ND{RUG_Jf@ue4TK2?Fo+qhjsjv}jp05{cMXdlOCca(8Ayw&|Oq1vp8CteHy&Y=_286XBQ>@%U>cmPNG&|zQG;%%hu8M z*@Iw1+FhJzgB)XMPN3Za1=RJ`or9@%9g4@Wc!KS>U)T%Pa61{EpxZasxA9~fqY`cx z2}4VoMKBbu9V}alnH=RQO@MlItc;?8O*_0h0a06dmob`7f#NlaznRF-jM@}uSC>w#~iV*{0o&_T4TH5|90&P>K z!@o7NjB|!yO1KcV}mUOh$DmGhB;0VUYFzUso32?6hEeUn{ zaj|~nb6G%>SJwE+t=QV(8%F4>BW-I6;o$6wAwZwMu53WL0@$J5{VzZaZRD6LIhnb< zoyt$Clky_ebp<9?6f}`_-$_$r>A-i(l^N|GL!f_yAT2^mi!a9-miwN**|lI~dsUM< zzvy@@`JFFU(I<92Gsf$H4`_Ho7A3tHyTf`-MALPamE3bU?D_-=k{S>`(PEHxo~(cV z=skD5PscFbxCgNG_Gh5G!1=>ycMVT#jx=`a>_ucJEa?L#bR|{-e0p_1a@yAXy?G4x zNaQL54de=eJO@QNcHh#T3v|ZO{LWwMwn`o&Tz?b8-2X)v2v7>eS*=+uNte)krI}pc zp}_h543o98s6-}eX5D& zTE67*`!F42|TrIjZXkt!$ z!X&!t(jRLkQa*YjMIU{3;jhD*onW6S-IND~=S&agmUMf!xh)kcIM}5hyU~=0FE`$? z;RNVjvitvrim8^HD}z+tonM>vB*-zZjm&WrH=7{1T#fq(3Ml}51yM2ky;liAkE=!# z=P@+@9n{gH%dA`lS}2LI+M}+$6}d_fl}V49F&~mEY+H?uwj4aVXDjq?1#gvy^XM*1 zOWm21hPEdwQ#~Lc$Ow8hwpuITgICudk27b3D4L>vV?06dGCvrL74mH%*ym&ZD8;Cf zF7tfs3SA5|0uAb#T6@s3m9~m+tjrJv-X_de&D+@IgYO7-_j}05Xl{wHYBx((y8wo3 z=5>EO4TE_EZuONhY`2p@b@vd@8clq;ctHsMY2LY{cb2tUME!a|)g0Tt1g~GJ<0=$^ zjDpg<8fj0FmQ$oXahOZtm|BK`siZTs(S^}!g;)0Mo~K6$=blS|+L+-$3}D3EAe_!M z&i7C>T>sUANtUvMd~Wn*h(WZvM7T;1@7_yY2$$CV!+JX>2NL*1Oa{e#%JENb_pmyQ z)q}%jwB&9idzVN#w>)zer)?C0L00}6o+f`ussi~@ippEyML$3wh01{l(pYxd9qCfM z<6Vk!rMKH;+WOMwk!mib2LUPkf!Mw%2u~bQwOK5a-;MOZr`I^*XBR2&mr3#tJIbzy zkgZHKAlaBAX(EH(3|b}lQLKo<)!cZSG?T%{74r=peTR-|YI1v#d45#avKOPr&1%%U zOO6nOe%e59URfmM2-yogiQXL!bdxE?M4r=dXS=tls1Tod&|`le0GjfiLWVHEYg<84r*3A9GYNCKa23N3hwBM`aXv zGp*Q8@ly{{ex9bWvG96Rf6@@$vsei6;TP78!X|b(n1M<|kbaMAVKF%cf4)ja2Di5@ z*X)2}L>;p}J53!HQ0l2+mq!;l4Gh4Wo!G_y&7mIF`J11E(Bbuf(B9!@rX8YC_?j&^ z^CMWB14+oziA$8D#-$jtY7zFWw^{u9(RXgVhEXGsb-UcLt%a20vhzVm!_{4v3bNROV3fMT5VjPjTtMv-j= zgr$*;tstg9DQV5*6MSeGrN~u@B}tsh<+k8e=S!ZKIA=B3S;?IPBnovtRO{aH3Jn71fV8&bcN%XmeCqJ)2JtC8dZFHK6u{! z3m!$hl@ghZ)FG^noWE%gOF0kfq1pY1EWEn2oJoIJnK~9jHY>r!K1sXD<-2R3{T$Sa zd$K~uAib)@9t?N;s4`V;z&pEvkdZK3V(us|^DjP*cj1LucgK3lbHPB~nQAwvOPmu0 zjT47f@7et&gTW+C3yjrR&&gmZjTId5)G^lB?H8>Ok2%QB#mG37j8hE3BAdp(JC`O1 zUKcZk&=o=5`y+ILA;n&+f=P4KNZnWpu_zNTppgjLMDlBeY45O-^;lbF+AIt0`s$9A zBD!h&##8r38zX0%r(OZdr#5~r*2>6DOh`64cou#a!L$yH(t~8?sgk}5FLbTG{gVFz zC6Hg9a}XU&y1sFz4iig*pt2x*fqB|Es?FfRf4EW8UnG|$+BMZAd>YNEQUD% zCgaaziE+zU*KjS~ZMH$7NfDB!5yK7ne%W zWHw4*ge5Z$eicWmDzE>%TUA{*=XwOK9&|`el`geMn7t6BVq=`wIreu^Ampq^h4W_h zdtgMG=T`>`b}oP*>PHLe_Y*UxP$Xs`_`t-}S0HVgDi6fIg6IwooI0)vC`|iJ2O`>x z>3u%3w)V3hB1Rd#sT8Qoc z&{{}t{5J)>flqoUZ&@OIM%#tN;=pF=b_Md&RVJ}dXBzS)S&Cyc2Uc=5fplc%ZUy$* zMaI!(M#@RV6PNeuwpP`$=F{cczSDQ#z#SXfrHi4pPZv+$if!uY>1)1zkGg@FunUjf$nGsVxgnN8gfcK0Z$YEF>?{ zT|-~YMHcpB+WbWxgiL@L!Ga}Iu)7c^GHY8Ds!=K$ONvRdBM)ov!R{Pa?oT)fYc|5O zbcinA%2T4)pYZ_MDY5ho_V7&GQ!QKEGj-n7)z-f)w)YAhDQC!5uhkw8E+f^5zWA$&sk3)?HpU@=9Sy1zN%rhuCt=1>c3vk4wPd-ZY)3)DgJmn=9dT` zBy)}Ol($t{XcG8iW|QdSxC?HbqHxfs(X%3l2T#o{EiYsC5FTv=a(CftQ_TvW(eY$* zYx_=qJ{D~#usL!Hrt8Yp-JK&p7xWeSn{vaNs4f5IPlSgOd?A?S*%SnjTX;Mm4A}wV zKDZqIEGY$IGJ33Ztmz+K*Q)Yu@$vM-V3~T$wu!crY)wvi(-b7lB?K zV%%#cBC~<&CCqLE_8zyyw6}+oBU+6!({lQ3&v+ayws>qw3-9j+4&}-FmPOOlAy0|| ze9;c`Mgrj1n5=_BY?zNvSGfQ|UL#7dhhT8ye|XmRoL)hE0nA;SXulAU(`t)E5F4sy z8KBQKdWf(!3N=5HCJ9aJiP#1_u%QA49>QTZll#j3b?xZXnskwd0rdEu|9z5#P(U!6KCqJWURHO)d14eV zkW2$%1vnH;0hW9Py{E3>9qBzeng@1>&Fh;Opoz*lO1KZsVeB`W+5^4uE4rrCMPREu zB=_{0iMUMM{eapM3cxOi4XCjItTQ?d~J$NKx zMF@gQcr-^FP*J36mZ`2GpX(v92E3tZ8Y^W+&PDyN+AD_ zcXhaxEtaHuAeY~vh^-Thv{V#WiFTL8deokUMtdc&)LvjtO`;2VjGa%0%4}frpQqPi zRdRxi(Ii?M!~D&G$F2ZUQwnEk6Ca#0utlS$IfoAb9(v9wd19r8laTx30nd5K7mpAd zYjq`PN$NjLhRJS=h^{{3f18mwQ^|2J;0JXZP!XSsFZ6B*dcb!M(uEKK9FCto$zi-P zaC3O+@O{mk$1U>-fLXtY_px-z@1V$D>n*Kw)&9o9;+ zjbk&r6HUk;j zRB0HXBIStKhYg>gr0L2)|LK%F7isg5Hj2a9eN%)Nt9s!OXARy(#C+iN#Z<%zi_OGM zDPb$6t10byK~r)S{dieg3`My3v;f3A6$|kxGnWf(0y$qQa&nzF5zh?O4xDtzQMNHN zalsOk)@bkw+N|;zV*#sK9{oOr(?vF2VBA@wKP7sv@*Au8edbP&!Epl(pNq(Mv`zFm zGF*zoM~B z7Z}XUO>aD|v3W3w?Sf$K846X@3coq7CJX7I3B{gT8R-6QdeO=eJoB|3#1VP4G^cx6 zz09Y^1gf*xeb1)BPSWUU&b^~MPhn|wWw~8Mv3*JDzM;%JN-~yIT14UGQtU2_mwTWw zcsC?h*VLoCTxTl>$z_>R{$*DwMAnb1snq1I3Wppri(1p>T<4Yqx^({|oltlmUs#AC zZa|Aqr)(hedA}Fz#UK-M<*0~~EQ$WC3jw)5l&wG6t3rMSX`Qdzdwk*k6do~XYNRni z(F5Ey%jeW0?!RYH%dWP+1-9`g^D8TlN2a~Ox9hDVS+$z(gyLgE^@-G>C8Zr74lkFK zcMv{d=44FbPfKsz;a=JxMWI(3x#DkE?(?D4`Z-2hEuQbTCqehX#4l7>;HYilsu>4Xt@2v(f(2 zwo^kGIYk8~FbuNASlA%mb*Un$He89}UkehG?HH5mxF$lh6Wvn;0h;EMm%+e2>6k1( zkT`-nO^YM1T$>M?$ecQf56Smrk*|Yl?w4EQmc`zVK(~12=<~Ro6{>U@&UHG|ti!fo zh@L#tGqu>1&*Kpw=ZL7z4B14@JrX8?9-Ng|JVs5Zau1oSMB7U9L1jm`LAN@ov0arL zG|+I)SCSv0zdoOmlNP(}ifVJs7%_;cDU>xA)!uIzs0WHKt$516d_>?beCrA2dOH}c zD|xCa2%gfTX_b3-VBKc)`_3nvz1o(!;!#f{#9`h{#32gy&hghj_XW&iwbF@^^*aYL zbcmKOgwSorMG6kRKu=@3n!#=4oxUvXHr(wL!6Cx$^;1{_o%ezZsXqZEiYp9zZU{$GSAy(8UC(X;W>NN)H#1U*DxRSNJLnaP~=Wvv=BP8 zXYdqjBV)&9_8vG@#>QYS7m&;>SSKx=w;*x9Hj!d`V!?@>G^@_>#(Dh41A;02C>M@I z@Eqy;z$e~JK*2~UR$$+y5_Qx+W$0kGCApowfJn+EL~KrU-ik_)m2lJq@f335cBY^u z_X84riKZU}`f-<_yPSZC?k3K^i@n6Ryp04U3uAE`Mo#9Jj%K@^$C*C?LH)JxO&5gn z*+#cuhvI9Aq=C0AQyj+GSE+f^g2lw54cbQj9)qOZ;z{e!JsS0f1j(+a;!NLpC9ZZB zE&NlCV%AbVZyx8xh;j*!QdYNWwu(L%gYuKbf)&)rC9H;GA@Yn)iBJnw#`27S>gXSU z6w?af1O7RCxrWnwY>l%iNy)?MO&(fBbG2WKdu`C}LA0P})@BDggr=c#>7U|tc0XW^*t!&LfJWKXxwlGU zlcF~~DsV{Bes-=e!~AUE2=`zK$JRwu#txr{z;zZ+u^iDuuI9h}pq^DqiOCLnH zmiAC9ZT(eb^}t0xBOUv2KC0aVV*wd(yxU`%crq{d*syzYbD%Sf{9~!Gfr6^aihBW$ zTXi^QK-2~tAf@5~mwCaBv&TR6vpn=lkctxTY=w-EUv?Ezb7>9FH89LoK6r^ z;KMXBL|~I#N3X$z;6!Q8aUQao26te``3x+%t${=o7@+1-8r31 zf9IA4Q(KUO%YtcGVI^+VyG`}*Uds-kj|VIr_PxId2N8=os_ z!uWm8@%8Rss!0^;u0XquyGR%K?hL;i4FA zCO-Tl;Y_%@^RsS3Q2)eOPBZSxF2oAos?Qu+X144 zx3fN`u7HjUE-J#>;<(PiT4sM$T82RrS0YZJO`#B)4d{@r_jb$6cZ!s1yAia8S}Q-C zn@;ur0MHnUgjT&A0~97cU!66~t9A>OpScyZL)&UQh!Ay51>!GngI*DWU&TXW#E}`U99cKd>x9TJ3s4U?uYNwOK=*RJ~~I-i}^tyDjR5g=PYz z*@Uc)tv4AeYX0E-=I=6+B$Ok8I^j2mpQmKGt}qP%s}|d~s$8pP`NTt;fHk zTy!8Ub4^yP8|o+Q`2j)D==v1?OhFTHR@eBTs|IdX0?qfgx{brWa#UQEQsn2IuW~;C z6e!)7XhETf{O2O97FjQW7hPq09=PUeyiVC(rLa9t*R3QaG9zyt-&8sowro*GkV&hB~R%>^D(b*>3^M zsn#T&Kqt3zwHlv=8P}M|fI(dn7@oa?zn@4F8*YxjqO{IUhXSi)2D1vI zj@fR)*2n>_%@AR|yZbHCXeV5%x&~h7SNX=%m#R*=Isi=ViCo53SyW z&z(fH*>SHqW=g7EVJAtlJ}cJYc2y}T)*rAZ)-uGOBt{M1xrJvhlP6xXPYU;w8kA9K zMr~z_o50sOaIV>Bip&501w%3HLx`XMG9FD6b0E!6Z}}7Dhvk=B;UWuK;)g1CD6(z< zRFR{EC?U#`TsViVlnZvtP*_N~J?YviA3jma_1GD!Y(M7X>;`dtT@Hxa=RD2W_dMK| zrLCN4RwOZClTt=n*r9FY#h8quWj_pCsQT9B8SFWS95bO@iP20o`4H*TlQuN4N8H8d z&Dd|cXboSR0uInVv=a+-(i2lOtDW553TaxPYDkVFJ{Mo zhTzO;6|`(0$47s1yREyJ!cxQ>#6axCF-#L0QXLvjV?)m-7}%V?^{L+219^d5`|G~^a*tK;t)rSl0a+5}$wy%Y87?Rp zjARU_7ww~k2PN(vF%QPg1UJuVBpXDvCs6s?pbIV$^8r(I{YPLM^iRHDa)hZuS^ZwQr84P4;8~& zCg^CLpzikPGxteCuXQdqUP0-rdh<6pMWG z1xj{_4%SEv%h@eT($CiZxsTjR{C;95Ar-qLoO}(vBr3pdGDO||eP$)5VFJlR!wR+J z;IbYmdqAR@UamefYk(w+op+5`60)(95b`0G&-=^m{UdaQZO7}++567tEr9Xwm*k(-J&&9IaJ;fr!TB2;%(%QVMs2KJ!<~TJT1ce<*5XKO zFr|~Sh(;hSUN^58m%PtR`v*#p zigJYe((0O@URRO*S%S%>hFGj&r%jq9ZpvYeBeDm-*qhK5hG|RHiLDjln`b|i^iz6D zoS9%M2wfBe^3Pb7QBf?R3l17Py+7p3SjuTBb<$ME5`Nvp5 zsb`dw+o(FjZSGHj+u0Y03d5x3Fd1w6z%^l-Az{%ADNn!N=*so+e%3gIl%8q^z#U`B zDL{GCb_-){l=`GGQ-Y=72fYrXB+s)smQxy{DUXTZ5K;ntpIi%ZNW@papiow>i(c<$ zt%p%(Xk~Udfx_~fWw{j4*shFm0!49_vfRJwUT2)GO7=n7DCH#IEn=j6P8NW-C6|XB zZ@Si09%e1)TU>95L-7oyV?lDd`am&LmFd3rUfu0b5fj;lBCcD*CkLHZj+ZmUTMB`0 zRT3TAri9p!zVUY;xmlzw-k(C1Sdmv~0ph824O8)VN;-t@0nA7>$%~8VK@m4-xla6jN)u*vp>|m$_Sf~6c2BJr_3@QMO%<>L$j9rxcC!i$+H)0 zp4aSs{FIXNcv*63-q9J?;%FcLYNZYqTF{+2$LIZGMYsFo$W~W@C#;Wv{S?&4hL;{6 zr*|NS+v@?E*m)blW?&TX%qn5R{4h?tb$Uh(d%TTZf@FBuv9GVz5|$T6lj6;xGlN}2 z6j9hqqwZl{F=tu9Lp}%0Q7uQZak(1`Tm~;D9tyraSaL%opJX14DrU*KMW9Er-Kdtc z-3TyWVU`5EfyJqjy~O=%qE9Ue`@7pyLK z5#RP=nnFw%SZqRIUk5wT1>D8SsMhFMtMyGcc`s|hT&i!HB@_ZoaMx*s7)C>ePm;p< zvpPM6K))9@UwKhsu_f9pTN%abFxO;N07ctzUB2w5|CkJ1y<{jZ4DLW9u7%jvP-$O( zia=_b3*pIlm6n|+Wd2?NG_cszXrz9hY+>2wwzht{nAh6)q58Ztv9stp)qype6cM+H zgu$2PATT9K3FrI37P2DZNT_?>U{F<{fAOaLPkjP7PKFV%vx-KGm9p<^Wq6(t(0T}H zp~*{07b_VY*#NSX;$C>@*sXA5Ax|9zXbwaoy{Tm3Eb^JcT=)|CclUZEZUtnN?AB05 zF_*kvCSQcPGy-zReE=jLIQRL?VfNr`Q5Iv_fmFengJcM~hhO!@;SvEQdE&t(WnyUy zT10aTyZM*ytcbVnAMz%5eA^Y3Y%y4nJr}CC_L#R(8LD`Z`25tiwPU2BdeHQ!f_kUu zYwJxYBMAjBJGLm1Mu{{k6`|Wxfjm{!$Ex5LFBWx+UeJ4mE;?puFMGU31AlHib`Wm2 zcYkdlNODD{-B1Iw(nPyUQ$;EXHA64?JtGnQ^0Tod$ir#5wWA}o3Pen=m18@BeUzCC6JY}LYgU)>PzgK?K%XI<15Me1Va-`ZsXJpgEOVSP&OufSSK6fg9qTJ>q&%ZW1 z#mLssgY<)~z?rvn5`5PBGBesDzsZAl$;!zTJ-WXU57}16*H3Mus z-L-5o(qhrQ>CVOoSu0Q#g<|uGYde&4RA*X6Y&+1(>7u*fl9$=2SJShbvRr|NaaY;K zXaS35iC!Ldk|kF2Sd`^F3|yrxUd9$U$W2sWWvd79+G6dzI&{c(h}MxPYZoliK9qN_)>>xy5VJ$GNImc4+9_*qsP$AX3%@pt=AJI#1=$po zSpBQDA5uny0W-fgiZ9Mmsn25N_v-C{LD<8Beh(zk-D@fh)HxCQo^W=L*@UX2LtuT} zLq2kC#zlNP)XGWous;-hvU$r&uW+yv^#`xUO3s^=o93E=1s*zcg@#3;F|CQ{7EUCi>^7>)iu+!*GRqz z7;vF`3DQ(^%u2Ag{Aa}Hoj?V*#jr+Pl|T5ixxT`YC2MWIrPz<9zo3xEbBa7A;DwNA z$dk*Zm=$e`OPUGW(Pz3U2z$eHRa&WI*6Pt`p#j^r&}vV? zD)qrTj2RONcTv9+pf**jE8Yz|_tu9Crm|Cy_>h^ywh;pze3Zso(Z15qK7K_SFmarU z;n6O0+-jJj_0?n-QA17W{C(iSTU+1YV6UUz#9R~Cf9RZK$?7qZL$cnxoz(PTo@6PS z^GKiXgD2e-h(e48pD04{Sih(MPG?*yl+V6^HH65?cbOWT;xHNDOgTQ`;(5%Fhn5Cz z>5h;>LuSygAv+kpIzLA)uy~9Q244ar0 zj%@Yw)EE>c9-lzGYYZ20Z477P7LRoC{Fy|UB90P?GiYo#(xz4s?s&%l=|D<4IHu;b zZ&bM_pv9U=j)jMkZ$<5fkkS`ER~N!1IwCd{nU;&NcTmf-cW@cApT9K-zLx67J8A%K zkCAaoXvsDw!b+~76BALAXw^f=Iac7w04!^j$da{6ij|B{8wxr~j%P<$K<$8#5+7bs zuY#<4B!qM*Egd%DaGE{gkSu0D=OE|2R*SG?T-VhIBO{#3k`YFa^^2SiJUEOIT2?r% zi7RX(1UdHDP>?$v6n>wPea>pxE`QBSDIhZ(YR;~il?V1@FEG>Jl{w20IlI`=K78Lm zD{RQos=vVvRb#qM-^VQ;(<5QVpn}BW)D>XarUkfmR!2j$7}kGEu!o>_)nytgGBk3& z=gW&aCOZq8=Q9*>$Lrzo&+ZI2zdRApcr)VYE=)^r|iY z_;~w0V4JS5>eBBy3Q0LsOy@~3@qPM z>jveQmeyc7KN)@i1k@jwd~b63!XWXAz)1EtmkYEW!+1+(5^hjnC{bCONKarnfx9rE z;Y|DIT??q&V(&oBF95c+u~yG`0cC)XQVpQE6s*1(Ta1^{M2|7xzdsflc4&KuU8@GP zZM~C8&YFX_lw62Dt~Jbh!iZfVSjx;@d7AenqzIVe^56-qef^~UF3?g4Z00r)dUn@% zz3xG@Lhvt7?zl#J5)W6Q(E>LS15q<=sxgfQU&^Q=n0yaW3nb#NwF>Ecgs$ebRZeUb z3RqH0c$G7`@fAR?v1C#bbOS7H*GO_DSY6;n2$U>f_S$T;vNktaMu7obiM0ZKUvp;g z6xJ25}}6&K05bgpZjbDr7I-id`K1Hm9kU5T1U z;Yv+UVcHilydHaOH$^^!v;mm$dh)2<{Lvu5vRi)ubm)>6cfPr~B&zABW&c>RF5nG& zgU}3$J+1bqjNNH( zqydqYh{zP~K;2)iiN%tqr)j9wHpp>K^KLj1R6WJ2)k%kNx`sYmM~R>!<3ZWB(P8M& z*%0ekCQoTk&T|QV%EgKEs5B?}{wY@d+JGNSdNS1eR3J}mNP%%G@JJev)5ET~R4)$7 z7_^3hr%@`l?CVIvIH9zg!-rvrMJ`#vaV%jI9#c%bV|LcW9RzkN#FqEkmX@a?-tjmQ zCaP0=p!DL>;ZNAii$;;RM=yewob}pggm_?o-|Xn`)ZM)+(^#Y+He8-Uid9yr#Xgx~ zG2|v)Nu^wR(F|gRrxn>1!$w`%AkwHws(mJZZ13lqgHj%D@6a#vxBh%4>O;C0#`E0+ zEMlSCJLu-K=!10bYwPrp;CG4p7bi!5!1I#9w^&nzlxhM+hd&HCl#pX9RbGdLOt`mx zwmuLzWa6~9wC_R0yq*23K3*S@#ULLN6@3|Tr0B1>0)N7F2}hNs!*_V3M^OrO>75n} zIpk-+d9c;wzSPW1UDDhGYAo!E2*5*)x|>-AnV$*dLE(JyHe)|@;gs;z1duS+-p}?G zUE0-X%d7Aeh8!?dojmC$R+pCYHDWYKSOUBN9zJk8RjfB{v@VlVf8CGS8W`~PH7UFC zqCj32o#gKgi5&Fu>^*@zZ`yB2eYXNKWZ;X6JPMQ!N!47Z$NtUH0g4K#;6|ns_-R9d zZhqRT75~!d%7|5VOwxTLm>F?*Lat$N(oX5exZLI5ICw}X^Ru8M#r$oxC%-@WM*7c= z+T3BXJ<@(wLZzW6 z9_f38HpbAY_`j^-c1NqL6Efe==~blKqhsSFN+7SeqAOO;;0|-@dOd8Lcvrrw9pp{< zUo3ZAd;FTs-YiQ)!@mk;=7_{*KCam46zy?8do0G5O2C0_6E4IFO#dD24#o|ML%u!u zi)MnP>eAPpE^%wr8Xsoo;RmONA5B={azQ*O1OiM#xGe|zcL%)z9_s-noS9DV@(60$ zeDbEvM2;qneDeC2r7u+)wopz8d=VK8N3%wb-LYN=8wnj>fggIhD~$qb^Ha`0?~EJx z@A~+He*Sp{8==|<+r@}Qv@D{7r;gxwDoqu5^c||A(KUJ_!G1_q{CX>oj1|+i@%G0s zXy^L92HCEBws1w+sj-42Puf(aTfH;n87rvrIO~Y`Hq+$Gu1NS6_qM!9iY;#jjjEu zqxij+I%!aS3|Whuacuksk=Bu+$KKfnNyvKnm9mvNXD`OU85>sk$q?ucjs^O?Qy)JS zbZ&o$80Jy6P{=NzamafcA4Dg|b#)T7;InVOnzTsUS>>{F8G!fa8xSi-I_Nm9_!@FM zt*q)3a@<8qc+A#2iV;mU2CKea0W7{H=R9!|!U^1g);WF3%V~(w{D(xOoboi#$y^e1 z9R74HX;e<#u9*`}c~lS^=lN=-*LV>{!Kk$xGyL>R$aT*({7e1hGBKrizNVmGf48TvTW+e&A`LVe0s-5HigZfcemMSB z?Nh?QE&zN@UI6>z*$cm%0Kt>)endu zev`R|0{!r{4J_icwx`7SN?}g=ej%4L3g8KNmgA4`Nh827_{1{;P>#yt3loBxr5}es z3AS|j=4k>uqw&8+wO1pkqc=9F&0ua{VLqIlX}`@be?az~HCZFQv`gY#OZLTk$X7$a z)^;_QCZ22aD9u4$h>z;yqO0(4G7F*PJYt9aaAI*n{1}$bt;?Ef7|McZc8)e7=$|71 z)V@`V)d(_tGbVgP#ix-_=`duypV%3=Vff7l5inc)*7{qFNlf&tN3W7vtY3);Yz6`> z2zML{oa(c*+d7JwZ~84oD-uav=oT7tzZfU8OUn~kN!cWjZ!x<=@p-~l*Cl8l$J1GkPzeQ2{G8ixF1_F?nerd6azUT?$p?Ki7^0EpyS3I ztG&nUVRg_G$^A6kIi|(v14KqD$yvWuV9DVDEX+Pg8^c58u;~HuR$9*T^s8JsqI0+` zom@zHVHbKPzEdvRT+z|S_a|zmq2bloja`zqSFVt?mE%3bS|;<=nR6Z`r!f~%IW(IV zJZ|%tApKb0dUP6jFM|8qbMO>!0w6%OK=gvF;234 zqs<)F9sO#lIVV~_u1!q-R6DMBymExp%u;7eX#M(o;eyE36Ysv(V48juHs=5<>>m?yE5H1NIg3A03eBdjzW@bx+9GTdyNoMQbr5Z> z9^6(>l-%pRtMAa@J}A!ebA$6Ss@BD{fhwK_elM|Hd1@VNKG#5IwKdFuwr$6M_-7gE zAzU)KVKppjC_*NK4)pXpLIh2A378a5uFnAAsFxe?h?h-^?f5WCq?LAv zJ*5mKhiY)}rW#~}&t^vGa;5HBrhBCJbhV(+!Txy!Xh8KSD$lp!ssSrv0|^tsDVeWf zRoU>i}TrpER zat+C8OscNDN1o)Ecbn=aWK0W>lCRwu zIZsR`C)*AT`~tiq_f1&>2C~Tir`7+(QvbK=|BbTzs~G;h#_|2AO(*7H>umQ|7V%#s^D@Rp=KB9|w7kB!SYS3+Hc;B?l`#+rMWl)&Ig+{zXYIHa!6I z&WjoXDD`{{km-yM*5S7co}M35N#ag|+5F{wUU8mAP$s+w5UGdvKN(9-&%5()#=Pn;f($l4>y|r1@%=X*$TUnd9QeyF(qkc<03SJOJTAq0wL3ggt^j^|l z0NK9Khl&+2|G2Wh^cn}$@PhW!IJ!x+%Jv)aWU5+@P2Ca6xoy-b8(|4o3>lg=kv*9X z##Xk}asec(Rg0c!X;=;lxU!mN?n@rutILMGkzkgy6pBcNuFr-g8Ys+43S>u&?RmO% zcxL*<$)kX*N;g@tw8$-U&(DGnblDd5F4Z0$jBRLEyEJN403@ClT&80;Rvd;&oahCs zs+66?T!ZuGaagPFmEUl^s+Vv!eOObvLHG_B82b4?FqWwQCmBmrf|v#5)^fwB_exW- z6G$$rIi#I;YPnjESY!p#%ew97{~aU!%zw9$zUshvmtMG|Dcom{UW8_Lvai@mJ?N3; z-!Ya_DBzK`%nT#WLERjX)RR>ef6rJx4GECB0x%of(*{2lI(@~XfbAY4=|Jm)lalro zbmdR3lY$ElfWU4ysQATHs-v@5$U^N3JGDy!XZW$Z5#fk2GHv^V+|!SDX98qL&AJE* zB3xh|()}QVkPD&UmH#{@IPWo&t(G<_V7h2iCk$EOE!N!rK{Bcq6E_H678M^mXu(e2 zg(kb9lJrJVaVcz4Sx+Uq3QCk7yG>eY`pK@IHzq*lFEf}3(?b2Tq_1BH+Nk%AS#b=H zyPu?O9v_F8ebI)QrBNN=hy`HOJ~65M*vW`i5#vTD7n*rX5|Y`QX3!nKS13u-=Gr4G z6Xl%hUX~ynk@6N!BhD=oMx_f>IU&qXC@K-bj~&t13t^QPjBsT}f+21S9r&0YtZ{t4 zX((P`ncw&Z!$|%yRg@9X1VJ2tx<3xE4CL;B*%dI=WPH%c!k!BOHZiSKKR0qjEg23g zfBDXy*C8c@HZJCDRh9iKz6zme6C1+0j8r;^vxSp`V5T`34a6o11aE-H;PSy-rWR?A zao89Rbw(us5+gv9O_m3nKNHikB4}ahx~y4Yvo-I|^ai+idHJ|3i76q*h&(wM7ZwzE zQ9>y6r@{hf1YSwt`sf5iOGiEd_{^P5S}?syVgqt?^3m~2C=2~p57;#Tjv~Ah!4esu zHZ~j9b8JCi!UQ_bukZHueWOM(D4XUOkS6)Wo>MmPZWd&0%!A6VxPeNt_4D2<0QF#+ z_f3T-f3Kvs^!{&9(zE_oO8P&TVaG;?7of0K<>~!x$=d_nO^RA}Bc1AV|@`mWVkdpYDfmFAG{Wa&@_7`uWiSo5LIsFGUiz{716lY3AOY`*z+g?_qBU~ zPHinCmQn41$?H?h3J19pOg0{=c5i?0q<;@e%pu0sc0r2aV%!^ye|-o_EFs0_BgJ+U z?w4TMDiGF1aUdFB9)pFd_VI@?!HYF6TYPI6g?^Y*8z!zLv~w!j8?Y@Z#Ej%rMC&$a#)7E>84 zlmoll85P7VpUkhnr5J>`2Q8@IThRE6A|VyQ2bSj>vwX@>2Ip8u^uqg=()U0mYvAMBbdLJ4a`&4zl9;;uJRa?Ml=LKbCZepEwPLA{GVSoS7f4^D~rTOFI+q1=8 zI+U=pT~%#!t+J8v&h>PEG-kOTx61^C_r8!@-N)dHSaj{b3*v@~S=G%L##YE>?pdKG zpkuBsrQc1yV$OyCEVOX{$c5jy6;?<^`1n?vAImK%0_3K{-8VVRGwH^*b93w-b7f%v z>2i~fN@iWkJyXMK0O^dsACT%{K03UB&JJ*cU&Ng!Zmdv3u4Fwo6c;-U7wJ!drX-7zUnfvbF zF_!OGS6FjS1W~yOu{98~^EzGbyDeft^EWSUn~wHiyIZ+EYja=txmnnSv0NOG;Cg4X z=M9PhL{)~g+T|8G2{%q)c{Izf!P^|HDTnTex_<~lFL6wroL4Z|*r(;WC7NSP$iRMxK&cSz9a z)3YrP<`41|zN?Y~%eao+aADxpp{$#}sgU2+ohK~{Z0Ut>zfyp%JhNI;mT>;N-Dj~3 zs(eJDt9Qov#Ah348Tmn>*tfvQ43v^Eqb323b>O^R6UE1M3CAt6AirqJ@sB}HapfmW z9Dd1@8Ov;-OWL)RH!g%#1o#Umg|meG$FNt^RdYP{obH(ztRCDd4^NA?<0W}97AW~?8Uc3^qqxGkEd3i;Cg`2!c?BI;O@+rb)|hsGdf2-Q_mfD)Ev<96CZG zqdM6!O01=gSv{n!vd{YtBSemd_1=ZmX9wM;E3ND1`V8vVR2oc>Nx3qoWOvP2`HvO8 ztCd(@>!L#IJ?JR1t$qN^(vzva{RK`=vZtpEKeLpp#`BfRw&rjP>F{_BDcnyP5*LRW z*^o~AyPNq{ZM!&<5N)L+r<&_b;4+wDh!9!{Lbq|?;dzm?M=|Z6N3*}kJsx1iG{8kf zVZ2k4Y$Ql$4do>hEPxfQ=|FWDg|;+~hZ~WyGonfXE?GdjE1fSiMy%glTi=f*AAm1% z+uf8NwdP^CnY~X$jHW6kx8i$r9`{(OkJ~(PP2V3#eLS=GN z)N#_(<$B35WMw+UWh#pX^k~k6R=S&y?&>uq%lL|>na|(uap3!jc0l~xOO4XyLhSL$ zYO0=Q4TeJuZmjyPRCKqElM;FsCyF_nf<)iFT;tid*}m6)p;cnXK*NI&ozG2bI9ob- zn(7P`0z;Ac#6@ZtZz7;I;tXqr&+Oqsq+?DWOa;TVgtB_5YM%ia)%%4_@w&)59A z1}+2V;YNNT!9RnZy-dDl-gBt0gIII~n8)?#UnijVe4Cj9bzl0e1F$ETbO}&QAXS!J z69T1dL>q4^-f#wqFkftL-d^%0w$pYHZuEZXeRBeWyz}RkI2?s=SU?beS`{MG-*oc6 zCg5?dp3YmpPgPC`lW|4ywrlIjN&)5p@u4BIe{> zS2WODP6_W@?M$Bx1?oIDP;Dmc%BT#kuiYy_6`9_d`zZMXm`@%is)q(|B2)<VN-3(n?Iaswhw|b>wH;JO!ox2=Gsf7j4IO*0f*7GoQ3OGKMLYAd-4faeYB{ zgkQYeM_|u7oJ@%xW09m!_pd82<`1SfcewnZ(!ZAO6DuH-taM(#S(`1Z*l37k$Bc!y z?Dezs-7jZ1Y8rxq5_7a}m8>nm*w#bdg<>n z^eND%z<^YciB2|24Hg-KOTr2SmDY?4k^>G5*Z(XrL3+wkbsg#Q3iqI(RVhf+I)Qpf z%SOw}L~<}*9%2#8@YAjgu9U^4cE5+dD`o$f3Z#zNYG+C1Qi5+A9$i=GWH{!k?ep$* zkc(CHEoGH0O$rh+VU!i$Z5Zx`(pG*dUh7dCuV-@66=~n#Xx*l2XlA*4jP^zRK$+^8 zirb=E9+Yj((9#$AX1DH*#J9?LD)0(pVE&hgy9vPjQn)R6g^kUsh%F!Ma^Y?BiS$Ha9 z%Oyw;9QX&`Z+W@Bx}#n^Q{@*Ogs)T*BU1UydXUvr(Lf(`q{#sp^vF>{6MiWu00V$& zi5dAIoHQx$myBJuN4`eMqk8My1DRaf;a;EoWr2q=tTi#yhudo0eM8Slgu9tFzBqng zND5ps{VZm;=Ov1^PKr~fc?og$b4dz(s%Fmblt2wLH`Y3qsGa9Cp?SHdj^;$ctm{?s zN8lMEk*i*Z)Y4GCD^@0rFht_a8d|1s!V;1Zqis(4weF{X0G2$6jOahTv*)RwcTNf9dmqZJ zvmf#nR4&K43e*!Xtkcc~coS60gcV}^>Op-xbiI5*%hiL%E?!UQ6iLH8zg+G=+oDw0 z*71lH7OvzaI=W8Efjok!42vLjSr9J^-$<{uwPG#_F%yYRU7`~YC%qyi2m7EHmW#1H zo0`qtg4D&FJa=qLQmt6S84VdHVK~j62bg zat#?RM4JZHo`rVZ;`leFQ2m}%CY@va^)S(Cf1sND``f#ya63Kag6lNlj-li{hK5) ztnG$b4m1Cu+JgC?B+xuV8pjKZY<>(KuvRhQ-4&1*1fnJI1 z{pIv9S5Pq4c1zHKO~&B_P893#EaN1+Gg~bd*t41k%4$e@@Sj1ZLqAJ2x@g|oRr9)$ zGI=?C%d+ko&~kG~53H8zR+tRA7P0#E&@er1YJKtDhuB*{vl2 zi2g)GB96&HTkm<;$!Oh|yhUICBa1|?gJ@&xm;GCs6ff%UZ~WS#_&c8zs)a1GROR%;Z@*C`jaei_>;Qj_8mJVu~|+iHX%4HxA(#k ztD9wS1y!DpH8D}i_U1ug#9YP3r5oD)=JM)*p~PhnhKK>&ri?UBV~)(`A^R*T)2tfB z8Qpc)v|pLV*)nS$=T^(lg|UfLb|-#Jx|#xt{h~Ou3A>{MkZZ|Hu!^%4}8SJ3skyho)E zL}?mcL0eMG#Fq{k9VFoMi<2(X25{Q;jWT?TxXT3CtAsF9n_!FrB1ka1MDg zMb}5Ssm)MGX+ouwxu492?%i%@ypMdtg9)!iFFNh-><(+*F$~`GR%?tH@g}IHQBPI2 zuBqb^>z}V=H0r738(+tzJZnN6L)mhw$NT%lYPOi2g2?ciAjY?acRz2KR8Hp1nlSj< z4y|y+A+W0M`$@T=eVRFc21gB)mWa+*xJ_}fkY-VZKMbRZUU+C`=4q|X&^TXLIzri` z7q!`f1z0;W}T;2Q^`~2so;RcM71b0$GOPAHVF_S)Ero76(H>1abSP$50+0X zHdt4CeGoUadX+8KApUL8!u$+pXya-wt=rugQtx01#2@fFGJXI4FuT#evKRT@edL%jDp8lO$T(h&buuk~Pb*J)YRa(comL=KP1 zL4x_onSyyMTB|^VlPdN2C%(mXs(=50 zfnp@hDatAG*=$dINux1xxBo-o{06FTp{57?ZP@%nhQZq|4XSX1mn+2Cu|3#XH-agD zQW4111`S>j1*~G;AaE^Yj`28A(qqs}T)i4TiY}_?jq3o#8Y92oT%2e9-H$PFzWZ@c zqjFf$d||X{5Dav$I4N-dcTy?Nw-IXyT5d#Z@j-|p#tEioct}#|ju!R~iS3BGyJT7s z4~yE31`Y)^JoGq`^H$K^chy^a|I-EVN=6jUhNS(mwR*ZMl;S3r(KTWsEm_ZtxI-od z6lBF)hp-YY??J3H-_U}3ksN+dn@OFpMav^CPT18Yopy^6FmIb{$_3C)b)D|?v^~hj zS+1F&))E;;`1TTyz5bDI>M^&pNht3cWmh}O@87nx=Wr{?HY)@=ZYbC}gARM{IfFGa zEs?u0&^ycB?X=a@$+9!O({c#8#W%nqh&mrqmbk?=we2T!{`Cm#C^1u}A$@shd!y z>I}nL%1OR>>wz5cX&*_>wa=y~D&FSF4@0HA>i8{ZYZBwGeg`6TP{ z=MMt1P;L4zf(G}!WNCXiw9NPds05K*<4&`=JEy#%4UR0d`3 z+1umEuTv?2%9xs{skj_A&s#VL;kZ0QSZm8?C0Eo0&cM%f=Y+H0cc2{UWI|LrGmAC3 zkrFFB3uO-@+uVr0n^l!4*so@IsmzIMZ5^b6)*ii4TpdxA!xve@F`tmSoM!=paR^a4 zS-2q{xFl923v5fs@f@C5qQtm&*euv2Uk=`+t`;8nb7@OEX18uf;!bX*gM|jEjd!du zs)*BaLAX_t8znkjoH2Ys4ZoD2h#G{eVgvZMd;{3@-xLXeg4}SOko!w0m!HLV%(}(R z{oJ~A1*<Qioy5Bq{;Us3??!N6$P*HBPT`Z*sC!nrrMKavu8 zY8k*KGRoa7(+W+EIwbJbqr{so6m9QGg#9NATD&wdL4;@zBXAwx>|rn?8^wci*mpz9 zd8VaNvM!cgv0|9|QIk7j>ma{)|7lH79|5l>~Dv=&Pr)zS4Tq~AO# z2ljozldbd(Mnair6!JuJN-&P}QX$34#|u#r@r<~6sd0zR%*vaU|BkL=U=Fz86tB>InjJ+}?Zy#S zS11Wu@@a~;04I4O*uVEOC^VZWQ=CJY1cWFSzqwRHVSRu~6Jl|jD3D$>PJ|$jz%m}^ zf_4fL$!G<2&aV2jHtQqp>+lYXoF;iK3^D(%f)$!R+!mv*hp)`KcOuu!it7x&G2i+r zxcL<~mPyN^%Y((k3?YkGAV`G0*K1?1vo8x@7lv%HxGzZcnAFZqn?EGA_rGp`CJ|B>2reU|BW zD>(#K?R4jqJ@_`Wa4u_b?!KdL`ZF)B>)UzF_z!E7`!B5#Ogr<)!nKdaJ3_Ae_^LX( z>LqE)BGRI!<$1l~EGy(99+Fx+UX9Pu8IkB_;mO4Sit4jexrxWj-l#H*&LWRxO7nrEx>63Ha0 z?LWuO0rMHgW0PNWLck++RB@EJtKC(}ztSs?cLAUavMj?pQ!f0fBF9tb8^qthBzUoj zkCbm?wkSHN3mc_bT&i+OET_+Yr$bpC8BHXzP$f^k9J$gOoWjuNDp2(z;R_fZFuGKU zN5{M~{WUy|S_opk-NLb5`;R%&n6w8&tJN+N!w^KJ_;}`m#a6`GqS9sx6c*MyxY(Zn zaAadGSY|j3Z{S?#8W!|<=iPSoBRDA<31%^#0v7EV2Aem#P3dADlGT^2!zSV|M zQ$GV$fl-MN;ak40@+{AL)crN3D!xZX+kDe>osrqAdL&Jzw0#d^bh;jK(vQnPj-k)bykE zSzdysm3+D)e2n`?`5@6F8``IpL`1CbcAK~2)YRr|6kZo2z6xAXD6sYN2n@h&lF1(F z6Q$*qOA88J*=$$Bh1Fg06aURlS;rlqTRUbfZ*%Wy9to~4tFT+yj+D`5>t@=$7Fvxj zNZOZ9mDenLd|ofNzNyFadB<2q5EY| zGLg4Y2`qyn5GG;C0vf8nH_}iH#vQwN`*k1(z_-!`=lxErd(9`jNo(536Ox<9p|#xt z;VB5|JOHt&-P5emO}z=ywaJw9y(92%<}GKuIdg%N{1Z9CjI7NG(hE=}zi`G~#8}v- zlWg^938InaZ3e(AjZfOcRk14QZhUAEtCt9Mm-vw(y27?kUtWhoAW!LoEl|;Nw!ilV zQ#X&P6nS`ahb;!?rtEe=p#LB&HmD{hE{=pC~orGBwT3*esN zuYHWiOmUfeS7M(DBfNNfO&#+SSp(iW#4gw%caW5ipu}(@!U+oz<<`)xC(|RNG@6xF z2u^xj)ojmUd@5?y1Zc~g;HAhy2V1})yp=iHTbGE67GS>5G^}d$k5v%TRVi8^nbM4% z>`ynr)iuO-#2oG^2DmPw2Dj=#YTY@Hq5#qn8+oYpLMTk7yXfd2BsIS?7W=sKJkplA zkKpb~RmmQrSlJi6>nxW^Yf^%-&xCOI}z#aM4m33)>bBS!;C%}Q#H4PD0V9EnAu$CCKE*ZH?6IJ23KGl6<6+yK4r|5h6jF1 zzZ}j`Ke8(~^N?1bN4K>(`z!Gm0j`!_0mcIQWD*WaLpO$B5}=6NKVxDY-nFRTVn}a1 ze9k|aB@cb|)hE?He(L(l@(`L|gA5V(5S1gXdUZ!}YBzjy~RN$ygqXU4!h|7Bx zy+>*Pban+C&I8J!!@K&8x|~IVB;idUvr9|tuY&H(%%zWW4c?METu_bpyVDqwsK>R- zWOI>Y;RUDw3pXpTf?G2d6~?J5p{ z?Ld>B{J{3d*7cyxX*jzHBCo97MlR^A0uO-)#KZ;Wt0PeY;OD9ld;0#@d}`1&u* zd$Xq3u9o$PY+h7=iMz6&7aXz^h8|ZE`5gkzy}#Ez;qGV3 zzctO-2NZ4fM=N`p!jwTojw>hq zX*eAkw_uP7D=zTx3k(YgFv|(|KRx^ZKzsi$2l!tk-+yv|?Ehij82^7$TVQAYFR=0d zpaR*Mn3(=cXF^Tw|Cw9(Phyarh=rZ`KQ!q7UIlWq{%=*_e_Z1K^<(tk(hF?t?EfPR zw0FdvK>q%1*hDx6;<^2bIry)=;E)ZZa1$*KgY`YAlv+2KtQRGb8@T6f;c+KLljJRd z{47fUWZ~fG>2a2|uAjT>*wCPHRp;}^&bj-a93bS#xV$m(ewFUi&CT2KS9bKjROO)Q zT8cPqfoXasuS#{hi(_TGJA9f4>7thBya}E=Xu>YAH_L+x)84|p45mvHG2uheOS#Bb2TN_jFzLe) z3zy=dfp93s_r2Cqvx)n`E24^`!#-DU{U0u{^nY-H_5W)ZC{bke<-k(MXk@BZ3c>t4 zK31;9Jc3#zPL%?|%dLDtxYm?atbqiUY3#sl)*NsBau$O{TVqp2jM_4Y=w>n`Yw71j zj*Gh{&O0NGRp;#2U#EXA(6sLL@9w&<=6Ho?f?a9|p`Fc)!*OLyCu9 z4b`ZvQ4B)o74ImLjTXi$eG2vBLK<4o5rqln; zu_c<(7J$P~RT1Pu6Oy~AJTyX1r#S75U*Mz+{?+CF0#+DPWp{5*vJn4KsDbC^0x6`5 zai$`rDDz6FZ49KqaL|V2VPt~Bw?#W$tM5X@CbzK9Zwr1tnf*(-L9C*Ntr0ph zlyk|MjP(d#iU_gfdIoxb3d)|646LbM_*=v|&pRhWIxqFhTXUlk2*hi$-O`B=0XWiONAV z8DmL16b3g`hg(5_K%@}YrapXO^Qs1*a@byYYk37$wY7a(nZlNqWI>w|NeBl)yeuse zt*W%fA4^ykwm&ux1L!X%hMv8Z&x&BROl?L<%(%FIj^Jee7=n5R#a99AOT9=7VNT42 ze;u6{9G~NsbBn|&dirBQSktH5 z8rw08c0uK3NSEt_trR5s4VZ>{R2YAeWI6uYY99@^N*7LbUA9wKWHX@h*S(B@Evw;X zUV}BqV@P#A{Izs3{$Ev~L)VojU6-oUu*1+p53#xlJ(n{Tm$S~0^Y&|N5EMH{cV<2V zR~b6qYvsOL=H`)-)9k;)8JzMC9nV*6=pdG9$m(8$S*LiKXUd8L9(;oLju0KsE;5Cj zI6Q7xGS07v{F6;^eH*F>U9pY2uEo4lqdZr%n?&lClP`$j_0%g)nR8I3CyT-c z&&_*S9uZX`W~~A>9vdaTDvAQJl|1*8>fpRN#c4YUnlgTN9aSMe+vzjYX%-opay2X^ zJS?T00uL-qtNA!8y>yE?72)dWfM4S<5$Acl5n{>rZDssFqd>NBmh?LCEJ%^Ee?#e; zmCrC+_4IRpxL7YSO#+o##ym@>|3;V^O}@~Gn&)rPs~iSOE9%GjEVlYj3zfEVD_D1X zsh82CC-|4;wTl|=%g{wcO@-k=0{1brx{#9Im=joGk{6TO9xPabaxsz;!>5ufX>mp~ zk@yKy!Fi>ovxuXh4mT7bW0@J($;tK2R1LSZAa~yKk)i7zulu20?ttz$6K@pGvN;wV|#(hu13x!^zjh zx%QEl?$I*{{PceP-z?C_vtwtw<{t|*XxKH}t8ZWT?6`b?YQbzJ9jcs!^#*`P5IMK*;Nm1rXtH9)1qX^^?``W!o8 zaQMz!Lhj#WX(M1`$oIditDx6Cn=EXlk2#JzUK?dr+B+Vbh}jc~TM(1Dmz?|_$W$qk zseIsNwzP5Oxy-p-rvi8RSXv0l-V*5kaOr=W*zMRv-VnzThlU@BgC!Cmqv4^Ezg_0@ z>jF~wT2UYeHm`@j&0rW4xy?k_0KPT^BbBkfHPf$;ZZIj5E?y?_49<;o5 z2P*k({bm*;Ty=1LEh#fe<4+gp>nhDymY^Y1ts#;S%PKVD1@B7&_9s&u*&QYt5?Irv z8mM8<(0tdwE=blQyqv;$tUup;&#dC<2&a;MM18Yf#+~ti-x{t<)`B>eC!S}I<%a&bYdZ{`pb4YNdC3|nDQwa)p0Be1t;`9_x=eh+~;9<(jXq=4*HInmX zt-m&gO4s{GQ`A(A7sm>$7^4(&Z)_q? zQG^blADw?v`whQ9ph(~P)M2Jrvz8@YKf1W@XK%K16CA7P{V?qhHom^D=0tA;x?PXb z(Rld&Q#XrCfFI$>Eiqnwt1da8pBq<>8s^=UwvuY8>S&${lA2ki3&$KJTpm(| z1<*2ceJqBs{<2DwtS@GR>d2wy_wd?*mCs+G{AN%$Hb@Y(yC>3nB2f4lnkirpjJHE&A7JM^vryX){bH_lUqM^KU#7zj{s7CV3!w?PL`1 zOR4mu^hfP^omW1z$7{QJXCu(37y_SU&%MNdK4Mkh77EtWWk>=1L;gU6bnJ>l=t2CU zw0uoX;F^Wey1_L*Y%d^g?cnQvYQeOG_QP$)%%eaH>tQwhRHvol==GP2G@c(Y^+=ro zyn4Q&nEhcjTSX3NbWZXiiX-j|N~2o_IQ75&T8^XzmrYr}SlO_htHvz9R}NbXY#qCem_Thteo28prIDcf#%c$@kKC9NXIJdGg~L+8T~Hv#j$E`8&L|cCuCr@4EKk)Cj-w zae(B9+qN~e;;^uYVZAI3-s;y3t+S(dzgPApBf71H?)q50g>n^9vyDwNuG4v9AN$LG zh^P0S+;q<-c83ZJ%XUKK-g;aCCt3?2%i8Yr!!q8DKK^lQj#q;Ph;|R4+@*>&m(3sE zVDSTB_tbZo*xl@S3*;Kv9gIA&uKl}%#&%aOwBtU!mbI)A8oaPhO|-GA3gsBV@$FbS z3N*1NDe~i&r?(+lN-i-?aq7&J4b`!$h?-qTG~*!rdJ;uLgROuKhta;JZ(pr1AL?-4S#lPxrg6xq+fONS6e_6GKXh_v3v}}86l<2HYC%|^pe8d< z?#&KOOyKXbOFY9~-zAnIdhvv?hp^O1sH59z>r3mQIF)juE2+qDtty!<#Dlhp)OoEJ z;-3|=gK|}dVoXnVg6Q0s`6>Cef^WI00Mi%Pg=lbMe!jlBN{ebgxRe5#2kA=M%CEZH zP1-PWRpKyolcqxAG*Ft&TDWrU93<+Ii+ZcUOzo3)F&_^Y1qAC?;3;kJW~D0j1(7m3 z7nwPklJG5_ZQs`kjqnES-(Wjd68J5joSY*1E<76GPGBPMNkW2xD2vE19}GK^!L;F} zIayt>m?Ag>z1;gc5@QEYCGN0LeI>mSx&l8Tr1^yZ6>7n!0*@Ow$4-5lsQ}0b<1zRN zR*;RxbT>N5jd#(N*cLY-4N-nc!9o2aXkj34jIrn|b~B4U0|f|1*K>k*uLM8N+w^u} zmx+;) z;rAqaZ8wQj4mnm(taDAlXD^Bb^>>Mw+Q)4REYL?hCxwEa)O7zs1Eh{fdIBm5MQy@} z->D$Cff0w30;b*fJ61f$;HkQ9dmd$*#c4V-VFEP7O2*ZM=rNTDNa!YT4Sy`_ZJl^k z-W?7^_XYWcW6|cEunvQDH^yBsxO#hg?vid`H&DFfq#`F8PeNm3fd3R*5J|Z?j zt3=%$=(C#7I=L+}lz1qsD0NIc)!6SaMu}EkQP-tykj+#~cG}~+{bGftFoFY?P~PS2s)gy z)sGt0HM(iP@*_mj%b3_5iDp=2_5ez&URRWwo_)RYuRSSi8)}3H{aN0HgN3hdtYyY+eu;TibpYVJ@-S%doaK5 z6~yilXe;$c=Hr}$p&4h89(PBxE01FzD45gOoXbx|1NINSyI-a}hnKv7A1UVSos6tS zj>goOnhS;(H=;BI!#E68(t@?S#F<5l9yAHgoM4AZ@BC{VDqE$PfbY90>mGlecH|RR z<)7+s>8$vq`T6dTu`6pg6-|GC7<(Qses|NEF6CAy%BnGv!vlK-azYNU8y8>42Vbt9 z^j87UU%I#j4qrq-ie(ENYz{Xla@qOdcpAZkTs+;<-nwEvtn=UYjCiL)Y(!|A`bLdy z_6ASipUPtKwuwIBZz;K3ZGT|XHK`!Fs48jlW00sfR1Ym6Ccug}fhUtMwOH|o)%1=V zsmn+HmFflRWEpz<1#eD>ftiBdQofww0Trnxx}=~&B2-VGwH-~~T&YV!JyXN9?zP86 z=0Jwu+r&iHrn&WtPM7J%;!p_V<~-CvavO6l$X}-A3~x2y--?)eoRl0<6WFv4CmRE$ zy}7B=Rjt09f3eMYbCz0N)G`Kci`@pS?x6(7iP~~K#W~-?cQZcW^5k=s8E4TCE zT8O4G3HxD+rflZgV3Ivpm~aMeu6p;OBTMAR;~tDyZIziPgZq(Z7D1zB$!D_#uMoD{ znhCI&w%C#2(lb-jC+VP}qjA;)IGh7mSX2%-!NPhdLzMhF_C7_}M8wqToGT~0y8$&5 z5v(s3KUe9pYBD5K8YbWTXRBOuSR8;K(-VfdYsE&XI z12ZZ`pMALr%`Ti@@#l}QRVYO~_ssE*NcY4}?(Wyi@|qpj1f2$TLcZ z{u$B*Gw|hLK9uY2XTNB;>ryEdv$41akNz0Ki8|(v*z5AQ^o=OP=r2?VT^Of)*mA)4 z+dt8zn}zZ#61Gp3S60$G{`abwBkTVYNdw@_Bt%m$fHnk9G~9p=F6bAIUL0E~lK9>k zCH9;#cG86#@*Yv9!VMS`eZ_&maU!M0YrL%}Pdp_%jJ1;D6b@BB0MqtRUsXMzUcSrf-feIvF`WGP4W6td*g^#-jzUXBW<)l$ zj1`v8YDtITn}|cEVI{3=e@2@;hWdpkcTtCeh1mq+rG0ALyl_tp;=H+osJjH-elnb1 zY}p7*4E1{Mm;Ek+wgRAt6|{#0brs2$xXn>jLrTta?*2-emrv1xcbu6~IX6y7UjYKJ zO|q(F7$}b+`N8>jP3vu{EeP$4K}l@|rbJEApmF+;7E3%}Gf!hcapD z^W~;wMz@rE37sy~Z`Tn&@C@kh8d{+aO%}UesOOGpu89hAMO&#tUV{s)$HD8r$@Yt? zoIIkv-gIQ&-{W2GoA5x_$6|FKmvf$J7gZ~jB~?6f_+K9<88MCqLDc^0l|hD{p%>^d zF}*kF_YmoUnH~Cab-}8yO+AJs2|BYQK+BTU1g$~^P8@^JeMTR;k+&qCc_BNqIfk(G z-w&(FEPoY$-fkGwG}E)M`h`u1w)|69^l>Gmb#G}P$KRr=AwrPFq);OwGURGVyzXSR zA@hcRDdjU1v7{Sjq|TLnX+rIzy1OT4MC@xKzJa$QZ^+w#1%sdUe1_pZW^07cI*}B& zX(@6;vr!!5C4o0vYsqOBB~@6oN++LtMq%6g)K~IyvW$Rvys0}%dKtbHVhuCoVjX%m z5g(p!GbKJmGL+v(QvNEEq^L4F>S&Cn2?h|E{dR6q*g8fi-o0fYk-tx7)Hy5rhaK$O^;Dh_h}kw39H#Hf@p} zIhGlo3YOSEsKqA;*}qBL+A&H|Qt6k996DY<&eI{-s*WuL008L#P2QgWq*)@Cdjhv^ zh?<(IHxoGm_!)kAtp$M8nFeUBsf|IH?U{r)I?dX6d$EjM>4V*y+F_%Cj_^S3(G(uA zzoHCvRC}V-PA|v6P)VQFaqL6})|ly_4=En*6*~-SQsU#Mc`Yn9orgnEU_~-Cj2OfI z(f?q!#eLYG(BjKnAEr{mKZM9RwpNO?3#oX>m0(R^3lGMS`%4I$^v!8Kq2F;U5uFM# zg>r$Ngh<`cJO09M9db+hpb|kzfyLWQd~DKvUKd$e0e_Qdlgc)FM@FuaUXlL%5=-8k z*)GeEF6%vxXw_9}%8BHi~*U<^+eAJ%+48-Xnm+2VZ79Kv*n9PNxuT!IqdEsWn3 z)yAFx8%kBsqqd0^CHImlV7UA&Y$tcf1j0QCh!l6PoV4xJx#dy~a)olppcTamPzmdV zi$aEpW#A8XLEOPh1r-N~ZyWx=wg-mTn{$IkYODtx>Y ztIkZb3DQD`H6{;@dYcCT@#t1UBYmOGszp2?&y>>Z@uG1y^9Ua^)Kq>4E;^(9ddf5@ zX;X4j1qTv=-)Elp55iH znZC-XlE1}L_QtZw`kQN+E=W+BMHJ~>ViP%Iv16k)i0-nCLt8{rp=AWKjYC4QQj$sO z7BEk_F}N6`vG$cZEU=9vl0S(2U+hGB$Utjr2yD?P&0r1YH5NE1g4_*3z9v-$aUhTr zsaAo);rwQw!D$L0V5>f%E-P)3;=3EVBHLB)0;wu`@0Hp>IqjJD#V2krH{!C2_9w+H zF(w2k!edSIai-(M?gO~iqD{9G3W(v=S=Ih%!ktvc0w^5js`XIj(>P9ha3<@M?n_0%kRz?CFq?SLBOllm~y6#5GBz!#dQyo>o2Ht86{)x z5}SCfCgdEBB}WhMrPtJJ^@0&0Wq~AKl_H_489s+G_zf3_#P_7)cc|pWz9qaD{NLDMM#%eIXtSa8n2`Xa5 zmz#x6`zc@M<7-~aUf{c>7M=Z_pvRCh4QK}qXQVU+)QvZ@5I^@ z@QbjrmABRO0mErsatx1@WipAgTXCt%q5*iZ8}op|39~{Po->x;1V-3QjEv zt1t~!zHbTh<|}U^-(vDqLoxByNrh(7RjhGux%nx%_=nIA47_mgr2>l4qv>6oi$XUh zgnh18#qg%R6z>L?_O(j-aJYsV$B)!7tygZfK-MPH+=eq{g|@&@fPqpPewo^wtzCk~ zPA}Kt#JfTt(|=>olUhZf9ix3D@}M(#sH~1%DnJJ+2TUW(QVz+yEundXuTV)g`f^NB z)|zSW2$h})7GF2gX@?Qp40Vgz!om3l6g$qhgS2EvDQ4rIDvMYYfnJr}$+R>J{&@YT zQ${%JXP{CA6#z*BW>@ZvlO3~n#2@5m^RAsaIz|)N6kUT3r9`RG!*49>|IxEQgz1$C z$8lAT+pT#db*N+`-0*;b)ebZqa-)A{TYa0AzCtG48800%gBr>~0?`9|9acdOyQE>8 zb!PUe^T#2{Uev|lI6BQ;ET%-7gia%6+H9ygI%aw7t1`_lEdu?(y-TWQGbaRjgN6-r z>?KgUA^oH~j1<)+e zXcPdE#?xv!Rg*FxdlIt%MRdy zwHwB+iR#BXTdGl+!`0WDy!7XpVOBESy^np-bd$FSxq&k^Bhr-#n3@zJ4@h1iL4J;o ziq1d?w3N?9PtHvoxXM}VAjdQqJC)qT^Vdyd%H&veIO__a>w1Rkf5VDN3%f?8k+>I~ zfVFLw6?dnp!=AA1v;jpIB+7PE_iwzuCU9yJG7_`^;(Y-1_Bx;lx&+A@;`Dg?cXba` z%KTiO>GJ1l28MByz}UeN8kX@yPVtTMMstEwR9NobVtS-1MYk zbV-vM^Gbv_dcF2fv5PY0h0Y|+@uqW1oJ2$E$Cwjl@Hx&^NK1;#v8C5Qz_w9Vj3d-O;I zL$BfyD$OJuC5D=-dc%fVGiCC3hPD*EEXI>a#!_9nK952A# z-7`Wj!F{0CK18yucS4_FES0w4w+E~&oaC3@X_qO0y5I(}G>V55?eEx3r(P`s=D{Gr zH|b0nX#Rnlu_CAP%=i(~<~{BDRRrK)8}whd9nv{&tDmJxY*JynOd5S&z$pm$`T*16ydT zHT&^m8Gc}Jq{y;)GW9y(f#B%^ZPt_JjuKGhcK4Z1b7y6 ziWgoeXC?j_6$s)XchOF`znr%`8@FE1>*fS@^`6k>RMU=FDwHnreP$gEQ}?yhL_Ef@ zyGXbFkXs;scM-p5x7yukO}U>X_N~Fftr*jjJ?`WHd3&63i$W zPFmC9#1c)%QyPy{EITCmj0h6mcXcH(JgkJ|{@Dnsblh@71c|})y{Ne26V6LR%Ak;V zQR+HMaXARM9ZAD%9L$7*io!2hRX%)!!DNOrnFq4bRNm?8uVGF1KaQHfSWNZ;Xy39z zb6>e9w^1~&+<(A+%7;)sbWWESzv9yXfxDMw3>Gp!4gkka&i+wf9}1co=A-`2vO3n; z)97>EOvLI94DMB%tMw6EZly1&SqH$Zv*`T_b2f}uSUzj7$~u_tJdaL46RO8MWw`xE zBTr%72H<`+K#I&HM3I?}KL+R%c4@*8LJPB#^qpkD3r%yI9~_+Jhsf1?#w4V#u$bM(S^J>T4l>n)*cZXL!f^Dp5tN;mng{m($aSC@pB3@n zDa(QYcV!oR!)D;tu|`u-GQK}J0N<-^lq|rBP0&Y$#j<$m(MQ)kH%+Pt#1jvA?f@mX z;Ft%ibKf;|_@fxD`$Hb|6?LCOt~_8WJLm+q2{L-f3!tq|PTds^yfG)EP;9uT2FxVS4X2F;g|>L$F{MXD3Q0i|Fngv!B;0tBIcdy^ zdZxP73>#64j;1h&k<2|%QM7$-K zE|9Ktiwje;p|#B>M4&o?B*mE1(#u1iK!Ng32F$XD!6NA0Pn%yCIc(!d&9*Pzv)|NIz1e4zvC5Q1+a~yyoYC3f=AFmVHw9WA78qHN4`!% zgWNdSrwt;qM>w{&Ch3QPgU68laJ$_~@i4kkpZnL0*0$QTN_s;t3_{P-y0i=6#z7#q zpbG|msLG>!J52rt-L`-q+ELPD81L#{VTAnx3GwPrp!zaw=eb#+abJnTAGi05#C~=0 zM?hmA3P?!iW59B#FN7QPDIv{Vk`b;XVI9c`Bvnd6neh|xdCsH$5>QXDx8Wz;w^6~=ih{B91uT#7 z?+HF85ww5NWCk_|=g9^#H_2AO`f&xA&BYU2IDfv2%}>vNgcL|F+IT~yo+yiVAn@Tk z9D5>3u|V4&pAok*eSR&^{{W<3DFgTS=c$2{Z?S!ZSvY!rs1dQA-Y2@O;X9lf6dcqA z{Ir3z6-+Z)zsP~rRN_}c!UkVamrLc@(h~w(9d2OBBFY5CF zA`t5*Az!M)dZ0UVT)^IzX0Ri>bi@k4e?ayx^*RO~+g?r_0yr~g*1%H_J!)ndv;+jG zVni>E0sVUR?m{Y9?AueBLH>RO;UVg-v?xWIYJ(|J*EQQ}EVYkFXfYy1P@5rAYQ0z2rWSB1R6A_^MJ`F39miux zNzAhl-~*UzZFy{CS)fR_YN+-eNRzVLtkr{`h@<7g+L}h}9>%zo?>&I7pY3qa|66RY zOpVviIwZY935hbD7Z0XFzUeKK#*owCW>;~_yMP4 z3Ve=5FwA5LZIk*p5oIQfchMM_M!F>vaw$?#WLf-yB4cko7Ed?Gp0Sp3 z*g-`cU>Q`4Fc?Yd6@0&33B8SEL0HG_U1fuHA(OEru*<0z0zUCUhXtVuc#N?y(3tP{ z#mfLQ!($iM<1Y&Yn*DvwEPYWxvPBMfW#7_g`eh7~gPz%D_Tg-LAxw8Y8rqM1-{z6q zd?tGDLRjrR2ZJYT&y=0!p^N-a#$y+5xn|eC`=csGs?VvHp>Jz5O*= zpE3B=XDxn*(`Qu`;D2zUSn+N54%A{_Z;S_4><+xd3Q(o zfu3=iEAEHp;=4l1%Zn~K4w-ZA4Th>aley;JEOw#~Ir4?(Ug+uR^R8OHa$`mzVNA+Ow6}JrY0CgkH2t`Ty@(Of1t1hex zp~YGZ=`MFn6w5PMI<_va;%fjjJA%i07GO>B`tnL>N5v+TP>opehQH3HJZjN~W)>!U z{MV*h`_~%U*?0rX9$iZUACA_3%x<1+YJLEC>?4}@PRz-^A-Uzf2t4*_KnbyNRIH||R17Mpa)GN7v{J6%o{3ou6 zcvs4ul@B^$$(OdkROmmu4-+r>fmwoMA93Rv60b6^P?XA{Hb*2u5~14mKW_I^^~~Nx zD2hZX%lVWaTgwLNwBY^;`^df?QtF|2ZubK2LC1>YLiQZi^?&jYY2hm%X>bl`qy0UM zS$e)mUDlY^!9S6Z6bL>;Y0-Q*KIL%V?gHB4vyMUKQ8t%iF2iN*R$}*Fv$5>INcQga zzMR-yB*jf&CJEY;0uTYrc`ET5d#-`-OGoEsn`z&pe7p$W>)b0^e?~7L@oPx8Nyc?c zP-Z9|(_OO+6AvB?$Lq6R8hBg6{;?Tkrpfge$EmkzI{Am%AH2a{-ZwV?rtQk>bv#pF zJ=D^JPz3s$s)$c6NhF$GqMrW1;lX-6eI$8mCFfp=ia%u>9VklqZ^b0_O+Tm!gnbax zJ}}JLN>Q5B>MTMn?3N@Gj<=1hZ5>ndII1xuG*f7^Yj23SC1Nv@(8Fu{!ERKVM)}Ci zz256IRz&tBqStl?1BpZot=s3ZhY{H7AyWnEZZT$;#UkheQ{hU=$ALT&FLE}rG6i!y zeFZnR%gfM|hvmnX17xoztj_JSM^#%R^0#zk2Lp|GZ8+{I*T{OEP%Su#X0u%22t)uH z#8tBf;Nr>3Uk6OYPx6u!Gk50a-XMZi_Fegld2%Lbm5_p=!EO6 zP)o>AHS*iM&+LO0H@kwuX60&OJb(G!<38h(Z>wHyGCnigkU5O&GeW`KWimi4c!~~J z^n}{KBGnGo7cBIkCT|WKzi;Q7P}^f3q6T~iK+=O+{|w}GT0v?S&mbsy?5MD99s|kP zvISPSQuP-WxwK|M6k9|fvd+uU0BJ2Wccp5?)(t z6v6~k^2}oNUHNDe3^v#lJz5xME>M*|Y(OGpdj=+nIYa%VUmra9D();gmyxXELkc(# zD`QVGv5Fv|NWe{uFzkCHcYHAIkPBhPy@A}=qe%99e6%K;9 z)?5+GV~(34R;0J#39$Kbkh8KX)6@eGTPc7ovVBEg4qeyS%oiy^sj?B8K-1`94_GE9 z&aA%00ao}Ac9;?ZR_zR|eSvDAD0>3nX0n3#0UuHN@^fddgA%*TnhCjR6W!ki_#NTB z$J_?^BQQCc{gL*0SeSr87Gr)t^o@R9Me%x`8wehkSeqs{)#-#=qh+j6lXHknaLb2w z@}9{^^4`fTVY_U(o9|64d z%_N!_Ji%15Sb}YoYi_VC!iIJKS&|$R`)d$CT0aod8k~Zn)<)rVEaEJ>5lhxCshYp| zfM5WarJ9-lB}mHl-|~z94-A%#;lI;iuuM0=0uVp|45(rNqNuFav)=ZF4j)(bUCs=O zo-|LF+fl;A=L3TySI5;>_6q=j|2XFX00Ur(qVfHgD`Wr9mHnsc;(rsZ|Eaq8pIV3i zKOnCE-=J2$--}+!-o?XpO0tEsBz9xa;po0XGaqtDdM%&8o&uh++?%*;10bS~(-LGpq~%98Jc=uVwE ze+74DzBjH-10jX1KdxR5JS9VvKcVWXhV}BLTPp|mn$Dr zm5s%p`dBi#C5|-4)5sZctR*{8w7^xCUBgV$NPG;9`WVn$k=2+dY8&0d;j(7|ZVO0v z8e(YiwrQ|&*QeOL2LxSApjjyMz~Ut#)&Md&c_+jpuzsU#u=JHsT@na`hj~#NZmxG- z^$-p@`TfdR#@nV`+#K@jdQoYgyC$B2OWt3LY5kbj76-WHQ<2ZryQBn~nFX&V6f$Fg z2|wo#=A_i1R~8u%rY}hRwxOuMNsIVxA0Wx!piDh+ggex+>>U;y z+0B85VqqNV%7(P$B4wqFhw@NFkOSU{{ET4PjYfzIcN5O3u!3NvOYktDa7tWN9Gbz!VVpI@k5iI* zhPK*V02js-*j^csO-20W$Y8l!0E@{(9jFV*i*H_PnEnaUZnQx-8JQq)Z_$hvX}FM3 zNUU!2nqLW06NA-qHcEfQxl0Nd8=&L87+0)`SvN4`v0<_=Cm;`I!A(dA!7A&xK7|}Y zE{w8I{>O~)vj0N1PR6*H`Koi12uzu;Oj|dlRD1&tVFbJqCNX}*ii3g|eRgXN)w8nN zM#Qv+sd{!jv=|G1kF>_zhhw8qQm`CQRyaAPoo2CI@SiZW@qi=C(nNlb5NW3pK`sf0 z@*N8+iza|cYk>G8GHTVCi*cP&rrjO^bc@auBnz$oR9a-p%|bn+dX~ixL!f$q(@OBj zfmQ7WQAvvQ6OKwk2xN!z^Fi1|1&%*EE5VpBj|Ol?1J*RU*gBfTvnp(02g5|-ElH5| z!vH}Bh+;4iq!9RJpV9#=$z-I<(b|C*8ZsfX!nizOOf4D;FLUzEdfzV2gDx`S{)0N> zZ$vFj&H^r=TM3C!I8!4hKi;Ul59+T;+&69)kEYFA1))ZyHP$&bc(5T&k0)$*Jz5?D z9Ij|+mEzF7$+f~BiNVJ5f8jH*vbD|S;v~M12sP3?Ur^W=%wch%KxM5ddUNC|kLiwf z=%U7Qy2sf|)`T!-i)UR=tRs!V=G|1d8ckcJ+FO08 zeQa@0wT_G?TyZJ@LY9uP7-kTs`&)`WnWl~T%bSiqG0Hu94AV7nzFCIm^O41{ub9O3 zv}HW(qFrKm)9-CvJJ=(Gbm@Rm{Y^KH7+E_BWo{lfSxZwi7**;(TYVu~_Rg2IG}&ZD zn3)(~gC_G(zbsK8d8|>`O8wtPi_DtKh*9Vgd+9HS0vA^DQLlpNp+8J=-3nYg>w~*h-|ULC9f2$YrQFW4`jx;R?n@Q5O$E%D*TpJe1s@3|=_U zF&NM>9Ce4kdIJsOC^)ka$kAZ-s70ie)k)E2O9~F%kKcj=1ECz6NJi@vKFj0iT7&YB z&Lc4hS`R8&i(J}j*u2}MhK7@z#neH^elx)5PWEwY7_PuHEm@X@qk?JT+fNJ!%@)2q z2kC?d>6sRP1+)hz;E`hKxFN@IGaL@bKRpL0l#yfckz+ZD4vI4!7ULZjmqUUB5TsLi z77|ssLl+HwdAi4>aFl{~F;)e<;PW;$uzC~aohHguk~yeDMioXITL>Nn%9|@B#n~>e zvs0@coAAxWzJ#t{ty(EgXt!fdw>0b|4JsbZ~ZsU!pur;2OQ39=<^ zjd?PO!&q^dwmANyI<>6{nN|OrsmLK5QPSL#wTr`(ll+2w?)2&HZ2MI%hXeb}K6P(S zuJHc|EkJ&S7JZa^Wjx{UV?0liIX*gby7Gm$XQNMgIpy|eBoAv@|G}=Z{<5oP{}*L78K9?rfwk@s7{fgSPfn&#}Eld0V%y%Bm)J;fhFX6Q^fzZ;` z(T-iDMSHZfzVGg@Ps19tJsY;I|7yHvvyr?-_m6$Elv_JY>xxu(6L0|L{t-*d!x+Y1 z%xUgXttO~zt}bEdqg=E8Ra)$HA6>W$SahLD+DFV^eUbVeD^el#KVAZwvE3SucD=V| zopH9tjkMh8&2VSC$ep|&-)PYkz!h)qvP9*EG}`-c=MPk-?|zVZG2g8_*214;=)spY zZ$<-cbkoC4|NDF0b;i=MIT$Vuep%Nj#f1QseAZCq$cvegnSa$6kv~#p*kL&}aWZj| zD90OU2t*5+JOsO2pSUQMq+>47jA{N^e7o;&tfT1|Z3huDdCeuZla$@?F~)ue$N_Xf zJoIC?+u#dI0kr?ThHJv$vCa*_S{|}=D>!?qJ35-h*|oHTHk*b}wwy2+FlzMqwY>`N zsfR^Qp?7EM`!VQ+=lk=l1xtm2Z*Za*_O*OJ?`YHXY29h6;K7nt;FeMg4exszwluSH zX6R~JRTJU+GSpENuiIz2cp{DZ!)j;j91`p8_XvCdB{Anl_%-Jar~iVi&7pXE`&q|) zEtC5FQ00!->Zp-JzMeSCg0ZA1W>vcE`<6)QKFHtlhc1opcAe4TbiJhrqV_irUBvoV z5jaS9pYAkS4*hHSP1p_`DE z^I^yzGV8PKdw6F#g}=*xCZUJv>cctu-*Y(T2i?*+_8;@%oqt<nr&(V`}xs*AvNxtM7_dubnedHmb>Dxc)iRc77Gtt^zA0l*8d}`?wlreRjhO7uG5z z?uTNDi|!mzV@49pNj^|gPXji%-n&K7&qsTxVwptLTj0Mg>3Y`UuD?9BZgRF$%3>fe zkXnn@;=;wOZPIT9TB-AZy~@C@BKye>} zyx9cIu^{@%IwSOrHf^zi&BJMgLHg-2L*`pC^Vn`2P3K#|=YI!2d$_iUI;|m!yS}jp zz3{LH$!h5Jm&yUO#q{H50?-MBJQ_mk$YV|K8#y@g7Oz(tf%aX*LO>z5d_y!+CREa# z@{PU#ZQ;b%U}$pG46coV95!`BmRe)rl@V-In&W)q)` zSEl1fosuV*uwo#5ljAAl7I0PPijPQk9hOc+%$QY{2kY98;FK!L?ywd!QedHP@^MM7Gs-{X?Nd8geV|=wSFYQ4OwQQPQI8e)r+pyc@Pl@cX zs`Z7h9JqY-%5-Z54<=$RgPdHm4*Fs_o($zasb`Y#X}0hD1C_l%x-mY+&ump={yA~5 z3A7yChe5?*X!erJS`-CH;ojrG*u0sLnL z5IvBO>$I62q{GY!2Ew($E*F7qOVw!V?=g- zot}XkmyzY*b0b3bE}iaboJgNOli*{EQ@RpnR3Rv;q%&8Ek^88^r3F1Uv?LGFIY^xC z0-0wxJrA0P8Cu&=f105oXMC<`+}p85aAudBwFiYv&(!@-M1HoL9@M{k2-;S8QS>DRpLeHj9}Y#DYjvqRESps|+W~CBwLOW#TD5&FHY;8a z9G4_yq|9lB_`YomcdY%dGEi;K>Yz7%o#3q^5=g{g4OAPFp0bBFDtr2boL@mzl@Wq^ z=|~6k8=4^28KX~OJazZxSvb$>4|=wZPoZZD)#|(;_^M=F?|X19rw=N1m{XeE6 zset0%_VryG{MN22TM8(7PQC7L1ypr!2}A=<0zlW?TLv*p+s?-EPn|z_7Iw zsL!9E-NCcG#9a4fT6{>FH?M))!n*y(DEQYbU56!RaG0ZK@S)sFcd^VA9O-PUKw097 zCpky&kj|&6Dy=owGSH8BwLOlw*gTGD04`jZt(FRrDaX|I0I?UJ(`Y4CyOz}h2Z^w2 zo325*nHKAwV3LuE-ht+%&%rTBe@)EWPKrW6EOYX!ue1mPMw1uTK$> zQnF{*|LcJ}k=6y}auOZzQc?0PkA0Ul7k5t&HK5*Xn7W#!fGO&M=RxJHsd=y>!Jr!W zG-Y&J3=g&^Bb}9nd^OPsRix6*7N;G*;;wzXFgBE6T^s2_Qh$fnvX3iS=1zW@O0=BF zGY#(B=?^P8ktJ#)0cK7yztD#Kaot$5xysl>>LU`dLi(rcn?u%UUFmi&>n6y zykd9B@Wd>G$R1(x0?h!h`36nho2CDdF=BU+YHPIaIxw8E=!+zEohz`b8+REVRi?Kl zS9O73U4xnEnu60)npI6bMERw|cXk2F`g;^I->p{H&<|U>aG;JVgckMlNtFS~>vMD# zSE3Mur7y|rhQaIo!qcUROwznzbl8yO>vwZwe-KI7JFVkHyfW%KaWehp2}z?H)|FJ5 zBsK4Sv*~`=%nDNlw*ORp3p!wGk z8r^m093eB&1g4Po5|YQ`&%@)_wV}iNA+ae%Y-u?A4D3__r9Dj{9{|qc5DG=u9KW_% z55b$lg+{0*AjARY+6Tqu+jnaoeII93jJs;f+d|0nIl!chU5bgxn1H%8Y-W(t#y~*k zrV{Czud+ICfDQvIYcdXZRXT-;bsQ2*a^0R#Dv@U3F?@stI{YX#v>DtPY!im9zwG3E@Qm z+aGcI*H-{_|N413xpl*5)-n-iI%IapVsq4N__-Z_t5#HZF^)~)hfy7b5Epo7GvIla z5Xv>KjzGD!G2#1&H7OuEnBbvey+me_A?XQuV}KLPURi`C&iY?t@z5NHF34S;T`Buj zZ^U)9#$OZxf3BW1PU7{6Y|X*hJuG0>s5cnE^kH)ldDSM6Dn336V+V3^!5WhCS9x{a zr3eoo16u$Yuu=jtU}s21`AJ!9VXzwW(UVixq>$+Ap+M3Q)SoDcKu5S&Ta>F2eZ+Wk zEtpI0Q`0fw4N--^QuCuHDfJ*FJRK08m zSHL*(BTYZ4Rb-!TxN(!rx-j1^&Bd`{yBPq!-4Dc*21d8xT`({r+Y2V+#JzpvIL=@u zmkoja*vem2F5#?|fXLeOj{Rgrv+0}nMq$5cop6^p0Mxg`@$g7olPFDUEea!2hmqw- zbna;sXG%%WLURIVo&-=0Nm)npa3W}0gb`EPNfc8-T85GQF%wis)u$uR2r9gJQ5{B^ zYSCJbGN~m7cqR%aM=b@$8@xrRL8zfB|0`b{b|2+aLaYs>U=Ya)i z7IIl?lZHH}5$Brzb>O?;l;EX0Ol489So0|&hnsLpCI@I4MI)q@Fd;3^Rc2CN;W0Ge z)Gej8sDU}z4lBdg@jCstqe>muw|C$Ws^PEB;u(}c|Jb5HYF52kR3^i7vxdO8F_6}#1TUo z4$u+J!3y6A>6^FmWc$!^CZ9*26B+in(CR8O7iEGY)Q%8M^HCdRhAiVOtd#QH7^}f! z72onMe?e{IBR&Ohdnu}3-e%?m$*8?Zm<*nU;F1aDAq5HV-xTxZLK*(`%=60yoQO%Q zel~@o+9gL33=Rw!OQdJT;Io6rYO7|@9+GB$ynYIG*bV*BGguSSoNCVC-|l|PXz_ly zGusA^N@z8pwE^Bw<)YT=PvULFxBINmOWUNFGmZ;E@dBf^d}D(Pu%8X|*oyx%S8LxT?FZ1DXfM7r#1rUz{fIylSTEa*gi5rmje;sp` zL|u_8ZEUGo)m*Q;&iY}lY{IjrO(3IkAdWyue+`AvPvnnJ?n^lePH_L=r-6Si7+t|p z-Q=ftA4z>EV`XIfRe%hEOm)>n2b?Ntb}pF=-}0wXojSp3%&KdYs5HZ;ql29t2%_IH zY?uuP_@6iNDxDaI_FZGqfR3q#{yYScxnTM@1RnwH|MX9tztH|e!M=hD7*G3LZR)?j zx0rC{nf}tVkat*dgM;4zicyX%|NB`j4#X`>-)rid;G7n0vDxBYMwXeGN>qG919gx3 z1of_kZ(v7u)S^`r}Cpe|#TYu7xP6$`9FDgLbyb3R<^r=dTeG;3a&dnYzNq?~!=Ep#l`kM< z{Q#c?un_E?y689(T|5RXT^Dt2mOH_nA2 zUSKA9DN5_ugQFEWHjb3X#j@hc3#KinD8;g3-q(_TP88is9!_ZU#S>GL3t?L$mx{n3 zh&brJ!X$xF3IKF0jzECVRh@bwij%JB;~LJ^zZAmWX@rrew(wgOau@eK!XDr^CvnR*qEWoZ^#jA0Ml#Cwk;nm+v!{ z0lncLo;9aoT}*F*Y|(E;*gP3>i5#|xtX=Fn+9f%MtrLF&JLoAeOgB;(;~Qf9h+{5o zyYjt_wA9h_@VZE}jDVq-*gg>Rg?H0bMPMycQ)J}0i-4E|X=-`V?2)+)6g`NND`j_- zJf`7Tx@0;p^x~TGDf6U9sx|yy2K{^*UxH}DG8hH3Xv%t}$SxCF6lf)486it&H@RRK zmUsO*If?7?YD6~^K-zg^&`3Yh@VcbCaT)pvYe2fNzqfyp+HL9jluSD`H0`JscB-Lj zXX)4gPgvrr(Gi--zC>8pvcEv}Q7$%YB^Pkd44ul#e4RjUgeAA$3zSU-qRF(BCF#VIL45XD*7>Qp60xJ6hYJ#|STyo5c@!JOQg*DvE--;0}hv^Z~P9xf=hZ|bF!u=wCI%$7E@h5;M!g{c>;{+?M3`9`a}p%}pI3*zy}C_mBgXQknLd`TWmTzF_M zpnQ?K8LJrUP!qH_?{+#hXwtU+HP5wg;4WWxyEhGEZRy)mFq=nzYIi(rA|=-X5@BeA zEg1XR{l2=!$tpHLNVhtfUfDng>D@C{l_+vJc&o?|p zvN#NqUJA8t=qVIGt*2OsGvqEsZ`-8zy#}8-@|{F+&%p8CnB{?f+7XOh0gJTU%2-{Y z(PY;K@yujoV3wu3{z0pA?Wa3w_3dL^R?AOVZs^OhTD^9w2iM%<7nWDpkp?#K;&F{E zQj~ePyJOXk!%kCr+saU55QW|C>st5S;l0DNcf$l!oa_DfzSi2|35ahX74tTN78J$2 zWeQzd$ev>@2}ug)cQE~lzFoHbCFA$=jp(;@_}&lvkhshJ4I78cE!Xk!eDT5fxN5eG z^`geha&0)-H2k837hcwD|@uN}8pCtkx_wj+K(p5~V+ z5lscZv*md|1O?m9aepTM4pxB@Sh0k-s|2E1YC@y3aUN$*%+``pDIxncH42Ff-0;v9 z`!5dvZHBbBz^h!04+pga66wqyJC=tol*TC?J}Hnx4Xn_jD`J*Q>0@QA^g%HhD<^9< zmx>XYM?xyA;PHtU(9y9DT;7;I%FxlTYvL2GE@!U;s1D}*MtL{1CQrosGdXXV zmDbTX7S1x)6@A-nTsQjar?`2|17a!Lf9)O*Utw8b`zIVE^w!&&uqzM-RmcdApXctb zPjBy<8PE1mah|Jw6B}>AU>oc9VD<=jFSzj@mg9^jFJVoL{*iJ>9H9TIEwvv$A0!Ga z6j2xjc&Giw22dX!lhZNW-p8*07%h5EwqNFATB9B@4wFlng{iYLVZmH%yZzaBAsmm{ z#f$=@;U0D&tPzzKzG3jh(Sj6@+3R#(X6+fngp!o9LM4(m7kHRRibsGT@H@w}HpW~? zyCzx`K}J^SL6`Rjax~c?;41_eG61j2%o7ueB9WW&S_s6y>V11~&7m zho5!Z1BAZOj2NQXzT7C*{sB4BZLJeh$?8^u2Vm14# zh4b}yND21fUi=Ozb{agrxo+yqcDDdQ7NC!krm{=P zvJ8ptA{445`R|NMCC$mMknS`^NO0-&yG*1DW+cT)b+II%m*_;LNOsxS)=G3?C6N>) zeX>ITS6JL+EWH%*um0JholUqaqZE64mBxaLTMI-LautiEnd&kWZVYRd)SKWkR>VX# z4L}`SH@%9>P~2>siTg`tm66+3lYD@}jT3E?9M=x*U7k({rU?oFdtc^{=b?sS2rLtD`_7nVQ9UCWT^4pA}Kn>}E_1LE(9L871 zen4I7MN=X_7BM$bAQmyEMp&@Q8I3{^%Mj2CehTl+9Lj{!1ES53ZgyrPom?vPAQlRh zb3ayIBxKO5*2yvzmo4f}e6Y~iZ2u28f~@dMcB{pjpW~*v?@?LNd~37j%nAEUv^4V6 zfHiFau(PtLRo=50`_6ByK;3H$PCv5foL%j!=hGi(Fy?Lz`Z607q&U!DEK|5(uW#rb z%0GnN9U6J$I!e181H{>>KzJw$oU?K8F@wi~{ZHh2c{iC>$=-V9vf5m0W~|NTp)TK( zDsRG17ex^KUD6fRGVG_&kS-n)lfUR*BEw_`Z;>Ux3)y}q5?lrMzvf=uyVa~L*TjGQ z)U&hnm4{~Vm>~bWj>~t~0QFphoa-!KgPXbGc?{#9`M(!wvXf|0b%&+cP7w9 zNF!RPRu3V^<@6sjs*?24LBjU@{tfdL2=A|NjJS13`aq%p zb`1Y@I-szwTCo#Eq?cp_86024)Cn}fWK5Q}31U+z0gWV@^$E>aVDHopLjet%OaaCf zuByXK*;G_)>t=o3}@_mA>@wCZup5A?D>LcEAaYH?wzyMMF%^Wp`;hks`FV zM=QhaeG3h1fKjvYt^Qfeif_1T$?ONh30!ruglp(m6lMh5)3jkHGJO|um5Lc;+OL?z zZ-d6)B|N7d=5c)qrE=1ok05pVpxdUp?-Lgu-#XFb19SF@CNgg{$+BUVuuU9Gg7!NY zjBu6kJCBM@+EXEX*IJ>koO#)+k|i`iB=oeE%V$HmSeZz3=D1jiVb35Zq`Oy7Ag6$>N|O< zjCm9s9qXMIfNEU@rU=1HhFj#*er#sQ;Z>LmTa6~jU+RwO9240bNa0dB93bOa=-Bo- z>x^=?ON(8>PwqJmj#K^%M(&TK>4^`|&e1NWoU^M}#;g}75oVO*E-B`D4jC*V&ZM7? zHEkqeIS8|&97tfJI^>XkMl(R39Rv%hrvS2e7w3`#Ql@B0j2%CQ6M}|SX$?-1o$p+m zDQIXLF4Y^eG;sUJr7={{1~Vch{ugpvi-L#FVrt1o{WW{ygYX-QGTyo(y&gEmc17sW z(>`Img@@VBW(-=2mL`__GTve+Pul?`6OsgB*U9zbJ03(FYrC_&nvuP>4t^Q7TlcWN zt{$REb+CKh`bX|}p|Ax%ofwHlXD7rtfiHi8b9I6CArpsGyy>mz-$TQ+MDR;s( z_}sJh547B!T3u7-a>+9u-zCcR{p@c`A*(r862wP#i=(X@Gl2S1OT=5lr?RhSzP~Q} z<02Gh+i+xuq7S&l&RSp|%zqAxCro4igvvF!#$$^l(74d~RcPF&8xNUc5~D{!RgdiG zALFz?#;*YQY2D?!KlKVN)UMHr!ua}`Fk;hBVEt?@|=M!oHTs0GTgE)z*(?TPpsVh-MAezw$yR0I zHK|c6IJfW0$Fyp&t?)WubMPl2+_krNmhTZYM_l#$M<5BlGQ8naK)L$ zi4{#UJa566aB@9XB(6qrS3;gc)az|tLvgA3{M^LK$WJi#hPw3Pq&VFnz*L3xkYLIX z74F>%j##|dAhr-=Z5oI$!I%s*a7YoMxZt&EgO3cF2p2?ia?3`@TvQV8bUTmMFpbrR zPE5KdI;!DMDQ#=?nJ6-sfHs!GUTeDV*1;afL zbRVP%aoxcI(?JO4-~;P^u_I-E{546Ky<&Q(w%ZiOYa^HvdnberdpsB;e1ffF#SknO z%<~|VzfvDB*4tKGRDbu^Rwl>~3e5*8=pHb^D&Dm#_Qw2$9nach^>F4(rGO@pg(B0* zh6@7N90@Odu@r1BhCC__YFY3U>V9<%S-AVfQUq_0i@vKQv0yW!`4jC)`48>f7%c*R z$*WS!U?lk4P(d5C2|RbHyn0iWi~}eB;V(e6Bu?G*N-Vt#Kq@e(BPw|QFsn(iUUo(U zcLLNye$>`Q2`vw2F%g;CMkh_0a>~bxPfp`J^d?PN8pA6UGz{GnEJ={}DyPTaB{XH7 z`4@T;-X^$c+B>?gWwcM;8s~#q+}p1z(|G7PWDupkcgq?+4+P?B&4F+yoSLdbp;X>g zxr_D_v)W;*NVcra0w{4n^q;;GyV>N#i|e_tKANNArp&JE9v2d^F*@%co4Wk z#ffkF50qnBbeS>r{gCt(Ockg@=!*hv4Yr0W5>sY<$HZ9fNZbEk;?%ealyaZCmUg1Eif&8KIYKiM>Ou}($gB%-v~ zXVqcyc69yQIe-Wxd(KwiYjjsh*)Fl**+3f=)c_0!uWAZUB72Q4jJld>a>*P&)+B$m z^=#MEp-E0lj&RuY7**N65lqv*LrnVG3&&e3=M|kd8ZZQT4uC&1yq~$-(F?H+hE8sKu(JL+H&GVgsyM%)$pDSFsHc zw#OjZBKBaA)Gw$0XWBZ+Bsgt8X=gFYo*qNc^MI%J_TW&3~1s}^gW!Zt{v<~pG%R9iu;;ySu zB`<(Rt8w5COuupnFnHk4moN}U-dlIAS~e6*mH=Ps?fR+L+dG9Bo_6=|`HkuyB%Irq zko;X~_FiAzHc<%J=DHXX^YN;)IhH>_$ofMX$GU)$;cfW`0iE2Q@@so^cREHmDK^y& zwZ=Z8Bu<(D1~=bkL7{UsDs(`uBO%WI>`c(r7WM{MkafPIeWOR!Yz7mKDelI(H!?)O zn6(|jjpbuOAQ+G+ge4Z{wn1xv$00!ex9m5~bE4p%3j}x7YO+N@-A;6D>?-i;!c%)| zw8}VQ@2vSKRrHigx=4g$1X{n9@kav|?=aE*a&c}iUw)apBD_KSB&kiS_Vu^ghCyS6b48lx#EW$i_aN#AeyP_pEAr^7lW!yyGtC_Wv zS%lsF`>UVxWLW@LN)+dDt!aNi8&@dRUd&PhY@GpYEiq)UL>iX_+&%84h=~B44k;O2 z|pGG!BTs6E#sg&#E2UE*pr{zfQWeyf(rNZ+v@&M!`;X zCR=UF+X_Vk0f*tP0&v|hI!A0_Tm%z9R(J68lkk%ke>W~8iu#f8C+#arEu9XyK+0Qd zS-Jb&Gx|Gnmr$S!4?`7Z+bCPuSY{4{4o(?db90pF+P0l(j{jDjuaw72HrnF|7@^%F zW1)Iy)4E)mV@p=Zx~`(B4vn&6e{6`Si_G1-4uue8{Y6nxjZ+ucY8jcKMeP`;GJ%MG zBw_71Pn=ai&PlX!(791V;RUYwoL3 z+n5=ga3Nlt2g1;w0&7;!RsqC@hg{_*nEd9G^5W(04V8%M4#QawM~IWD$frOY=%df; zLcdkG!RsEJx1S%R&K}?4$tSelzmKI~%-U7lY@52-$|sE-`IrnfqRw|bvBe8$!;l0) zR-WwvZ4LOj>eKlWdKLG8R@EjD$>Z!f$iz?IQ*E)nv&~npe2VDd_So*f4x4j(&G+zh zJm1KF9&Cya1OdObC)|uD@GR@0B<*@;J)TQhLZu}k`*%+5DceQe1anIZD|&=d~=|wvILbN9(?jMN4lnIq#I5U6o7F zm5(T>2tQr{!|uh>Maz~H=QY2?X93Wx!a5G+*@Ft{i~jJkA{5vQPmJIaKCocgdBGLD zWWOlQ2)km%wk)%%Le7lo1SylMph2`V?50(j4U01BZ~7ccYUT4RY!Q-KwaMS$T)J_0 zW~8&nC5a5Yq80p)NSwHhSf-z^x$`GEqRt89IBfc+Y&~O026Ea$1)eKAf8yR4X3k(q zZwb`$EG(3O%bqIHYgE=r-*jmO@ELyi;D?8188eZSwepaPm%jF=9~;p-A&4z0zln9P zV3yDmWa-tddzwJuno^j4{rQY--Y&9b@`wOsFn5nEgPS#&zo61`)`8s~=rL`P+6Cx^ z7Kp{gdjjDqC;p+X8Dy7?Fhgb=Ues&b#AaAn3X4qJ?s^>60f<^KNyE%;@FNnGn}JZ9 zW<3Gb&1@(-0VpL(OEVo>%>%ha;}(mft%wMxnpND$s+7VqT{;7?GXe8>Vk!pRkePdFoa|)P&^RW?tG_QBP*n^+yO&IMOHXSZlF1y zuwagC5@+ZDzPO=3l;o>62Y#Lmp<0rBKK&&h9f9=NpO8@T!|0+i+GyZ+`R~qv>ibyZ&;Ucd57cKi9DgHf@k%{vke<%L0fq!3p4;1_M zc;93G?}Ux<@0b1__;;7_pGxC@OV}7W|2x9=_gD9S{;mIAUu0!vVE@mAP17EG9MSKj z?o^!do3QZ#4F-^IVAJpS#bMY0^ux1=;zCQhkYHJV{aI39W*#(FpM(q&#WTuWWaV96 z*45AD^?q#c_y%a~=flx!Kc>wZx-@mGqSt&rM18Yz^J;r5q4U>MVx?-E3ty^*Xlx~^ zNVmKWU~Y5$Ke}E&O-s%EHEHS8u!cj7YdK0KDt68l)`7?8Kty_G6{IPup7QIUI z(*E6E)c$TS#{NfpQ6B5>_9AjHZR&tAC*pdtg_*Tb)b4P7&I2>=;;#w4oQTmKCnwfd z34d`5DWM-_Ypz*X7FKZ0_k}An8x_wjlLlScEW<|R#j#Kr|MqJR89H7}7N)L73mJB3 z|MF{0fBCh&O96;%T5XZUc@9&fcyZ(Z?AKKO3%@4*)|&%CYTm+VpX?-d(WSut?blpN z9{pDSXkAa-JpBI4uWgHo;jfO}#D(S1ObUGawUmGST6*$;@yDw3w_gMOw_nTn55JZW zhcRmT&6Aynk9lJ)+km14th4O<%q)lCL1`exftpS&Zeq@zH4;)IlSv&a@9X7rKG>dXIg*G~&hw$I+MG6#wdKMMO zdI)J`WgYR;?BqTmdtF|jQzI%&A56&RV!jG$IIa%f0?KG-$`bO@Kip~<0m;%Hw7}d9 zh>&>?1%NyMZVf>egPLNGMk9 zSy<~d28XNwV~)wGRmUzSbjq05dIiu!cBLR$wP^c12)l(7yzK6|ax)XB)OT`(k%&|` za9Rl-c`$0-psGn=fZ-72gaD32pDzUUx_`wNi@)QGgkV#C|HK!81OJLIB90ZDGRB$! zIUq;?&^OC~hN{Wl$ z`zyWV14S^@7;};wpo?5H5=Y>f*3tKeZJH zCru*YrVQc+_m7#xp>A4YLXs7ccu(7XOLX!T_90dGykHf%#s%LMfJQLwyOxq-uz0qm z&fC(KiMEz*YoDv!qbwq4i58s-fgvSgEXJwC=&m!|3$EA{wKjk&}mJOl^j@$E;CoV zBwZ+RtXJP^NQI zx7TRACJ4C|I&KH963Mzh(zieI04naFr~Gfi7J2p>q}&;F0QYag_V5p3llvE8BmOsG zD~>T!*8hvJ73>-a=g^EB{4a!U{9lA^g7!!3@9U&`h9jH>RKdIUeMpy%=TYmJPQaw~ zX%?k}f=On(&U}YX3tzsyjPF~Pg%iIUBso3_j&3Vb95>V6P~gi)Nb)Ed4nGNwv&euX z>s|@pUP%KaI3Qsfjb{mIrQ1X4;9I+Yd@4r?SU0f-@ENbq`LWVhs!x(MUun^hCZP+6 zDUCKXlY9u5o7F;MEEjkA(fRwOJ#w)h*_}9R=7&I)4nHoiaIHEf)%}uPBGQ+%*SXcw zz1+qLjEGeBK}uP)y6U>p?W*d!<;F8;?NDQWhD?Pc!rX-rH9NwB-m#xek%)^4EzX+_ zz=$wJX`n#aYv@SA+X+PlgR0y8IRC^z!id1&x=X=W56)t8?ZecWvV@Y12&j%z}5uMaQ>&sy)M$UJ^+_y_YbdT;X+Z1DRDrMIWpHW$~`4! zF3P))xSq_=xdJD?6R!+XO;DU`Q6glyZ0-$j#ZR(LEf>c-^Pq+YhTk}=I1Ig|5QPK< z2lJHqWsrN0#WQ7^fC9nH{5EA^Zk{B%`wY6Q=P1RR)I1D(-0kr6C8$3-8~xtjN}*Kz z%UfI)mLF>%D*vp^HrvcP)XI`x4w2A2nb8xhxs^5LCgPw}5YJGd2_vYz8^kMDZI0ECf74KeV5(JQn$~Z!R@H#+s=^sM%*SF(nqRaj^Al3g;L64n@uAa z5RH)uTL6B{@IX2vPv1+>6vyi9PG=H|sM2|BVAoVZ_GM>|k!mipMQONbX<^GO8#U|R z8$AH#m$0sR^@YFxup^kn*GRw@q4OIX=x5c95zQ}RtDlbGPzV9;W*lwt;N%V@U5?4ncMlP*n8vT5IbFI)qY=qWer60PS3sg=3b3D;|4$fIJTbn zX`XCH-R zaNPnb@HkAB0^lWJc%y;nK!J~TlmNFPSPHse7@&;{#&$&oLmQy2XOP6%V;O3Y(5R{i zRKQ#b4AI7cK=(!+1NdWc`a^Vnk%$2C#e@#{#&}+_eL&7&Hr6>#hil8X*atg}!4)4f zFn3mWJfklMgBjX7$#+`wX!+Uj1V9xSDqSgM-k9XqJVEnZFlvwyQy7yH0WT^FL`BSY zd)#ZzWtN9wQ(>VRN8Tu=zX0|*zIbpIP}`@m;g$ZGdCtqqxw*B&gD(!wVvM|e0=C)1 zju0I}*xFplK?I>svRKSqrD0EBn7g@2XX2>{(3X9*_|6U7mrMG${e@3~CqP;w^`JEbTiVK)1W z1-Iga=#hXkC8`Tzq>CmdvGBq;(nwZH6DKyPz>(^ZvNIK}G)%Q3M&qa%C4L?qY@243 z7M{x94X`IGr1+vvCNVZU`Kk=xRXRQbg=Qa4swkWZs?ZTj8A6tu>NMw*WI##`=uj)Q zPwvUsNnWH%r5%jDn33Y3nj^`{T_hU}$ikJ$UQvH^+KicubUH^;!|w&%859hKmYomq z?x=2fEh4mvG#`d}*^4QcnL%&6G=pwf(*=^^HrvAC33bLrX^HRX4rig2!3f)8fXmj; z{oKY*;mS@Lc*-ofLHk-J1u^1~QyQAjY`LV|Le2o*X_j#7YN zXsh(hQ?aKgAgQDiz4VUtUZ?(pn7uNjkFY`VSvwioE22yM>C}}h!d{v>ttY0f1$cE0 z&+d;aPv`gCBXUn4%~1H-obWXGTPE>1o?+jo1Qt4tzeq+>qUUC6*l2&J?bUZaT!#A& zk@9^YRIf1KzW(`ikVMV}Dm+QcY0Q~g~6p3!Ji$eQ0Pk}#WjJ+~>UsF(n5 z9FadOwb&sNcYLvmY1GJbDu@N_O_4a(M^JL6GfuaCkswBvSPIQloOR5I#aPNMgd&V^ zizv!gSp@0e)GBExinKn3kJ8dMn*BaL8Q;tra@#C-#7!rZiurz znzXSMIL^RBWO{u4m2Z(am0W8Z%g=5Y29qX_O13^M28&4p)@qEh;b=NW&hVsKJua|( zj$E^+$5Z&vA@KXKuM@rZ5#1K`3P@u8SfJj8pTS3#C{rVkc=lKvXRL_@HcL`dlAxcU zR=vp;()h-~IFZ{dg0RLxof1s%$`(g{_fL>vOIf1QOiO7N#T;ieN(wd2l4czH$`4J- z=ormZjl<;;Up8ts0_;xKXp}LJofZac898FmCal<$>QyIR>gdFLhK)kejTTTB<-LDf(%Wzb1%$2j=c8*76Tvn zTRh?>{uyDu8{^Z5MTu70m9-lJj~KK}d*`&z$TRsOKAawlC6m*qul%~BTInho1c0#yRErP@A$Y{Yt+-F)Rm z5b^^B!!mnAfO;A}>y5dZ8AIOO8w>J?of4+^&;u1FZ^qiYL}TzO5M?Ev*G@*p=K^9ikA_OF8boSr||84*6@d6g9( zdpo?tI6#nKK}@W5&L|2ySoA}VY9F#T_jZ_l>m*Bx;0t{YgE4m|LUs1??{lmCqWI8| zR!H?ikZMS4r9T)ztD}BI;S(aoVBANv;CGNDOvi}JdZ*%^X&Z{atEk02BysF(Tgy`& zL>)z{%1K0VqhEzUn*h(b%p8VZ4v_As;!ABn{i4hQj8yi8nUABy3K9|#R)|B3}W2RKLTROxeXhR4@R)(KR*!OM5@OkP+&YoS{WV$ ze`FAXB6>|9#!K5O)7h?JCEupyxdW}$D8!qOA|pPiQ|hR{Vw^w&N?Wu}dD)6(J<9eG z31<63VPuToA}wz6%1&ods0Q=UkC#fX-*QFsS>MpQ=uin+)KGAB6=dCj+!4LY?N#1# zj~|Is$hl(VXF-gEE0U2sXc+sMka>GR`sA|~&8n7s?n<^3RL;>?>xuH<`H6KP^nQX2 z1{_|ht0uEa>9iE*XKT6XSg$qIn0AZUAk$h;ay>Ck6~}}sv59%#LA*}38bQ5!~q+nZT z-y^c9=={;4P%odUr9H7d8a%Oj^FjW$wo-7sS{t7>Bul5|4dB1J;O6(eXWoz`3f1ci zYo3cB-@!lG;!&BD(T-qhGKZU6pK;Mm;#dFkoISr#!*56m^y>aU$2}R*UM+)Z)RW70 zBT06rs&Bs9wP`vLD5mJP9a8)|3P1WqC94~gX$o&j#EyTrMewZZVy;Zsa7aMc7{k@d2QimTUG$UTBd-Uiau%h{|+Q7dZMeIIUkI{P>f| z`X@;j26Xc8Ef0C!$eulby->qnOqgig}(dsv#5${khIXE=d$NgY$Edd8RQF15$fJMk}3A$DifI>!3gDYZ&f zq?OPvg<|I~K-g!H!9YI_q+!)oT8o4V-I|dx9QXi$J^vox=kpEoMpe6^euwdH{llqSztWLa zq52fWR=b+(h6DRZW}!Uu8>5-3cadzbrZF{wiAVd$J$?P&65bw=x$Y$wXb`(1bZYbH zJub15kw-R!RvjslB#LYH$LWJ;Tsi&K@L=|VWnbH)2TyY-8p}#{~g4F5;O}h03fA~I9cf#|-pK^40 z4}IzSpj%Y6>|JQqJ`?PSd;aVs!QbT_0gmhG!3}iKJ-HGxB9$~;jM=B?-;O$FpqJ4> zFRF~L$J&S2k70R%f+hm=1x;u1Xw!w&xI{D^YUz~x!ea%#2^>8rx3z6d_6N|GLfvr4 zfDs31R)Ns}{1f4Ypyi8yw0F(UdzP+;zl zb=6qEE=W-#kin=ug>3(N6W<%*nO4JS9D0+7^jLG>76;)}M3Y#yEVp!(CYWGKY)X|L zB}TAPsnmP#Zfp0(?(|$Ce?8Ei61eYKxJ#B*c-xkSBdW-65H7Jj#@({@*H=M>`5*SI zEUF@C#M`9-SHmJy()-^aq;NQG79tsj|Lc)feJEo5eopBHk#2rOhN zU#-!@80}hvxw?!ILJ|;b-s4>d$$iCj(}{7q)pQ-{OIw5Rc>C!0mTvI!3btulc=#RU z9$e^4`F!}k0z-Ym_aj*@3>w`#-6K_ooJ{8F!XfIs+-YBnVShXvT$SYG%YCO!T_{+|I+C% znOn8uxmz`5hEk)Lt+75CSynit4((>r$l_KG$K%!@j18lP4#sQmt{b5Wqp@Vtsx1^$ zP(Y;xyLnrc^D4zCO2BI$}LI$n_!v6P&iOr z7~#cvw-DF~iQu<;9$#Ki!Yc0N>$lbG_D~3_O`%^3m=Dv4&;p8p>9p;c{X|h`Sk>-( zXs;@>;u)f~`FdAxTe`d5;kH~dgP7RDQ%L^J0jwe@&v^plEQF2jSeRb~7yapsUXOb#epA)sKMs4j?|{Qn zDCw;BRUobP@nt4Ryn-96LtmtoMa@6P1h2bdul2qKJB@|}pal_Q2i=qMzL#sOC*LwY z09&cx3O^@k^IhHTfyl`ahcn!NSmPgu_kcM%f-nwv;-<5TD>}9i?GOK>J=L%GwX^dj zpziR6Lc5X0!#%;}5nL5CC5Mjg_vaQ){;SX?jr`7Q*uQsZb5}Jn&)y)hk}MtZf?GwS zVPur|X92ysOCefIMW@5T=BrfQr^4i5Tqq=(VM zVw^_{Hcg9>1*X3F$JgL`zaMq0?3-70lFN+7l_m4~vmHG$p2hH*D(ov$M1#Kw=88q_ zDOw#cr$(oZ=;ej2z-fptUqns49ey28S&wio8tH{m=bEt!=dg*fGh`?e|01t(U?T~x z=IQPiB;tmTEU_+uXaL&YIrcsjh_;=Npn6UWe<9pIcd7;h$&Nagkuw7unNq;UM4~Jl>wIXtmSh&KvG0%Ogkq}4sMoiAIc8a-kd@MnO}?^H2zh4Yq5>ARHGr&ElqHysNOCa1 zVRl46;XaN@{^d0y!(E(Bh8k)cf+r?7;BuE*RQxH^w2)9S{F7r$>-> zTzZ8e%<%joXBxMa*>E!z3&(7%FaI2m{0J_sIWQdW&4=Sx8u>|uh^6p-oor7lhnXgr zY6{G2+ViY;IJ6s_^;WD@tu`OJ5_;PHz*pKWscvgCfj4y zZGgyohC)Y-P4Xh-uR@wlWX^fSk8`^45%QMa#Yf;B+b*=3H2~u@=#q4%+g2cRnPx;cE&3 zf5pQp`rw0G&0=1eeb5xV?IaOQbIc~}n4rH^h&=jhlm`5v&!7f)38(fD(0LLTlbLm- zQ&I`ne1+P~rrY1vBI?shgIlzyp~|km+MPN38NfM(@-D@wzC|V2sqa(M+U8M+vU`E$ zwDzuzJjgzh*{h7QV>W{=`ISDXQSxS2kI{p<91biINI27#5;UHPwl#o6P}Sl-Gul)7 zLH#TcvTryJEh)g443eX)i%4{pRmx1x0A&jnEZYT9-XHzYiX|u*OvK?#48e$jb4)tW zjR4C_W0=_BSPfmG0pO{>uIhteh%5`q!d!t+q()+cl%uNZaZ6Xx*oPo%DXI`rOqWfy z_%mIW_Snm!MM0s@ZJ|1aUQbqCE1Wqw@HekmNufj92xtDJ-rb$lZ>@7_KY{QH`*$7S z(X|5&9zImE?MycVvBeFm6O%y)HZ~YNUl49RU$qA4Vy6-owER8S?6>c9lo^`AG(G-) z*(hg1&@*?Nb|K78D`kLv(-BPS2sMBUqQKe)h~Vai9Kk9W-?=hGVaz4TN01d#Lh6mF zNk6sb4W?$_rywT2;YR?cr}t0M<6p?-mp9CS&phl!)BT9#UZ7okf$43Ew7(PIhOoK< zl~)_2_l7eQi+^OH`fWPvizMo<7U;+g#}ucf75tIps5i91){Hy7W(0nvu`fC++v2a<{y<6K6wVeWqpQ0Nzn<``$m!gR)2w5Z|(h}Yu2Y4{hfsjKmH3vIioX_kdiq@N|H0#eaX|XxB;d3N~Xrv~`@NM9` zhmy&%`bp_%f)A1cF!)ZjlnCjRt66=J990ijRQ8KL-B`sm-9?Z}T$iD41W8Sat$bM! zt>nI3UwRDG7Au_zMtZspc2b~cpDmArkafmP1242Dmj<~;ls+vzvW%F%!H5 zX^$for$@kecI~-k*wYN^$55u4*E#6?#Q54WTa#)~;(=dpR8Dp^Qq1NARe$PcrF?2}pzi0XQ*)iDVoIg&e_s!n?24g>9L4ScwlJrJKC!^u@W? zwd7%qyUKJAQD9#du|Pn)gC)`B)eiHy#Vgtv}1 z0~z%$(B8EA6U=MS4+~kqJ=?ani0xSld%)=(E4=*$m${5?Zs-xeGr~i;#mMRbjw#-d zzSRc=r!9?^{7)^h3t@ei@T8TuM{$tr$bgwX8yzp8{utF8DvQNUWC#mdd4f99#OylL z=nEZ3AWdk$Qy#ZnXx(GENKAmq$0bGU-*(>_^Oj1Xd^^Xe&gXWYCSRkiqb#!VDn_(6CPHlrrW7vS|^UmgvAiu>+stH6+1>EyU~ zGDvP8!Abs-N;^4Oxl-f}uMcw11g26B!F_lM`;g>x$s>`Gy>{|fE{pW07z*pKJW7-d zj=0UJJcRVdXsmP~myT7FNBNCLwjbRhgYxV^wEZh>@9{u#GzYe!73>5SWe8>-Yc2}f zPB8a|+nV0}^nNDWJv0hZeaNSqNb|=b92ID~k~kd~>5*RY7iGsxQ}}X1j|Xo$Y69y; zQMeK)c3GinNVQrtM2sYP0+;8u{`a|MTCrlVjvZ|!&Vv9%- zMF!~<(}CX<^|9R&;rCcmYR+Yn3OvNErh1N*I*^Juq8UifO4U7GfCa4eABVtvA#H`8v^0)ne^RM<+oQ;gj>F2r+;r;bL-Md(!6C zqxUN%^AnIqQXa0u(ScSIxda=6vxdRiMQ?T0#*`A_hzF9(dq?sbRz*mBdKzGOdz7FB z%?n{oJEZ*aL@92)q-)OGR`Oy}nO_X5JhcN}Wty#AL{_oHI;^aHe7>Fs{m=IJW=LGf zi=#v3i5&&^kV!afA#QgTaKTm$X=YCb#oO;9URbxBI4b!aC;(2`S1AIXmdQIC>W`}W zK8ntbC>cWMUUC7w`AJuE@fZ5Yr;h0 ziJd4}_N@_Gh$1#p(yCn~pdE@@_DAKU>7wwnoEO3HK&cxPHgWA+RTq2gIm87)l0n2nme_*{8A}7Q`)D)6K?k8`%pM&a>e>P|Dfg6IE!e-vXseGAgOuJ0mT2DP*wS zmndIu%ZfA7(Dxf1uaOAp(`)M1Tbu63DN|0Me*O)z{un?J{U(4z#QEY0#K6aV({Xg7 zWw6G~B8ZSB)I#=KQgbq!g4-wbjl(Sb`ZGJgI!KZqzwywo64S)Qp2=BZ|W>{#^x}XvUhJ9hMtP7ly!j_ zX97IcGDq4)NMRcqw8pSo4AHO#UVWunt$1c%Y@qu_5x?XT4q zbtPW?LwsMvJ*N?NkWakDSFSIIZ9Xv{iTYm<0C-{WifLiWbkPr zV}>D!=$kPEPH9vSM4Ck+&ZtC#Y3QD-zoH?qDFbDWL=o4gtHxY4HC!kq>B4pNB4x_F zC>^Ow6B)a!O&h6O#*B*5I{Le+$$hF7rB)?1JUqMdLsBV3Wlj<2! z_J438*euO`@B07Ysxsi3q_rz7Uqc+_-I0?h=0-&hHExCL+@eWO{>E5%mKir270KO^ z0ZXgjTXWjA>lrl#!wn;=b z9%D6QOrAHCwEQy^J^@(sn{IjHy2`MkPKIfLVM3$LVun5kR8U;`Czs2|loEqWzY@x7 z=-h)ElJ`Zag4k)rnhRwFs@^5eGnb}-awIg7shu(bOF zA%xW_0@R$5g&%$7mJhx5=4sS-Wb#J%qyb$2l+RtN3lO8eJTA-G9XOw^7Wc@x+}dro z>rF|M*ds2LGt1b_x$o7Mgu3V$vtgZbQRDt1Y+M!)Ww@Z;vh(5z2KwWz8Q3R49Pj0X zc-sh_?W$)0{I}4Kh|4{dpqqZ)cNNo6xlecJYTQWk)rUMd@H4z1tX@rpdpULpZU;}! zVos!eb(Q#gWvUBAT43!~Y2pRfV3&Gs8G_C+Jpg|;0PPq8OP{iE$|IkVlVf4&?qcc6 zF%hQruDvd-72zG=XZWPb-;b)e&q~*s_!z9TwTKG9@5Wdw*%j zSVq4+a|CL_`}4F|qdzR%0);U8kqF594zk29k6mNL@yD-XJz9 z&|xd@8rp>ykZ;37X5~tNm`t%Ont17fG04aezsqi8Uku-APWHMKm;&PTA9JJ=gRWnS zA>S^8I(XfH%g#MKng!2>V27*$Q?O!VI3KWYfHH{Ip3G!a^z_&lSS1|gr8hf4D(`JE zsj*k>uXREmFIbfD>kyUlo5?mie#CCY6m_!s_O~D#w)t{!f&iGxDRo2?%2GH=$u0rz z2fmUnz_evxF=!GfevkLwr`9ZKHZ!Q%9XE~#OG8@cfnjTQ zw3z4*pk{63&C^AxeU&U8P#AOzd)t-o&HOBLjW;ohV76sXHwpP>3k)LZv>4wMi1w-l z9dSqiJ`@W|6ZeVP^;Vrnn1d52I~5v=aEbY5=Qkd9|DEE&xPNjeu^qkykmVWj0y90m z!y9s)3Dw-C^;a#+e}1fNP;tWX!iyC{4$5N{iy2gA*D zQ36kPop4;#M0H|RQRuN}6y^$;bbc3Bt3G?;WAZ8A;?% zDk>TCom3sEPMYwb^}FS(VU0AFFXaO`K^H}vZ$4v9rsLw1(Mgafx~iEUSH=CC8AaZd z#4K+3#|T`?SP==ahrDtfOT9;%3vPnR#{R&)e0Br}`n>m;^hYDZ67%9A+s4Nc#=<>$ z1mSykxxN$m%ViN9RPCX6O?`po}c3&kEt6!k8MuLQc(ww#hl&y8dB1p(m4^amiF5dy~9D)3Cbe+;E*A1Q6g z>aUV8bMGHbjzv5R+32OO+9wYtF&$)zTnlX`*_&!V$&%bcTOPu`-RO7V2m8uj4xzbN zb%^!Axd^r2oc8Q;`ADyw!RF};uQk|&gq;qYjx~$$Qcy-sjaxYkVy-YLD#$exSw01Y za@Wx~o!AN|pvm5r3acYDQ!^gk#jY@+l&ZLL!kx+65)`H?qFJu6CQUyj&S{}T@*8OW z!uG2H7Rlox-HXLUKs23(Ub|>Lo(AzpcX;Ut4rUj_=%GaHH=}h9N6@@vB#NgX&=jP# z>7ImykL#}np$SB^T@!0q$+u;guVT#To|-KflM7@@d%JqpIsWylNIGvB^R@utj)wcVcS&W?TP8YrRdfC@u%d0fii5o zqiI^Gx6qUm&)}~KBL?@)=h01D?&$u_SQ;>VX(RkxDAka-PTBMcjd|kP(=PEfw`Z=z zvUXrJ8MSI5XEnju!(aNqP>wWt2#Y;itf)R#V*_ebNxIdn?52|hxwn=}c~dOY zLtQ_My-otXk%#JM9?f5?P?{IzC`h$kUeoOhhrm#b8q=e;Iv7-EI21qZlRPDC91jA=PF-q@*z5xs_3LlN2f7rgTx^}!(8pqMP!o? zCqcZB!Uk7P#`oyq%XR6&?~l|ikD=L|T`yEmf3v^CLnr5aHSF_$FGIhjZpx)}Z~qIx zB7|`$^KwM=GzB0`92bt^PC2Of8nJ&1PFCK$RtCl0GCafnjSR;*%IDpvOVhkZF$F!3 z$iX?YTvIF`F9P6Tf2}-LW|Av8p``^MZj4>5{+9CM5x@Bn-{Gmnh8RNNR5Ckh=S`y zmY`KlN}-s(@*A9KRFf_U``2^%`W8wB0SU)XP^J0tMELDL=0&vl1HYJb=JbnY2;fsO zmoqc|Sff#l#5h_fy}Ot9-~OV9M)-s5g??%Y_|dxHLLnPsQh-Z1m|Zp0*QYUQ{r14j z0zmQ!-0j(3?{63l@SESLAK>h|ckWLp?KSjUT`+osfO1iC{%p^4E{V;Oc7+RF?&(daKJ)!w&8&stBWqMJT0vmsj&sk_cb)HJyhe=mqZ~9w-9|}?is3S2jUs&fh>t|7}21sv{;B6f;rO<L@$V+A&(p_e})BJVt>(7H9G zPBIePwKvjr0&A2`=ds#U>{n`560<%ok9=A{j+0%tgN=NxDk@2PYXu(jy^usIfPEG8E03FDf$FS6&mke6pL-+Ma85A_G_`fJEd*r^FnS^3)ZI^bLcI-XAY z_P7?xo959yHE13;<@+n9@U_IqNG~NPjLekfQ@xc9*L12e^6! z?5{@8v*ny_W6bD1nj7rPfjJkvZ`8H;#A)Wcw$Tz^9fV1dq}xjk#}-_9)xB{b@kOFc zynb%TG`O&1ptwST8QWOjlFFaPcqqWUYG{e2u0f;Ql?#iu5sNPt-0O8}_~36!!7eOh zCPY*5g6phuHR43W=Wcpnb}eVjd$$?hW@q>F2^r6wyC;vlmRbF9lnn~a5|nY^7)I-6 z$CN>5{~**YYsQdS=`-weBsrhAR1i3&_+EiVq4u1{^n0V&xYr?9MsjOs$KKzUk(g2c zKFbi(UV}MK1pk#F-o}h6bJ%Cx7ieOl=Rj1!Q}Gqk_Scj+;V0qfko9 z+8XyatSbM!6+mk7CeZHsrS;o<_{s84!+Q2Xjhr+Hzk2yVMAYLA;(O8AJ#jM5#_|a| zhg5_rBhpKMEMm(!?gA$h!Nd6;{EF*RlNGK?e3=A($Ko#1|2)2jAD{`mOFrAjkXg3le7fLXwTjpDLHyfNuW7^;QSl(uH!FI41mYLCe;5Di!=R~So|MAE$n3PAY|{M%|Q1}%D?Yyj7;B;?3~|^y7b~s z_O1?pL2kx>ShuXHiKXHHar8g6Py!ZCPS*dwV{s;i{}zk?_0|1fu{aAO`~POezjLSK zh`!&sQ({bmFyCH~FhI#x_MZX&gT1$mjbuTvF3nJ;GIN=knVFfHnb~D#yUfhY%*@Qp zcA1%(%k0nfUcc$->7MRgX{D7``!`Y<%8W>5NLT0F3(TQk4G6+Bjbvno7ZTY&;P%1& zz0}RvWyG9~uX8&ASzT)aHLdDo?)BB< zR!Zw>zR*(9z5r&x1X`7;F?DRe^mFDrh?`CX$*WSI! zRk2DZtE)ve&Rg2ggpV7|h*56eQNu${=5!?LB!>7%8C=5>LVQlgU*%BX%lM9tXadtB zMuS`OI1P1!I+uah-(+!>e<_ReNd75{&w*%=2Mw9yx0B3pRzQ;JQxBWZM%~3ZDL?E8 za=t6arHzO@bMPr3s54De&du{GIOJ!*bvSJZdlqXA_P>ZJP&(1C6ayfb;hmzU*OD0o zjue>qD=U*2M;r_1=)PIgSJ3DhDCUFFO~Qst))@tn@kb~SKe#X!PVPF!G6@#|&dr<+P( zk+TT1c+W3p`sZQcKxf81Lp6vu09ZQ|i|kyL8%?P%bI&zdRApnZ_1bh0AO!;9dfkK) zFBzpZImo^2sjSkwnt{m_%s8f!ZOE!1>T@psW{IR;y1G5qlvjkc*7-VmrwAn6749p% z63qtas;sR>%)FI(&adG?N26bDm06((Gf+wa8SUKS!_a;LqwKPFmouN?^8thfQR^?y zj9jMT_uIJvseBK&%ep^1;?xVGQr~gU+J{uWw8I|_;96Xbbchz_8EwkU3NX|QT#PHA zg$EXW$h*zTDJLv%G9!$h5dk>X0h|32-3UJW{w>-m%M(yk*?sFBlNX<+`1(7@wYJ^( zazx)uJ~oyFdw)$nhPxOWJ5d69lsOvT*G}Kfk+?0VoI)dVZa-ed`16=S+wN$-BL<_T zey6K;w0gUCSgb(PCgK?yjKWV@ob69poairEoE3>z(yVw#7e0~rpgD4yg~azq%lCVT z?64BcDFVHQYy)+9hEj5ByZaZ(4x(KI+E&jTa1cB zPQ`LWSQ6wM`D}jsjzP&;&kKen!;tXWL!cQ;0W-_zCvYyTAdm(ss}1af#iCYXE-i9E zpKyHv+R8gu5zjlfz@H8d#Hr}Ibx=7sqL$N%e~A=}mIHK|4H_~uqPw6WOB4dZ+Rq9J z7>syb1nzsTk8A&ig$%q5O{WWMz*|PCrGbTJ2&4EA$pL zv*KK9*W!}$Clb0q={`RwaU#Y{uwJC*o)k?yO%pxPOnUgS0oLJlu$HmI)h;xjrzD1T z=}4xV4MSfC)jZvUc4yP_`vx%tbIYCL9^DW^Sk)MeiD}G8B~4y;c!51t`MFT>FW$J> zZpWXW-Z`)iP4bpjNfLj|coV->TYsQwB4512+;VBAX|wFJb;%`7eC0vEDouP%m+W8+ zs(2Acqiqz^34e-XQrmk3k@FYnB`Q`gmVEJYP?85wl1K{H$d^oNT>gj%;=&{->Ekbv z9OSIX#t*DWSaYaY4(dH4?w~`NvbL-Q;j|d-O5uscwUP9xQl356lP`IG{xEj6g#FcW zkHs-G^#NH2hoP8w^*bfZ1>YOXnOnOgdVAv?L{&gW=2F3@_qo$6=}y2@%~|F|BmAjp znveB*k8|($0}P%649s&!xXuxzxy4yq&j_)c^?O5rZ(kruqNG^8L|G340^-bj#XNh( z3=m;~_$k$HL?z_Uk0gTbudlvQ*onfp4ON59xn|M-#@w8srq!q@gKmMQoKbu$%8!x_SWBDBu` zrDVeVnl`0$d8Y{Shd{U}d)Vp7!rfbVzRZ;I-Q&=BleAPuM4e0+4)I(uofZnG>7bpX&V2bFVjtQ>!{%l?$)y#&udeB~N~v+>~8wT+~g@X(IQ}_fMEB(OV2a zI4=rSl|6LM@C6sXTOckd7*t(!!Or;{W-r;QeA;Ge5_(>8l?#m`XD@1fb2z@!PE@g- zUvqvI{k3-b48ef;i*|S2qv`kB>7S}N6YFvKXR(odWc5K*hxD#sB?d-ap|mG*oPs4n7h zIV*|n5gmrcTbPf*+wQE2=%j3LN{pGH8d*x-8hUORq*4;e@0=D(3z-W-B=DGv=N>es zOBdZstxVilPx;65^nSq&1q!D&7Oc{~KUo0rFKt1%Lk9v^-Sh?ddf#6#TLE*itK8h9 z8JD^w4|RlZ46`e_%2bDU=AD9oOqfAZg={=;iwHjI*WE_*<45qnqoyp*gz{zpwy-TY zl;@i-ge0OzTRIuP7JrLb40Js2UrpogQa1j)&s<~&0}Gq$1mV8Z4B+=v=oqXa4DbLr zAG;1%CLFD2Us*ZYbmmPrWz&VEb*@6s5ls?dG#Pu@T8A)%4Nte*+yv&}0T><{ykoS_ zTfeXI-l-@G|F9k=`js#lB~Pm>z#EDyiq~QbPgwl}_vvLk&W2j$7jt4kN(LJz)?~VC zLYDJ|(-9yYDR;Coygj$#$&RgCOO_tbfK5I%@$TyP>&N%1qz`?o9|ug!`?ap1oisl>tf50_vLfkCME;S0#(n~eK=$VDn#7m;wE~n`L3Iu*=nFau8+mY_pXgW z=rS~Dj5)lErfGT$+}gHbPAr^Nvqhq?6M>!<{j-x%J7lL3t;wkw`;Fngc)lxoHL!(r zt@mNlebgrUA&YFTT$05#?Wae`IKYOi5yS|m9j==bmpl4j&I6&1c|?N(*;w{?`+M$bGj?};m8Pczi1P2UHYDxHoIvwQstW{+^h3Y(; zs@q<~U)AN2NZPE)VDEy7u*VWbYI0LzvE+r!@w+S`?wkB_HFa>mt2sFAH$4%?C4Q!I z5W`%GAIfAfq_CWg3YwVMfi!N{^ZAR|JlV`Q@0tBbuuC`*J#I$dWP$D)4$vDS%|a^- zR%;#u*hs6Ml3QqFoh2$F;ekEU@P$tpX*q1S=16LdJ1jMX*GVoqawXnhQ)itus7;QH z(u<}B0-FStIlj^KUhTOY+>^oP8rVa1 z$%4VmQW~&=7}tStD|KLNVIl|z^6a=ZAe6lr%@mxX?@?RRZ;Czi&OV>psP$>vu>p74 zR73Z$YTAj2|F}|0Cg@HGynPC@EiBkdj3_j&*eV_pC|YIC_!^>KY=RdQqoz7xTx~5x zz96EXD_B4pBRnQ-U2paEL=-ZgKn1s)MmC>bDgsc1TEa6_a77+d-1aj^%C(?uT;{Z zsx5KhA2yIdbpq?;`XX%=MH}sq%uMBC2W;<`yZx53lRlUu~_SsmA zzku!LO`Wv(n_DUiX zL7EaI_+5xHi14C4D96SGq!Iy$g6PF&*0DTtO6)UhI#Awvmt^nU6+*rE))C+IxyiEO zev(Tiqv(5A0FCghzuir}`A2!Xn~A+P;Ykv5N95hsyRXJSQZP0Xg$7D)S2gDn0_iXs zGbcX27+7tN<8?&Z3qEBQ$f7=bWUm>(rV-9q^Qs}3Wfnk6Bk5<4a=7ln&>6f2oN%7> z$DgMZS277P$f-h;!J|qgkh0q0lT}n&TWUv55E8Ucxw6HEpJgM6+{0l?c3@$tDV`^P zme4Z*j;g_dm?A3EGR=z2=wfITOej%^@W@xtbx8pT=CLi2ENfoIF(?h@v-1&|+u|IGGj*f01I%;NjCEiTj#z zSQ-A&H~V0*5kE$TqFCDEo=goU{p;@YziIvhn)V`7d$ua4>Vu{m0LV+l;9n*^zhj!e z1rvPM);5j~xO8+(%%2B8TLC|t^^B~4A7S~kdhh=O$&UcN4lOP{6Eo`{-|#<|2L4$S z_(y3VJpgcS$?1J-_{Az)BW3o==UZ5j|mYQJuBNkwh2nuV2U7g z-m2WiI3(+J;rQtB#v+mc*4p7xI{^-W2j)_Nx>X43Wq4;je+ERN<`uR+Le)VH<0Yw? z7blffnH{XIYueuG6-_4-X#*opZR$E!)C&Xpt{>Ul)Y3ASUBysYt-sp@9sg(()cV~f z=(-C%!QuV>{pF=kn5uKNoSG%dyk@Tnu59Y3bJ_X*TZY@q!`*sH>YD`$C)7<3X_Jm%R6V9W%q~&&NC#O6)GoY zQakZ_boD87Ee?1h3m8dS-H?>ZySL}%OgXw7X$(@;1@OFCVj)S zW+wZ%(*3(OTrZ3-DesZf@d3(Gb*D^B)AQ}qlRIz4=i#6!E zDlwqRJGSfx^pO_djiFK~%Ph%q;~99PoeX;lR-; zFHhSkcIVnqKNBLj&xGhl1oWlp@JQ{~XF#kS%0*tGs=by>I>id;UT!_6e%ZI#=ih_X{D0-NsK5u(yr15gf{`KVbf3Hbq_ zz-jf@=EZF`2nFxnfmEKL?Q)+k&$#x&YSj1t;vPdR-`Ew5hjcG*;yT2Q@QSzL;(;9L zg{~lx(8C9dJ|j8g5l|CTwV4yd&5Ht_7bY<;u37a(1;*8=aXyPwdd zUL{^_b&uCHIlISw@ym1!6I7n?gcx9Yu(XQVXPqGUzo-)wh0xdXfBmrovOPjO0A9Mu zDfosuF_I8s>1fQwBGGTVGUWK*>ICU-VpRWSouJ_D%<8|W6IA`PPSBYA$zP(SP=n03u<8dZ9EeM?{n&(7Ra_mvr_cr& zAss*H1|JkcR9sJKQ?@t){Ac$D0?=2IohUe<)gj)!XErjhT6BYMtU(`Twbn*f`eBUX zGcJGV&~J01#NE(9kUm0a^tcJQUg-za0CSQl$pk^V=s|<$43<1kIghbM?&D1`%Xc6O8;O+2Fsm3CjILo1o$I?>51hDpbj<|7sKT{M{yq z``IRF_1Pw zkRM_ZGS-l%49?e_L1E0fQ_!N%2Eh)}za>NrNLUVCc2n;CDiV z3JQ+7V<;A^mv<#cpkoTjGcm=)!f!gDU?qNPsb+O&n-uVa>@d0#B6bJ{E_feC`=~a~b}a^yByv29B%PCK`;l%t znE*k$6p{o6l0-_16#}Bha0HP`tiga3dvU1Gy}Tcf>4>WjL-cZ6fhRGrYA;Unh76f# z@=J~hsll>(v@5RSN|~4l^T}CyV%cq7*F^Yp#1hWD<#ndw)2kyibc?o8@wh^pkoYUj zaY>onl)IG^RRn46NDM%HWcpYIo;?~A3^ke;B(i)ty4tuAXajcpnu!P4O$Q_`5A z-r``!a`TuST~7@97PmzShnp*Xh+@^wtkUc8`H_{XZMs)t9^MF3KFib(anuJm80Lrvge=q4n7*pmq750x zQcO`O`XXg3J*6lLQYM}>&z)w==-k+&3>g<0Ce{t;ptb8Ln`+6YLv@#%GwJXeZT=Oj zeTxCGFcd=+bpdviHF*bM-_kgSi6Ny4!@=>a;x>O+tOdT(q-n?fl9E8{{$=`Wyz%(# zQT$>BGT5>JQ#r{vU(=|`FLBNl+{wRSs(UyL!u}N;-UALNdxoS|r1+&;YbC&-I&AvI z4`t>s>v_rpAZ#~eS|X-Uddb4N6hTAX$O&!}!PsBABivstbbW8tBqgBb&W>t>l%cBZ z@%_v>-0xb;Y5RxB#cI^{=Vo&Nn$u%j5h}MrZzk4t0-S$Ya#g_g)@w+g?N$6Nhj^$~ z4MMbp+;q!fM=V-;A#XybMKZW+*DLNqu%RF zpyv)`XOA&WIvgh@cJ-kQj7^1CN}p-K+e@MD0r)sx)iA4G#+}5RVB=aJ6BRSG*_d>p z+kyE)^~lM4?dHi>7ohI`(&-FRB<%yVxjsulz8COorP@{IM4?~z$TmNX3FP~S#3U=^ z#fTuHPmuKUSj=#zd0|MyP|AlRUrt{lZMJkdj=|gvQuZ(}Y%f|4SJbiB2m&GWKM4#dbO~wiRx7$yJWn)6{NH=8W>ZPA3oUM7Bg3_u&OZC9luX$SpB`c%skbr&VPLZM~v9?eF=ovpp!onYg zhY8jff3vWDzeEsk?xP|MMfvu~xZId1Q3&yb+iHfi4(WKgvV$dT9iw3L2q4~m-@W8x z2l(D-;#$S4mwa+kA;+7VH&oM|meoe~VP7-zy5WStkY%ifOfqN$7|Tm@)8bkFnA_fQ z@ctHA;{3%xo8>fN%xz=r`FI?j{YC~DA6klFkTm58*fghM{N8_TGk~?G-GxuV;A-; zNFI}>+A*BhduXjx(t~r%y-pf!&kk=SuF|=m>aqZL0K)ZYOZkT*HO|kQw)hJ567x(; z_{+&v!UE;m)1|3Trm((Jyp#%!GBbG1XDY{YRnVN&-qFYW4r?2QAZDe6gcM71Dsm(p zX2dNp9(cRpWyPx)*K3Zt*%mgPr%T~MNekI5#!?+yq4Z3OYv2O%R0pv3ZMr@e^#l8cH3Rh?CWn+*k{tXB+{wHRM) z?#wfL_j#TSG@@#lpm3zvGDZY4GEtp!ZqGfFO%I6s2dN+qQ zAgYJ<`p4mka}@(SMDbMla9rA^-~wfBUJME$)g!d zFPdjgzPmxK^en)PtBV|f?_3M|QqqvnDSw=WsaIR?Q9cqjY*1ON+ZVgk@hz^mq^TOyu-4>62Z!(3M+!-6M?WgR z{mMOF>b`OphwnGd_ocxAF*5kMw(MUNq+}BBeh%vYJW#{ z)~Bw{UXv=1ij0SeF3Sci_;T`7iXKjSgIMv_gpK{{u+guFMKgoN#b?sPG00jIGG;b7 zCeoYUETW<@vP0lBkyQ;l!xg+J%3Gr$1?2q9t1z?IIo~GPE7 zLl78~75zF7ASoh7G}X-qO*hvXv9TX;>}=+tyJTbibxA|5b#dE{d+}9y<6{wUft$oV z`Hk^5_A3~7aP+-ySeM~Wr!&-O=aF8H(bq!;Z2Uu0dwksC<{6MgOjuus)E}LosI(zz zcEu+lgbPj<=gV-;E9!-#W_1?iMa-gFA3-VD&od8{d2@+Py%$Y7EG_!u{h$lL*(ByQ zD0%9!;W7ytej`NMBK8ZW zU$V@mwRH+xks#+sbo|EAnKPmF$J_ zg~GfmNcT9(B6RS|EF&V3bx@glW=66T->Tsd3OO~HD-kKXrHkc7#37`lqb8dJ&^;D(SolQdUxMmP^%)-29 z)sP5+h+E8`%$@nl z^&<0*ARTkH2q%@S#!C}nk9zQYY(SLOFrj^bc8R37`=a!o<1KqjBP}tGEHal{@`ssz zcP5;?6Q3t|+4av>gKj)MEG2y#E(8?bdxT2q5Ug;2V}@_+I!+ARXULERMW8=9)R|eQIW4dnS7I@AwWOykK&oP; zB1O}_QZX1vm!XJp7|lkuag^-RpuXvmR|t+qliQ5=K*tMdTQIymlSF7%2`zN~-~z3D zdk&0eXnv7aUw-L+9ox8fYjt(XBwi#%0K(e|m`qZLO zG*=~G%@=GBBZr6A`~52(+LC$R&`OPHL0uLkvJVN3H?E>A+eeV9XEv@@WUICJ;Jqb# z)*FQQ>=}9`94qJNs#{Ojw&n7#Hryr?O>snyTeKd3aTB)?5p7Mc2OvsVA#Q}w9{Loe z2N59IS51J-VV6C&4Vxk%CTFZ0WpM+}m;GBU=^~*g0b6fahj%zlWml|mQ#+(=1Rrc_ zyK2BzNf86O!6aaQP2g(NpE4lZY_E@sb7&9l0IRZ;X2)+}>TQoE{MtI&@9%cwb{vCV z3@k@{c_mh?UC{l8!bIdrG>QqbB;mV3g5DKgx$%@{?;R-TKOdct>72M!0=YL-CJk#98gp52wQIw23Z5k_@-q%e zRu50fiG56b<(|d@Y7GLNx;_lK?t@mxs>A9`_;J0On>guO9ql1*ocK?>d@+RZ45!+@>|FS{sxSMRlD z!}7aNPJ{ANSXkbjhECNCaN>oET_i2#Dav9-Ku57h{3;ddQDG#O#%D%p^#%*9HA}m| z0%l+1=&psD6Z;}VO*B1OMtxH6J#qW6yy?cxIcx{d@R+>7nH{}}f{r|VBCmZxuixdD zO_(d2gyfMl>5At4uAaKA;laEzze5WRwB~HjU{4=V8sZt!7c5|+vlUkzl;P=~H=<== ze>(RX2}GXG)9p+(iO3+Kl*^GQmuz?}Om2_X0UO*qO7EN6wuCIDCgq0vEj1kdjHjgl25B%CI5n_6& zOQ^vWJb__UI<0s5#aX|QE7}V+$#PT0?y_mDmrO04^^Q&9imjX!!!@b7(2?V9?(OWy zF#S!g+NRjBm!aXv3gx)4+Z?^cv`!>qjIDT5C87q(X1hs$C!U zzvd>_SAmK6Wh*PxFj+mo9qsB@NA2fp76SAL-u!xo<+4wdeOV0f=Nn>iiWrEj{Bx&v zxlw{1ea_?onTuLz zk1I?S=7K&$-l!i)orhxOAcwL$LbZD}JX>>yLU;G{+oKl!O2=>jzEkJ*$h(zKvmNFU zQ2$x8Lv8ruQJ$0U2u0M2@9H|tiWf`vMDyCi4-I~F;P@f}U}JcKvt_$Z;|+46!!t zF7yX@H0E|84$WEOL&)GjY+<)38HBn;+*!_PFM{0sV0#;3h9#(N1op>BWAfcD4@4x5 z4NR`eqE~>yx`LqNTU?uv_Ojet#!IH{`3MZNI75(E2OpbHHJ(ofEa;nvWUM9&J~2*0 zG6M5dE3oS^mvqImjM<@zYidOLa$sFdz`2hqCQ%NdBxIfaPrNa06PP&>?psWB$OokH zOz2aqI zs{|~a@30ipJt5U_n}$#^)jz{zU~ymq(%efsa6|=M8$QOfxO<-9QkFLyZnzm$At+Vl zuLHH8un}6G1-^tu?cieju1*Y^d3+FURG+aCItnz#ECib;9kDtklVt+3ZG4R#A)tXm zA?Tp^4$aSRIJyb|Pgdq>w#uH>_`{vm7A{R6sau8>XFkWmbHGGGZ}!Tx**e0B!&)NV z&T~_mUy`dzyojB}A`~Oz@-?6|8_EeqfMS%8wt~+TeZPXYcArTj+i14^^QYM@U$6%-DvPMntP)6B$9o zevWHp3^#6uMVl|^ITVH6l*j@@3GKv61n86@APHPLXyTk}me(6%50evG32c}yw({C& z@%V?jZn%((Q0XVg?R$$H2e8E#gdz70gEl9)q0p`67=*g65+4k}jg{@M+kdZtzA$Td zpPU@k)jF=o!-bIr;*#}+b?QbJak+ldP^Jfg?PH{&$iP;w%$A*&m+v(0iP+ePN*f?g zK5<`1gUj@;$5MweVH6Q%gx3ZtMU2*x*r~W&Zo2Ep6^W4G5%`tw8pQ2J^w9N~-0@td ziiq|gFauwn8B%3ip5&6^dw_afbjD3`f1w&@ZiahkU%v8jRIElG=RSF!Ek*%Hq3sB_ zyfDM$q1c5wa%!XBd@nO_86|;q2xP;tf4%N4R#P%uI%dGB4(%THcK|c8gw1meWyI8= zBUIj`2fGsR2~d~zJ=mG&I(>nvwi3^>F}=%}vXR#1N<-iW@yF_?1FCKt26ST=zi_eF zWc#U=EgZ)BD|O{-!fY^f&+SgEu|&-E2anoVZfy6dSHV5&H%;6@o0p6YI2f(| z)ku8UIo<3nuScbo4Gh3tu1yBMgFE1SZ!HzHx6#<*8$19Ix$5rpXyR;n9wX(<79&)I znMGY~ylwqlguc9Dec$Pc`*3Tiuk`!gM^eZB^s+3*y(gVP3o^IQDzG$(uhAQ`i@kn! zw)fU2q;gA+;t9JTl=?+@X5F!@*xnWkw3mi;N7L**cU@Kz1Z}`= z{7Y~NlT)`^o|RJjhee`W9aeK!iMvw=h(s|n)ynP3xq&$aVDlJ(pOphCm~GK;N2bAek*=2Zo_B&0+;={D$2<3BJO}Z>D!-MR{>=F_N|8PK8nNoN= zpi1$_CA4vp!WRM{ z2TCpLLH`k7d1pBsUH@BE_{b(&Bz*JsAXJxjm$>c`gG+w`G0aVq+TL=h9>eJ%B2ORg zBew6WZ9gTFTayD&1HzS~YX}WFn+E2>x%b5+*%kp*Y~R1x8zl82DU&XA>164PPn(Q^ z6k<^*(Hfng7)aI#;V7hHr3qpvWT%FjNkWn+BqK}`(-D;{0#jsU^u|Mm!I)DQ(GFA* z(Gj`I-xHw@iOEOO7L*?`znIhZM51Yqf;sq_1N(=S2bX<2*%ngPbC*hZ_caeHxNJBl z4Io!~=G(L@z|p$YEATD{RDTXyYnD#nrr>P7^Y1h~#-UIW_dY=hnYDQ?&{^svNFCRuQM&8t%=;L)RF)zi$mYw0%d&#@i?;)q`?tkfpf6-;WEUF9HA zI~gGEh;8=O5l26*3q&cxN{l{9l9zX;me!7~%+}L4Lz5 zcj&HW;;vwO17w2T@AOFRsd@s4p6=-?E6=HNRtu6LXuJFR29Ebr!lC6A(j=X&!xx-V z%aC_eg4LWFwbmkrkA{b7lh62vN#f0Vb|SN=l2I5BWR)K_h#uNA1xKqtm@1IVogrtk z%N@Jkj6a?PH2B9xqdPVsskX4d@u`K*94*km5O!PYSuU0_B79^31{Ycb00)5>Nb*k4 zsQE6{q>u~kFQ6Am+jlU6*Id;0TDRmptCWKQ<+aAR1ke@RH)4Cu&{BsqDUee=5{`!G zO&R5h8Kru%<%{n{l#4rFLibbv!D^@C!cRr_%cB*jQZ2;$83p#_+$WC1tA18<6p^I{ zfo%3%W}JhU3%g>W?ea-}%x%C**eT^e5<~hGju`ahsDk)OU5AGKUpiT&c$r{yr^T>y z>{N>dR4X)!1uQ-Yx&iJ!p343u8TK0j``>}2|FLDu#PXlEY!&{tWefVpmMu}do<4v< zayMEaZ6Lg44SKj9Y#eX-4_XZXAQ%9TEt2rR{8+z1tA7I4{-Ce^JFxaAsrCN@ zYrmpdjIb~mcNbRvaqnx{v*A% zYK=7n@0nF~G=z=Iu=)!M7vd|~5NdagrFAqwz_+9Q*jzk&WOJi2?<{sDE{3+T;0-_^ z0yy{v1tzZb1+AjW4v+ihwzd}a%lROR zZPp==^Ybsk(fxA`H{-w7a9J`SL06a4&HShm`V7_~F2xvSPWeNVp=zxMW9()(M&*95 z;kLGzdF6K@G7{w|&7FZs!7wt()ZgmOf3D$1HH;-cb{>ZgNDE^k^ZvDlJ5JjYZaF=A z(qB&3M~YOwDOGq6Y8f6nk2|;=5@XhQMkiEkH`)&$HHpL?{M|C}NXZbekTpHBC45&s zW0{|Lj9ep>bRYU_KDHXI!gH5(KwRBQ@-H>Eyzko0&PA*F(B=2<(=Tq9qjz@~ArbOd zc@g1W`UHI(81}W40bT$>tYJ*DGZe0sX}$GcSSFuqxZr=S;iCOs!!0tmH2qN>Njy2n znnZRGIw68FXAO$tyU4hqmr4|hgQjYW2E`dtj(M!I)Y=~+a~k6`jc}n#j1p;`0uz0D zgw3;y*GdnPjywq@R`la@4L3dWa}77*a}5{nuQlA7Ki6<8A?(sKyOppFI1RZt*kzUs zKG$%$4PE^fz|({2w|(xNwy^SNqHZbMqk-_Bl5NGTvstx_Qk@8Ef# z4}I%_^09sEy+QK146^`Z7c!eaz@mqt%)PMr+EuU|oNjFy&ESS&Bkd?l2DRlQrNxW} z^DuG}joR-CMv=`x3Ksl5b zl@b5-&w``Y|678i*8dZNBg6ckf@90!f%WwN1;G*fx8RumDL6{f=|=u3IHvz0IQsst zg5&sKf@8{W!BNuaxEb%{Q*h+^xA>aM-|;oP|6BMP`+vmO(l`Ewug(2|ul*@F!W|`p z{l?dz{>0ZJKk+q%{}o?b`e%I2H;wbZ;cK`5316H18@}fH8()+9#Mfj#@wMas!q;3r z@wGNh;eU&-=?DJC*FydQUlaHnzJ~sL3|EWvt$IG{zwk9}(%tb-d=2o)uw zUvvM&*HE##aQ?v8hWro@f8%RYUw`9ksB^cVMbMx_Tx5UYYbbvRj^KY29LN8{*Cs#l zHG|*y8p(ga*IfPwzQ&{VH+(JS6JN`;o7)Xw5Intw918yfUlU;`On)W(#MhE+*Fqpk zq{neS@imt1N3!i?#6R%02uPBNzu{{PzwtH7Pke3nPkasd4}5KoHgWLp_?n*XU-%k( z@o#+X)i1Fu?6!+Z_>KR}xm3+ds&vhZ^PdDqRR6}Qe-Iq)itFc`&VCDytbZ3A^*;qi zg7be69Akb9j=uj>a76nQ9L<*g6dZ$o3yx~h&w zdc5m2t*#%)QK`H>9GpB{Y@0hbdCJo0WOfw7KynjY)g&fHiH7&l{rbajB)qCrH=jkx z(kML*YjgLK+e842gp>7bGNX#`B;v1%s`U{jdog%@h6taZdnKC{|r5Y^0&H(?gHigo{W>~3q1C=K)>hB{kQDeCkAkQN&=W^5c5@`aG?jYKeZNpQnt^H*7yZ2(9^mp_hqRZ(%kdBRK${60Jlh-%E(P{HNwP zD09eGP&jTNDNEx>)Ph6&vQg?z zOE{J0EjM@Sjd>?<@{Gx*E^sVYj%is-e+JO9?L`c}#tv-Zgho1`UPeJmA@p3k!t<(&6Ph1u@Mtv7;!^OcG{Rk!fNq9^)w|=_#+U!9i(m`B2ErxW_VItp#mRWTCuvr=XS=3{ z^aBr%i){K50!9#e<3BKuLz__jD2698*Il(R!BSBM1=B`FQq_ffckCH|+j()7$;TV} z!g8y$vMOvZ*!7|^ETPvr1} z>6sh1*+}>pWP3#=Nk2S&vM29j`C^(oHE`CvoI}9YrKW8gQ8lJ?`7lYnu2|mkDx~X0 z6C~RinW}7##&AWIE~*R*iAja=Ze5n7dHUN}facq6fPPlRJ~KrF<&fgYWC&KG8`LA% zON8}U0pOkdg{bj93W&s6(R+f5{{DimWrPi zjfh20Ll%bld8bD~<_hHpYFtsv?UZ8Ps&4AGf4{S*u9yF=c~se3A%3NvFw9WW%R~go zY!@IU?z#v56~RavG%dVCC-);J;Spz`KtB~Db+Tp0bHj%>WZ7(n248a#b}|VzWKNl@ zrfQb$ASqSryj2c#ehV$*P2hz8tIv5ftJl-D(*seo2=Z{AukeJBpxq6Pg+z>FLFiCz zF+zh0yOtS{B#cB&F;*vlq@Hn?2lA5+Cdynbf2|b6;RMx2=qdA)b>@W1&9B(U2k{N= zP?ke>hI?R_lJX!g7Y3d2%Y(90*P7a4Y-E7DK=#-KZ`kA&2LtOr3so4)-K- z1iLq3nA-AU4j$xQp8{H4u{#l%o1X6u92U;@};f- z1#Qy7HiXT4A+X&nqhIPa3RiG(nsZlGyyWE`G_WFz2u(a+7xR^^%$$F4)d}k_$S}{g zO--IPRxjUNRr96X?E8Pw=%R1Nj|ZEUR8kN*{;p|-76aL_l5H=uy5NOal6R^|zHd|j z&OHb$5=mCP&U%Dau^=X=LsZlXEAKHeqd=fVe`+RKxWjlBGmSpI8UExbRfFa@fi#4D=1;;5{L(Cq7?O0t6frLwU1lR+W{7; zRa8p+p@t(@UcZjWHM0{mg=1()6t+b66Ro&NpT_VlfBjBCWT)@RdSR$t@^;GjT&Y;r`{i&Jm;k{`#wCcIRrOY1L z2!ZK{HP&q|2A+eZ0uR{cFhxyylsG5^@rn`wieVkJ>wr@~M;P391sA=-e}Sr`Q=yB< zF`OB2p3xN@470^jtSgRUngj6Z8IhI7l zL7fxi<7zL4aop>Qme+VCR&0r26DdF^_(5AAvWivCX3>?Iq@HqO<(y4+`LGCM&&ZI; z!+`a$m8@!WRR`TzZ4lg8O}K^>KZuqg2eDnq1$rvCEDw&>f8FY}g0?e^KK*s}8QrP| zNOwWsF@WE3_%tQ?lxsIE<&AxY-}`#4tH1%P&ioNkm3mmgSJ+&I`l1FJv-^q7vocpJ z32b2D7)HM7@+`nN+o*=XT*CYeYwxbU74M-E-*e>~~Wc%dZvxx!D%_FX;|mz`F^Lc(0I ztsr}Gh<_Tv?HA6pS36DMxhwBzV9#e|@hbzB%4yfUMujK&i;pV}IH*aaCeDe4CD zE4#ng5V7#?9 z@dAbn;hNnynaW3i4t6EhTC9iO^TIywRD?`x_Z)ZnHtDfheE2hT*V}-?Rj`Ov3=`6* zX%^GYcE2lh84L#wDTQ`~XS$W{9S)Z1=lo?0HH0`ljJjS9gLN99Zh`$ z)evL!LTSXa8sh4s#ObHyM{UFnU60cW%1L2N{z{;u>W8!!GVNi?91L~AUs}RSYJYT{ zPcA^LQKlk2;up4R*cx#u+RRBu6_cy%H9 zUY_$E_?HDxP>Iq6vZEhyrPIX&2UMaE{~oQbdn|~MlXo4F5gc@na+7#YOWepHl|y~9 zH~wXJEjV|{4U=_7&6V5Os!9^W54$9WR?GCO9!4V7^V$g@b_EU;rRtnDK6lWSqLM~; zhP-hdQP(d6yn)1hoIap1V(~bVXKF)*2_Yv#JUed`YE*fJNMx*E$ldQTj>pl@I7E<# z!aSErcVzUMV=D>8kXYC+olk9?;O-8KmM^C~=KZARdB^d^D+r_!SvHb^CzqW}8Nldd zrUJop>OM6I41qwtv>APQ`e_uvGDTeQ8tO?6`7g6Su6FYxQ_satF9Zr;wEL#UWvtUx zec1J`X9#iJ-Zzpb?VGRSTaAp>KCemtg=$?u5MpDQk6mhGN;UaH6{WF@u4)N>tY)2N z>KY}1pSvJoK{*iMOnE{ukUQ?AUj9*h1`8SJ2Yyd6h%|)(Rs{R{9*?LW%qi)t$&62u z1`Hz&JvQ$1^M((1@VeGQ;x}f*i*lE0?i9>jJx9-Ri-fc4X95H? z{Kt33Tax`O^M;hX3<<_|bTs`4%U4+KEDbg=t2u$g3N>XvH zaDi|uHcNu{EE9>UcA-7|X0QDkz&9KsR4#opr4e$6DLr0s8R3z9a^LCB7w52=5&S>G zqRRWn?qWm~d~oN8rJ))^v4AIg$^9Z}I7Koe&j(;$uOuCU7R0`4T-i9(G$IB^@9q<+ zua_}z3DTJdunmUJzb+Q^`{L*(e%q4p~ zYH2f9Gm2lBqHIdf0yIBCBWmi1Fr+@@k)U=Wv#au-c77Z~Ni~CSUdvF}tRFV&A;61Q4)(B{g zfL^x`I&%%Ul~+IUmrqiGVO|(?qaHD&i#SM0+l!B&`mi$*D2F?xD!H#(K_nant4-o( zXPqK|!1DKs*E>XMlAu)Yj?nfb4^(*Dr?1S1+9%gm3)Y2)MN0v!7Yl~Fbc;@>cl8De z0mjBOtLzzd^iK&k{0T}66cs#k)v5SUyT<4(XhHyc7Wro26} zN3 zjlZ54=j}^%gD~=UlNbYD&%uqxgYLUW0Wi)T5pl3q2HtF5Sp)}OZUjIZzKQfH-t{q5vwJjRFvq0%oF8wc8}Ao zn>-)o!X67R5O0bv%|AF-A7BG&B;nL+R=oW2piD9i=;+tizcFb*XPi=GG%}mGZX3uk z=uS@|UaxA-o+QW+h(*b)%J;GO^W}>GMQ}5LeFGY9+GP%PkNjNrELOkN4JWRz%E9Q7!euE@u+bk7 z87}66DrU&qr^+2jcN-{c^R~z*q%r1S6H~!l6&8}!!s$)JymxV35b!a$URUMe)=sJU z>Y@AiOx;IelIIG4Yfnxa{M~mLxu$SQtc^VP1fa^d4zieqq-V428Hf?<0Hg28poQk< z093+y5P9L0&^@cA_2CMX>bV7S$lAc071PVV&wq|DZS;#!*W{7l3@wwLDy3LyYOHI@K$ zz^ZlnR(x_ZM}vG^_?N!Yao#{b%Z686qG-4YF6ktrzy7St#fCdvP|d2kesSkiTvmdR zz7rb~-V*j*Ko*14=CWV>w&{|4WKHgdUV$lb=4l69w2bncKX?!bx3-%s%cjVVUC5Jk z&Z7j7-8N`nj+dQM&yCo%89=w*;}rQ-Q#P@ys8dhapmyM@4ijcXh>V04sPwfn) zT>l2nx91513Z0~uLw$6k$}TyiaA&-hx{Eh-4Hm~k4 z)}iLuMAG<1OW=|`O}9SM#aLo(d6h6s<;F>3f5z3EP)?%@j5Zhm^O*L6-YJhMBkm`v z0>xU6UjOTF{@Lpl-|^@0^?#6rbfp3bZ%NLbF+Q}w3IK3oU3NBzcN%@w*n(BH=9 zeOEduePWJCe4Rz-L>g|1O=sd60!t?TQcJ>)HwWRGnw1h-Q_qXvr?na_;%1HP?R|BJ zOU%}qBB*{`j#k|X(uwY%dWGje&TA9YF0eHQf(ZW3DNywY$xbc*0Zk8(SW_MLA6WwS z|N7SY-w+4Zum2O`p!z4`&MR5g1aSD%PZ0S9~G z`55-Z;S7hn?MJqV0rWx`g>j%Jos2Lo{dy{`F7tFFUvh}iiw#ygQj(T+bzW9Aoz~^D zvZfak3t?g@eD@lAQnfCC)$G&lOba?JD~8z z=Wnd3sd6=$lc}UD3hZ1-NW0vjN9OgvO+3_O|77A}Z%um>`YviFAy8hl%KRH;i2g(w zWIgJYsX&~Y?Xg8Vt`2F{zVI2YRrV0hqz##-n1t?ugP~d(`jW*u6TL%eCbQj` zbI)Kj1aKzNK#Mj{fQ>lcM`ho@YhwUSL7Dm%%nLC4kjg|mAnb$n7+`~^ECy*4L+IVi z2vc)%ylhtdjb+#{{exxD|701qOg~u$&rg;?Kl-7`9(L|T^kwNTK8AW?#-k3I)Bs@A z+v(TWgv5XcmPioBR|x#~c|HxmIat26ZJ@ay^|An?7PhT_vJBt;Vj1>7SqAXoIN1MJ zmLZc}2OL(gVV(M4Wz7WtENf1c|92G+!k;Q0vEM45%72kH^Va>lipO-+cZQzd|EU5c zhsY9DBr+`?hz%jLYOaSAA9hP@ICdh!72lUDqK=Nthm5EpGX{R34eSMa5V9{`KMYQ( z+dbr!E-ju4Y4v2n!zL+Yw;}p8iB%YqF^P!yhM&^#fT$J|R^lpfzDc>zdyJAZ7Nh(k z49TT87vfs(Fe<&h=>JB=^EX+u)!$V-Eygj- za;sSXM->l7>R&1zqTec>m88P{AhV-qHoR6zK{T;prwgi#j}es+1sm9aHYFrdflSTJ zj2KhXKr|4WL=e1wZUd{A22!<9GmL#k@NiSA-heN?l~~2Nu=p~Ojmmwe7Eem+4MANsMEp|8M|JAg zfwR^|VPNbRGl%gL<13uDnSCmHlfKcIZ!G1*G}?SKue`OkzB1{0oN|zkWhl^~Rnjvk zMTkT{8WG;Q7kF`?B7&bBP2m}`$BkYwW&!7&f?pUexRhpIHN3Jm@wA0@j_6FjvUX`{ zhV-ldA6z`+4ZmRqnt!o1YyJf@m^YP?t$n(9G|z&e3(MIVmY|KXX%p-Z0_RSLP=C~l zXp_g=w4QIPITY^%Z2IlH3YHCM*zL*L?X~#rw_KS6B3M{W74d{?roA&^N5Kxcu%W4;Ycx}Ja2 zav%y&;aV(em`Yj{fpZmCOL2fb4a0X=y8&q1Xy0cR*#wZhG{G#jpE-nN<(6Wj(a4rz zGZAAeB2D9(NwT}m56B;v>9ZFl&1f<9=G;A~g&ej;iee|+El9JQi?N$q3GnxUmrUZC zi&NqZn$!2<>KK;5R`B0KU*hwC%U)B%?240h7%f&xV515ck{haP#J}S!X(;{8GTcWb zmDkVXk^N>F;4B!wOP6VV=K=e7S+lA1dhr(_iS+%1qHtB^HHDiM)ira@d(f%@hm;hl z5_|ZWa{;Pm<4=|WI8*q4Q}IOpJIi1eAe_3uQV#3TK=h0X%aXng9cQw&jex#tajwWK zErW_EVpnk{77n_gqa{r)yB8rW-y9=wRJ^{7|hE`7R-kAF~g{xMp&Afq;q)y4zL z$kfO(^^g?f&CC1u8+?n7tqPn)*G{u5+H&&~TKf7!r^m0i0}^YoYYgA$zsY#Q$(`Xq z$b4OA<>Oi2*W|Ucx3+G=Jp@76wgew0%j)G`(;zx|Mu0F2FQRY8f$0@wpDMKJpNYFN z2fpexMO)9k+-r+=_9gsA8A#yEfj?1(Nu~Ldr%DU(at$fw&IjrHw)9$2txpwCrwuMs z=4stA5p4PyPf^V= zS;wlbs%m-g;=2R0)d{#~Q$u*;g5u2AHUforHiW-s<>^?x9`X-Y9WLr+(VqH4`=>(T_F6d(vS_^geG%5m7|j^5 zlx90&{3#`GIa-D>6P#MR&tfo&nsEZp9^6&0Z;(1~hM%JM77DqSH`ujptxL0i;YS^Y zZ)di13Xb#9ztl26X$R~5?7EVhED{KVEhj@+MZt5If-?R<&S-yp*Z$cnR}Fza_vYu9 zcoH0qlm2Z{-l-f0CT`I71jgTDGc{|d+lsh{msv!2!^Hg~6FhVuSgz_JuX{uPab+?So(aCv23&KYiL%X^hSfMSAV`}DpSX8 zUW6Bj$#boswJ%Oe)}Ae}2PX{C3HjJ1RHrzeL`4gB0*=jYV{@A=n^%5gP(0XvfzsD@ z*4tcG=LqaHI7_UoXVv=#cnY4!+BZimAW(#pRdI_u7RIL&;j1Osb^;{u?gxG9CGTxw zzZa`S-zFK|LE02f+GP4gIcNhqX;FV&Fai8d?p0(Z;n#d0^XS^7xq({Ke(v^u3T?EX zxq4yXZPf93!uaRvGc3P8PFV*Lwc}VSeMj2m3o-e%BSVIx&%%$ zb6Gf_Bvj!Ug?o+p`dp)OtDi>WMPgU67g;Hqqg_DJk(S>5@e1?m2LR8KT<*y%c~L*| zVGgB%HI;Z$T^f8%q1W72jbSofVG)*Tsg(61L-TZ^-#xeQ=VYFOB1h`@=vsSh_#X+{ za{8vL?ak-Da)R9&nKu?l>_kLj=Y(a(U{2hlK1WP89M8>I0;+G`%HH6!BN|wjPO1H1 zVu3Ri^9_J9H%E%omut0onKXbuKZNbFm%|EUD);hyz=}T)IP0@jr|_KgPgPgr zaEnN%Fp)ZWO9j6pf7Fyg62hk#2{1qHMHDExY=aNgT%2}-I}Z+ilvh&~OE9tDrhxxS z!^)hMG&%J77$|Ri;b3y8{ftG2*`a@bYP65$g3bt9XbdKm?DJ3d2^$2_2G+?1jB~LW zGiIAAgQF~6h0?a&V4BIQ)iXh|Q>v4s*53Exivkt7CTH~MMyVT!zZ?GX50Z<2|&>o^(^dF(gY zk5?06q&$fQ)=wY1xvflX;`-tdSN?(|(_HpfIAU=;*zN{Mc*42G{ql^o-9Nw!p^7iP zxt?GQf{!;1;W#i44W=1*4w(j7r+lx>CDfdZ@=Im+k>E?~4X@M?1 z66ywNzOF{>%d|0;API#ve(BJJ-L*2~%=a{S=Y+XbLM&F$P8wqMfO{~ScDLmtYoGx? z7_jKn+}T}vZIOkDoH+EM{$0s7w(MH4CA=Fb1nC9hB$YX zQm%Hn@e@^fc-OBd<5WF#DXV%ydN>16xgN>WxikQarat2ZWXbk2IMV~!6)?B(r>L@E zX6(QBkAO-i=!ytBrZDQKpdBrYU&dWrRQn+52tI&7^hEFOL8eTTy2Y7n-#6;}E^bRg z^0pWiHTa>zMG}t)c-R3lc+lbZlYB$9IlRSX?n-5k{u|Bm+ZN7r8hrCqo;mu!Dmy92 zV=B-HiS;#P@$OVITRy@~MD%vbJ#8%8p6nZp-78Tpgol(o5f1?IV`q4dew5M5I9~TO z!eJ#kNTuq!19Q-nK%-uVC+9&YC0A7_?E2TLDDET%b8Y2xw;J3-eqabd-@r&l?HK_F zs`NFR_9p4U8ivtnH+VxpIr=b5O4y`Pp3WVAn%E;mdG2Gi%(U|T8oo!Qc_8DFYvyk< zr>dacHAYWrT$YW#Q{ueQmZ$TtXsqLH?{6%iz0YbUzsh8E(yWu>lo*4*GI=D zI<%?UKO*gAsi^AD#B@|l9yA&2^+b&znj_JB=4Bmgy$D^%CsL{iPLb!c1MA}DH(B+? zVBMmCu{0^}zGu`Y);+r~J&GEf^0^Y09+11>L$$DgUYAsM_(YiJ?}Cm1u>-H{Pn`vE zp10H`SzfN>>i93syeU#7U0`I`T3>F*dTdtr*+YfPmo|rE3!Evx3>E4q+p3;Db&LL> zdeHcMIs8DtwJTqsb7cS>^ncJ`g?=LPkBHdZR6 z_L1x`{=Sp-*E+~%5o_iKj=y1kIT#xPzN$Dk-n+`7tN!g{=b;_XD z^Oyn$|GT^k0nV}3t9e0-vc@5e&!f<)-d=couq~wcI}~d$CB!pK_du|a!s|ySGWt9j zR+`$Hn(5tl5_Cq{G{+ufHw2(=AK!1}TSp9~r}tlPvJ2`$RMalv%mJ|h*g^{;( zOhf{~*3}+SxpNufNO(6=FD@UDQxq;(jE^cs@q;fc3!mhAKQ8?w>|@*BaWY@+j)^Kr z+6;{u;e6WMwD~!L6?*4z=e!KcwDA`T1;FQzfif{%w<@fNx6pt+K8=#^rqNufIKl`AzLGY%^f;>Pw;;R!v1{<)%nU!B<1)C`uh8rP*jbqabR` zZv6nX%rN{SE5By-&_ka-7n>=JTc-dW26!}wrq$|1o*uQ~zjswY6o4BlJ@&TAB(ur9 zUMd8_x2f-;^NMZcD@Sw(*Bk|zfbelFQbHJPJ(x^#P$IEQ8t9kAsXe0|Xz{fDEK|BK zUGjbma{IBXD1~2|V9y(@Y+-{a3$0ktFg(zFAemRA7(qho=3Nx+c+|Eol*d8Mk*U7C z$;Db|9!@1VPNQgl-au<*aS9o4$rqm}{lgRLnK5^Kmzf+O!w4`=PY&)jp(0qkvC!h| zA(5|TFPS+5IQQk+E_H=t5=KXZJUz>0|;Z`|*VnzIV zyiV;$Hamw-X7Sw=RrhM-W(7`c$_?sw5FFhq?R;o zgHyap>fGB!m6tE4xHh4uOp{KpBkv0}jXPi4758>6I6&J-q4XxxbFGj4@B zhb=W*#=s|ncMFp$rKV0QsXB@5iLD`=A|xI+f%+pnyPHF4Xv`O(a6 z5}L;qlgQ1M(y&kPyN}ynjw+P;nesR_JVVihq-HA&>A$sL(Gs<8uzFU8v?U{yxFn1} zE)pF$&<9%tV~?qMtsKK?l#2r3=%cegqWb3sQ82s}n=Vtub&y1U^BW@4@%}su;SZkc zWz{3LPUgAIeyaAo!}?!bbF@Dx6Fd7`68VP9!^KP(D_l)d3amVx)d~>M>=m@F-Ha2T zloCV}LlTb)b3-~tdOm?Y?yV~ehg`Xz=b*Yz176q7mztPt6@+e5IU$hRJg6(aP6t%p zuR|mS2y2Y+zOo%nJj|r+PgK8XHncE(6!y_l!$t3%+)&yFx61pic!wsl+H(m&cwc;* zZ|VAAX`#@JQ#QF9nrCB!WSIntZQKsR<8jSPxSkjvs?-70vR(@nuYs%a-D=Qt998T^ zk9tv`AJ#1PndR2kv(3%)G>hD_7MB&NY^{9}JI=rF9)Fls*KJYSkiTW=GDM4m_OH~h zD3-z{C^aaMBnjOE=8|u0fDnrbO2ocid8&908S4Jf+94TV;W_3UcSXgTh!b%}i&Y+m zn;Dmwdv6a!ZWZq~GXofo&7jn*PNdPi_Tom*?6SlVDo*oU+>qQn+qa;|M2YK*>Hh(U zlJeFzfUnq0+kuO6i1mn;ay9bQKIUqW#?m8?r8gynuHe}|)R#N0b|SYr(PKUc=i;j_ zZtY1#+24Xyu5|(n>1wjMTS;6x<)EC=jPHBYzifmYSdoOL{AIXpL@SEQ6BQ3Ra zkI!*Bk~2+YNMuTs3jBS+3gh>U=YpieMfQc?y<%^aIak2?kBd}kNto8$?>{J+3h`aa zt!+(*i10T7tK2f3W}%HvNh5!HYOu4O>33>z@6HvjZmtbc3N?#eDdz1(~U2O`zx?zUU!BOARa>-#`*b; z==m)*;6)bD;pRTzfOl9V=s6p7RvX@0j%nf5S9o4`3FdjcCqlvxFC&GyhfC!FyJlN< z0vp|x#BccrTs3o(4fHi`P$T{fiSM$;y*CgXn7K|Pw|V75KAP7QZBY-$RgMnC&lX7rrY(G+3I7_=qy>(Bpzz&@kt8WfY8vI zBlP9vIF{p$&GGfb%J!{l%__!G&hg%bd}@+sCt8+#R3!Dm|4uu5$IP z_|?H_88ekK89?fX9(gbb*~le%LGi<}%F9a_?;d$<8=qtQ2l;Z==YbofxE1m8ghW=1 zG5UQgT7hWX?eE^-y;@(mLg#YiEiAUNbSQpC#Wnh~Z>ivJEGRdXd#P^PMpu20dvLHQg#R|s*=sqtw^r6WwOcu@dGa@enmSjm}Mt5tuR&@>+M}AtfVXT0y_hXP4Q}Qp@ zRATd^qcD~!jL|3Rc}K*yZ2;8|1^v&$HEuu`@(lz?Kh=2w&?g zW97TYYh{}sM%OTLdWyUk?=0=j4BfW$y;&{v2gHn_Xep|&;4b|(_FBpqn4}XJd$B{& zL=^m_2x+$?o{dDEB3E+(2Pfui8LQ!3lf$0Rhjh@La!mk*ELp6#nL0Vy-#SsBcW zM|d?rX;}C2&+HAoQzi;_6{y&pRBS0-DT6ybB5ezbB`7Oj+|=F$!ydk7&}1eZ2kWmV z^47ST<9T^~v!|?{s)6gb?RO6Duy=8F=d2x+v0|_qpYmg`sWY)sUbjrEzbe@49KMVQ z+e~kWU&yz7vLfCYH2y5HA9sO`OhP*%G zd=oCx;o{!M;Cdw;vy3=*biOx4zZm$H0Ng2dy5L}7{_weX&iyTCYBUy&H>D|G-7Scp zcCa5Cwv5-$kC74D&z1qCtua6kCbGgEVwWBq(0vw^*L|YakFHNzq;Iu_Z;s`C4g)jB zE=7>hm9x<_^YwaCKoQR-=1aKb-kh@?L0uOaa2ViQ8q+xsnN#kB zUPhM2gO=1U2*Hrp6zq?DS7{U;mn2jVtGVL$(ll&pX0q(+j0Wm#nW+)?BLpG4bq;51 zpj=wWBR$Czk~pQ;+6r?(42;taEhFO%UqIK4YW*IBq%p}V_ZRtj(DKQ_( zCPOk(r$B9>!|73;d^$h0|FO3ps8T4_RH>NGsR^a!pp1%7{8x@r+NH9om=A{@XBN2= z3vmb-MNy7LX^65gFp%uoC_UnjImr9)Ch zzn6&R8ISsqPLbtH^10 zq*^R5Sr#9C%Q4d`5^n3cH5M9rjz1)32rxU_xdtR=iM$UiHZ?s!E@FvH;a!=V)W_iy zk{*Ch7$wtxJluckDgOhB_>FV?bGC@>&j$7XH%P?q2~(g`Fm|+cb}%${4*QRm zr!AR3<~`h7z2)veyE`?#J6bhuY<^rVj3*aYPc0l|$M2-Z!iiu==l@}M2!1%YI*UR* z(fKQ19sE0AE%iHJ&GebCZp)mQp8CvJ8+iT6MBu6p$Y7WV2D4}W&F&zkSBsTxOZI7Z z82+?7_|hcy88RcRAzA7y1tQm?_FFJcd)dGk51pa1{4i6SfpwH&R5?F zapHkpc0|6)wMPVIlWNzpjhf{H1&Lv)ckd)fV^B%a0%J7PaWI%mr3d;ww2wA)`$Wjc zVhXXflNhDaa0EQoznJBob6DrqUSIUDOqZC`1-A;k z;KjQ33%+v{e?B>m0d!KSI;X8#OsqA6g8aaJrYU1A$H61u$kPU(a6ZeltD1?q5IhLA znn_R{p{2-sDl6^0f#L`HKlBbw#MqmR7(L6goZdtJ&Lj|QR0*JQGUFwHO!B|`)vN&j zd%wDk(EqbeRQ9`0boyB*>V;MRT_+lauGq>CNQCq(XpVH)`Fp?G?=ilx1|I2e{py0h z^{cbNKl{}#0CT@kKl|0+z&`ucl7H5T_W!CAVFmw7orw6)el^2?suS_|#4!A=PV{wX z>V`)yC2zh>sN2jM@f(R4 z-5LK+NJQZR{Sv7gR%cy>ixgXe-Iu)Pj5_JS7Ga0BIA%`{&aJmn<8&N1x zD#jHbkUP4uF7Y2o#211PXP8^GFr*Z+ej*;Z_aln4ZZqjBA*1}Sr>*LQe)Bv@nmouP zBWh7G1Aa<4KWBIyq#g7G!1Ls85i0II{Eg*c1MU)h#Fv4FpapidmaC$ol^2f`}ccjUoQ z>5EZ)B%mWYu!iyJ+Flah5}&ax2qU?N6j3Sw6$CK=s{V+NlHap^S~~#sS7RfN#ul8A zpwWm0`k6sP%_LZ?ys28Y?3Uk1gaOAR%G7rwDj^D1aK?W^BHH_~fSM)zqIYo_n!afu zRR}dq*r)mr)+g!-1nn$ED#C%o7Z0sb^0%#VFS3MTu`>O-@akDuTjO#j{G(2!^Se&O zz+rwOM`@uUdb95&hvlBS>!QkZu*2R(QLi^-6IhW#C+MrFV;5TN;vKr`*u40EVazd8UogF0SQ5AmeFL`!D~0?N`J8 ztzV7$cfCV{-+mTy2GmziY^W#?IsW) z$rc0Rg974*NU=cz)anjmlM2=t5@65wcez#eVleMT{_IyD&0Qyci<9Ij%o|WAVDe*3 zssv3X6TnGNBd(J-J#Ns>%zk$_SfCU7RX}=&C!QD`J=_y>t{gPR)u>r8@DZ2QhWXH8 z{xic@%-k_!&grI1LX#5rrv#^Ua92;F8Z1&gBr`0Khql>aQ$RzqJ9;}g0**R#Fb`Ib zdn%+kdMa?BGZunK038?^Xn;tCRogSC5Yl-d{$v$jbiFuYUTYU;PxG z{n@Xs)nfU3zgqS1X976O_w+!X($js9BM*ZI`ahTm?+k=tI@Yg#ULNf8YV{^RFee(W zA-is4>%G;ayxlyD)Vkw?Cnpyj8s+J9CS+OC56?WjN@3FE?-ZCpR4&9lsZU>YKcT z!4bcS>=@F}go_3Er?iJCJn`8fx{YHno6p;REjyo2*YuXRH3NupmGi34QYU|p1@rKV zfnkzd#k>1mD9ZYw-mX_e+$H{p-=XQm;MGRt6N-2S<1nWAgd(c`fFjTz|9~Q>KcR@o zhxdw4zXQjCsmCUlnMLC&qfz_hcCUu*^j1e635o{nOc5xak_S`S7Yg!c3VsD&FKN5j z;TQm7jt%kt@{x1_S&J^uJG6&HF%AB#Kv1kcq+c#Dp)gqbx(1Yh>N1957GRe$Ll5eN z{VZ(QP1qwM)^>P%Ro_gVI8wD}@bs-hnmWqs%8;M{>v}E2ND;5${4xRVmLC>h^}U)k z-~dv4pEN@80|*~@?;~tNEU0YQp9NUi`UjmDh{TidcqY~E9&#%csvR3on8K6zhdE|P z1Llilo-0wNdFige*e|0pz%hnDgE&^;$520~3Oy|)@2W&sB68Zh$gtv|bWK5_u9+~@ zt%)6~mS<$Od1AZ~z~Iy#sxj;*#Ymr5)D~%+DZaP=WDDOT=#yst^@dWv?v?1eo>!)q z{lvSx+U{cZ9UVJCS8`R-3|xNK1##+$W}SWBG_suEwiJTi1iJU+=aPtH*08!KUH?pD z*d>!yTgop456mc@$=N5{wemvNC@jeBJF3?vOvB>lmx~_&p7eyP=l!2umkPKb1oF8R z#+-c6tHP4(89oXmf% z9rDu~p`D?XiK04uu%KHNy~N7>OPxnjy|d5(lGKl@L=qu)me?XIFUQiJVTEUz}%mm6o0WZZq z;dlhJO-*+zr#>^VeHk5yKH3#}3WtCH*(($s0=qqUGOLhDG7ErqmhPB-hAuWMSC>J> zE||=N(!eN#KbY4g7{fT=I(A6CqMY`i-Nt&aV(0*kMmU=K#8=}Y{-SO^XIpjy_X z@QAoibF`0RSj?ri$kOl@HY;7ijC`ptL1NPP83gDLhmYIo8(tq}mprSv3*|{iTU%==yB5qCyNE z3MsLAcBPcV;SK$_29*@%MtEO9Uq&h#jx%E+DIr{r-L#zkHZ+t-2d#w3RfADxGAh5r z7V_uo(wT~nl!Uom2tQ$vK_Neb5KA@eVwBQ4bBE`;uV#E`H@tml@uI^RI(X}ui3ATr%uJkj9i zJCtyUWS*^FRgMBq={-+DCucsYt*m#a=2h5lC@Rv=KXJSL3!+Q7sxn$KykTrUrZ`VX zvhHZhMoyy10j91VC4Yd49R@{oprF^v1l&GYW*V51xFosJsQ|EY`~p7(6rrGhP6Kv$ zIlmlI=t&FYmUA$e@;Ty*z^8cYPx?+@LvRa6i@`fmj{KetnMev$|0WTnyOz04(gxkBdy!^@c)~pK3ty1$P-z+VyTjMj6f=3NIn4X_drduA9j#kQ?yy-8ip+> zy?&Sq7SiDz(TOCDUN}&cjSveqc-`&hyc<>}`~~F&8~JiC1l3DwqttK9*X>Lx8KS#| zv;{xT6;&6~3@ibjd^ZXDfEWmd^V2zFu_B|mo5NK}L+C|$jGhSMW?Gce*4nXCky__} z@d&Aqqkpjj=-<%>zX-bUin{a770#8{)g$yhZ^vW3UeyYib%As&&xi1tMZMx(#YY=R zP@A%d9=Zo z)^t;<_{7~Mauzw8dH~Nl$Y#e~6 z!I?eEfVGBm@1Z~bD4|A95)7`!GT#)g^6A1bMtp$RhN6hvw?QBHMcQ)rFkhT9wyob+ zl;Wq`I}BeD8cT^;x-udukg9q6;Ub?QxNccLFd2CHl^mk9WtBPGrI%P@ycp}5l2ncM z%|3+a<2ETETqh(6M(W}Mj~!$+M|F_%`$EL}T5Og6vR|SR(OMwG>sz7}w28n7EV2sv z8}gagM4xfO!o_207%SIe^*YI+9|3O^IF{yPCQ$EM(9_KH-sn?~^5B>8{AN>L8!lCD z1*zxbQA`$Jz_(=-jutmqs;s4TiN&j^ey0bKa+5|-JP3B03#Z*}$7 zYPk0V?#X4J(S_OcES_Z=fw`P$oWKIm5c$&=Ok>B7soAo+%^zD#3PWuqzWOeeFv#75 zxiBsFp43iEXA=(TLwQQ&4zfQ0%{7CTc-q6R23(;@1?YTS-wHVjHP69&aL?iqzHS3S2(NvZ+RqG!8s(WApx1@{fFwMEc;WszkTO8_ z({-DyZ&c&FCPvjMKzesxruqy*9h8a9Af9L;Vc01^7Kfm%+fvkwwPEP<8?{6!twez> z+!Ylh>rCKs+_$=GT0iEROdPWi?=^VQRSi)!^wSLW(qfi{dC0zEnWuUZyS(X~lG1tA zUUTeUOzuxtu?#aHB4?c(UsIcd>-6*nzBqpI3eTjqwyqH?+1~bQClRk~r26S5rp_u; z$fI)YE{g~4NdQAx=p`=yEHJS_0^x7Y5DBZars8f}20b8rR?CqW0wubd_kF(LXw`Nb}qBU!UnP$0SQ@hw37C08B zO@rD2B?~PcK>pGZNf89twRC6b<;T9<YzQ!9^kpciSuMNFf#y7@YvNRZ|y-0-o7qASPdn{Ox|4j64yfeWyue(O@& zV^B9%XYdgoPOhI%V|s1ryqMX)yQPGV$wiG}cr+`(5gEYhqrlVwko|z_KOaQSmQl=W zL%1TNf!0c6GlD)>QcyK&YvqCIRrD^aPM^q{FYN0pjK1wdVY~M5+IQ;-S1cqPm-q4QxVQ;OCTfD$M|{NHpsOJU)j#5VWa_1t?GpNu-ftrL=;0Nr9{NA&gJ9xMf<3 z@5mJN@`)PTPZ=89_d!Vh zwa_d|9+8uToWyqtQX66M^mDfjv8+-RU9a+Dc+`NX3TIc;d=L@}7z!sJ&bRWSzm$-u zZc%F_X7ZH1u6J&EF~-_Xt%})5l1_4j)OLJC#hV(q>`e_m|5?ohn7fKVb5J)V$Krg2 zG+5Y)DqF;Iym&S%Z>{3ZVd^LZfEQrMJrHFWz1T5=Q$aS!Ufim&IIKhZzH+dopeDec zEW|j1voD&^QL~y}PfMW$3cXpwYM_Pajr@Oc_fA2Ubc@1m*|u%lw(V86-DTT$7rNAC z+qThVyQ`|p=B@ABd!KXu6Z@S1KHj(wnGY*wte9&?Mr6iZBgb&&)M!Q7A8+GQHyv!v zg5@6m3Of)w8Bv?y-Q|^==z3}Qb9n-WTW?Go<6AzJwpt1TPiG$aifszAiX!WOdr(fs2DvF+tz86 zg^{&%57Lt8czwSTmuS&966*MxrCY_2MB`txEHnwFovZ$Pf!4kjXm*+rE61g(Dj?_f zk70!&C8DerrQ(E^rVp)X#hZp<;hjbpcvls%-l`_l)~8iX)>f+%nOB~H@MKw2hQ=VG zFMVzJxh;xmT{7How`WlAg~kYk?P@K)>Fs4n`6vl>q_u3^@jQK`sd}B({Z?WBa$Th} z=`cNY8l0BakGi%_a0`}V{9q$)t#h4J`I1{66J8vJm4`pc%~PIvb@=dJ<8KgZ2|G} zq$L;VoY>JCJbIMdU4NB}o*JnPv)+o>W`S30Y6~sH+U!O>e)q%MTRr-wvD4VX22`w_ z!a%?rJF?=DV$DsE9_;-DN_zYr{LRmd^(JGtDP)@orS7*KRHxuXU86E;l2bGHSo3Us z!lEtgYsa*qpR5DKr#qKUVQ^LR$N3YzpCo0Woe0HgUw0`A5F9F(rHC+FG1{KdvI{6C z4N%@_yqu7f63KY;@Q|Qxf;z=M+AOWlR z*QSTaw%PoWL~IlAbLeS6`wGp|Ct@~QXHUKy{NY5oV^D-LdimJSr}S{7Jxq*=zyMKr zoY{)3i?)gew|PBE@e0FRA+PJBUe8%jpcJ0hcBdU9s&Ul&eGyUz)3Z#bL;BeL^AJuEZMj^yx|otM&jWa2ck+4 z?{m;a_~9}xhVSdi9k&8S?9__!5PC77cYEHv>f11Ep-Y~Hb;mAVio47E$b}2Hl$WmebCO(4q%nWcTS0EZEP&xK?w6qP9`ddy zwMOUZfBgXPilo9@ud(CT*B;d)b3cvJ!cm@#|DWyce8b7?YOR+ zeH<>(#%TLPtN$87i_K=O8M;KT_6k!X&4)XbHa)5N-4X~+(p^qaUf;J&QCo4>iAJB{?f?Zfy!bCT*GlW2{pC2>;XaJJw3V z&=+c_v@HeiqM{(;FJ%Ps?r^6z&=%SL!Q%U3y0`gyAt z4cHT6RXNU(I+^5rjeKa4y2rRZ{oTz`72VL!y%~5VA_4xbyaBur&L_{&6Nqp39^axJ zZ8t2gM{D-J{X_=e?kA*6i{t*BG*;7X%5!XC#aX~DAj~%!)l(&7aO%@jF;IM`=Ca_v z(iDq%^r>*1QjK;aMjiL=>S^Y$k3QBBH|lc4q#+i{?!m50aa?Y$W8_vRu%X9U5%Mg` zpjccJdn6KJzS*5~?#%{o`eryyyc(LyqB9P5w9L{2w@Tf$Vt_aw&Cf)RDfvQx0m*@# zui@2`@B}e}JuUG)R>F+$yqT5r93&Uljd`jySM5h8k(J)ww()^et@g5(G2Hk0K64`@ z7Z?ZHoL<*TlgmNO1MB1wETGAXU5%r_EwCTURzGU!XF<1U<)qj_?`T>3gaqY>4lF0o zX1(2M0|lOBf#1Crhqt#a@e@D3@OmtP-vvylGO;yp_=pzlF? zWawS@$A+GPykCAYZoUN)(Dw}p3ZZQT^sZ`khU0*4iggzuLXDpRTQ%~azFu|5XLrW& z-^Ye>X{@gCW@pYdPwJ;CsMp$&OZjlVZZ1j}=PSAwL9CetsfJnz80(8(3%?hqSK`7@ zo4IdU|2_(LL+k{wczN8Je!oc;E~pXW7rl)9jPzRBj+C%9&XBh@Mn!{Z?zw_iTuTY~ z7^Lhm0|bKsizy$YWSYWy!IkbZuWJnAUDlH@4js84;Aa5o>W~EYvt*FJz16HX4_$XW z8GsKwP9v|1+ZOt3oLIZdnw#6mLu5BR^Yb`IcBOElHN_URtGtq+)8{ePBf+2;) z^k)0^@@hqQhz)ah7)WYf8c zZrs$m3NaJ-wyFw+z+Yfbtd9r`5ffa=ppgdWc5O<&p`PwLHyB75LpAG~ZThN@p6=@7 zNX*U4j%(CT_{6%LvDo(cn||waSL|rgAmL_y$K{J2CeM%ov%$NUO2j7UAaMAaaj<$S z#@D^e1eJPStUJ8*dv|2Rlof>E46&g}yiE!S9lV0DiK-Gt>T7KiAsb%eyApDRawDbt zu`g6(6i6zE5`se5P7$KQ+9HHz+s<2su%4~_cT6Baf@HCdH%tk3>I*pmCqBr}7m#f< z0)d>_ebAN{(ivWNvui4k7!Cb(@GINbXql5adR0H5qEch(s8~l($`vHP(Rp^fRUcRE zTn&^oc6DL@*rzK!T~`kdNgx+9#OoW<3BJ*FiZxPvf8n;!j=7c?*qfo>i>T4I*7LKiSm<5y6b)o_!-b>!x}0+ zS%cdD=i~Au4EiqYHy)Luap9-PHOzzORrWb_Z0xm=;MUu(h_2*GT!#-gu%_~H*w({J zs*Gg^@-W-N(z3)yCw9k3M|39qd7_!O&{pLbs+5v?=sgA0RQ%Oew+6dE%snueHl-;K459=A*EtXZna`j%4N@I=tmlnrLV4hXC7>?o8=4L+{)5HIu#%snn# z9kP;lpnK`LPx4}KNKOjes6e~(eQ|M^w@I63G71U5U~8P3=RhpNi(uZLI%7k}fX~EU z;pa{HNd6QfFy5os9{q4&(dePj5Yq7RRNl8lTB}J3X2=|8<);VjamdZF7V}1(h`lKu zJI#HCQ2T%a6pCb$ZVKz&kw$mBw*n)E$JO9}=&*FTiD{;@*c;?NV_qND>yFrk?DFRs zr{!0$VQ*M;CgZQB#?o_Fj*B?8nP$0ar83piQPkwwZ$P9E!+O&+DCZ zpS1HUy>KBvnu-go9VrS9M} zy5$%HU9WA5w$yM%A~~~RjQ|t{QawgP1gTZnCrrWf4RID2tj2|zmSr~>FW3S2kKGx! z(Px6~L@76E(C#Wi{j<(2O$^bTNoZSnu3$gsUmqApGdk?{Xw#*cHN<%C^3bF56rE7m zO|E9BuMNv}3nw#(ndPFvx&4U#k33fk$NOmDt_9Df6C8vE_e9+cogI%S=9hDQzsiU3 z*b1xL2X=l<9b>*4%(?iGUWcx2x_SZPf?sD{#z`c+SkIi$B@_53*Jz=Q)0xbt@Z4s7 zR4JU{?Bt$@(k~EoE8Nl(1VocU;hK1QlUxJNy(ZhnW1%HGC4j)q{6M)yLf&51Jnqb! z#V&T;*MW*E{4~Szi14@^fLU7?r39x|%U^)~hPFbznJYeQs2KQuHJ;4=Jp1||n$W=i z<<0SP_rWEp)h?a$UeHPzyt}eqR9Cf z^5b(1`gPjkbbFS8IfBdeDPcD%($&@Fo`LI6_Km`R@-<#bRSnOH?6HCaDyt91M%x?4 zw;=crC->icg>xW-{(7jS`rw=b-SMLr+sbX*LemO`(v_-vx`8C zZpfYu;KCzoXt&a_gO9E;YI-ssMg$k}YMod%`_HIqb#);-F&fPHC_!RR9$-Fvo&7&e zqhAJ^)W?q@4XRt02fG$DmnSdZ-@Hq!KIP2QJO%ln?6M)zPpnO_HinKkmO}NAGH5#t zKd)gQL|cx;Y8f@|Pp94TnB{_^I}mw_ni?+!p=DDb_2ITa&+|jxuM!&&34bl6>L)pj zzlMvC2cKvCSRj%M6IgcJgM0vEFqihIFe|vE!w_#BEez^7FxDfg>l-f6fT10tq;bVD zSmYHue-|+UX0lXW-(nFuoQRPp^e4g@`4OACj#wBgvIN!--P{th9R!xG4k17_W!=GF zDcLzWCkwG3u%}Jc?Q3()<@19XZQEOC+Us{{j%puwDleq|Z+4f963&Et@UPcO__)NF zDN6mg(%KYBo>F?^CoSEVE*8$c59nEjj430h?xoJ%5kGd{etq2YPs>1nAANi}@s-a_ zb=3@TYG?B_QY<_<9;*GJznv05O<%%Ez;i2Ps9F{JNP#%^-!IfM)o;H1yn+bVay;er zX<5lXS@clq5Tjhtz~~K(Env0s85~Dq2{vk2{ba~df`*?y*@bX7I>v!l z;HklZzfiJ1^PuV|q5yVE^}{ZMZ^!mkiFSI$QK>*yw)OU)>$U7u1CR8hst}qjglVF# z_pzOQ<)9S52W%$ zM)jiKh}DO;pRee{_i73fY*V{}+!-IryMuh79DD65MqO(m^cn0ea8D)T_ZrlEM}Ld8j6Fbecn;mIk+iXU^42Bz0|PXA7r>`R5}y_g`a-m&ZswNbx>5FSjjssf zbHswW)LV1~=btqYnC0T`WdYh0CrvBeHF)$r1CITh_tZ7~TY4;HXZ%`sJly94kZiv| z{MCeaxANe&Nj9s|SY?SDN0ew6^5f|&2bimsiyE`2Mb*;w7SG)ESoMad{x{2R%@M25 znMwqEG-ElslA7HJg{xLf` z6NfeFZP#gj>!&QC>N-?SVX_R#EdaXrG>IZ}5b~8Udj#}oAY?qryX@uM$-=Cua~5-U&d+|WzPX~|9^ao9WLqR+!YX&x2ag=x zQD6gGa+dga;z~u41NN%gnjMM1d9#(UmwlqX`V|+O>q(Sgv)%)SV)qT=RQkPo$e>%VLK3N ztEv~~UgSXJwg-H7F0FFfbMgkHh}y-)DUDkBq^z zqoDf**{;-HY)TikZBuQ+)7Z+Y;UcOqGow1wZcXW(y2vicr z((rF5{$Hw-{{i-M{3SsAKfwNf_8y%b97P;F089*D1HKLpW|prrC->J`k5SUu;hW=M z&^_}%5PW$vQ!AtYakP?=vzfgs5gRu*`~R1~{{K>KWc~ZM{7ZcJUtEV@F9&=T9a-7` zuarMC6Vv~W`Tw=5|MNxj&xj*46EoL;t2oL!;7X!&-)i0^x?~vj5C$0aCUwPun(hnf zo`Xao!ij6c(2dc~;T~^0_^NM;M(NPv86uw>(YuuYEa_-JtFU0y-*l*9)I6*5x;DPH z?$E2M;lMogsq1{L6rfX3xaj_JABO$qK7{+*eJJ|nKIHfKhx>5i;c*7H>B+vKePyF! zC~14EMnHeNx_Q~X<@9hOdAY>;VMhBu+=m2FjHiCAuO02h&|b6ua33!3|9VaUX?Vg^ zF^g@IsB$omUSO?SLd*~p%e!jSFAHanR1F)NHCH(93&m4+&~*c*tksI0;cVCn3HoC@ z&DNJTzFU_I{ddJt^skCzZY=43QCDgq7jk^h{kii4>N|cuHFQ;$`7#^(>>}UXbht9F zL!rPTgUMcrwgH_R%UUTAiWTu0W_CTbN!XZ~X|RR{rFpDXp;E0MXXX-SEi;WuFqVaT zj9i0RJhe!yDn*>f-Ty1~;k_IecSWpcUMlMs=ikBe5B1^57N>`%o8o2e3Kh8E+UN-- z2TNxmG3A8FUz~q~*591Jmqx}?{JyQW-ouakC}AQhd}BCJ3hlK^tyuUfq@}q*E1cu{ z=r-fqz~M$fp!g5=*vEgbKAb1e>Bpal3oQhggC6jBjm&sNRKo#Vgfjp_Wj# zML3ZfGAn>iS`RRwfYo}Okfh<~yj3%b;FVB1s*hk1Hss>xP@dO`%lZhKf2j}Sxsc8J zNtf1#xupsT4*@fZBK1t7XJaZE5x``xW6v{68YoNaY{;W0qycv`pjO~gydYMeP*SfM zzJGE4Bmdz17yille-A5^_U1}+jWCgBe@Jq6m7!rJ_{9|T?>PV60OH_(asJGfmmc_B zaC7#)1qN(#%CKxMwbTF%VqfvJ1|KY*FV5eQDj;KC^}nkRq5q*i6#F;o!+&!A#OJ+( zWFC#0DcY|GLY5gn`n;E5W)se=LORYyUirgsDM!VDLF3{eh7Jxjv+D)-3c5fIyR?wS} zsi~Pmu`4^#l}xmb?#`0x>W2ajPfAqQlJXlD{-T7wMC&FxJhw-j!#G_C^Y`o=%SJlR z5r?JTw@;i_NLM-d6OnR7kajM6j`Nnn;G_u#9;)!K$BM);JlfU;7L-Xb>4&UMf~!RZ zd)tVL3qFXlY}4Y`YG70N<^vn)eK!KT(r^2+HmMFa-pj9Ryc6u=!wJ@HN`aBZ5^Tm9 zq?n!yu!j>>F+yyVCNEeeo_t@Mt9Wm0qDq9xZeJP4_^*uPW*7Y;^MihO%j)$O1=K@Z zjM@S72ugI#1iXc1;#f6fVQ);aGkxVB@zO`3ri0~CCPLMU27f; zvrH3Caas7vI5u`Dy)^%y8OOn|jAJbQhKYQ;62+$TvVZM?ejoHJ_{e3rT4dACVBOB3 z75IqL_5ujW;>wAMSNGrM!{mRN4^93yAHJ3DtdPPWScE`J1h+)Zp{OrOOEg%~acy~i z7VQ{{X4644+oB0rAHdS@mOQr|NkCP3l*?P?&{xC~Tqe~r9pcTS3p@Ah0=u?xj@ZPs z1E;M`u_^EWm|(T{%5cK{`@joT*2 za}n#8X5TGh-YqJFh6E-~qw_2vFLR429(eKah)?Azg6Lwd47?){XsToLBhNcXQY@o# z(twK1k1?|mJ_=N_QcaGtUHpwruW3=@pM!l*Ten@Yx<_AjbG?g&<=i!{lv&~yo$_Qb zuc}#?%Wjv)ibQP}r<%o}uWltfv|l%`uwKXLNS-z@XetsL9H5V>(}qv_$Be*=ki3A@ zW_Q*Cl#7v+I5ve`NsBXziNr^k3eF=XjYS*UC0;7`ZwlpHEQk2`n|&b`7EZNSb{9)aOm9ULsWA9 zxQ6Ge)qWeS>SAH?X!QJUOThkff&>WfmovQ*WYe4cGx4GFP)ZYPq=EUdyNX|EByQ{)6)GLzySUurTmj{rK=K#PDzHShv6UWjy9^ zsYzJlpt*k50l2?89J5(V*kJ`Bw6S`Q805lpAyhskfKmW)`1Pee{QOIOXs~@1?Iro8 zJ`}nC^Ycr6NQ&&$l@87(vr4#+`9=8$UTD7>UP=4#Mq2cqBdr(RKEK9!g>sL?p zX+Wy{G0ZAEVissF$FH(x{sp(hIp?l7L*V2|H3Qe~IlE!qfI8|1@HsZBCREt&`pFHy z&J2#D=H-mQ4+fJA+EeAYjLLj<0>qU~&)YD4U>GCNWF#u7H@|UpEfrBE@_@E%L^w5q z8w*jL6+X)*_$Mal1;Tvrw*G7^xTZsn$bUESb=m}Ipannklk}Nqc-!O+U&2pKaOT;R z*L5Mmc71{?44~n-+eZ*2^UCKEnzA02&S(EFbTKBvz zkjEs6xRmzu5pZ(@RP@S(6#&2ei99V+Cduz;i!A9z^Ynqes6pfQCD=>Q@Zb;*`7Y?1 z)WBhIP5cXyt=@{&p&|sG_O6VksG4>`Ku~-6WH)kc+WM9;Cc(uH!7PG<>DEE>et}D} zNqQf%2{INaco#X#Z5ElNi2^dL96p)iCF!SEQI$WdfnA5whSZ(r(+LD$4#jEI!3dN007{0&w(E%E^-@)TsRj@=*WoENmUTo;{m8^AVXU{Qw|PDZ;p zUnprK^O{B@w#*`}$NbbRyi!byf}sIpPUqY zp7JMP(&bV~5ftQESJAz3zo~{r6~tH3a_bUlUV-&FjTY}q&y`u&{-}Dw%tw9`6%Nd$ z4x??vtF&OBppJaqd2lpPtCks}TBMs8GrY$(P=di3MA7zmk+Q94QZ7}QGI`6hXIu`t zBFZu*K8Q?99`=dk3ssd(+A{-F0WKLtsr0tnG6RJqH-G?cT#QtG{hr95;M8aMjdNOcmTx&e z-i#)hKB(u1Jo{=M59QRM_N!}l4OVj?Gcgk-Iync3;`m0HiM%F*&x2@WoQs-+xF4TE zy9e&CTEjEfQwV#Z0D96aL!GJ};Eb0D_nT1nxQ*ta%cE>=sDygp;6h4s@_ z()BCF+TrV;p<##G9IFY859>~TAc(Rm2b39DW2ZhA4X+(^k81}VW> z8o-p8YWW6-W<%cPo%Dvtn6Rl?^Xo-pQZkuBEwx1A%@Z#OL`ah6WX5?&fk-x81iio~ z2f?UPG-*xk2L`-8?MEq8oS4ln?hO>WCszr2!kTW)+~oVX0!$_Hy3TTVW%Nqxjr32G zB?le5j=cG>%)K=CA7!Mwl50}6%P)VRea?e>;>sXct^vCOBlyDK!?OxGm(7Lnk%V>Q zbwE^?zVXrwqCsQ(<%HQjQ?&Rra0*C!+!8XDE*@loQ@U$eQUHlwx5@`v8C(Of-vf|{ z%H3u4Z<6ZK45z7otYll_$^_Z649<}SmhriF-f-a3@dm)KR6{$xrhCE}z_QtS3Z4=B>`MWVb}UMoO$aSMlO!6}>15R&_G?@~={ zaa@#?0fyEQip00EE_+6OOiOSTTLYVFs1zSDchMZ-Rmtd8h`@sGU zgLHE3t>o_H=uZOcoICfE9K28uHJdz(KSw=&!Byd;X^T}a;=K~9Yc5NWn!$)bp0s8OQolM>!>1}-rjW7z~0n?#?#5f}L$Gl+sgQlY#U>_PB7$8HPnTgBOUoT~2(e zqg3d+Nep^)RcVN0-(-_KU__VOqH*Bciedwbx_2(rn&9-?1aa!v90OUl!l*{?i6!V(}*lDk~rbFDg*k<i$HiCrRpFGInLj&}fU;0dOp0bcMx#9&>I7sQ>0()*VSAB|6FpEMac{yvMP>r9G4K9g-I zN%lqXM%$a;Z387|Fm!Iv=$<#2P2!C!eK^;Q>Sj1kzK3x;m3(!{t!v9#%KLREkN~6*hNDkn1McN$M zrv!31gPxZM-q!HWu{LO?a4NqiHqUD<4oTYH<8F6Eb_QmEc0WNZFzx-exIf_`mOWrb zElqqZqmoau5@%EM%Rt*3^2}BklZB=_^rxQx4?X#!=eMzF1JA451}3Tscdq>F`&X3U za!SUh-p-nIm&3KJ{R#B!NV{&)wsEtuR8gV6Ab)mZd@nM*ok)x! zp^tua$M(t2c|9~=I2Gkie!Kz;FxF$F8R$lrh%sUdj_eUM^bIrJf}4iQLXZZdcv6=Y zNYQ*Bpy^-RxcIR+Os73*!O&(L?xVop}#2y}3yKIdnAj%jKP! zLIxXJVo7I6di~|N{FmvHj@!;2ripp$Xzr7AioEN19yw;xlN6jOI8)Fr{o_7Wrz7IB zrIO|O;a(N@ z1}4v%t+s<{VetK(8Ce@{XPB3UO2o@XH|ApI7+=qhZDJu<|9eS>^P%8t@{B z0mtHir#XskQ5hS+Fr9}9fkD3D8<4h9Izch9sFG}!@Af>E?;DzC{q8n92s_r_u_$p~ zVqS@IZ^57+s{RT63`bns@I`A7nC;4Xw%E`z!wsRzy? zg=j+y(ZbU*_6PX)2me7$fGXM;ir=y3Vxh0dk*oT)uP7$Gh>2#Xi^nWHhy?_3h#LmB z_Q(5}jb3{Rq!Ifxtf;%Xrn#Bl^IVA$BiMoBO=q^rUKY@|&69T> zoT^rrcM2}waG9UcZf9GEsrkugN_<|(O{LwL6^Zf&`&;q@>eUw3NDK$(m#sDT(-K4W zY;v-fSv)&cy7P^#MWP99Pnlh1!>7%q=CSjyU@qz78~J5pnua`*ED{-=ldW#m;^Q0U zXg?Mhkt@&|hS!MmVp6oksLs&GO9+t^;SH3BA@(I8r02aT%12 zhW<_S@}$yMcLVY)iGrF^-Oju(e}v^n%b!n3brHfxO56b8nnmC+Y5{Oj;Q;sSIM5az zdNC>A)V}ChK@q;}COoURx(h7V)QE!NDzF|!gs+N(X_pLCzSQ0Pr2V~`;-^vXvRQ0# zWy8K1Ujov3Q8a2J0h(~kK%UELR^T%_!{dRdsFH(vHym z`>F7LwIoyuZnQa$vjqo%3x6pMye|1%lMxzMz8szk*$$4R_hL}rb>Zmtz%MI8-KtB> zVNkm+VqYc2&^#X>do3sxxsF+b;rFRWoe!aH#l{?#m%Y=V*^SqwVag5b+{K^G)OH=9 zzjPuRoc8k;t7s@x7F6!V`&8}J_8u!hY6R~5gz<|`3vr_l#v~x}U6ct)t!2D~87tYX z#~PaLo81nEMa`j%oC1C$*e^HHyIDaNQgS<|ILQg>nHQ7Uav`Rv(+&f=wNsa^W**>m z+y{TsH$;A(3?y4%gHN9@-NW#JUw4^wGuDpd^lvkFRw%KV^63a~SmKL_Z$dg9XK?ZS zso$vl_>P>Vgs2^snmRYjQVNj`)jXjb^BC{;(dF*z;^fBD13hOS{9#2qF{BMF1~@4I z(zD=cO=GPdM85ZGb9tz78s_0I1OY}MbX%bVoOn00BB4hR`nBGs3$|tgGtc%d1yIcZ zqIu)wdv4z>DVfBu>lFhbe;4p+pk}Ul{Il@cJ)|?;CZ%$Vab_+$%hXOCE;Q1GSuIJ4+u)R;_7#_8$o^| z+g__50)tJ6ut{QiP4*?(D0DQXHH`m+Ot=ej4m9u>Xx~YSxgw>}V{mCOf9zIv;k;zE z*F4~6(^MJq<8HSAs~_P$^L54eeF2Q+0&G$p!EAnu!oLDjFCyp$&MQN7##4&={iruj zetEuuFcAz=I>3Ywo^@=NChnOu=T-onDw{M9LO32oV|eZ;0XpYxK12Oa!V?D?u7-AL zQUi_%0)0^c!$*KMkQjlVq=F%2S!Bf3bI%|xi0D=U;+T!=h>x=0i(lF{-F$a^CA zo90r;>cDB~_le&dQ-3PyiUkG*(ynH~R|{=$yAtNp#TnjX^-m<4dvQnX4QYOEBs9g^ zOTm~atF+D`ZjMoy9SH%`g= z1ZrUf*00S$Nsu8kwCITvRCb6Q`NTadoAk4n5SSs#6B8YPh3K2g{v;pTh6+*q;wLNS zMI`nT9;5dhPU4?7SMfFj?|hQqOkWG^9MmFMdi6NbaCl#z$2btWuC$jgT(1ZGIeQ*G zurb*a$WQc%fULd@UShnANbL6(iQ^LuB`*RhY(+(t3Rc{^?Wa2NK}n!~s+{T-vM+Kl zJ(fS2BgsY@Na;PhjpKt6&J__}u^=#5^BQ>_ogxv*l{aYuWSc(n&?H*xOCBq|A;lSO zFGO|(p;Rl}NK@EYN;;ss)07`DAnu<{z`s?$?e_Wolc zKeNq;c2RPwvVmAd%p7UY33Z>k=!Sk2!E1CdTwhxw-=?Ti@H+qUx4PKvuB@T~Zcga> z0x4r_>EXPLcAWS10mvYZQtDK(?L}< z7@e4jnxFojB$BxD4Asyl(~*)a$h zgklo4w7HTREo-It7Bw|){3u8aLjZzL{aLJ+v;7Lys5N{0xEn7UC?v;|w=7-6L-ick z=?ASIV05c^PQIn&g#7Im+#jH&GeN+EiN{8mmk^CqN;0eX=XVLkOXlj5x9d}w_wmOE z;GKn}dfs0e*tG#9tHtLTO?q_00m0?$u5de`m!{b~urX{&)N&$$wiYZu7T=}#X~yT^ zb*9=usCML=^&OiFR*@g`9mXR-KE0TBO?%;Khi^N0R<*|m`18PH)W%S|zu*5vK2$Ad zI5yic3V4Kz+LoBWe{zycrCfwQkD34oK262jjP13HY?JO2BhrOb{#T(?OSZ~w58fa~ zEht7Iko_#0G?0OrvnmpD8oCB57=|Rfd=P3Y*a;)6<2x?~8VDxg3+^ba-{;D3>SAz6ZUf_02x5=;qmL1X- z|75*vXM=O(SNM6L;hQ)h(%*>zkQRd@vAak%_dI76-7o(fYeQ6KRA$Hq$!=R#t;NVg z#W3@W60-5poh|_fy?uyLVM}8BsP&4%nS1V!9;&C!>UvouFv{Z7(oJA+_2vzT$?%-( z!9d=C#$lqZGN@cL1gCKzt9cgep7aj(FtzfeaT;;h&YIZU@t{aPriDoDqE2^jJZgbX zO-y$CMdFgoQT3WjdV((Fb`WP(Eo*^y=9p&kjVKZca1VNfvOqumOq-GOXy`deSZli?k$Y@1!#+Fg< zVR7(`w|9XVOJ*WomRyyDHMYhCdiUHC^ZirLocgwFMIfD&ZRF-`L3*q^n3ZnZ)d-_L z6c5TO&BRQ(D|Nx@(g2%OxdwNM%8kbBj?jrI)ObF=3EF^yDK$OFu{v_w5OTxh4>DiE zP#n&&5+))LtV#5rD6m>ws1@B}dQ1&FS|8{NQOoa86=Okuf*>8ri&h;`hSYXl(hu80 zPMpYzXMwkEYe?yu!I?o53i$K-ZDnT};%jI@hAV&O`=vv|-EjZN^R4;xZB~KV(z|KY zf8&tKu`w4{-Js;8J8+KPa^y1-GN)aim%b3_t1BBc>AMa}MuHUq&gW%tz=3F z$Ak7ux{0*W^;@E)hB~yZBMRSVhJJ;44K^Nv*m>ioJ_I9V>hpxa{WuanCV#zWQKjP^ zM#-@r#I$2yPYDPm;#Yuqg4rlIE2{y`);WF34XK(zBFBNqrxJ(kA%&BsdLmFqATwnX z$nEqH6#+5+@RJc*>C8|<`tI$Y&hhyw0hE)LmM5$g0Y}BXj+Q>B#a@|4qH77LV_S~` zAFZd4gfMy;2#)gCq4f?Jq9A^wAb(@9a?-&9z3T$;LFJGElZdP(0{fH$H*NlE{k~YxRwB1%piH5zL#{v(4qkmgw*pAe+?T58F=xR@MqNr7~U zzkZ(!tlgrHF6JVscTBQxUaL;Fyq1e?%c`mQxVM4#oqEj2gzi(5J5N2=^9h$twsG^IF!*uNy6@snz?fPD!`c@9xtZ1bK zMBBWWlLlm;LbnJgWMIl!BqglXnWPnrXD*US^0fZtfBIFWdB24HFa~@Qeue74b0CFW zzN1&Yb zd0zx8#I58ouxQWybnPkYHF%eri0kfSRYsp zXNWP$iWLe)tF^9>szV_hYwryN%UV2{W>Nr`d!W=6Bjx1~_lGfNC-i2|^NV zemp4GAFsr^b6d1HsK@Pdj~r*|94rKOH*SQY^$Qqlz+|LPJW%>Tcc|huFH~Og4+YED zO|D3loz;X)MTytg#`w?Bw55?5RFgRk@C7Q<&=5f94NOsRbTFAC9tV|oi=e;z2h}rL z@lQ!0AjmsrjoNrLJ8Fqz2pq(7MVtZ&9QtJGv;K3vHM?obTEE3QupS|Gj z%FZN5C|HL?nj3F|Zq{+@l@V){m47n|`lX_ab#;f+2r1alEn?e}5*4v*rj#R}zGj`? zs;3ud4VFlnZJV38#mDP2w}P(&wVhEp1I7R?rmQp?8Tbu~N|m6e*T*T7ME54`MbCtA zwT3|BddOS{mSV^w;Vu;KYZZ|#?A7r`<|={39@gjJ`=f?_?LP|03x_+Sl3gpvrTKMD zJSk7$v$xs_P(S&+A2kDjfmST@p#Lq1`4>+3Kf{3kjUfH<2P+VLWtBZ0%@`GptyNv^ z{!;{Oxy2XT2Ol5=H=ywwY6=~p9l~Jn!zKXeSe>>B#md|?yLcGP833wCei?{>|}h#nE^`Xek6 z6cY7F9WZ5mn*<1w*N-&O$S^6IMVO=yfp6vQ7HP7XTfiWsh{#O~?EIU*)Jv+n{G56^ zy4zPS78@+iFgrGf9yT4zrkB5WAfDd892{Ik^E{R*u9hCi5Jt_=49=$)smynAPS3VC zC_18+Sb#!;A&*bHG@~KDrGX_~m2w<79#g6~(ek!;K z9}@gUPe0p*(7b|_^0Nn_W;z@#W3VTI#_DSe#JfQ&FW0K+J>uT?4qEXn^`({EZJY_0 zXY%((M?&Fg%mjlFNc85DPs52E71#8U9IR~_8o_$#Y_8UAJws7M6IOGyleunVi;F4c z`E`q)F1_E+9#S^)pXv+eSwA7NvLx4@nXHfP7Q@%f=zMxkqK2d;aM78>)<$2zOKiur zjGVE@ex&}2lr~wTL#y1D|M?DX8WXih)V~^;VAgz&EH1I15=lysLroU(%{J^r-4x;{ zm(++_SgVFbbHZ5tL>h?C&9L&?rYYyuFhr4$QudG`X zZDZTEZB%TlV%xTD+qP{xso1uYitW@*?SHMc&%XCuJm2{;9_QD`=)F&Tt+y84w9WU> zv)#$W)9qn1!Ti)MnK&rc|67_aO!nY%S|!0?l!CS}VQUZWNRBw(I! zgh4-{_&#Q7bry~gmo}@^o=$NRH4~ntWC4l>sM@?|kV%Tzhd{5N4c!ejPK5%RBs)a`*sS1z2A$Ccgl5FuPu+S|Bme*n zUVEsaFmcCO1Z?ySQfY~CB(%s>>;%-)Xbx!yUP4Fj5m-_!{l@R1MFDQ}-%)JoS)T?J zGy|Y9AD91%Vn47={V!4M82)uWpm|=BT!4h7oU(tS*gpS?V&8v9vElzaid|I1bf5rB z3>IX&>v~7hrd?*99uZ4`fNJbn#0Y`G1okG4$ySfcZ9B5cxeX4b=%kT)iVhoF2AV;+ znnW8J=OdQVNCN{YS&okaMUdj8WM2oViL9t4cAA;kH?ZE}2{t>d#Pq_1Y$oO{PYw5@ z9XO9N(vh-=y!idOiUEK;^+p5CMUM!Hd!2f`O4|X$EaU%5hK_zmv7xrb_y0qNqC@VE zL0FRu{hJIu9=i$q8^w-zqt{5JMqE3U{u{;KZHhfgf&V9pT~CnAa2GHBKgiIVsASh+ z$WlGg##8M9pXJy@)C?RaBo1NjF}n#OXDkx#CO>c-xqFJ&K+`so6-IGzNT_CP8CYC2 zhC94}MX{06CzM7y(NBSBTYmz{R0hX^!*DCL|3ijG^$=a7Bw*wNUFX4upN#1(Y08tD zBiM!6Apz-;U&O)#ZTyX5XQ2YGNi*uf8S+=sXlrIc7{Mw%iT;ZWtqb^{WGIuO$-l`^ z>Az%XNrTfWf^*EU`;0bL2S3t6eXZRWu_mEpJ7?TnaRvk8@6F$bLEIat+X!vSNM10{ zVf9A~8G57z#xWB(G&$8E$V?!uHd!7VejKdJDv;`M0(ZpyZuFyz$%K7>pA#4} zj({8Ai|N-hVj6|IYKj6uR!HbJX$9wQPQ=FEui%>TrzTfF>#+n-4Jh)|2DCi!1-qWHnGo2GA`<$ z*_gLB1hWmVk32JRudM_0QYSc|_)5|YZ=E~$U}(A59*xn`7*%V6o4X+%@yvc^g#8sj zGtE5)K&~fyP@+tK|IgGy$|l?>U67S&Qb{%El>cB|iBF$Yb3CL*pJd-9Ka!3vS&FUR zHk#p_FWqreW2@#+yBqWwaPa(35?jq~zw19qY$rw@Z97S7jw^-!a)!py{N1$r-LwNJ zSs(d-C9z@toy4a3PGY0|lfRM%?jg_<#4wdxK$ir6eGz}LYBosmd(htLqISXOEA?)uozYQQ575dtQIb0F^k=g zC4Rl9m??FjdM82mniPR#8axXzuGWHPlmp>xWs&epG&^U`#o6WUIar|=@wHH+s+U}O z+lTxAJ&EmB*0kVq0j(8&#K4#?e?XA860BlF^qs^$Sp8p;*wW$$zi=q3Hp0HaP)2!- z8yf2)L*Qu_ zdMljd&`4bdv3BR5D-sAPS{`WGLY}Rh|K|gKrL%L(u67yQ$J6=t>FvqD)!Vf)hmX%) z;(rLB-~T0mMyIxzPtjFTT1j1D|0wXu1N@7IZq+r&ztO@zc+g{;r8Mvz)5G_P^K3Of z_3KG^CH_;zo_oDpitq>~y@hoeC-}DjdLj)^V<|?ZSsOH82t6f`Kk7e2ut|Oud|R3mKO(G>3GR5TLVk7X^|q0&3^if(>;exBoYktfkml~-)P=sVT4>{7a)AFD3e z{ppi@`{Cuq&y=9)@-ZYVEa6Q#}-Fh=V=%gw-Xf?dwA+5$}ICzRM z2$}+CKQJ7cxMEGNX=C2Z+_DO=Az^&!a{3;>FI#5~EwVTV>AGBvuP1FDCVURLeob?Kz`N-UMhhNz(1wTKQ$0ZD}S1Baz z4rchk9q^VhY#d*=cl&@jmdmrik2O2c9DatJ7}|MZ*k*YHP&h??XQ1FQv*xnp#+-H% zY}N#tGZ)|I^`mvoqlpnn+QF|I&ZlqV)fyHZlET=J3&LZd2?uxq8)X&6_M^vrpq}bH zT3MBShKul|?$Q(Oq;e*=*HBfzG@h-u(DU3UT`{9)B%ihvb0*-v#-*P8&)e{#U*w{U zp&sw=)b+E%%5wb&@y{U!w|*>k7SIz{!SDFkV$@q&!jb5{Ql%)@jXm z<{dF<8}y5-_czOy%7AZ#av0}5(MN_@1mQ0(cr2$tce1d3w?D8ujYa0PJW*}Eg={@Oq|(``1u;%*PTKHCD^1vT8wz4)oI|i+Z{!%K7Y(#oTUObQkpbXV z*4fa|xDA%uhN}Yxh-WgB2+wa-Q6sb6f>p$8MS^yq+P_h1^oUpD zb%tO*w!5Ox&KH)6*Nd1&v~9KW(dJKD&Ol{>A{Q|0otr1hnjo~DAzeoY(N;^i->XsR zpEMyc-t*E*0T|DgQ!G9Sk6N|4zkJ+$+&r8SwvL-{7$xsEWk~i_HyvwIO2-1*jMX%D#T;0cAwO1| zoei@(KOlumC}NzZXW!g$ur}A1xM(F7ml?~ro(Mbfzi+64v0p!Jd@5dLa#`#+2rx9g zit6?oB(@rZDk!%BDJvITWlw7&2Gwe1LjkGbB+=F&O01zy&U7wUW3&HN3nWv@>y+nXgEjZ#C=G@3lu!DJA<}>A7dHt#S zh0rBbC8K>CeG^jYQP%f_vHc3i$JQ-bVk(i5nwj>)1e|?)mMgg}O#*Th4wHF74=+)Z z#+a-bu`9tex3@x4=L=n-A*_EF`e@rLn?I7B@GM}2=VtkY=d#^4#=me{5cvOoYha3!oj3)V zy&cXMh3N*sL)4m;KTH`aJSwO|L$+l$amYO5#%(C5XsoM}7hzsnUvU*sj^EyGE|L!W zX!ZZYd)o{B@hok}b=<4^VhjECr;sTG`*iTx?|mgto$#3ar9Wq?WhAP>IRuC+5&obKJ^m7`7PnRbenEesz0t2%mK9o!26bbh9a4Dzl8f2TsG7wNQD_==YBr5H}@yrVj?35%I+zac_oo0P)} zE)zbf=5?314z$cgDUab-VhgfYUB;_a%cm8*XUJ#Xxz@h!%)PIwfN;_?(A8_9C6Lb# zpAU$~c|EaIuwIbQ;pAVFq2D3B({r9sAHEPDU~tJmAK=JhA>9XQL-h8FS#)pWhc(r} zo}Ua&d23Hp561r-4-OJ(7ENDr$BI4S2l2HOOnzJOSr z1m^`EVZA7TuK2rSP?1>~6^UarM-|DXjuCCnNkdVm8>ojI@;es*;%#jk1nsXd>zK7E zK~aw^r$ytb_iYUUF+srhbMbZ~&)>h4LD>fq@%Q>$2|#YIr#RtZNf2zD@OSOAMMOo} zvtY6}Cw6jp7h9&>$S;UmoedopMED8WX}XriXgx|GgS3<#B75RDFDm0TDKW5?3tOda zhB3w!st5}WvM+aCM6Y^^bCVBkJY%W(Fjr(~qs}XtXWMd+FG{LX+xpa>g)_p%nURbq zVtdPIa#t0_s)`fcABADtfw6OTpE-(q6Wcm%9JS283KV9_p-7-^7wRmlu7pY=n3A+O&Lo^pC4X!9n^5&n zOTT*U9%|*mVXwW6UxrjermV}tymk1YWp8Cgd~Nj?cn$FE{@i^A>mn-a)$6K*f<^wQ ztQnUE)#CZ-?{aFVEP`qVE*F)g%>N_}4AO2vMeq)W3egh*Wdlk5GMmM1Y+fsvh14CCq3*7!m8i5g(WBx>-sthB% zLjiVC8Sek{rsNW=iQ0ew+mN$?)g-C9G5XrnVAiFH&2l&o*KTaofB>R@{j?|FR%TAl zV!@Z@rNvZjITgpbvsub}_Xe7ky=MT8cY53U(q?M?Nu7a-PGMw%k== zehYOvjNkuR__dg^w>oyMqx`qIR9dT>B}t2;52%v=>E7=JaVz@NQX(*2U6qic9RJSt zFJ?WY000YJs!%?0XW<@@nc)ny$CCR4#k)Nt3D#vp@A?Mr19sYcdCf%3Wqn>eeO%r_ zOEvHuOx*JV(@o2Z3IpwjXf;z^*z@l#CIHQr3u^g4tFh(e66PE(m=CA{ZuqLG!*Sy^ z$d^p!h5F!`M2_-H7NE6S7*P_7kV&S4`~t}LK2>)Y@`+l|eI%WMtzmg`>Z)nnt?qBAo3fK=`0o7)@tnJy!EYV`-DVIB9u$lep% zp?=S0U~lpD%)|K)6q4d$$EQcbC;u$plIEo)TR--bgWL!Jd+oU#(O>;By#XWG|dW2Lu+bp?Q$uD-iQj`Q8c| z_KJS|D0i}{%_&24_1di2Rt@10JDAC4iN4Y`BN}&mW3rAN6jL}|!{+Eyo1Owv?mRZL zrb)9fdrfYh#BOLrQq24UC`dVf@Fn=Yh7U90>A?kNQs-jXSHVtbxYRipalKFFXE3D9 zmFGE-gz3MuLeSv~TlRZ|vpI3!2zHC={#V?UX18^yqt@Qp} z*w3Zj0ae?ihMrnT6$dYneZ@Bf6U_j?`R_u3u)_9}nTXbpTY)9w=z8!=Ei%PuzD0%F zg*-q@kNLCjnRd`R{!j!Ncgkl(xPtLX);2#V*5C^$V~ zYFG=XqaMVsfu#b3f89m^$Y_TJ9b;QB1LW7X0??{vGC;MJS>}$1G7yVpnFMoaRfc=Z z<)<`|N9*N^l;3%Nawn;twOxuI3J%Gxbevzag8$2EA&N8k9XOWa z)7>92uQis|Xkz`B+EX*UDk2C3l31P5Oi63DqXuTy(j-ZVu9`)Tj72rxi{70t0CD*X zGLi6g&I^wMlR|9RUGI*>D3Y<>QeH-=uTjMO+Iv#Vwc@k#&c*4b&fDhrpqzb6D7LNa z(vxO8-Zu2 zFknEs=sfOg;dA= z&aJ@ywGJ1qrqJBt_B^yVj_B|}_~^ak)yN<|R~3u%u9325p4yTb!UCx(3whVtj60!E zk*G;UtS!?qEC6rdZZnx?kOj~!Q@EH4VWSVr^zE&}w&o2>7Fk+-lJlAoe9LO@t7@5| z^BYY*^1w)1kw=c3+Md6?@_7X?XwQfqYe{B2;}#www|)b=E_Ld;kBdXgj8$mrrSJG$ zst2pOVrH+w1*5BM_#_KEI>fHu=;`}+$g;mCAbzyl`amUl(RFG33Hl+|9fR6i}{mFHF8^m&_p z2GO52E;{6_Q-J8`*t=i*ldceXleqAC z8=cvZ*BSvvYfTX}IB#wy|DT6lNdazVXW|WovxxxPiiCAc2XEK+*+#r3FM%b*NG}ZD zN`oyc(<}#G+PGJxVTLT3CsYLrkJ&aeMf;e6Z~o;6#2s~;uXA_d5kvuf1V&1qAOFHX z7n{KeCy4|xw;dB7E|kmSqHtgei$#lmIzHF6XSeM(W`PHR0|?lnXipKlC)2OD%k6v9 zy0Z&U=8#D!(~yBFAifsT))!Hr{lxjweOIb~8&|_0J$Fa8S@wX8qc?cyo{LRNSugd%b8#+kov-Pkv0_75c*RfBJS#|;EC7$GhnL+8Zch}jlPVo31~9%R zkgpvbRyu2+yHdFmj)+t2!l2A?87PTgPyDghXiEn1a-weor2x#O>AH8KXCpt8W4{O5 z%wqwdFCjw0Tt@^7`E!1jF2graTDy0RXE)k7%z7tbG-Xx+X-V_c{QC?}9T@w(9t^Hr zMICruBdSjH$+{E6VOgEnQ*61ebsXz^CI zvza=)Iamawb$g@{tS{*YwBgDkG^T<&=_hv>ldl;|3fu~A1_yK2QJ0^-Uu3M`ds_VA z)w`dDa4aJZs$+d9%G|k~3bDa+I?`RN-Hylf*=qXpXs)#JnZR|~qI}D|WRbHyE;;)Y z*MGLYU3sFu{>AkHceM3|*u16mvb9m0Ob}CgVoi83@QBnq0fYB~hU_1vtJ{EO3or*- z)TNhy?3CkMcgtFNK*#Of!PXEJYnt(^4d0tPAZo=MGZb3qO%~ph3Qk^%q^mTvKy$-0 zO{x3+C*=>(OR!Pdws)e6L&)HYIzemf9Vtb|5G@qTurjq!_#(_-E|>BlC*v*EJb17D zZt}+iZVX&N(cs#C=HawaB-}VpL;g+h302iHt6ST#oPm?d!|dTj%fy*y9Hn2=Um*H{>od)NTc=wzx{l z)zXdXVeycl4cxt|yqB6ni;@S;dYEbzwf1WnL!kw3M}%`X)pl7~480L-m^Vb10Y8nf zH*2W29WhPU+K>1}S;Avbzi=Dpdl~DFU7g&VS0$0XEGCg<`fU>(@t9M}Q0AD{zIa?>f(O1%OHw4S5W zJ3FQ}lxw;qEG$cAjN8)>o)r~PmDaj<5!Od8%dKAf#2g~rI;*UU zNO#uGfyE9y&ig!Xz?OxHSNbKa0QNdM1ia3$f?Tl>|NHbGigP29Hpjqha*m`vm@2kgJ_@sr}(Q z#~mIQF{YTf8>(1=;YNLaX$0{c>wcIPD-PwFAmBxZiaiOoAzK5h==T=Fr3-6!=rC!= z7Lzp`m^(DLxda@uzEtaw3(b+yNh24%Rk6TgLE^k$mzuBebCy%_K%G)XI$A;!TO1N= z9h*!a<&A;m6ZtPzz7q@CGm%PTE=b~NXRI{rD*DrFdiNoplBX?Q2pNrj{PUA-cc1Hz zS7tKBQowNx*{1QzYV+BWG5Mr&p`~_fC%(=`!CpJn+9w_v(Ry)g(wIHSzK&k9=?aSr zp#4iAx#*Ik%hvA0P;Pffujh>kopvgeM+|kK^W*6wM!_hm_d}9XuhE0w#Sc`T?@^!T zl}6yru@;1Cu|80&)l^yEu!Hh6Fq#l+8Vaxd@bYr;%NeMhK%P}xY^&$>aJcS)cuB|h z=bQseI}V~IRXC_i-4kwjFE1DA8&*#*Z!5E#V`+>*Q_c(K$`e_Nh8(6~{YU_nE!#Uv z)Zvhn`B|)8_Ta9Bl*tjeu$H8ga=#3-d`%<>5M{R;rK?B{cN zfURgD6iY6aqHwF5ZoeF9#3@F&0d_LEWKP{95_QpyFx!Zhk!2KxW+;3K<_!ohJNeBJ z2lw5Wg2~ly{UmeMP=yjS(e(BSrh*P-azte;2?5RvV}xXs2M}Rxl19HWl146h2<}Oe7k4zE_M){m&6#g7a zpT#MDbMK+s)Qf0CEeU%iWv<@Q{?m%K81Bt<6hVU}z#8dh~$$v4_ZvDrv8YPmI z#}w(DwMj9P>x~{oCXnrBl{+L>bKyjRvKoEMq?LSU)^V9CM<-u&c0NvU(SHyn=CsLk ziMx0KFJb{vq8#d)+QUgg1wF|Ey9kR{cgtwx=BZ+}wzkFxtSgAMw;zA=jX2eBk(23& zro8lPXJ0va$fqF3`Znva8#x=rGxvFzw{|6&>H8XOAXk&IO1(Dl zjCU|@P>UhN7Qrg4R27)|dy4%H`dI}@AjY#| zIo9>??CZq!5M44_^NxkJom7_&88&P)tTf%qMk1L{P(geUeHpuLQw@l&Gl*ClE0H+nJda;@AXF=t|w2Tl}ljITa#Vltrt%V zpRT9b4OWRw^+(x5LV0~q>X{`4(O5* z@4~Y^QpM})x>u6x$iPqO<%P97d7AIP4ZBK%QzMkSN^$j-raTXaoP-9YzpsnCJXsZP zu_b6&vt+(?(ck2lR z3ooYGgGxc$`p8%BI4<#m#vW`!iYK=((_Ilff~_vv?BkBrIqWb zwyChDh_)k6qoWBZAH^(*nxcLY4MJIiP3Wxg`~$^wp%-YF{aq-seuqGq{NoZQ!n^Z` zrj!zPYL26OXYJ`$g@}SM@)inXreD^m`_=w0Fa@2r9k|2!uQFU;g zfi6hGP&AA?58D@Md=CJ+*2ASs8k5hIeez3>=K(i3E3}Hf>v&s(O-9ii!ed$Xm#dWdkKasU^7?_bkrzKWDrFq|iRd1<3 zPt2dGtMDy8(7)t_z1}Qi>Df>=m{Ano4oXLHUoQF%W+7Z}fa9N8W`TXd;(}?=8?Pvz zAV;~)%(Ao1Gg2P6=6-m`TQ&`hQO!a<8`b8c))_cAMWoxmJ5)rdFtQgUJF+%WeLuO= zekhG$H56hnLrzAu?7TT8vtZV9I(}*`(5?`b+m_Cj>0de7ziAenn~?I_u7X>)=yK*| zi!7IeQf^6v31*o=LERUg-=4lVFMavssBYiRI?AKSh; zdfS7fQ!6uBAyBPLz5$Q4=m+0=AZ*(Wb38a4y}@pL#U6t^X*Pw=YT;Iwl3g8;rOc|k zoz+|N!@*D^_f6SZb;1RxgPU6cx6WCxia-!;=pXJ z31M>#&CXmX+F*!0&=g*gD6}hRkYQo;Gzh4+m!Y_OPCW&kQ1YNhSbeD-7;~6?JVDrjUYbQfeM}1I46>_L)hEy-(7N%5nnFYm?Z8|f$ z9%|wKdH&|w2d40ujF)%RBEJTDln=;U%A3*lAU;k&GNX*GM%xSU+_Qx(`a1BJUfi$E z+nIL*93P-dHV@XR7Rq}}R~FeF!seN@num1#AzHHcsM6NLW9IM8R_(S?@g9?r{SD^C zg0~PNBqqONoQ^|pP<)CL5R7)XsXel}M2*M700?xcC+xta-(z=`1|H!q+_QUoOzznJA2L)+Ss2A>3yw@ry z>FcN+9%^ldvRleMa4vhcf}%6{ugimR%mM;J5>(N`aOqDfN5R+;_!(~VY4gvMGe+9g z@lfDzCL0OE#v>jDt7~PLa+!P%JR|D?=)alwe>iZV z|KBt3|6er8yx%YSpSDi=PR0Zb^omXn&W29^Sf3pU7#Y}@=#}&h9O)JRIl~~YZ)(iT z3u|m+^!Fw+a{j%M|M#=z-vB%_D+}v?pL-Awzfj$<`8FeapQt_%zxL_x&_fUUk&Ma$ z865~~83FdgGl@(O|LdB>%~a) z$i%5*hevzwVmh>mP`Te*`wtI$ksUYXQh)h(^#9`DlfU`*Z1!or?bnm%%pJb!rTvY^ zqop?m+LXJer@Ob~F9*kayIP$dZg1xjvR;`0bb-J8dznKAbx+yo;~~0pEM&*Re~FP_ zGVi~|$N_a9=sykrijl9#A{!m6RphdEL~>ug#mJ?oLzKh@b?FQ3j)Y>Y>~x)d$Z9pm zC!J%PK?C1nqvlx?Y{wB( z;8=#Of|2r;Q8S!V0e4~{Xcwaa(gDuIJ|b1nHE&ILr&${FxDzSGg_`=nM?bpmO}Rzb z!TQ$5)rroWiZl2F7Yen8+5qb?MgdKl5z!%0|B8`SaBzLt>61AmO@vvwoQ!#mOQmkjR)|~t!FqT2jO3rCy>R_^y~0a_J!dX)?%l9!PLtewoBsqMe!kv z{``n*0Wt?CxXcAKe_pEvptP`U|Hj`Zp)I^{^}E<{99<5+@ptfl@b_VzpKztZ>9;j1V-kD?eAEn?_0^ZPkwz(mJ}*`W zA~w%msJ0qMGO7|5PY7fgS&Y?V#YMRmnQu`p_8zC|q+(`UgC)NjK%^DRC9gsMBvG#) z(L%Vs>@N(K=sT4?V<~{StA=w;G{9H6>6?*Bmq^pQ=Jm ze!S)$4=SKh91$Cj$N2QxM7oMK=PW&rLz`m_OJ)LLzD4pV4j^G+RgEOK(owoBDseC} zqT3alrm>Mx>drqGNF=E;KQ_EQ!6M@mG>vib)JZj)$FjCiLeYtk-f4`m|?nU#Y} z(VRm!=a)Y01R1z&NJG6dPdnpdIXYJKu*iAML=GJ%CmeX8y%+nm$#?IHOmy%j2@ZKf4tV4VHlGWgl z6Cr8gfIPJ=j#{Lx>F@bZ>P4MUXmQ@HfKJZE#eq>FA;#jfyqLOsG{}I&tt)iLxX712Yr7t{c5!0mg^YSPZ@{VLi4W%O( zBoeh(6<54YgkWiHLkBQ2$;fGMnA~`t|w-3i-uF{g}k+sgF=S1_xEFE;j-LjNQ zC};TzU&@_g?y%QU8Yc;v+-2q!fyIN#wCT!n59Yw38FuDA-tG+ZY4u1a8U2oN$eyus zPw&PoulvDtq)%Bqc6NL^RqV(8;eDgVb}er$-SWucvq6)4yV7l1SvmZkGHCdTg6z5y z<~CkX46N#C$86B~YqXQx9b2D2O)8Nq@bH6UhZ#D*g(9B zd&soaIEYaPjIM|x&j1{N+ea`m>kkt!&fXr5$OCF7Db+IV0r{o9)evo)O_>rQnoDph%~=*d z&OPVg8{6H6LWSH*7P`S@s@XLC4O)j=bB^EkE;?1y77V$H_y;B7PiD3G({Ns_13RYk@W?#!`uSEO>y|-ER{Mmx%*$dpd6teF-|Ql7?y3022H8er z6r8lt&vOf7h7pHrZ^v@iTjn|DI8nTFJJA+;$aW7v11(V;>&KqpmIjDX;-{E!6Dv(8 ze}33JZ!Mlpe1PK6Isjk^D9|*N^kO?-SydWs1l{}ht0u0tYez+8YgcesIFX1xQrt0N zM+6MpF1111h!NQzpJ|QAPHgNupq9$tG&^fOLKJ#mzj?&H8IU&Cfmze4#pfNtK(oH? ztkAppO2AUkIFnlbu;<2HqxEtZbQ48DRX3N5Vnl;eG-)e3aRI1+a!!ZL**CutPXR`r@Sq&fBb`USW*ZJIHid72BOA4W8Q*r(4O+;=rt8|Y?_Ve}sJ$)MGclCyKzH_J0G_umLUUKYJoAYT&Oo=qH0vReM2Ju(<*MtOV}DRObx{Y^x=W^BI2A zA#x<;r&W-8?T|TsZG?r?pjPBAJuV!xsf=;-^Ji^i1G~6%W+X|Ss$ZH5&Juqn&9vpmVC6rH z_pogHBbGFyOy~uNPu`Sn$E@mG3*f2lyJ~aj{`GdY4*?YSGA%=tS9$;;K37Ir{A{Whxs9TE zYGM>+8Y;(+#Q2Ml%Ew(#(PhlWD57_)nzB-bZm_r`AN_>8^VDV zczaadGk>u@?|HPQ0*sR)(>QV94#Q~|JSuOzeGGOY#c6aqgTB);q^k5WO#oS=gy^W+ zLcLyeE=%p1fQlvA`KwndKahiUY~~RaLFmJn6!^tMybGR{^b|DsNFXM2)&A@j(A1zP z^z>X(<0&9l_g+1CyGy_WjAgGDasM5N$6M&NbB7-L-I&;T032T4#J~Bw)S8T`@Ptid z?VR_iY{2L0xio5JA*?N2F-5TjeftV;e9VFXxz9&wb7FC3NT7LEi~=*z&y{_qyr7u1(yJ~XHhhC^9 zd>a#A;uAP>k9)FCzraI8T+aOqB`ZvvJ{vG53~|Yt+KI{|llC4hu-s3B=i^WHbZuV0 zy_=p<0eHvtg?p+Z!hTp^=qW@{q;xMitsTeo16?&Kgo%VgVnq*$HZzS`r4`shX~(=&poIliHUsb-|(nkJK$x8ZZYSp606TSfVFA4KaEKVqwE@jB2L@ zS7}pkvTkFn!7%m({LNrFO?LXT$kyb`(GSm2g-;>D`#_(k5SkRw2&e4@M^qgEgX4tIu`B;|5s{22X={+2M{It@Pv7uU1And0y&Xq4u&Kor3k%$&3>$}tT*%Z zUDU3f{M;hZl=r0M?C(9zYzDJJtXviEj{x&4e|(%^!1N!Bm(yXytf*C|Mx*%hnDW~! z8{mm<_Es^34HtGyuL>F4?AJ;u;!SRkf(vBbkwZzC*_kC}QVm5x|Ut2svIO8R2%!3=@m{;7f#=T^FSZ9>8pj7zr!PBN$ZuZTJ?xWpU#0?- z^a$ql_2oLG3AaKvB+kA+@ukgx9&2bO@md!aKxOR=XZdy|i7Q8sGpqZLiS|9dr$;y zAynn+jc*wkpcC#W^Fl~>d?kpzc8IC|g-Yw_wdlt6=h!K1cuV|?aXQUcv}5z&4b%>x zR=}r!uZ#g_i2%dkB|77S!T}vwK>-H?)&9mLhnZ7L_ZttH)#A#fgeKUDp=1Z=ol2Ls z`^HCSWNe^^aVbf%!_(T;p4>9?QthQ#w__iQ^jyI^wYdRCpeO0m42_KiL^>>RG*l=t z%fXDD>5L9T#1|ttO64c;vU^i@S_!gRF>FlXKfJ%|VrO7Gfndr~2%Zh#%s7ITd@gFa znit);&7x2>Unl3OOd$cUBd4+!ZkTIOQUVc}PxbL&K-326@9kyD9`tMX6yb4GD|b?5 z$8965(IjGcq_|hC@HGtgdmr}EuWN50i0WD18QYE$FJ(yadZu1dOmIIJv8HAr!g8KN zYs#z>U;=&o8bmt;PBor?o7E?l`=#N^1|;6A+HPC7R93zou@zYrQfhWq*~ihvjHOe0 zWLl@x*6afGva=TXrYg((MDI~Mt+y9sdaMbIt9h?A2RqLREvy&V?5C1>Sgcq0bwFxp zZ-~WOs}uSl$67xiMb##xDu>FI=nR-&%hbRW;BPNGcNVhxQ&!Rv?2P$W*KH0eR2LAr zC-xqQ@n!&cNhasSh##5V%n36Pm6IW!&nVYO;3-yhbrVT4JUzirQ)0Tj4t&|Qe%@~d+l}wsa5PG5&yWn z02!hDi0$(jW!5AC%Kxjd@$hLt^NjcRtnCv0CY%*JRj!^pa^gQP+l~}U2>Cf z;o23a0M^<>lYl^&fdJ7Y2E6E?So{?n)G(QS$j~n79R!cBRzn>6yZx@0pe5rFu*j|zo zXDSE$d@nv2CN1PDgia+qP}nwr#s(+wNfUyfZcL%+y=| znwpPObv~XCyY{KtXVqTo`dw@rx;nolXxP*Q(H>hjwO$=PzvG=DUW|=^AittGI?w79 zC~@{NZV;7fXIgxI*CZdYTa$Zk>oQBs2tDZe_UU;xWDOpcCuT`jkM||nE0I!ENauCB zUl@OVJfZha>Pd!;i~R@_cPYr(F3F97R^}+r#w1+-XZ8v~L!@vRfFVc+2|Sk%KfxnU z`8hx(Nn5z{_qQDM?K7jBc=SCFx0!ZKzJfxy%g>*f)}T0Zg|2iRULWUVe`^E!ma26I z`lxY~>hKfxsCven4ipGqYB*2Rdo1)(5oOy2?ygO#M=>Anl^YY@jFK4%u)-8=F3QYC0JNWoS=>PE)01z3qe22z;TFC*`HUwZRAeE#yl$jv;VJax87Z9U_X8g@ zXm4p~sZ53rgkpo6__e!8LjE-~E9=ktB^TXQPl@$D3;qTNTX6(4@j&OIIQT)(C$Z`n z9suVfyX$B^PKaFM9hZgIeD!_%`Mc=~4lz0BOoQO3eV15kq_1HD^c~E^Sma~H6AlnU z4|gCmur`Sk?2t#%FIP8@#`c>xOU7QOjxSG{7Q2FIhcCSqa{kPL%55k5pV{o+h8bd|d{BZ5V+G)kI)DJVJfQ6)!W7ZC{1MPvY>7DMov4nHzXa zOLXf=|3<7|s69kUn7XAn@IyN^go4PB@D^vLARnDZ0!q~y&NcTDw`E6+m(DFuj@DXn z0dq6d{~`oN{-c&e%o0xZk*Ph-L`UYAeZ3}(_>cX}NMCbNuOi~fI3Nk8H2SG+@Tvoc zYP{@}p2uM15a*rIjJ+8`(*37)Or7kwh_wSf!iCyIu32}o!D!jblzz<+2i2jMa8vemK< z%8iYzresG%s2V)3`0$fBdgd(=5jLr|PzryPxkLiUtOs!}1RKSVY!uGx8igkl0jzJ>AI=;>5Q1TA-+M-7|}0@CeOrT1PtQL>L<_1(*W(lUH-x9nkXR}T}Fbp zdi8=ZAIFN10O1EGX$Bvq6C;>CEjfx5{Uf#*VFW3v7bN3u*V9JHN*}dZw z7|+&P6Tyt&!M@%cJ=(hdUNJQPv_oC&^!8a+#d=0@v^5k|yzGifs}Fguasc0bqf;Tu zZR$;mSq0fSGO=@!h>mL5%46{D?t(PZWw(9BdytdC_zWWwW^;Km=!)1vMonNXgm$ux z>bF4XIbzahs@U;pat|2*0rZPG2TA#UcKh_gbiD9{3H7QUXx1ZLFJMVFV`_xAfoP4CG3P!uvC+bZei!%cuua%9wbi=Ea|`WGahs?zR7o*g zv1(HD5sljncAqHTlC~P*^VBitKAS0dSkwEe<8N3-6Pysf+2aooZT0dx(pfdhdiZVf z{Dfo8b;XJop~xdQA4|;pY*4h<@)kZWJC4z86#E(M4Ox<*_LsnN-a5}$E`#GI%9i4~ zrNXFz>dCKwV_xrmF?qJ1$OmlKMSf-2r&b$SSW8mL$|=FCIN&ggflU4xUVjX1`4{nv zw$Gf|^u}Tjj? zq(GLZS$@`hR$qH1;#*-VmkVux(FL`alJjq`u!%%(s?~e^<{_*o&Pe%9f!i~(YXC(z zTk{U*cBMVFIe{Y)lnQx!aci77CT+)r6^uDWrmcP3P$4x#q|Yc~$VdRPL6{?1#!S0Q zkX0c2h-5+^xxRxSGh4Rk3%C17y$}H<*k%j?hMf?QWaaNd7Fb+0@kxC!q5r}o;g7ZO-}~h`K5HOxOPZ{O=OIq)O^W; zt%8A&ot9cLwix4U!bSQxO(bu#s8HIa zOOQnM3vI~C#b<-U^de~#9~vfJBxqVwY3RlWV!Rkscabs#6<7=ADR4S8J&u~Cjb0(B zZN?y_F{uj7lTu*J8c3asHv)7vHzLmKDei(7wfsFml)5Y=6YLLdly?m5Sf?nKaP)US zI-DUnD8@efzIrO0h54#dlv1?du<0$B{(J4{n_(N2AS)hf{WlPvq6x)0SiW5V9r1?p z9e}Z&$CWUSw7+Hv=|y}8&{^LR$m3@U@!sYBK4 zkmi{If=wNEjYp^Yy6?hQGQ0^S7HYWaEZF zS-g{TXA0>LoCXnZn)%mu+PBB#m!ClSCiXf{@KaqhX*)Vk_AuE!3k)>o60F^1Oz%YN z_ec>v)mwD`3rK6EAkw`0h-6aq;jzqnl44oO=)krF|L#AFJTb>?ZL!?TJ_r8=`PRw2g{eYWh~%;*D#JR@Je>U z^v>r?{cjU(jgx^#BWF#9?)IkjXUb;G0CMUcO`Fx`s1;pyp*PBhGv_-Kh4qH#W-2z4 zRbDI@Mtq5IKP$?z01i`ymi#vH6hfbXop|@*uE6xv{E0dT{q+tV?X?eIQYXF*bD0Z> zi>mk&f)<_eA2pX`5QbiP+?s!a1ze1nOvE6?{mHz!-%i zhmDGou`txwy)$&i!nY&W=%T?WYPQ<}u%jpIF=Rgae|fM!B&huW9IBGStIL(HTOZmE`yDOI_6A8Z4alHD|G{?0f)0i+4T2JH z242I%KWT{Y@$utu^U?;h(x!^ozo&{^Md{+L0P3lqI6-2}rpR6MQy?|2`>^K9@7gs# zOihH2Oj}KM-w)j*Oo`%VDUpwvixaZG5_F8Z?8qcuYHsGV^oG4+EtPGWT@0{cENd!* zi2U_PWXOK|lG1Y5kM$^Md)0F-M&^j?tFPQT5$LV~Es#LbAE20;0FG%yqE*HP%zi(4 z47d3q#V0fI6>8EUL?0(Ww0CH5=P5_-5sQz9Abq(>OJhXU8btZV!%XecZnf0`{GFkDG@wTUAOc_Cl%l&HbBtoMj;3_`5CNb!kG`TlXaK$cVQG*m~JNc zti2B>EJmf7p&l`V-EH+IUQf&sl;ih!y3Dw{^B6fg9# z;($^r`V^Gvv}=V2-Mlj07K)e+zgC(ieXBM0+n7S;jEAK2irLSMCX8mk);?$4E4Qq6 z0nm(8qzz~#jG>+mc*^_x6RXKuo}`OrXHk)S^5XBL_~+VI9Fkc#sh#W4js9qET2Q5P z*uO2**Iq}>vEwOEN46I#J>XNcEw5OMp~CprEk6WWzEBRX#3Y$SxT-{)9%tmUM=;u2 zZ%COVoED9vF0Ty}uT*)kk8w`HTE>}T zjJj2P)#Nc*{YSh#Fk4XG(dg?WAkd^uq{QNI4%shI?yo%k%5gh8U7A@a0e%hruZ?!@ z$xTDzhtUNr_!G!8V&nqyCuQ|NqMWA%+*zmh*A05sbrn!|IhXZN34)<6`%EOsl$)w_ z$jkbquZKbgdTUpDP+GQy`(WGY!DpSbhex?Ec)hs(g?`45QWbW}Wv^Sdg{T~}?r4#f z)8rN5RYJD=+1N!V6XEXpv0;(GNeHvZ!j#O%Tf zef!Osg^cUjYrSpkqoEo$vT#GjB`l#lZi~n6#RQw*Z6X`V5TLX;R^vWGgk|<@n2v@* z>Em;uJc_R|IRt0_*_XLvGa{81wuhK9P#|EEwxm_`Szm8Vr>Fv7K&tEc66$T&yoBAFt(BAM$s`3N1*z@{@AN*6ojpzlV>Ot2!yNZB6W`A2m2`w zt{eNQC^`?9DfH~z3zx4;RK@`t2Z}6RhHGmjkk3|2m|eLOkG*$YL^*h>1!0@hwjVv1 z{OAmEH}|39W+CrLLj0m(8~1rEYEsiFhS9cYRVa!43WSwK!50 zQ{C)qjbKI}3=+cYC9FA1GpusRMd!AIOat|H7C2zNJ$FJN7g zfwjAJR_u)NR9A0ho_o)VMS5T0%V@3lVcB8fbb8w+tKdY0e(oG5DYpM+wqbB#PRO7I zPu~td-x=IDA+7TzQE7JHgQ;01lw=le4t@k|MRz?KN2s?y5h^_eFNVP5YD)jI~OZjUJH<}imwHQx2U@= zQk=Ayws-l>z-59=)5dVyt)p*5|BJ=B>A*cRJEnW#vcIq}-Xi%A>m)6YMN`UepUANq za@}z>C~2z=bJ%D2SHAVaz^KVnd(-Y6yMXR3ta}LoW=DK59^4qJ__R!4W!CkUH z#$`s?UyA6;nBoR2q;c-s_F$gYeGZdk@$ZYu`_|J$n)Dxe1JLGrcN$09cz0t`T(h*U zQ_n3oK2(@24lecqpKTA%xsj=&S=)EeuVxHVR|51*@#-9v_h8;oN;ji9&HZiD-42rZ z7a8BBb4>od)@SU5fM#}9zItWmei6ybE0)53E>f8U^#>_lU{lfi&#}NYgARtoyL;_$ z11iq-4^HzCBF0yOFzUZ+w9 z3$>Nq%zTr%KiLB}S;egQQ7;-drRfwWs@eQ-eILXJqCuVSFtZu$sGM-@!>C-nlcFeo z6FP-Vxmm?c&Bj7J4QyM1YN;6jbS0>grqLhlwq9!Rieu*IQM1-OfOwcZ3{;w`Rc7%B z8b|%06f&EjmV&l4YOzI;LO33;YcvzM!TyDQIRNL?GScy{L4`q<;pxm7S`_Zn>w}%s7yneaq%Rz*<3CukC`3}~ zX)~wo&2Gt2mJ-)mYt`JG>%$%(wzEPoKZDxf1MxLTzO6RS!aBB}m&vgG*2~c?+5*x% zgmnZJRyCeJTM*5qd=&Gpu))y9>|>@AEy^RTD$+q3%mZA0N*t(JpyrviX;Xm)vva+9 zbD`jH1YV|8I*y?n>@HD~eEV3OtY;&4GNO%exh6#=)ok7P>}@EZVzgZ*~QZ3 z*25Fso$YR9!3H5H<{c4p&5Vmcg_owbf@dC{b2MqQ^F*|H5U3JAceXpd>o9)rVaV`M zu!T{DMp4$!d*oT#JjAa2xs_ANQ@+30Iuj3Ww zuvq4a*%<@ zvMzwC*^T|HAZT3W%PP!+rf5qF>l$Fo3}}_6hQ=csl83GG!sly9B~BW6zcvgE z{vBrz!vAoZlR034A)SXhlF+XFHKF@?K0BmzmX{d5pIbKMi2o7VgLB$ zcbginUI$+PZ4+V@%)?L0B$&i}XLIk>D#3M|n4o<+8pP4)F+|ELkRZ@M_?3l0BYuqjT!oO_g!?hu97I& zN*2dv8@jnCV%Z4~_)fy1r79wi-i&D@-}&$FIv93B*$38Z34}V`@{N*M-ax@-IgIS=cvc{^&aKS;S*-gwBO- zN+SbA%i6obXbSFortsCFR?U-+ zoJ_$0*cK?yp1foOZWuT-OHUYg;kcFLz)4)Q4SR}k(yl^STHp^gF9H%>I$HkSzvm>8 zIp%WG=}WAyE~QyhCh8hsywA%_=e_N=*JEQl5%6UUV_lSQ53s(+3(?8)(sQOrrplo-OiAIuVRCWL9aB~@ZzD@WF(uX=Ki8*%M2te z6YS#a7+R|?8YgJT|BV{b(;s;rJKbh zXSzQuY3&>DGy9)<_-*exjn(jE>|VICfO;>M>j^_eZ9GamE9gh$W(Lw9Q?p6fM0Z=C z)$XB+!n5bO57!>eC4aUst%0FDb_KTmgpq0Z;hzoo(^twqBiYx0_whs?5&jz-zz^fl zGys01h%1apJJ_qFx#T%xPeqmU;GK*_#h%nxmjQR=w|H~HaS+p!YR{-y%FbwOEImv^ zae@H>6}}GLQe{|mD58UOI0aOl&qEWE)rQhgt@9Ytr$k0cMu!{6H<~tjWQy?v2%!l_ zl1{EchES5Rv({!5o~~}_fV#^Z!s;kx;Y_X5(Z(sG_O^;Ks5NAzD~+e+dNL^vm3bPy zhD0b9?8R@PzN>Gf;ey)Dy535LR%2iJ*3C8G?IPCwNNhJ*j0unv3yOu~x8kwIhqn3I z;<>R$Ntjd;Ce3CsFujNNQlY8-uUtn>>9akyCnX~9H=j_le?kc-(vce``yidPRY}<; zQ`28NriMaVOx~X^H|#ZKvzx!c3_kz*U)xZGD*g!fqY)Utse1>5&N~Ps%|ATA2q`Gb ze06a4GMMXeN%nW{tFN&kbHIubBlw*zp3L2>T9wehWD3s5N)*Aa3L+Jzim31#uTitn zP0$4R)E(mTWY(D_&lTMsC9}b##WRq3DC=whwxMJ>`SY$+8$nqZcG@WL0|ZwH>y00( zN4uY=cjQ3WkHaMeCHv@tM~skjPrV2Hy#yb`yj~bqLIk%zfM?!LB`2`0l}r*eiyRsh zrTj&L9f3k@dd-Lcyhz2NhCG&8?B`sbe^jkEm$VEA3%6dfM6WTTxtIH~&FN*BE&##F zj=;Qy?@+$;M-yvV1C@F4rK^CN13ueZr3+NZd|(4caZ*DwS#ebNR~sP8TAJdat7onU@zy0kaMX4XJ>C*7b5fl zcC)oJG@IYWAWr^^xElY3BxEVUfKn*~MaO7EMEs}}9DD%zlQkTd_BMxf<*v?I!^J=6 zRcuJ#0~j5Tj5}h`ep@E;^w6K=hDaUB0tg*y+TO&;f%NPWKZC;&6&w&K&ug&$9bdL< z@JXy;CRd_fpob!{!wC4D3CIyd-&o}Cg#54#l;S3%`Gj%WOEB9S8PBMxy*t9IYqyie zvmQ9tH(q1Ph`3MXA^>ym;+`-s>1Rf}sO61pBH%(09NDp;m{r3D~(X9sMIs+oo zggGYvBraxv>~=t)O*dYIj2>UPRw|1S(Xw+dqhaCz36^RSx6{pM*l}ZjrD&? zO#MGNJGTENX2-(H3Q%TJ3}t6$X9AxWf)fm;hy)@TXb9p2Loo%D$d3P@)Q2#@9>CTs z)9?iW2Z;|wOa33%#P;87`fq6N|6t_)8=7PPeoLA0|Nqe3|0hul2jG9n$^B>V{$Fr% zOkAA*cTVn)&7Toe@8gZl)iXH`f*_XM26w<7#jJsDxvq+Jlm63 zyJAuO;6H?ja3wOiJdBSoJRLS)$M%n|j?CMXYILR)*fS~)OO~42GZ~k47eii8Pv0`y zAN~hVOzg(c!pKyO?l1Gtm8GS}Go>l4cSmn0k7wJawm$-;D>Vw+nPp==lzk1PM3F4% z-<%xdHz&7rK=mjH*1qyzoZLgrK*2o;r17n;WD?slZc}H@6Jxb3Fqaqji(&5X zf8?zx1?GFm=qQSo<}cEVppw%~mS34P{^N;RHiGfab{yK1`S!#Jl3E%5^H*Uvl1$Zt zVmCE%(qB$(h66wMNHFsO#Va5MgxWbzgr?H-$fBBOve@+&0D_S82|7sJF@F2~L@F_0 z*#Gv#JpJ#U81esjVj?P2e}432!cdtsF<;l)i=TJe=0rbBnSFC|0&ni$oZL!>3BPn7 zGC#{(S`32EoMNmI$pf@)Xu~QvdRP4RVIfl$BedC?wsY=dxDYWlfjt5|UL{ zR(7u%p23C*A2c`oHz+6LeceE(_ee-pF1u>bWtADg{#M|A{+bd?H#zHl_XDENS6`t0 z6Q^9d-#tSd495#1_S1;C3gkba-1UDzIV@kphL#NZQ5wA4m-~D#sCAU z5YA*(2gaWgC57z!bBJUx^Bgw-F|>Y$#d_H(36#+Aa=vkFBy1L#u41^1Rpe}r0O#mu zzX3F_Dwzi?M3o1yDSXfIY%ysc(wX1<2n31J0-UUZp^l6H<%x0FkT}Uo81%Q?{0}Kd z^IxP~0aq#8f01&kLv0xIj7k4yESW$`VsA8IpqZFqQ7^G4GWvm(fnOka=r7ogxpwUZ~fmm80X%_ zSlU?PvZgDKfnnGT|4>@ql^Tf+xJ1y13R%Q;&?-=KAGBI6|EQf+RMw+s)tyyy?$T<^ zc|I@z1^$i1Z!4RFgKVu@ox zGy=)MrD5+z%(B6VjmJmr&Fy$l;1h7nfVmMP&GZCFqFMX?&W8h62xor9!(#YLa4_&?3Tok= zmj*5@ot8x_@wNhQj!*vMiPCh*k znz8D}5GBm`^ra5k#Q+)2+kQ*P+Z9l%Hl3 zwBFnwY?&<9=&<0arqI}>_}09Hj3upZpxNuIC8tOfJVBwaiZ_uwwt(YyU`G=0z3*88DRc?M%XetSH49t zh#|E7FeN0KLuO&z=hP)@tgQbL#Vp(22xZd2GGF}QH$Q-<-z|A{84ExWzf}#Hr=jnN zBD{*fVOqkk$B?>f-Gh{Edmi}{*$tXJJjFV{mpcIubl0%eZ0^>wom8}yrDt`^t=c&- zfs{ze^52i9n)~l$O=&)Mo+N0oDMFY|qbe2qIrVO@COD>tPTG1we zK$g5Cq25aPijCyBTNdQTi1@jMA@jT-0vjM5s>bKWX`)u)8C( zFcmCiUX@UzbACI2xK}MdsU_1rz6A9SRQ{&E+1ad74p>PjA4&Tb#i%@5i{s{88AJSg_|CF#(7(hTZ#;qRK8v&I z(w5!o7ErO={vUtuIn^8)k6mv}0({y&Dk<5#GW-9BCq`ny^uIhY$oCN?F&Vl&m5c9; z=a0sZrAP4I+&%4G9 z@)^+Eq0*FNnKIIVBYdSakAWEOw?)inmz4$N?yI1CfvMyk1`93vANTT}9E@Baoo7=~mV*^*)Wob}99D|D6(Gt)N| zE<<4++Im0z-MiW>5Xi4jHpGoM8W#>>wYVA`wTPL1L_?gO(W`I#0jy>MGJ4n0y7qmE6HakP{qoPzLanJBv>3JJkmW^G;l%mMJjv!FJpX>f^ab3 z!46$eKv7Mtd<>D;1z;R@DQdKyN=xf(x(Nb#iDj~+`1ST}XiJ2SO#O?guq2D&8T+_xe>6Wn zf=SrPf|bjJ`Xbu|qHO*dK0lR^7jgg69(b${gBC>8Q0Y&P5HXMNrA3p+|G^il!I{UK{ zSe<_W0tES&h{%0=LXfqS`d#S}z=eRY7QRmN0sW>YRbOA_stPRmNfg3>ZIr z1&~e%qY(rARIe;GP=1LN#Y3XkU)RJTqS^&k1%H?^>B$RKsIzK90l6_y!z@*z)S*+j)Ps*v zz(_0lY*I8Q*>q@QeM1hf*RbePla6t-o6lPO5N~#E?alY*wqrRY852KkNU$T9y6Ajx zas^r;&k4Lg!kWh+L39@Q9X6%~_&|)No;qR2+I+9uMja~*%gg6q zJZ0$vDUyU8ETmGpBqHz9xd@Y9A75%G* z&=C5FbgBu278J?P3QLx0cKrM@1MzPT>7nOWqX{<0gSu!<^6wPn%bmC?%^GQ>n=`#n z?fibH&q$|y@7=FO{GbPF@An{_gUQ{yx?YvuqT|=GCkqjP;ZrUF?FoNz3SNz$_dhtvUZB9vWRlMag z9{@Nx<0^58FE-fqfiA(_eGY_7rA%EKd(`1fBy$cR*YGzl4G|pR$}KV+v^^Os}C>*a-I!wq1T6F%tx*|nhG2^1MXyb zs|bYf+4DY2`7d%-Lx6K3WD3ERJcR8JGojjKt2)lP1W(R-T7FcM%XQ#YS_SuiE>V}H z-hvk+Y%Iuf@dwst5udbjhhWea;SrOiUm1#y$Qr;FA+++2EG^9s$ZBrK$P_?VP+_y7 z>9nzz)~u??5bO~do7oJq)izhUcDVLrmOLF0XLRUfC#??Q-CGy0bCF%EbF}Z_U(3Gx z^ge8}FDB}h_xaI#$WQ29=H?38@29jO91N!zv?eb~DevzB z%OolxSZ-&VIQWTbMhH{^{rT%wvQsa$Q}-tnG1e}Gd7uRCFPhQYOV&zaVJ{WKZ^Vyn zT0cuDZNWRynUtigyR(-j*_wSKoqHCup^ITvJ+-Emwd?$jDIm^?L^!06a&6-4pN6*06}N^Sd8;@l&NRtWSy$OS`` zU6b-=5)>J63$Nm?YV)J=#6s4@Sr6Vf0>fulXaEg*?g2I7l!h&bOs-AZtBN>CB0S&AG9u zzQB*gQV_Efo1AIiYF`Gugt^*emdS9P)8Av=Adxe_1Vcga zxTnI*CKX4+@@r3oxar!6UlM*)`u;#QY_DVpI-?PfU??1jhxebUgER7Mg2iTnuo?r0 zva|1%<&7OU5F{+_SJz4fYT5mP$|A(PZ8~R)n~A#!{6%FzN=HRzAcTFx7W@TT3N8pW zF5I$O;x1Th>+C(12yDQfjY3v}avTKRWY1d)^NLT+R!TO!A?u}Th8Rl~)TIcjMH8&K zTXXnj+7YV@xL?BByl{mJ^q zbUXq%OS4zpJVSM#p7CUIiPDMhrAyLj=r))GO6R*rT^nS^)ts*@LYBynjA;(rK-vsE zZ1XR)F;=(|<&kS<=YeF-?!AbYk$NTm2?z%8n27Ev>q`(*pDn6({ zFxKT3o0jS7olB6Jap6Xp5F)>fJ4q_#*)YL0-uGv{1b-sxh(T`w{Oi6v7 zE?d;FUu*DuwC;J2cwM2wBPG`4Z3p6XRo?ww%W(N6V(OHCCCy{I8@YnWdntWo1A%eP z);YLkGuCq0Zai~_GVt++Zel6o-S(aSPy7bOA{JDo44|y_#KZ}W~Yn7;LakTA4HU+lPWe8|n-6#j(czUIo z++!~k5NPxXKKNR`F=uoR(x8-)I2!}Vk2d$uelq-BZS|3?iQnY3Cx4@LQ)O4Qw;!A0 zXz3VXn!O>l*a=`ZHU0S)jFlov`YikPUs#eMOInj8R#Lg-@SVN~uwM4ZSUd^!t1rTu z7!HCXK9Ao$BIWVYk|s=lvyRgX$9EQmxMcPPK#BFF6Fs{}8Y-+>YK#aby0!5Dj`$0c ztm6=^yLh?1Jn3c$LRb+Aq$tvho={{xf{O$I7bg!8upjkgsi32`nXGGuEqOu?Xx z2ZJ6qL`r2j{?`e8ybWAtYpI*~g!G$}hXJ_#a^`w2$66@l+f`~aMV_3c)A(sR z3BI_Dmd4>Mnkmg?{!jNLxw#4)k?kOxvMiVY0aCzMxvH~I6K;s$f- zxPA5w#WVPgPDSBi%8N?IK$&o-8oJ8jx`?dLA4j+LLRT0er{4d%PxIdd*i5i&1O ze_GR|@S?T-!`FmNW~VY6c4j3&r}krTY!o8~oX4_HCWq}mP)&&Ps5N8FvFlQ@qL*Pi zldKzcK#-Mph{Bb@9g*+4wXRT!&O`5=H)!XV@F(fHKb4Eq%6BhAl%>_6N}MSyhZcZb z-V^N2&J)&S2uuH!t5Z$O+ljsz#MNk|d|VC% zZh)MGz)vsAd^&%h!(L@uJ1rv}>FmKi+r9CAZC*p$6)m|?kaAmEzVyz^a@j$Gwi{0L z(+xwlltRo>ypRkLN0O?%a67IH?6c0#n8qQsL0)BM1=<4n*PTXlR3MP|2Zr||xE7GP zJ0nH-CtYXa%dK*cp;WHVC7;bhBQWX$o=V620!xhHB1atSp4f3{v8F*dK5MW|KjD@;j_MuOrXb;-@b4R`(IVPHz`DC@kwjtr z$mprb2){FP7ZHGnk5ceVKd+(@o`D_F+Qvg$>w@}%lo9?QzwZHvMbP$wnRRnPVKg7m z7y=Cntn%99*ILsu5RzH5^Lfpi3Y7U!Ms7q8GA^h(&pkVjF7Fm@9!9#ZeJzsgY()U3 zoRv78AXf~0205)t6TIn6hY`(-QljbMSY=iWNkT;;E|-E3A;ZGG}(dQfe?=$&zmjg3m#1P|{#f$^h(h+~3n3 z=_89J>w6&XGaLfTtaKF-A?uQ_9{92tvbf{Kn2#FI@z>wTR{XWiZU+`3x)Yw8kZ$!O z!D1M2-C;stRKiK_`Li6z$Jqu<`@UZa^QHfC)buF7yU)3Zhw1onnr~0b!gxUB=Ba*f ziP4sS2u9@8H{D|~AVG?RBVKw*N068atAaZc2Wu%C@_P_yx8(1&UZ~;TH-9;d7QUF5 zc75=oa%mj*qsFJaM**$iJSdhX)IzT@7AtAz{vHfj@n1~ov&Usy*&SzQ@ox$=63%r; zy`n*cAVeW_)lBcwG98L5YD@!?C%#SjJt;Ztuib~)MYsHt65;9wmhG0&NMM|qAN4=b zW3-^r-f<}2 zYeO0YI^d0pQivaKQy;3QrmZMr9hv*j1%0=zA5Ay7>Ah?#dFo&qkcdd3M&-TaxUN*n z7>(#tPA3$KQJG{pz5(8tyaW=!2}}r0jPwn(&@UD&Xr!Q}u0bc891OR`y^*ilN&E#6-m+M? zWnrYy=cO;&m|hSxB4cBbEARr`WT=sIregBm-U!G(V*U}B>bQ3o)v>d4n}9ILYO%zI zsZwqL?ckt;kE+J4=*5e&rwNE5)~%C0i9UT%Gsn{Qmje&~4K-_loj^86Qu-N)SS601 z$0tXDTfw<8I}6Q}`9!>qSRqHzl6~_%in`)c4!E%dnbET&$7->yJ4_j6B4i2i(?^c= z>=-Kr#e%r~GL5ihqVO|zCMJLb55AUMdum!&Y@MFb?ibQd3uxwhL>)cT;eg4{$gwRT zRqkuNC#%9p`t%&>27x6CEJdT79HQ-hAKZR;$X=PG`gB!gd3<&C@xL98PQ93Wv)uT) zJ>Pu%LW2R%lPFqVm!(x*0hPYosjXxg4GpX^jqyaj^3ZM@93V@=z-(&0XKVB>e6zE` zGczkFdVYsYFTjPjw1aMzGEZk8TI##$O>?UhMlR!2dbvFKgJ`ty-a;7-lK?8g$vgKoEB1_*y;PCD=}97KFO)Ab;$#HHEmS)(uN76^Vv|v7I^le<9#y6J7OE(mLF6t^_#5n zYUE-O;<*5VEEkL+eQiu$iujAQKir_$EEJds4f-KGy`XfizAKZ+`{7}z-W({XMCkK%-i-{e=J!C5sb(z8+&CJ(QRm;1Q8o#lwfE< zEw1DgPEz?{~hKIP+_M=8D|wUU%$>6}e;Qm6vTKsW%gYNclc3KN2Sg z8!0t%pv1_Jk>a5waKLI52DP|!*f4uJ>k;zV+D1aXEuVYE<-UXPi}Klj>8fJ0d!Q~n zG&nK3^PFCi33|T{E|f51uv793zyV+}9`1L6N2ZsF84Lf>!s{hek=|>IP$LInSf$_7KUof*9(XROB1HpDeMQ{!)Eajc^3!plr1>h9Ho{UQHf6h~c zR&)tTfpdG%Fs^SsN8lD-2u7vP(__{@@+mYavJDcMV<@~@gwvOJVqym{G2z~ z^W`EUyY&SSv#r5-{;o*dmwd)HXzZAb1A+?93cR^ppZh9y6hn;hpXL!<|0%m@)r znYWy3yh$VcyX|ygoOC=NwF+>N2_xh%&N`fLv&Y2==lh)EtBQXT{I>NQ|7GXGaB*0C ziAR)xIq{(Yv}aRu+-%3SPh7Idtunl!@0I*Puu(M&?Xhj_ z;Q*s4k3L117FCh;n$QjnymfHt^whLTt)!rkftbje9H4eX5ERdWBQNiG;`TSN_h)f> z+QskM_K!|r>b-q@`s-tUjI4$(vdki$NwU||HM$ObD;Ga|ye|oTFu7Cjw%e;m{D-b} zC2Q=A)p5OH;m|xcHaqArm^1b@?o32?NM{!^49hf#bV(K(;ri*LBFeOz30rdjDL_6Q z7y6R&4C`}ya%V!{;ORL7Muq|&kPNsAW{H+b>)iFLLTklMLnOw(=gC(wV!I9~#plqO zlyJ|T=A0PxSVdH@*H{_9uoD)@OitM@?P1y3UppK~cQ-*e}YCl&pC0=_ZzR$4nT1su_(6;-qfHI#Dy}Zq8G2dIOx6 zw;?`#BiIz>4Qwf&WatstFFvfDu$?deBsg${RZ$GKaA-9drMIn^Wq#h)J^==IW3&}nx@MCAVL zv);qI=G*ykO{-^RO5YaybkZz|L|iuPyPva!Tv^_$( z*b->cZj_qb`{UK4Sa*|@`!j8@d-`UZrD8QNGp5Reh0M+ZSCe} z&&)ze$%4qMEq0h*fe!1oDR!Ld7#=mNPS*;muRg=tg|iVy-C5t`qG`jlTYr&_1H-ze z%5;JdkabU)W92W5r<$ncye@T}g8MNj-}qt7E;HEkyCPGFu&OB$l6fnoVF3d3mNQ?d z3{M{PW{*z+ea+seGW}S@?3QLd0=x+-Y$Uk*eVtD#wIILdS>sY)lkdk^Z&Z<+xi1Ow`Q?TY7r!8~^xJzujpt&_Q-f{mX_&kQVeJ2)*wML*k4?W9; z0aoMldD-zWg^uDKuBoR3Z)xATyYE|cf?xB8cR)zgpQA_Kue?V(1+0fQZGOjpYIAF< zSI_J&Or%gl+KCXRWSPSEb>w&0Sdh4jTZv=)K4VeN_hJXfeKjuufi}anGn|1Uca<4u zO{%h>w6dJQ%I*(O8o%r(#_07OkO&lGBI4JhWzvKLURS}%I`P2Qe(VvAbr!X+Xn~Kl z>)a&mw}?ZxM=inJL6uNUaZVu#fLtG(TOauC(9%$`F!b(y%MsK-{gs0#5EAJb6aXOp zjVbgt=xXro0r?{)E(v)O6)!~lMELoYX5ctL`9e-4)kc6hOIO^TssffT+7ziEU9xCP zS71fFkr^Ns4W3ZA?6Yxv744#ft+ApX;S#+#<{b}ttYNL+8|Y2Bj=Ab$bI2c8`|Dk@ zZ<~`90VJ63NQP&ioVQp6$UzzLcbhm${mDYOT;)8mT^1E%UaG(Y`Q?C8R^FG(_00{y)QSv7U{pW4 zbf%$xR@5_S^`3!bZCb!tG{7xDzrG!arIWVVjUN>|5AZUXp=ro9@}k;nc_v&}{~k&i z?K_HsilkN1{h|Sa2tL`bTqFjqLkU~op>r^1Xwii^cZyobBc7eO?XuQQx*qIBTA-zS z71A^=5O&Ma4*2E+{O?glJYM~1@su{Owws#b9l{5 zv?FdJIBE35W%f)Y!fg@;_t3#^x9UHi9OYZ95^$<&Wu{~O&bBlUqNlHYFY89ARBUa5 zdtf6_(NhmZ9ipyaO+lTMbOKzDw(FpX#8*_THvlJ%rZXXR>_Muce~Qql#`w#2 z<5y5@@gjeg!%%+JuDPy*qY_=Y2Cm7j<2uwpUVH{e9)rWMKknH#oSvHXi#U}7 z)D9ISd3O0od2W@nK=%>FEp?x}9OhS9<$LV!!D24GVDcpctmm2jrA67|O65rlo8KoO zE;W1OW}M_+Hms_pa~Z6x8@9m1a$w5F{G3G0>|awlUcU5%$!M)(;rjOjZfDW@*jPrw zP9cOD9io@^;y2<1lDVykINHWE(yyjlGs?Q98GD4*2JtGKuv64wB|&& z9HX%w;IDOg@&mp&3EiL_Qgcqk7sYfHARo$XcIPq_-DSRU;yEEkbSn;B*ttDB~Ep z4@cbLd9I*d(@YEKT$ zgLQFN{&c{1a|BMSVp6=mqI5W&65a3ApZnAbt5yf@k7BZ1RYqshoA$a*o%SJo<@{Pn z*SzbKw!gY=bD^%+=PhVj2H8=*bprWw7#0ae!1iB0qwY)^byJlNRoh=|%?E23Xq)!h z0JUsfSxaiiE8tNW8L)%>_y6+MjI>WFGi8-wab*miDR&Xd>E=GVw9irM2l{zs`nwG< zk|m+|TaPC)vAtmU9326%^!F$@sXwvMQa!W47mQ*_i^79H1n*8O5z5>;p_ia zhoxO-hH57ND9hA~yJt{`Czr-efB zCe`NyMsc1~@`qA2LR2=|-L1v~oe)L4M?BP${;%PmqqZ@fVH9~!?NiA@?E8kc+baz` zuGa&jr?@ygyz06N_RHGz)RLg-T*s9KfMP&NH7E-U3rdBocs$KL%(f3#f6RMyZv2xPL3i?KZ$U#A}M21*Q=r@TGzocoh5Fnk9(+eyE=h+X`E-V0H>wjtRbHjn9hk)vy zO7S-MpH-5%Cqhf4xBkk89sugDfh<@0Le&R&;l=d(e}tOY{*TDb{~u_@%=&-wnf(K; zRR0TFF@pXFw8H!kXw`?2Dj!-87;OMyI0OWO0dR}}oA>{C7WV%+%l`mY|46VOp6Y)9 zD~|sz{C{F4`!CIz#LfNlU~4-^C3^!SlYfB{J;4w6ME}3eq{Q%_=szF& zv4e@YJBum(6NLR_iv46VFmn7u#uR^o|0ez?`biZ1d6}OaW#xZ4?EjQtQeVC8UA;`O2ZC&{2yR-D#q9c z^Ue$OBO>F9MYmUt#jpnGhi4X9(>%2|#=8A_UsPM-;Z9z14Af5uQ$JRgk#lodQ8W7^ z_v0y9nOqX>c4y#Qvreu2bMecCLyy~A0spwP6nLq~`Q@m#%I#{*rgU-N~dJ+w)pdrM&^eR5;yc+KSf~#Rq6YF zl&7|wui`Vaz%%Enp^$v$7iSkIzM?+bw_rt8{c_pTma(sE{?hrc>k(GKYyFz_=L{&Ttp&&{Gv-z!LWC z*!JiH&73tsvPmkfNQxsEgd$uGdZoAC%>RwAifjE3U$yi8kFPr0LjGSKvLVq>H|9fQ z4Tw(wI0rPVyaKg5Z5gPAH-~hZ|9Hq4AV7+Rq7C{;q~38W>+?|uI5XL0542kt)U0`D z(_MenK{S=z!YxoMeDw7N+ECw+G}spD8C)Qd^Hzhe3rMvYtu@#?Pg?tHi(S89!q24; z?pE2OiF48@1Do$ZP@pr|0#J`Q_-oj#iUb0n!Rrh)6ejJsh=d{M?lXU??t(3=&b#Oh4ch+{yl#9=p6m>`zvzq?WAFdB|^`p4acS3^kj;0$nINEdiJv zE~{ptkBk6rS9Bn5I>Hstk0-j8hTNBgxGpOWVW<=I5wZ`mH%>ncUa`Y1{E0q2o)Tg0 zY|6tXHDtd&>O7fM0D?J*nD|1F!f=Fk~0>q;yMi3sV{s#*BSg+ zg-`yAnd6kCF2X%=FID86S>4?{0EYdyDb;I`SR08NR#6a0*m_J!DC-Yi1qQ%j+n~7g zgIAeQ9c=yJRV7-K0VPX<+2BCj%IycoHD`xa@_GqwP!iGd0dBK_LkGrn=QL%B!XP+? z*}(uq5ibWpeJvQ_+W)^MveX(HTTEkE<);yXpn_56L`eD|ejt6sP#AI2aQxDC=ssp8 z(GsPojR5oXDREd}9qtyBvpT|a9Q>2iPG;u-XX(+Nf!8?e5Q?K?{xT^R1ER(DrEy4) zmS0^2_LU?r7=ICsCQDiRC50z3(m2$)wIIoiA#AtUAMF7gEUT)KWYs!L*F_x;LPv7D z;nOv>vPxxV#5oi5)zLheQQYOpVR0ozWG*Otv*9d>>4-P~Muy^WkFuGd4W!G=#4>B9 zW*yWn_kDb0wE;Uz!=4IO!~u1%+p=EPih+~lEOHD}9BN8uJI(c@> zb2euY)V4fe6gkEvpLKx8u&l>d5=U%!*5xlo(w3=qmY-_wo83~aBBKeH9P@#YrDDv7 z=*4L7O3)|Lv@iqAWk(+VdB}!n8~=I8@_5N?>?+3byzH1ZytK-UF1x+$8V9>&5H1}t z%f^giNzwGuuxDn8l63S$12CnIG}Skv<-xvUNs=WvR6d7 zS5yxP4oH~#gIAH3yTTOz7q4P30_$d|3V8UztLmA(NplYq<;p1>RDbZQXcH@e;{bUJ zrT^kpH2?6bjDL6)U)lHvZ28^U1q1z|XG%V!+!Y$-ZEsO&jcB*|Ifn&EU`&v|F1ltr4e^x;o;f;c0kPHItQjyn9WfznGO4^eTO>V^ zw*UoTz76ZElKpQ`ceWK zbXj-XZ~5Otm{A3`gdvM&&23rIOV+pd!2^V%Bss%NhmLQyqVuKXOr9S;$6o)jkcADy z|5(VF;3}(~w zEWs8D$lfW$UfsIVy1iUVRsZm+W6$>WGIY9Q@~mmcM~@%N=t(Jj*7RV`KfKE4rG}PA zBXu3p%2Q~ils~j&d8B%6}2vE7wSBWYw zY3+&dO?SCOTnW3AuFd+dh0MD3m80tM@8#96%!ZI1p zg;k-momrUEx_humGo~6cb_`9rg3QKWDQNSjZwoO5FDkBOS~d9aG1%{yQ@Z>E6}9jM z30GE`J~1SD{8#p>2^Dn1`4I__9=^g0o}XdlUj`d*2yfvbhwF>*ViS-}@5gi}~zdXo^CA7B;m$A+QiPa}%>%am&zxY%#=P-9|&vLYnKk&*^NmW4R_v zSR4H9>ef`C-jOeI!wH7xu6tRti~eLmF^v+_fS_%4T0dNr4O=~Q{kpkJb3;m>WFfn) zsqSNY2$1{4FGOLeL%)?-k;;-?2mH~gg=xo$iJbj?{`Si_W+; z!V6yv(!_xON$=!8&<c_U5cK-rN#_Y@ZdXx=%@j8cOyHRmP( z^qF0X%tD+hefD8IVPHD;FI)Bec)6Gse?*`F8=V`1hAA2 z_<@XItw~gmDBn@TwI<4ABO~6Dcfh;L6P8yCyxlqYnBx9oixMg&E&KEs`;?OeyqAe& zWCd=CBZ=Us8frA}g^3U&T22qD5xkuRV~hYq!=RLugvvuA;#n41)Obf2q}(KUouJ;$ zRzKhz`W$>{(}4_Mh?zlTAruJOnqPqFnb1EQrBt$@ZYd?gwQn#EN2$rn1TB9`iU;Nd zroGH%WB_{AQBwaVo^XP8D#B_IP{BoJF`PX+Xeetw9gd54e3eM(ne@}`YDDXc5GPD} z;%;17_)Ofw%S57!Dh!AGWXKaMC7UiUv>7wz6Oeo#BJ42TWi7pR(cROJq*<{=GD!_N zh=jGTl8#94msWYx=8!%{HV&Px6gBJ|$j(L~MSRbx>osA~epn-FF0iGz5wqymG}Qtt zn&xF1CZ*s3#L1=?(<7@KT8GZuYiPGm*_#Aa?`!jH8~B$jRm zXLcqxq0Oc0iZV;Tfx`~!VT}jSlyeCSa~jYsFdrngtB{5ujxSMzFSL$4K8^6{BjWue zW1z$?XVXIIjst5(ur|#KDvFfxKuGXD{+pm#dmDKOJJF+oZuy}}j!X~h_Gslg#d<5_ zm37L4Z%|nH89=rtqrn%@GUG8y>Zc>M)Vh*Uq$c-ht#Sz~b4Tm&>Bi^Lv>7Z??{;Nj zVovt4Z|AMmGZuRFU`R?uskFGCHM&zc(C>L?#yP}NGZ9Z23 zWvIXMb>#<@*5MLS8uh$%fw|D3uXpQb(`U=pmaWn*aq-LT(R)e9me69~1t6u&MhAu^ zm*pP~e;*7oKwnT7p!Hh&9hcQ?262ZiTykhayNg*jf=f?eJHNgPK|9~V%uZ8$3Y{Ik z&CA5S1SDpXXi&lgA&%_R-9&>lNlffPIXIT)=DBc*AzmwhC#(P(f$I8@q|8g{68?&o zcFIG*V4_+MzP&)rUpFGdvLRx)9x_q5kbieGE5=|k z02x$v?-S~-+0>mDVLHUUR{bzr3h+(X!=Z&&7exhDf2cYHTTE&2u=Qhyx;}7PGmg$L zv~_(#GxJjM1%c^(Us_EkFNPy88EYq8(h|dzO5#U*Sb3NC=3=vXHlsZaB>$SGwsa%< z3S43|<6g3`SX-H8_lr0Npa2M7e^Z{ezHC&5JY(lT zDCNT*{=3^8qkLl=`@yX6T3{ICnD6<6zo!Cr>wRZJy-F<616r>dV)ec-6H?XXGeif; z0AHk|Y%^*K`<0N+Pv^D|<)I=E2>KM5YDh6&QMS3y8?AN>H)kgtV|ZUXERzP59OQD zdqmv3gntgiasr+gLokFJN~GZpN=1WtDd3QZ;gycC(ZXC&kiOz4B9p)NmP6Dc%%*rdbHwU5K> zH5@DvX|T*iYxIE+~h}=qjM0+8uOAqT_utz6;K8pj^PTu7m#*BPzW!B`8U11rSXghxE|4yZ8J+D3<{ zp-=T1ni?vJ53Iot<8SyZ$O{Q&x}MTQ@{RBAz;jyfPO44NO{6wC{z7o65v==gjfW4+V9iW5CH&2YWgfQ6Zr{HVW`S=`?InhD2_TiAVXi6R z17EeiIY0`O(X3+`ih~Qp4y$-ERLe{j|F*KQ>rnO=qT#QRHggK zYvUQIVW23UDq>WaxfGt92r|K;fO|j2@(g!+M?FCimcx)oh|`}YRjzILn5IvQMDy(? zz>|yk3;khZ41fm)jbu^ED*>Rto5C(k*MvfmubXB@nG&s@#*^JJ3OaPvb_n8xrY^#> zK&~C2OvjcP%2z;t`pQ|kRonQ{o$i2-r2FEojRf#z|y9lg+lS zr*$GOidb45fvfmAHg=y1G&5kC+zH)Ow9!b8qWcr5YiiVrG~RTa1boB*@`+!WZA-CM z1^t>A`qkh#on&Z;uPp|#^{17Bo6y7KsYa9N-?gH4%#y+IgiCL zeHd~p?<~l@X(u{%}uI~`!TggtumL2w?jLw9QdL|I0F!+^WCG(>XdUzP8wI%Qatma)^(@z%w zwk1Vh@yzEl5s9`3kt-Cx$I#}FvMNiwhkHP zpWNNvJfH3%8Hj23I|b0J+Zj`MSLIWsep~BPDBes%guS$VRZw2q!$kB(LXMlvVP%-G zV{uaVrUw%aB`B3V^dE}VQ0sdiW`E~Pw9c|U^2y8W)qBRTr|RKkztVUcP#Uqxf-D`# zv(~9`{|Of)w@}TRo+2ENP@sNi0{yUsG(xJUvR5fI4>>08&6eDumh`WUVHn+Fq|it5 zWn~8S>6on2=1jjL{EmQW6=X1Lu*G;ry&AQ*I0b(q$p=nNH7Nm}^OrWdZor=m8Hjhn zT|3k=J@)p}!&Jh!S!{L4m=0nVp39KtWk;~+2w#8 zcrOaFp4oh-)*esaUsjI|Z7+?7+g%j(@VY75^=zb5*4a@kal@%uOD#>X&jFs1K7bv- z2Ga$>;+NbOW_bfQ9qw={KUN40kZ^7yGz&m;Zf`G&Lq`p{JmhR08ZH6VM{ znVywWu7*-NypPdF)X@>?DE}2c?*0(N^8?;{p>!0Ph6h8dBk4g_{S2i2?i=&6KGKe5TDDHeh_i#q6p!kJebN}VQXS~s-8%DD? zm4%IC1Ls(d@D9V})<&zNd<0+=Bqsu6sZNhHfReoj>z#)uqakWIZxu(|0^SmKbxzK% z^^ct1HU4j*rRk<~EPZa41}fb{|2Peed-I$D8hF8x3lzFE=XI`aKqtC<=_AZ*(m5tB zrxz)AvxBe(_%hjT@PZZJrRg5mIVGZlTPYn2rza@vrPe9Y!cpJ7=|K?Y7Si3@qM*Zy zx6?8KMJciRA!72bq4_44sC)4NKgj zv{^^5%*?H(h|uGrQCIH5Wic8oEmEuw(7^~FO-nPYT^iI!H`5izt`r%o-h`liA&F0= z;VJat6C|s9!+LK+2-mzCgK=sLekp>4b+Qer!te*Igl|g?!&m?@3x<|iFY03-TZ6}! zmo21uz&H0Rn6LaY9Y6-5c(Ng)T0Wo*$$~-b0;O3RRjug2ZLzrAN*a<+StNorVaYO!-wrfI?`k8Ts%Z6m zy!51B;y1lQ_qz{zeEMl@1r@L`Jr277)&Iy(8o;LpRd8@c0$E9?Pldd^t0R%cQq=JBZYK zsax6aCY_9K z&x8y*rCF5MDlP2QU^jJX12@V8i_-xz9;b>C-gARyk z)x?VvvUK*#Y@LGZSCZj5k(&CcRE_&xF*Eh=EBZV|Zoagk6td7c=b`hXdyb4lGi;dBwl|A2OEy?Cr#RVsm3~K~)Ta5B)pf-nvk~hz8?3PH7wvPEo>Ek^C|nhdh|9)7bh!KUo!KHYvcnGmk`X zo}F_aS{4|lJ!4W1x}&e-FKVzEhVYN0hS;$3yRkXFoR&q|f z=kWpX*E{(k%?*}|)6Sp+~sX)6(b= zMIk+zLkgK*4xxiXUJb?bzsjZDjVAdou|oS#tUI4bC#g-Qq zI!bgj%w@L+ImaZ!*&;(6?#A*|G8a!dzYZs>nDN=+hGwRiCo!@2Pr z4HP(4OLbLGNeY6=X=*`k+P4nkusy*Yf4fmb;FkObjHw&MZvn;3VpYkC2Wm6<|*|a$&4gmj=RW1{LdVl;VIS#B{IP_&V|r zX^7yRJ=WjIfQ+5J#;BghHhHBMB3+(%ZLNvVYV@$!<_h%~BJi%K{w$iTj!`b`_S44V zIT-qSRM5i_={pG73T1VWL0ciPr8$`f6THEg>e^BDIyp7AMa)_S4-v?Dhrky$rUXV@ zWld14Y80&2ilCC~CXu6t3cj#l_*7zfw33rZY!et=Hy+BXuf2dRpg> zQV7>OI5^XM5u8XY!a2H$_b7R63}Gx7c+C*a6fP=ue$VDBHUb1q6rd@HfPmuumC*#M zSVGXxK9`C=PYIr3COYOuD1Pt<4Y|`Q{4P{7+%%%7Bx=kTp-SzWe^BfJME4W`CX6%N z^DR`VQ0T>ee9S~B`0{j*Q;X8Z0BW4|YZ!lI=vRcQMsk@-vN((JlXVsW0Q-Ua$fKW@$E zDPPt>|BPy%O8)CJ?O&}srEyGRHH3Ex@nHedV(@#Dr(TNaGU{j41$F{Q>i3w@E+%VD#}6j-mTRe6o_WGp8;#+!(w&dnwr-z|1Ug58v>I~(0I5{qa4PgVs}!K6@ON5iUn$1{EIxf09H%$+ZTWTjM62vsj4t}$0Y?Z%# zTYap>|`{ykoXN03>bcOZOY21DzveR!(q@Zg7n6o(`u1Fk`c#p}w}j@FMl zC(YKa)(+9BSD_dF4~OpJ9a6$!?(4SvVRPcL;JXlB7*Vl+ty|&v5Z2tdPSg z$IT#v%AL_0)WVpG29RVMCF-&1}1wkFny? zUiy)XEeCyry6s89MTIMIZoR0VPd=1r{U*baGUIMgpR&W&vPX4!Dh6<87Y~T>Ff>?J zxfkM>uo}L_r#m|QTQNMPnExpB`LRhwK5gmr50UP!NXo+&K{LCmsqIpLqP8$$8SE0u zGU#u?;D7rbr}mbZSU@*Ml_a;1e7mpnW_(w>Y!RV}=Bhdt(^(~W;BZasPz@no`w*M= z-S6n0o7m61nSxj#bs-C1|HzQPZ#~{<3N%4V!mx6j$|cK=otQbx4wdw2l}2@o$ZUZE zs6?mf@iWO(zA&l$5x)AY-{6dZ-}r)f@V=ddQ18B$jzP9W_Tu)kjcqNIqN7+(-00?2 z1!vH5vb+BfSlxY_3j5z}eg?+^@A{S0bSq+ZNv1q%ZW7O$<2pG1eN9)48H zgX4*f)2P9d@;$ch=g;(%v+F(zd`WP_vo6dEV11)Pg{{df*mFizu7~pfgw&k+iY-K4 z71ttsH_*C3%5|G1Dt8_clzSEEUa-1;$l12Qm8L-7dpCh|sw&=Nmf7G?iJ3qW6OhXta zir*;UTG(>|IuoNd2Vgei$91rMZNHMfRa-?&5wx1fYRs=rjG&E*5uA*gcCa37awc`O zVaV`uLf1-}Mn9K*_m-K95(IrVBY9^5lr+XeLi3f0??@4rPN3me(%I_<0_?2{e>h;| zXRe&Q&^5Acf;}$a;_I#?8X;Jr1g+hu<11MVg8V&9a1Rrn={j7`1eKfNAp}Fwpz|eRHwuh^`$kA#=P{smaQP&cP9_6Xd(-}P_Jx1+7aANS;6o=Y!bl_>exro4pp4T7Io81xGJEmkqzW#O zG_JtApwgr#EgR4Zvq5bTgoZges{a->e{uFR1m&!CUJt|7l@pXJ25;eIujM&NnXc2<2RLuR9Rc<26kKm3?Cq@6dnx=H)ljt15m>uRCE^DU}`P zmUj`nlf7`VFBK;Okr;jJkt!ojbc;on8HKbxu8^~s?-}3;96F>4JywH=X>Swh0Q0N0 zYmm~nG4)T#sfJG4aK$X7D^n7#UYU$WGJN;X*oz=neY>VDl>60gXd5EMYbK12K6X5c zS?}gcKT5Y@w`1g7*K7~_c(@n-AzC|M7Ytgib|QPxg+cU3U$t1C00^{UH}Hmlv0L{# zoIMQ$IFR4(w*zIcT@-_e4NsU9X#33O`mUoA0lHf>3hn`&Tyl2!vull^c=2oC_e|@t zf*@e&Od_J`d$Nc{Hp&*6XjVT@WQj?N7TSU@xxvwqzK}8gQ;kYnV|Kx-y#+^-&eR9h zCm_)_hVaP2F!mbtlqKzcDsclTInyi%xIqo{$G0-^G93Yai-KeR<-?v7B0$8k0VM$C zGx{|pP}ACMZf&?;KOaw!l&TG=x3!q;-pd$1Z3`BUuRBD5T999#n0|vPZV^nmss|?fVNxG;mtpI+ zg;&YeM`bpFSHz2ORDTsv*%6Ts<>$bRAUa%2N*beU}jE54DRA_DBEntXZ;hl%R7iE2vnjIdQZq zIf`2gJ|h3@n@Y>n-*9i+26bxr3~V5HQx-@OGcW*zh)M};FdG~Q5t=rORWUvq<#<`W z9s+l9$_bT~UOs!pQ}j(%Bag3$ZN}4v@Pd4RnJkf3 z{^yMGt67K4?K_P2pe(ynrzDwH3Fqo(-iA(zr$AW!cM#kHjtoK`BLM+Tu{OkG%9O^v zlqe-b2|FE$09v=O#{6l&G6T|w#S3GyaSC^}LwZPiGnxf9Q)&Ko!7k4C>BjaDvI3WM z2kKYh^OGbbQF<jLJww*T!yzlWaNX0G@w9y4j+XJjJcC zck5V1o&X#kJ4c$iE4J=J3}v^1fYeut!#95E-3|O-kq`fE-)YUm#yi(UmHtgwKdgGa zV_D7xCGRZxv%jm(9yp!P=z8H`e_F9YK)+hocA=CDSS9N@{C;{-NZocz4QLs_?_~Le z(!C*)?6(aMCuHj{Q}3$`q&?QF(KH4zQg4Yr)omL!xl8C}34eQgN8R6Lx;1A_A%kI~ zy+33-sQ%Q9C_+-F`V|KDma;Ul{pgA&WcHYwK3E54i#$=9_>GBCbE+Ky!cs9~UPK)G z8=OukQYfLoi8I2{mIUvS=6}@cuw{=fVt+@kiY(}fU~RI$vp_;0X0={ieK>Hhku70D zc!NqAs2Fe)%wZdeRS*8mXMwwEt<=Z0k)DfoY!?WliI#BNHPAY(>=-s->S<^$M198Z znIr}0#{el{V^q|veC|#Ezu3E{Albr2UDIXTwr$&*W!tuGTeEE2wr#s=mThaET5Ijo zr+fE0dtY^QbVNtq=0(QHh!Gk2kLP;HL}DlC$#_ z7Ae`g9!Vz!rIT}sw<)OVboG=ERdZ>J_tAeZBRYBE2+-Ab74R_EAO!6&s(0Bsr_Fb( z8p{9}w<&6B;?7b{mUhx)vnPifySU@KcP#C%ky^9iu)r^Gz$gnBh5~!|)%^{v{fX*K z!q^V}8}q@$!0=y+g#YAEm^uGDf1>a&f5Hg#<4;CLMti{~n$&GcYpzU*XASEcPg(&(Db* z@f*Wnj~pxBZPL5`4{GqPpi0RVN?e1*v+}PdPEiaX$@A0fbsgHbDI<6FI?6l3O)?ikky+9WI?==jMM1v{ z+o*E~OxevX%gQ~9vf&F|cf@=ubdHDMqiWQzjhhq#NDT8&(X$#T zokGV=jDu8F$qiyK#meE{0&{D=C0v z9C)(r(?^@RqC#Y9Dy=D1D9yqNTv7Uk?)*B-w0SFI-r}pxnr76lzuwp=fvvjvpL=#X znRvUsj0;gd&4~-~*T=?U!DLgY`{VZf8?}W|yDMg|3LtEL%9JiHoc=4+fPnH`BN*T^ z4Qn^rF|ex2D+c>KF;3}*HEZ4ljIPv85X~d*qZVk%{7g-I3~0tkRoHjbhC24krB4#v zmXdBX$kO7i17H&_?kBVEk+v}YjlUa37S5zt1Hekn+QS`)^%-Y?r!0x-kf`+UmPD&K zxISyBe{)F69cIKb;x+2x=#b&miLSo#nVJMHi)*8r3?N=y^>IoK!oRIdB1@nd)ZwM< zi@-6g&(8RPX_PnYl%xxY;X@YvWr}YBvJfY@vIDe;Tc-!0w3Pct+2P>~ADl%8{~UNKYB2R50bPIw&!43wsYISFjn9lA_>E1*AZ@$sBX%|1;>#%w&zk z?XDrS!Koo0E4)@M{VGbF+$;bso*8L^xo~5^NgdWNnD9Pc58x;j7C9)Yoe`YG6=+uj zhIZ1j%=`mS>ibjE1-Kstg@52lHb4vwT`_`O(Fc4117Mi6yG{fLLz+n3GgNxBbS%iA zf9Q<{wGg-!njq}7Bw<#x?5a5evVS4_J_jrFL$(A1eB~+;Mol6@g$wKhdK7X9 zIdL++e6I<_7y9wxhzMgxoo)sxL*g^%_CyJd;D}T(38#dS%ol{}qTrn_iH(-ZMrl<; zC6iIow*5XG&b;FzokRC2`FP|nIBrN=Mc#3VNyQ5m4NvoY7_J;N&4;jP2}xU)CAdT! zEA~t*YcvTHSU|@GL*x_(zGFe zsBU2B#OOi&X)Se9K`IV?ZCJp_%Kce*AnqZ7y%2 z%w*n@@^pV@03^UD7Gr%XgHH}x9e~nwCgyC-9k`0n@u?+-y?w^t#fzb3PL5gd3&r)& zLyXz4k%#osG61l5YeqY`l#Vcr`^)-k7CWG1m_vn^oA z%)w2ziJm0>B&;o}5v5k!N>+tM4n_y`JK{3cHq%OKvk&o7;H*SY&UlA+T?oL@SbxuUvP6#b#EjI=*kW5>q(o1jTu)K`k?q?6V1n}qd@85abT?U>ffvMf3jUv*1y=u)eWhSon} zA6<%rsh_Y9uvXD%#*aMl9Mkzbk_Zk;j)R8dhoMN?5{Nt+h!oauHMQ?R!(mFpaoiP{6bBW{Tev47mS(`>X~n83WRh*dk~tE1ko^(|1;>6k zu1G2tO(Y)Um=Ef!nWJS9ycSuylH9WH(ma2llZYlZ6Ho(_7?urFH`&drXS4#_V9vfM z6B9(IXTP`@%q((|iX4lH9BUCl7UwL%@un~^e?;)7jTu=sD{WVn!^3vqPHBMAj3jFb zNfrxPHY3v>17SIlbhe4aa#WqGDz5Zp4J*ui4qS|-tUF9@P#vpxfV}vS7?pG!%0NH9 z-kN%h2>}atX(%Da^BZY$dGf?h2jhu&jlXjE9lPxQdXbai(Dk=qx_Boj>38bm(sUU; zxJ|Dy0fo(s5*B)GNt5Uuhw6p}_cLhCkYh%gjJX5C+Jz!DJ7aVA6!Dc|%qfK?$MZVK z%xXfs7!?x23a|+VpdM0iK(t}GJXyWeAuEiCNIHx43eKUm_^t52=n2@vcWmEMHCgVv zuS0#gfyk(3Y}2~@)$}IlJ;|&(O2lvPaeS`qYT@n!$f$wyJG*d)^y=S@-sC^z7UxL30_K+AMx?05LX6y{g@qS*0b~K(ScZ_!CG^}GSRfHZnlWx==OlBrb(#@ggzGqM1O zhDOb~SuvaIgVU5arI#FojNq%*)9=r>gD<5rQ0VG~pY>{y-aSia;FMwFJpzJ@Q(UTv z$vA?K=BeG{4t74j87ynme0|pY$m^*#I;dH1Z6RzbrtXtX0S@8VaeeyLmzF z5?UEN)6-2PWW=q8my>&u95>2rQKt>8y9l6$GkTHv{vM#Z`vrb3V-}~*f&0awQS;Z) z!S8F*e2xbr8C%mT&P{~yjrMcEUyoxTE*1Z9#puGc-WKJ8$%I4<NbSLVQhcg(Mw;dB3BfH3L$S4|;^u07mr6thC66&ydDm%j*bD*kSK_QaaL%Pq znHgHgZ5fK6NlO{1-|=LMHm=uTdi}m^wCp&tk!b2>qOG(Y3{gQjGx~sS!ht_WKUt?f z&aT%oFge_4*-oQQ4p*!-E=LCH$OlQf3vOwzwq<$SnBZq2fx2-Id`+Mh3%r>7G@8&M z5Qh;o5WG?|8Z|l6C1Nw}nRPm&alCwa1MXS_T^j`B-ri~EA*1D(@x&suvnwHSE`X1c z3_&M0t?i-LsUl~Wb7EUu{_zYTnW#gOD_UP6CSrzXH6Tx1aeYJ+zLv}MAImrI+6T5 zGOMdo?O=bfBE&79k~WHg7-p>FRDu&-8P%qFDx#bbZ6$5d0=_1eyU|ftLfrRmiQ%Xp zWc*w{jpRO4-dBuv@M~<~aUy_s5D8q}Ds-92PX|IzO8k?tMPzuc{`?Oq06Fk3m+cHt z-C6nDl_1BI(&ie~ad3V_3UO7zky!52veAZrMKnTh6o|?z-?g9GSQ8deMHzg?Rrj2H zUODZ~=AP~X!{RSshvf13o_tbSCwG!}j0jCL^?6ue*$+m^{5uADx(9cO&%+dh?J2KfQB# z|Bhyc_-So|#_6Ppg~Rlew$!Wqs$V7>^q4J}_?mF*PSjfv(RtlfBAUl!L}uhq2WVSv zhn-J*fm`A8Gj4|EIP4b2aeThSXmJ2V+;S(})UOy!%kFSkrOn}dXyyzN-gkq{^HQwo z9aiocE=Tqx2^MHcyyE81W#w7`{5Ep#D?&k|zJqrdH0`}7R&$=l@axn`Nn=$ep_4=v z-yHc(n+~h0LMh)fH+&!U{iX$l4>w#ttT*5t_WdEEcI$*a>ZR?LBL%=SMF_F?uDNsJ zs^|mS2R4>-bMBri=nCC41psFyH`~(YCDHJjIe3%PtIl^w+iXF)H$R*ZTi3>p6~wDvqt$$jLz<9 zFh=NB@cUK9!ZnhNQ%YWL^rgQ!^1iGD!0=Wdh}emaPBr5vIgN(49-<5t)-${ceJT_0 zS$E*96UWpe1ijbdO4NdOLz2nJbx06gFnq|CwgJ6(&m?tR>sl2g6S&ed+rid2Q|1y{ zb#Rimf;GB=*?(3<@XLcONjh}d$LA?Wl`ZuM4*j|2cTL0I7aw(JQ9KR0qB?*xt1QtO;=`#mRO{a}}QsbnHl^1$gLa+QLrw&z`mY^i4*r``iV+sRD56 zV?>CRWnikOg<}`L5FaE$cJ2;;#d}pBZiQfdg9HF~6-F%7mGf)t_

d%%n3>%-UmgLB*53N-_l60C5O6S`yjRqlJ~MkSR~pX zTrs)VD=2*-At6OBgyhA8d2t7y%`lB;DE;EHQ^>fS)<04;+yhsiKWqY;;F}URoI3Vh zAt>FvK0o{ZmyNiz4VOLUqH{?tL0G7S0%mbY z@pR2Tnx^*Qufxrs{R$hg!W~13XCk#Gn#J!jl0ycAiMGJi>0zSbM~lx898Dp^ zcY9*}2!nP%q2z?ljGyVqzOwM3R%y!Mt6xi0*%}Z0v&gi9Vrt$==_q0d|9IT-);aZO zi=V?XI`?$k)?z)M$##m>AN%j;@yEfjr^gdUMHM8r0kn6kU71w;BUb+2DnBFSwda%p z`yQP*`stKb(eC0+-rzm4uJHl!qSj}87t=WNI=U#n;^ynZivLnpc0=*_AlufS@EY?a z!%Jc+g2yv&95{!uIB*u(JR!%%jYDAR!MZ~P%*m0wdF5@Ka%y-$aMm%_7*0Y$)+C3p` zVo|g*O`;eUJ@?sFxv%J^kj5Yaq8BHkXAVEZ-6ez#bgof7mK%bWzWP}6D_r{MuLg$I z{yWN(DYp|`p1*GsH`p9q2Y_r=uaSPA1}hZoyBPZVlP!}eISA5uBX!W{{vJpA?L`wI zi@LerWbA8T?Dk~fVw0vdCkU7sGu%w}v-N>%c8P8K;V3tLlbe}1f?sPl%;{?8-mB_h zb_->#xohpt@@(FV6;MEnRWEEz(3){K!?JBtP3CWaMZtQ*HRZBM$i$J#C6&KQEW6Y; zSFI(Z>dhg*9oXhiz9Tj9&7B}UO2V%F+P!9I2!$NNJ2T81TX0GW54|i@Eb8$Z5KgaX zo5f$6oA&48uOH(%0{Kui$94+Kdc0k`dmn$UR7r*-sPOuIh6sKNsY9ck_eD(+L>&qQ zT@Qi@!Xli}hJ*xn38eQ^Ld4s!oL(#_slEZvoN1;%VSi6NMNH7Pd0o$^F|8;oB+D3v z_kgG9=w*td}L;-+=vHg-^oIsTwpq z;!zFz@?3sL82SYQ94j-13BtmOeS1oWSHwHcj~gZooJ%SWJ|v8tMzcCcdAqiu5s=>5 z5S5<4y&OAhsigYcLmDw4?v(+rq{~tSLwJwi<?8at$7oJ~Ko+!K5^R&~D&9Low_oo94-H^s1dmKD4yT zCM}75|4)}jG2d8atKUE#!N+AK{U7vw^)Vb7J%}h*{uM6+YoMyzttxeBk1Zo7 z0u}1?j?)1GGYBM$Zm(RR_pqji%cyyr1R3ys$+u=eXNYVfVydemqHv>4auViORHDnx zG62Vdu!sFCuptRn<468t>AE3&Colu2K+BGt2f>m#YOm=Ge>3Krx{mI8F+2GO63bRF zuhGE=&ym&Br6Foe`{oca(v2rL#r*k@>Gu=@2HEUKuouWvwSsvx3bz72GTkMtHl52hpT=O%Q= zf?RYJTW?3VDF9}^G*uIJ2eNsEdBPcl{epe0u@e*#@yi9>C&?`gN+RxgyHt-ZnV8eR zpBfA-xC4_wjvN&_1e=^6B~z|V8=AxP@s&|lz|2;XM>s2^DU8*05nLYYDnrFfo4>27 zZAS=Z#V-S`7txeo23IA%5UeI)&;IpE;|(#80k2p~6GSo|9D!UR4qm1!>2+|&imyW1 zrf@K_KUVi-t9vKHx{kU`Bj>c}x=f6^!kaLFDCfE6^uem8{96aIf)tiNTw1=u6Zx5@ zpY-HBf?iNTpHpJZN+lgV2bMSzZIFtVyghN#p$v6457(n%vqq?>SR_tkfC&q{nCM|; z`ggolH7;{#xpgQC@Ze^^`r{D@Mb#V2u#CW0-(=#UP=QW*h3U^Yd9(AMU-1UXLixDvRXIMS>WPoiSEKG;#bw4iWOsdf+F zTC`fGX|p31=V;b?0fw$#5EbLe&U$r79l3vsN8U6Iy`Kyzx80to;LJuIy@aXHZmvd* z_`RtQ|FRQ(#qeD>FAZ8U?9tNzCw==E{_fOnd;<+Ocb{GNA(I~DtlVy*)3lnr6bbP6F=3}s#B{NO8Lnzm_uUK2) zDFk-tJ#7Q~Mhkuu&8Nh$W0?Zy3f+HcW)1=JPn>dc7KXrpShj)9WwUW#kaQ!((@2gAV?Fs%{o8jc%5Gipxluur6SXk>+`xDC< z`ibS>yXv{VbbbxfiE+>6|11z+W<-I9qY&^B)8l}l4_Hm!hL*kWD5lC3yo>q&&8~Q? zCV90}zrn*D@fr-_uRPo)cQ=uLenStr#^sh9f4jT3#^-&G7!Bp6DsVb8!tjZ=Yqd29bQJiRH3#$Pms0>!Stqx zs!A-8w1|-~{bC6va8@U>k62B-obFs9lUyA$>6PFv*d^3fRMJ51?V@S3x}Q|#8n1I) zpC^vdj?Nu6QTu?oNA zYGhV&d|lq5GsV8kvc27)Sn$9zdG#fxEB<8k_)ay{(@1p!p5Sh@!cJFmtp8U2D7cBE zk(zi0PfsIIFzkXP9f|{QJQlTQ)n* z>-1h=P3F*D=3K)_C)ZspN}D*~l7I-o@yQarO$8=*L#~088{570Nyv2vueU%vx+m^2 zZ{e@w%TT>BIVVZazn1y?y}hQH=(o(_0pPyPHoVJMrM2FqjIMMmM`Pgi9%O&VMq6oT zCF-e5v;K$+-#+YC8ziI&mmpL$kAahFQ0_`P8X($Y1ZYOceV|Ct3v>($WFYG4IuhW5 zEzk~7sC`$Y`XmDA)C?&hZuM7yOU^@Z=*`Oem+B2W^)}^r6`iGWu7r!mMsHpB6xR!s z5+o5}W(e*L=ay#DxWk_KACDsYG#p8@i82lzpM{>gYgCCjsmFM(@z6G5z(lN1NG*Ep zXQ5HSip}^J|AHb(t^?1`W0+XQvJWzHvB23GyhJ)cZYd7A$}6}ZXu`xrdRu-pjS2sze<(fV^Iez2UWZbK+y#b-DYX-N5<#!2=Hu+FR^5Wl4DKqz5FXK!kW zb3P8ezC66;4}yKA2}MqqHU*vlhQ3fK^Qa zm`hb@ZJ?mKRh47Ys`VV4&&KfscetRH8L6P1zUw38{Q4ZKZxCS6poH$jYe(3{Jdkju zcB9?SzWnw9b0QGp?xgR7GkGA`DT7S8PcY~S?LrB@yK)2`USRFt4%>+N+Ytz_(cmTU zh(%1ifE&KPuv=F*m+4@$A?k3wJ#j!Te;ybWqD~y2mc7P>f)2nLX5c`e9_jl}oBG^o zI+>ZA4OQ`SGXfF^;IHf4{tAils)@hm&zzF34i=1Rn|J1;TP8C@E%c{P@oC9 zc9^yh2nEYs+pR+^`l5T?DWIP(<;7}l1%Zs05y|&a%(^bK8W$y`iest-6|W&UVEs4@ zX}X5(cT>hm@Ix!zOrtO4Kq#EXt4g)deK@2%x`WT(+|WvB;fm25EX5{ceqVRb_q~6- z7DG}DXs;;yo#MK=qI@_Awx(kr?l#Zh24e_!b*~tX&`V^}D3Hxn@+gG!bTy!474Qa8Jq}OqAXB|XV<#J>DCC=X2CV)8+ z{kz7%dPZ*R@@!P;m1~2KDY5{{nu`LbT7OT=7SBPWFz3z)x+^xV?_khzD%W#N;)*cG zsY|+Tjnw@K`LrZ<NF^R0pP9@xk^RoxoMd}4(OuU58LqQ^ z-+JpAVuno~{=!Gm8!n@R-a+-C;7`l$2G&42;z{mijHpbxpgfeFn07zgDSVBaJ5kdC z_mWdMZT?BB0C?0+SFU<&vmVC3J zq44hGI-!EFsI!!yLfdv^^rp=+@Urkjg{r&07{ycvI8}uQ0k5QBUykwxI%u364rJuvm;seu`Eb`(xQIPC zZoQ{vuntNx0Q}C^h~6$mn>mt`=`!A- z;J{Hn;^tGZ_JiDhBbn}1n*r_EinbZp<@y$*xJ-C6;%Q_vkPxe;4mC_cjvXo(JAVD< z!R`hLY}>p8J~W`b^>Y;a-0 zj{+Y@LNKg~NuKt}P`n9;b1u>XizbJ_t>l;B2&_Qf7|U_7?JraHN_RYXgLU6RBl*1c zyk^Z305{^L`o&4fxG(B)Q7uXjY{H{skGX9f=%3kdiJ0p0zi{$yO#Hr-)8>%UWz1_WVlC>J=UwyrS``(+!-@}s%Xi+KbeUv4?K1%(9yXM*h>3+vM zl*jSIwoAmxuNauK0!vOi%i>L{-juuYq(ShAzVuwZygWzEKGBKoS&at)SU48+^lb4b zgV*Cf6u2?5(m-Qhr_R~i7WZixO#Q>%nF>irUz>9a^r`OXI4$Iaa^PpmqGFj&l}3Yr z$7G!EVX$WvCS?Kc6AX@4*lrlcnM6e1ryf z3Ry^~EAV}{&;#&9#V{aD6K3mX+Zxgt)^GJ+AS=RA`j5~S)Mkkf>n65^!IMMjUY8c{ z+r^zF^`^^nYW(yvyQ|7d8RXo&-MR%~?0vVyRo-iyX1THaRcd83B@pRquxe>RPpJ zN`|AzQ?!sZvgm3JaLE>)${dY~nkOasET>IIo6Hc~FQ10#9-zk)3}gqPfQ>(^-)+bp zH`2>|tD{f<)HNk#o3qduK>n8MS&}p`RJW(ekz{jRkj#fzChzP2xpfWIrpM%w?2&L5 z)=wl@Wgqk+HI5plXx~oHa{ijNzDvZmfa@dK1C+s;@I`ydSXBgqi9Zg1LG(!0nC>vF z>oeSv_KB!FI}e)(5A|LvtqPvOLt7v4()Zk1H@M1@k%#=V5)E<$4#wRz zX=w!s?bz5U%rL>vK>K$&dS|qfz@m{{?bQ0XwfrPnjq>;#i*D9L9fwC=XZ7&9hykN~ z01Bs`VzTCF7e-Vj-CVwi?#VH*y>iNMY)P@aQ5uqEB1Pa`mpfiFp4^MJT zXpX8*b%AM8M>=goDdja7*dbBoDecb5bU}%zpn)oA0Kt<;2P&yfY zv(#Jj0^R(y3b7~F(2Ze{?h>1Y!C+5HWv+}eld8r7;35I%l1R>KJ$U5z=I}G?g1Pz$ zYlQ31ejzz61X*MA^9R-28ZaDLp;fOxF=P$hlP0M}TcpB6L012AyZ)x1cW1}w$!-~W++N%%GEI1qLxA2_=EJo;KBG_phI7<(RsR@@Q#J{f15!AWk z!4X8rAp@}H2Y3EZv{wg9DSXjOP3FZkmDzDAhAjU<> zq9X6QLrVSYF9lIT7`E3yQNbm6(C8H8;CbA^h=1)#-H zmvY+t>2o#x{Ia${8QP=5LN54I!_ziEpa{TFxB3DbF^Jq)nCa}=+u0sfH@=AJSk>EI zgi$sDzu&!27ZoTcmOr1_+r>>RPh6up4=U*#_L49N*xm1bV#fLXYMOh{J$V?e>Y33) zK2&gJsBp>>ly7dYhV6h{;t!{NKsgV4ddFgn$n-0j`?Ew!b8?VpLj4v!*1@E{13 zW}Pz_=K_lb^#HG8A38B}8dq_0Wq>OYi~%+QaK&4)Z}-Uioh}flgvX{#eqI6M8E_9NORz$y_kkT4H`&=8S0S@3p%14iBUSfu?AhbJ`(7TqfCOe6t)D`BY0iUr5UfnFS5m1IWVuLH>-!|GM3NHWjwvcNSJItgWl zHRQ;Sv+%&m|2dJ@Tn;#=o@Bc0($vOffmtZu#7N4+& zQ87Z`!how^M-(U5rN(N@k7bzxV#Itv0-lC9Rk)nclo}aJ{Zj|AmO@T|lGmxj{@w=SAk! zs=_*@C+7Jcy;4M>noM`{2D9+}*Ud#TCL%t zi|D?24BE5qBuFRF6W7MGZmmC=8(>AvlU9tz8skfcQj(Px)<)xZnI+r0CdUaFfrAj0 z1Avcu_ZZ~8?5$mIF>+hL4Ms^R2?_D69ly0239u}ibbEux9QR9I^dI=5is0_ZS>?+E zDy=?)s0lX-b5Y@9`rkt#*oIyA_kpTBzw0zjPwv4)+5%n+6y2|wkt~;*VXsa36J!fj znKJOoFXAFYcHnZp5qclVI%VflyIG%7^dH8KpczrVX&eN%O~e|kW$n-(iF%AFwf0UF z2l=St&js4dTGH7~z{+*{RY8Po^DWJq(6jQz>bN^apnnU%bYKSh($$Gbo)r{bg7-fK3G8}-x?>~RNyjl5W)^>ILywIr zO5~pk08k3F$ zIJo_V1kBCFr|wkx7yo2=VUrCe=x=1~BB2Hizu^trBUhfl;wD^3CVPClprMb$NA^?0_7SgVXZ*n+~GuMIoAAJy6 zBcQA+czEBE@u~r}8ZiXb+Z;7k6k)Sc_8O}J?Qgn;UO6JfK4DZBUM95SdA+3RL$%+_ z%(ZCij_IH~npG-;=#&PC-RbYwD~=9?*C)-B$9rW4xiXWxEl6mW1hk)ptRkXVR%7sc zPK)ddS0z~&TI)mTHI6s&sk;H{6qfUA11n>b2u5biv?L`v*I}IJk4fD?<1*3|%c6zO zY4tEGh2+w3*z>JVp16#Ty633I-i=(>UM$W`U=|d_te(Q8X;M-Sv7J6W6RFGVU!3+_ zXfxMMEB%z;f}*9(md>M>Rf7b-KB;->;gXVCbox1CXYxPbIY>C%gurta-+(dCU&lLn z%C>a^QR9O03eb7p0Ip;ID8o9$e<6^IT|stuvd=KbvGQXQC^3Hr|6wBRgNVyH0HlKN zuF^GqGeVm;`udpF^5bjTgGq{X;G(U83k&-(mJRN61 zL78;S?!htmjS3=08(7lM8)$yz6L(3vYJgSX;jKjOaTcurq_={6D^AuJF|BYNV~T82 zSJ*RipLFknGRskUS-$S^o#@)_K2wwHYQWxamncS`Q+NrDsd$z9BcLALiyi(gKKcj! z`QOu+|0JMTSpPc#rSdNURS)t{0aXI_BcM2Ch7iaM0g)Mj7!3h|U;td~p+EoaNBKwB z=KtoP*#5;nSa5S-Xb|PS8;AH+U9n?Rr-T(f} zmNBt4b2cYnWM*Jv`A-hY_9wvePX~pmAL`Q!5(+5oibKDm!EIOv_%r{2Vq#?=87o8l zyjv=(@&Y4i(ws%0en^IZQ$;Q}hlgLK(Qhiy?4Jxu;mBFfTj3>hefB(s>{J(A%NjyzQ7=sGL>OvnUr*vU z>k3wLPdcsKY?G#6|0myjaOsnjk46%Qi8_qUvGcXgn1aiQ3A#N{)bgu0^Mwq>+RU*G zvUNEQ-bMOtj>tn3T~T;D!NocQlgx$&XE^fRtJZ9dsomKP#4kznWtPs8@_>@#09QVk z4G-+6d{<0l{^<_G`lNX=(0B=Gjo!WFUzp4?bimk64P1;?GT0%3Po3jUJ^nEY30OlM z+)PZiwn!9gv`P3A(+*J zQF)TiK2^#PEV9g)M7JA01dKFk1&HRc z#<-=KM(l%wp;;RRkSDkhe?e)ba@<+)&cSI8;7*PW%+odkI^uR8nDL0Hh59!RXb_w= z$;0MFEGB6WwE@;)lmePI8=yl1sq;7^PR7dmuA&mgE+V&87)5_nnTel3a$CX zUvjy?&5(?g@>d8T1%(!ItU*9wu>9F%1LV#A2gA9UJ1%>Sg6$5GUh}j9H1lJ9r4|~Y zcVdm1dxO)w+~ewptB!jm2gxf)r+eEQQC4dS2$ z{vxeiB$6?WxWs;t^2nIDAuCSu4s@warPNoliYpPb>N+a<&`5Df{S~cwQb>sQ;SNYZ2;}>5 z@Iae}1dd->D8TE{jQVg!0+lp6S~&krfrspW7I;MdQQ#SAa9%@jiH&=R_MHn|&P{KG4b=x8n(v*ngbH+B_?UJmmd3fbD4_JAYaq&kDpfPOAp_Sx; zSRC6@$6ayrL~C>Rh4)qVQ3jEbM6-5T-;g3P7UNVxRM%O^{gJ8|K}HgzPxK-WUj3vs zoNoqUS)Am-FV=OV8ynNE))20doyiwA?yXIbe!A3vNSrW;qY4yrA zAT!JW|ArXs&Kh0b(D z{f~jN&yPl?PnKnGv5#Xt6H0fU{0D>L1|`2l!|BCVBwYza4huvsMa3EQk%JDGH!6%e zy9-p(?00~Nk_}1cg##Uh0UgCrv%lBvuNOzbnSnr#2D3vgB(11QiZ)%8x9@uR7VPg2 z<vA@mTSfboipWfF#hZ>`LOMbnqqD&ZWPh+om**fMva6HRw^5i!;+ zAIn=ClYR#y;#6`iSb{dIsWDF^aTqBq(H6zOSE;f$wrf`TrYmp^g%>q;WM$9l(M!C4 zt_R}z>HRFrwl_N5***18N+ zv8>Uhlh|Lq0PW}N)i$46uXBbq&^!duI~voaS8YVEl|#LFx%Bn&ajD;_AeT#-ZP|=^ z8l5iY(#zShX?0^i-@ZRQyw2o$G1jmouR4R($pym9(8NCRl$Pk#%JK2({rdJOO!4dJ z+_b%3b{w{|qvO-w)wQm@v0Yv)C7=CCQOrVkR}~)-BOTaJ>4=nj5pY0uMS-$fJlH{3 zTs&N0`zq9i1B_~w^=#A7B!3G8>)x0E#wb3Iz8(Q$l$Uy~&|!EZ?aJi*b{`|NSMm;U+n<=uNqv*P=u&0nU3BqoGKfu05d=vN#d1B_pT z&hi&}8j47#2zM=^V|D+<5FX6i0m0o`YEj05WEDg+Q>92qn$V0$nfdSl@#<_DAJ09| zW>WZ+cqIXO5o+MK%rB(6$V8tc&EAHk=Pxsts4RwKE_To4b@=%X@l%(lf>azg6lyTI zuXFNy>z3fIT}1N;1u*sVT%5J;R}}VBOYK zfA_nkTn{8-PivPFO!CSnnj4&x4lx661+bJroabObBCR8a=4dm1d5-wqtT~pSSM5-| z4w$;pA-?U44n=L#Ye^VXtEg{#^*EyN%iR%I%`)J6PEl0(Py=A2@u%wZk5Z#|I}+E* zn3Yy>3H$X0x#3(#;qM7n^N_)#B$hbuF{5BHd>*Gh;Old3GQz=M&$7=^MA)02%I0t0 z=wJv0O=<)c=**^sI^QWkfQ1PZA_Rgub~mglQK~|cl}CE*_EU;z2I^66K@jDy<))## zlTFfdBZ_{vRnk~-^%+xZaqlgB$;<@hZsPGI4hT|9>J&OkqdD4cdI^XVar2T&V$Nvn zXAozxW$Z&|o7MQty!A!n9MGw@6Dg@zQxSS>Q~#S7#e7-dq@hujMzl0jhn zUc5}ntwXF0$-xm-3crs?YZ_GWjj^{eTYvHN6)c4Oyr!EnvMBVhp%sCEp%s@CnwSgv zxl0#Hp(#X6y(KGE^hi8B5S2=E9Y}49q83LYL~Y(W!qi0ZL`a^ZQHhO+qSCMeP(9Qo|yY{kr5f|YDH$`yH>1x zKhO72oCS$P-d2;(vHTgpu*!+zEJ@VB54{a9aVS4ZcAG8va+8jx)D$SitgEFx{tmCc zDDGxjRakd=#tF*4_7r>RSx1xYY$>tYXT_S}MK|4u+?yWhkmkMPgG~{%5Mo^LIGXPH z&du&l$fEUr*joTlll*nCkZl7L$A zE>c`!6y^?ji{4mFA}LPATo5n5>IIV>pB8SLFP~%iGumr|=Gscvw2*TV>?pP7+Q!g~ zTLsBX=cUciY>X6K1i+(oZ+*O~8>dND(n`IYd^?8t8EiGMBp7fwU1YVMPK&^jXBzxgj|~` znoi`n4;rPR+``#y)AK89SenP%l*l?qvCn^c$I8NJQ+p%F(GWMP=Q!*~wd-JfWv>S! zyn5?lGIr=|@38abiNGVp5fs(WX6$1iOs%RiH4{~0W!&{X&#Yn>MIB_x&wR`mBmsXe zXGRNX>lrOfI{L)&tUXm)4F3 z^AqFnDeU)@s;@saLH-+XR~I(cjTgY{QTu1)#bSbbI}~eTOjNd%1%?A1>x4Yp-^oB* zjtI`&3u%AXw)E_$@dcqcbRnRdVyEt5B;(RzZ8=raJ&fMUlb^`)W~?7g*Ui2$=d6Mhbu1bnnA9v%aNVaWr#5$H%%*NtQ6^15 zK`)uF^fy1Vvi&=|<>!i4p4D}bAZ^RvfFd=@Y43QHs!k8XZ}^=r_^enQ0LjX*PJu4G zd$sT5s81p}Z<~^~Sd$TQ=^ytR`AP>F;c1G6T3Ju5mk)a>II>|%e78*D`{(+q>n=Nr zkYvWGUW^L>x{}u~a$I3)_=@5lky4@;2{`eEXC*R}Ckd5|XD;aU7wCM%nvod~W#`Ab zR94FklCizXHhpcH?B+Y4E3Q8TTIBfP!PK8Xq7<3;VsZ4QB=gycY`Z`GAopsv1z1`K zQT2Lt#+>sX(O{2xzJ>TRtv6ru)GTE=JD*_q55cX!FVMV)xSbt`5C^CBe`9hHQ)(61UHbJ6k3W)Mtho9pRRC)#WoCFX@3 zE=V!jlT1Q!o4p@LYd8N6N}Rqc#dDs^J_V*+}LPOSc0(M zG0rEfEK&6-p=;%Ykp9r8(Yw>$7vut5uHIvt>l3vc*LoWaBpGAXMuvfOv= z_*52du&+d#Gu@k5F?q~_24{2HU+8nankog2u}bsBxt9w!UdY zM@e;K#grMPStIO6%w2P-LwKjB7-klhtGEmuPOhN)7#GBC#tQD z_?z&K-=J13`7niw^~hI$)C6sLD}~h?kzYD91v78Wa_4t`3tf^F+re29*+@(36N}Z= z0YgZ#DLecS*sL<)vO5mn{X*viP;9Hv zYq%ECX%(bLEL`pznh7tEX)A@5W5@v{e(mwB2?JB*W5zIoEu@T3dv)~i;vI*hwHFeV z7w33ocQz4)ab4|am7cQ{5r;u?M!8wK`o^D@u|cro0)OFY@GrR8AWn-O+~7=T2}Q5t zY?A}rsm_qSiHs$+9aD%_yKh2{*vfbi3$)cF$bGg(hR&0N?zDArf1-s_7Y*1P9U#ly zJ!F%SvzR37eip0cJ1^2HiU9sTXB!QDV`3rWOH=4LE8Rg7Vj=GFJcf8q|F&I$AvNiMlwXdj%yh@%4{>p`RZXuPy-wJdTX z*y@6|qD|r>cd*_vta+lxUzT=`gXzqB0%|{Zesl-^8bFF*Ktc8HAbQ0Cf~=kHCL25k|qzC&_Gs3L7ND=g2hZo(e+dV~?XgIOLCF=JJhUXOZfl1uEM z5@sY4-Z*4W5z!0}YNegTdF)vuGJk5}REfNKjPj(KfP-;id)xH~|h zFD+AW6f{jaleYI*2v8EJ-)|D28p6B5mzAykE%onDx3?~fNvblc!VvM~%!&!3sGO>% z*E!-)_l^_$81F43ZWOQfBBV%zis)cFrzsXJa)9wTh?V|lZ+~@Awo}p#9?J4Em9L1D zDDfwF%)ry(A-m=ETo4Hr)em4g*%ozhKXV0^uW7N4?eiJjPA+7Y@5_$i3*jTr2QBqR zcdX7pT^b~RSPUAb(Vsp+9a<}4Z>P%~9M3n{&6uCp*#+-ob4Z6a4|Sl?#!UwFQF~9U zaH5#lTAy#xxI^_(YMV%@i>MoXRUE4Vb88#i&5%$OwH`KAM*~phws}pH#9FV2(ra(=wu1A9VFB()w2iUbW7^*_k|w zj@{C~88shO^&HbW=WL~JkbT4Kn`~#nhbrAX<&3hr4@!qK@9YkGkYM?owci8v?4G-9 zQ=Mt`cODTR$L%C<=eD`02^Kx!qfXSzY}q*KgM}~!Y3b9 zoukvzewM@d`i^mmUOK2qK`_tCZ0bN?jom<7tY>=BN(7CE5{?LeepeF+MDAuqvSkaGyKi6n!|-wS?b-6z*1GGOwrXXN+ZBS}Yv?Wc z`)X<)TBr}=BalpYq13gwR9@BkS{^BQk|z9pMev`@08EuM`Zk9>@q92-*O$wL+g_9l zw;tL$>nZxkZ?Wwrw^=_ptD7v-{ae($#4J3`L+p!+P^jtVIwX&#q3`%yI0L*)=6=Cl zI?mfb5~>f_vCt@E9yAsA87dlwEfHre;>IRb4nd4mQVMfdXvZyen(iQ_mkIM@Yx2pD zIs8hFZ0t*E7O!F+u-j~EO>_nO>xKeMA+Zo`N$;L8&X!Zt|u7($HcaqPTG z78n|a2~prc5{ICOHQGz(%C9p5SPq)Xs^?Wt>TCXPSlm?8wyk2!PfJ-i)?Gx`6B-&? zr511&>G`XMZbiXpwg!J)+;6R5zKuN8ItYn6${-N|oo(a0FPbYNU;gPd46Y4{F}tfem%sXeO;9Nkn^!P1jSzDTK9~4JFw>&`KU!1}Z%GsdvbV(6q+X^Pc65 zHb*sFD>eLL+BHFQ+IJ^gHL#Wy-zo=P1!+-~9dz=t*h z{lq6_*}X8@G$C`efJN=dyCYnvZCJqq7Z8kA2^2O$OFt4{CE|0z7~)0v*UjulQAkUr zEWOuAwezoPOQR(K%Hq<#e@wN-B{(M2lem1j${BbR{O7%#OflO{ST4oa{Q8Vg0ZWqx zm99*v(5zCy`91_c+6stcZ$S*vzz6-Vb+~$8u|rB0jCR>1g$uEbT+_+=tYK+Lr*@~SI+p`$r)>uOP|=Q_x{;H>a&>vHBte1saeS;@~k z77n=@*63LOx49V{Xg;O(EUaPFX*YnkGg_!vSV4{Fl_^?5^ecrvcDk2b1&yOUlj?^g z#155z<FBYNiA+Ho z>o^3&0i2C@3inO;`mcESPAPxYyB@T`i=oYn-)KvzHj4f}v{xa98u+z8KQ^}rGZ{&!r(06srkR zcU3#;YZo%MQ<6*s>irCJA|Tc;q>Y;4;4Cbu?Q_mPv~Sj9TH|AankAFUEa6smGq8z^ z9f*H61l&`dDDvP0R#QkKn6a=)k&NZk$cxU6CtsW=5PkEygX@f+>1|Ef60Cd|Wx;BCGPr{VW=p8jI(s$$6v*Ps}0WHW{|$ z7`>*uh`F`NrVG{7T*OW}Her_f4i!6yj4*)?o3L5%LeYstiEo|`aT%XCcZ^6k zgGsZ6+Zi%-{j3TxFIlV)nBqWH9z$@46~V73-xU&B*edH7u{0l@ z8ksO-QjZ*;{(d-xgbno{TQVT%CedO7{+7Db6H=q9gjnqMvtb-N=KU5ThH5um0 zUusph9-|ihNQE}F5J}AW7|9%G%&x)A2J1N%-Vp7=VJJ!9Tr+B99@+3Si;>Uh73_k+ zp>}GR+p)8!HNvnNct=79?h)6^ z+l3i*;MdTkwbhbD{%UM0l2}aoE1lIY+&}Ny;t8yB?7Fe8a(gwJk2jWYk;~p-B_C`a zaMs}eb-!nLHO@eOpw7kDTit-PpEs6G;LepI<`&QuJ_aAWEA|n&XJ=~vucgpu%F_6~ zbD+)IBR~S8RcNdWPr~!fAWg$6w%f1074ny{83-=q$SAUD^CdTo4D~_w_4LX0=2;fV z(vjakGvl@-F?wI?_L=bnS*kQt=}u37nFJESmu=Y*g^l*d(|ZYRH;y%$zrQ}UYPCzX z@;W1ntyZ-$?vbwLIUC>RE|~0IpL;?g0QcG0kwJ}A>g*pWA%N&QQdS+6dW@nL_rUM9 zdSK)G!KXdM>D=BX0DV_a44_*^Wi~2&42>j0PmOX&HjP>i4n(qodkD?976a9kw3Qql zp=qw0;(Gh!>#C({eG1M|IBoGC>XsH3E0vcRt<-q8Q!^aI6z@W36^qGh1kWjwK8ugj z!0-;=pI3L?=624grkx0a@k>yisXDfR9}VPU4E$Z?qdN)2`5?A{Os>X0nu0-LW<)X! zW&1^|0V<9nfVPn^SSsE)%CYN#x*rB3VG0A}m=Al@xWX4dzuPxWHrFzKMYa$gU)<)S z7UsJKi13Fm$~pW_HO`e6)<_Hb`XafR%t{2wE5sbafgLT{S?B4iG#ztaWp=c& zDRZ;I8revhispieummY`Ny*$&J)C`QeQR)OBpYD@uhH6~T34;o{#qrifprvQ@z;P_ z3s@0_ZH_gwQPqpg1b4{$NU72ugZw)Eb~`;oy~sCO#Yev7FD1_0_l{}V1v-&y0G{f+#iIl zw9Dl>oO&&VY?LVq+V{3fLm+8b+#J0MIYBO3w#P3c;?t&jXNie8IbBTc(B+egdOU+- z#8H*5P-eghb8MaK2&bQ(w6 z)9ghDkodYh0(v&~dy%!B8PpNCLx}Op0r4{A$;w`8|y1)Ds+$60Y^r zlDhx$RU06ct_$Oi+<~4;`%aoh=>Ogr>n%13!`M-N*5^Sd;l}x99D}$>><^HJd|PgX zvsUJgCeh3K(a)H*og%KIdFNJQb$^s8B6Z$M0In3>3xC=x8NSMj49|ZJv@NWKc9^?` zPLThcMfQp#s%U?5d2OyqjK>8q+EJcBkDNxzTEK1;T8rXmeC@eM&ju$J(L0~4k8RN> zAxg)QII=B`-HK)psSrfH?uG!gmxPK12AaY9GND_E2dIjYl%c!}isF%|l3qoF?O1Og zn+^9H#xDjw*a?Tn&%X$=A{F3-`3oc!I1zhXM~7F?YEh0lk)Sh2n1Cv_dr@Rb6q>N2 zD>kRcl8cd~lBtLY)x%tD+>aNMIaw%V#-oO7#QuOk*6^=)1MpMN=SNj{$6L2#I>f}F z4TEo6+Tu1s$9k;pE0$i?qJ9#EHBm!t80yBuUL4HmK+IlX$Wx}|A15_+fQ<}Cl&-;a z%$Qn~23=Ak&lO?#7;|x)cmP6}8!|1Z$<$aC=@JK;Du4LD$;_NnGoEL z-*ik4A51LBx`IfCaN@gjXT}|PbAIwDkhabUCRPY5#0Z)v#3Oh`OAvk!WkWKmrdBF}%n5kb1lzmeJuihY#k|mLnw1_e*x|7H@MOx zfbHM9w-;duM-W^Xpjg~T^uHp4k~jh-Hp*;;m1Wq})I#$Tqhx>#2Nm((Wd~?DfpE7$ zb+5nDDzQwG_A)_hL$k1$wyo0N&vE0ah*{oCpL#YQL_P9Zsh2{|3G;;;h6^P5E%_-Auyz_~ z4!(W{*Ds=1%dDa}-M2^^^G6bt+T$`(abt=37~*@6nWGx%6^!pUy<}{i28+htKQWm7 zgr{*sN1tQ0>kQFyb~HnBq<&o4hrLr6s;4Il;`lUT+GTJLU$x~muX2hu8i?rQN&HUB zBV7F>g=b^KptZ(%7kFxR;UjRVD99woaibmW%-*<-c`tW6JtP_$@^{Da4P$Wcz(R_S z_k*tYr@SY_xHF%pt19xC$;*TKw3K9)LVHl*3FaUDBw$5FgCrQL42%fn+OQ~8OW(d^ z*tp7^9{nP+-8T-CW?LokHQSN=`<5G6@eG|>Z4epOFIpDnZl~&5$qE2}>&hN*d)jG&l8E?E*tFRQKZC^HATo);mSAA{ zQFH4YHY~3qgTaTWPjpJbD0U=cqGg{A8UrZU-*z*&4kk2x;cLhRse4UW5wkl5P{MO&68;J`SS|*(;AblYmdq+PFe77it!ztDG}F; zjyL4^BSKCBPhDumH?`?==6rzD7a#KKNxJhe8X?*T4$@;v-EtmGj!nDfadn+mETZ#J zbv)X;;hYtmiZS&g*@W80mEMX6B6uo2n;YM9Jk$Q* zRYe?ttUUOb*71to@N`{)##>K?4eQHrMpPyh={&9ZcEw%}L2q+i=u?ZoMffAGQb4Og zsk^L=Iyv)&>&XiIv}=yZ-4a`6up^P(F4vj+bIv59e7z%cRm9WhR;W%SSt`+5;%XQ- z`Zp;P7?~G%Q*65TESW)p-PO}>_uW^q~8fnKvCwyaq}B^4y7jLsk?Jj z$mN{al~UV(TYWRKEW<;JfM8)E0jwx+mKtFqV*#*9V5+^Fuwc3~10GA}{_6~sgvgZj zh%HK)I(>caS#PZEmdtSmTxyVcSF=jB>Z$njydTn$j*N`5AHkw%dYSay!?H6DL&q~_ zfjPVQ#o~Gc2u?(btMjfevAo%>?4sr$F0EbS$9viqDelp|_m~)oT66GS;)rUH>P2~` z&OfGL2zpk8gg(}xE)-+)vhl3ixm8puW`+M0cc@jxl?(=`#=M4#gcu~IlbQT+sD!i* z;*90_Z8w(TZA(-)u{!UZ=|Jure8q2#fgBPel)e~^n1~Au#kq-%-p%=}gX5W`$?|8{AWc14dm_aAq);4_AOf~efes2% z#@gppn4IC0kZCo=*SMCWXoNI4?EdZc{_WsU=pdn|2s3v)>&9rejzeaR4XIF5^|bM| z(GJSCd)N5JA_|ItbiI4YxEIF``I=deXxy>=^6^VtAD!HwA0f&fcAtE z%S9FHY3BlXgkzj#FYjJ$vo*X2ozCH2XMxFZrW@$gvMz1#tr89*VKefY$ z(x2xl#6XcFH@{Ih%f>~<5>JSRClwWP(t|>vjV5h#cn>haZ)X$N}EHoUZ3oZ-N|T^YjiOJx!)@hoSJ1}}f1FaC!3_CLh@ z;X!~dVCK`8SR?>GrZenUW&@=Kyo#42Pz%TaMsCaxp*)MU#Uis5Yq&ir4bi-0X)z8d z2U6g2zoMuD=b;JqrOB9h<_Lb7U=K2Bhi=$0V%fSrmO@B1@m?g11p0yVse4yx$?88K zgevFH#ID;kMzGZe`2kX^y5C`-K#qT1gr?!BbxGF++i;4LW$sbB3B!)6r5z4Lq2GvvHxa64K-*EX!Q+#+homFj)yAAu^I*}Ro@!Vizd;AOPiflKXO$*P> z316)(;f1VzFFh;kRWg0`&7mymF4;E3!FXPfs1$roI7+GCp;qd(Se~xl&JlB0JZ5d} zs@u|uFd-G8F_M22gJ!J7L1(WSydG7ctR2kc9bptO8L8}i7|Pz-1s%1#Ou3LE-Mit` zAY6C5>|H8d4R8}L;{c`GY@WYZk_7y7CxumJMV|P@vTFH7qkduP2Cbvei`0Y~?>(Nn zj_rXoRT!^sSDluriMu!X*x_f2X*m9{+?L`huh_E{m5cr?()8tSEs8y13gS5k_TJdw zsw6MD{8cWnU$haio0sa<-zCxVJ|BA@X6>{bTk>;fEl3Y~w0DS`NZ-IY%Fp3Vy$Tf9 zEuis4MC9@5?|)kpcy~M%-;5XWZX-5orYh;?TejXb*H6@O#)5cXFPuuo_t%H`!+F~J zCdeH8>$-E}b9Tfz82xtYMw6R!u`rt9M9;24s=a69EIC(}QONw}mOEv?!?9agP!>;= z*n<9=AJN-Qf7XMQcOer0kdu)mt0{k)Z4_{OjITb5XBt4F{rn*m5Sz`BgB=7cs`tV{vN$n>}sSU3U*dR3qe>@aMS1!lHG zCxNs(N8DB0lb%xkH$BDrPd`d9^q+K;F_s8+X(|&kD%KF%a5y*= z6VMqmeEolUE*$@PF8`U4`sd)^YSe%7Db9a8@c;9v|AJ33Gco_SeCnUi?tlMn{|BF9 zW&!+*~C!GH2A|y|xpmUgdeY-Z&hwYt!=E)~Tu*ar*t2Pi5!W_Epm6 zb^cS4a`{(9O81|Nl;;uLOqaKJ-|v?var*B@OZvUHS;m%s^c2JYtfzFw6?fOb)QG$|F1jEIy~$Ug zGQf12sRPbte)4j-n)!A?5|<*P-<9XN1ZvCr4Pq+`l=~{W<6#ouo97I z!+tWE-#L{H1?Yp^nVfP*I-}{d076UIE_4krttF2r%Ty{~1A_ryx_h!lyJCIAD-=rp z8pusynRa7rP0mhJfM9Kr`)736`6QBq8Ye7S4n`#~o1<4^6cz^%#t~b8HQ<^=2q+pB{3A7ra1Ic7C=;~IkbG*2~WLs`tu!&*l zYElUkGN|OQq^G=sYO?A!OOp5n380HU_+oUT8=&GZKB61q-|+u6pZfKePhtJv@~PE- z<5Qde9iNi<@A%Zqh!CYa7@MghL&$Tn%by5zi2Y*}Jy=6X3W|ZEp2F!(3P|B0F!?C zjkNOX;G~&xyA;*tf72cX6GD^$a>Ge*ZFEXy1A`*4CjAd=$`gdVgXA5HMEGQ!%XVz+ z&6+?b>_Fp=Nhy`5E+!1h*mrvQuxvZh&}=^R!=6O_A}Ks}w_Z8f=$EvQ^28CyH1`Nv z@gCW5YCYhpiQ)bt(Md@DoXCD&NbCF%Bx`e0%<(f=pr@P=&6A5QBMCyQf~I%aCO@9j z#aV#OkR*ZV2IGM%z#fj++=0{0CWl?D9C?u7lQPN;^P|QzQe$xoS05bt9n(S?;$tt? z)j9tp)F72?<3YJrP{;;zw{de3&$WhNg4v~j5f1SgT|ZgM)uSx1jG7`~%&7%JV+U$; z$n)U|X5&~_1uw1KRQ((dG(um8bXi{R&losrJoP8w) z2EjWvk`31@zLh61HV5aOo@L?^Hy>62N?cp30bcDggM-P=lIma+L!prKC%ZYd%$E=v zRvb&Be>+lp4ogSDOA=RYe>+kru@w;%h|LO|?^1&cri=&esHpOI8G8zxo>qeo#)Hh1 zWZ5dH@;E5+nVAkqNJbNhlv;^aV?X|Oq{dz~B1Ej_e}=Nh?+%su(!uLbP<9+E(@1Bd z{~cfQ{|YMgq*$BfcXE35v@^df>=)FAy{e5v9;K7hDQc!;uap87g&Pd&hrZ&nTJfIi zmao(RNlWLA&UFlip!u-^U@jabwqXm$h;FI|fhaq-P5-g$tusKn}E!5oBx z-ajrzCcplcdNBMRyntz6QEL)SN*1awG`F5A>0_ocDEk_!7ZEaRh7erJepdkL-w9Py z$-faQC+L`$-^UCk@~qh z+!!w0)LLTMsO3u_LYMf;B5c4Z? z$!)+s*}WS4Uq4j`zw+{agWp%aChC90r?O{@16yJrK7SQNm#;Yajn&5wLh9-=w+R`f zaQHjP17g~(Ax z2CwRDm=0o+SXI6;z6B(lTwK8b$z@kdg>&|81J;~rWhN<>pOumNdXcll5B+$dix>8+ z?=XsnHvRtN;LHIb!t;GJn6A-!soM$Wee{39sn7Xl3~NGxHN;Eu3#n&ERdlsF3m8ur z?6vh+&KL2(>b-NXqHlR+R_LCN6n6b{L7`u%J+apk+SWgjoSE>zcXMxK?Yr{K13I^> zNzhlSWyuy)o~ZiSQ<$y9{ZwA@s-{YCUUWMA_$QO+ z!dO?PxO)eIET5&e!CR-9+-E{KuK9a(RPBgz^j-8;}^d{wv_$DBHO`~+U1{n4nm z9&PAkfNqbr*E-gw@|Zt`hT>pqT0~-)B5y^uXg7WRTWt&Y$2yRxFtsMZZMgAQ(E{sJ zqd6Dy{ERtcOD1xU>#vPXtJGRSY4N@1WO)M!sEa}iY)4eL@JhSo65x|wQL(774 zH_=rWVBUc&UCN+d8e(vp0C8n2vQR=v`66YrIzzJyPnyW(x1D?$bm{cjvG5FKIyH^?!(^Ar-i3GC{UR^J?R zeFvTX)uLM5B-OuzQ1&A@F?}l_YBiXnt%$+P9Wi*LX*ln zQNC?jGCLPjB8K;zg0o;C^;?1Bj{qD?HygvDu(ySrFBgMbk?W?n3a>)&w?JI%MzNvxaBYByM_jr1AkZR>h-q7wG( z1u_ME0NEB4{K|TNs^E1Q2l5qCg5dYbAnJ)*HoC(3IV0lD(xJfeSGqCvLze&DVU*FT z)yyYlmXCe_%&;B+yFAN2lfq?tH8tLxVdAbCyek|W85B2uy8pBj_J|~@`(UT5gs@vf z<}div{r#o5sKDV=!WrnN^XaLG^3}U}>Wv8C-GqG=C-+J(`s2a5*{%&IvtXD!1eCsZ zJ=RFJ__H_g1|;GArIRgr(nGL1UDEym%-#LLaV^vl_On{_GxA%J;dYdEt~dR4dF?Fm z$=xf})MA@lLar{u&MPx;yK#f~{5+WKz$Z2R%jMq(M{bn`_oB{J*{{g;lDnpO{ zo`(@Hlws$9>)WBA%`$*`)>;L4pLH!ouhWG~G6CkUO|e z8xU=PAoCSU`Sr?*WLUA(b3$+~Ed^dNwaNWkb{x7cpP44iJYh+2Z+LyutnfhRJduGv zo5EY|*5D7gt?m6H56hYWy;DnEKR(7?;l5DwJ0z4h;7m6nR}tn)vQ&v&Lcas;v}|=5 z^h(?nKxG9?FGQzfwHL!<^U=KxU;zfb+UaeOs-WCm-slnc zL!SQiSUtf%2vs2No=3+shwJIxtU=!QZ5naNxBO;NK)KGMlHa0=%Bbo-NK-M%-RQ7Q z1}rs5-pw%1fB7xorh3BnyEV~j9g5v}H>0l`RlRm)!>EOKY%7^!s5F(mvA~t7V$&ig za&-E5ut~|o^&6Y|zD7rbo>r*$EwDpgD$JcJXjP2Or-#VAPq*Ro?f2u}Tjhjzrv|#W zJ1I9hgj;JtH@vDDnf}`A!mw$A(pbe=vPT%ic?}!0tN_@4X>)O*#8jQ=OCaZ3jdxe_ z91r%TfEekom7JFa{!0GEX=5JSQYtKkF|-mwe) z{C;GH3)|bpvXe`GTmblDwjKGU58cv-C*Hi7^~N0Vr-z5VCEI6Deo&CeaoOMVdU8SZE9RPP{RL~vy*=XgklDBqa5@EpSs|LNx z)8AMTR5yLhS?VSde8_X5LqmC2;?hfFv3O~seeGm_P6>8}_7v<#)%r=bc8cq#UH@|7WDqetfqibGG zZEpZ&DNR!Otf~}i=!jw#cKi}T2xWsz#(e~~Thz!(B9tO* zq-;DpskFc<9e)E`sM+$AV9MU4^{l|TQO4XkP#d)(G@WT%1M|p?t~VI)X%@!5f`-&$Fbk z&o0Mui<#|8XbNLA0@D+dd%SmND6 zqon%JjCN$OXQ1r@(%N!L&KSRH#GTW&uKry~ZNLZC;CD;#aNQs3h+SaWm%I+`pH~6y zy*aPeQ4R0$+e6p~o4}0B5vJ|(60Avq*{1UurM_-(3)m4hnV%-o#o}y98HAuL9g;~C z$D}Ei;&pD&FZ|P;w?ox;{;V!g8H@G6Y`S@#9M0lurL3%+WVWn`XC&*C81qAKbbvDJ z7?~a8maSeGqDksG@79!KTN{SOF?dCuDDCWkfScjq_3Pto@G;oLH1;i~gk1VsDgruY zC2&U1m>{dZE!pQ~z7-ex#hv1_UJ?sAnm{1uR>fm4JRqKcC^R~kj1l5|V3A`{CVhi} zSFh%(6rA!TxA4=fDRdV}9OWsz86TBDaW6EMN>`GD?q8AaXpz7@TDzrLbX%FR4$-Z- z4+G2v`2i4vwwb_Mt>0hATB`OUE>mfC`Hd`1PVbLX7Lf6c9x80Q(WMnuaJj*#>po90 z9$r1xRw9xVQT*`?OlDW92wu)<^_o959kP%coq@r`A%F# zNo*XhtJyjlI?%}>e15)bG)%E=sI-_x=}3qG20x|h}1|9pIzZ|VtF~Q(_4&ilJCY8;O<-0M_65{^!5?%p5p_6 zPdia}I%;s=u%52bSn1s-X9la_^XFa(I)S&Q>c=W6*2PM;Y!C2H>UU?Y^j?YYMVp=y(~~cg%LcN#Vw62(@aYrn z(lJET!yR|QA>2<$0nvj=DYxfq1* zLdm%DX9_6C$uq5@bH7f!1ij?gRA@vfD(mv{1H71)#Q8&O@J7l0!-I8Q4fi)u;}Qp* z2*gWTOX*FGw|{6weNHVCQm$7Wae&X9QF+wKC9HJUn|uyKl}+6WJ8HtU)=R&2YD0%&mw(jE#-HDT9R5I^mND(#7_B^O`PkI7LL2ilD4WTI^`Pvw$v{D+d}hFmbF zTXb6$6rOQ3q6GYt#7OB20oiCO8YZcGQ@I|s{A-B5DZXFP0Ut+~Zmt?P7*$Ycra+M# zd5<=ZV4VJ$7V$DEC9qSqrf|^ha6q5A^%#x%`Pz46@LgEpfDu8rH1+&%FKFaLd+HY2tRaikY=cNzUJNyhE%lnNM)q^$av}zcsddEwNM*$EZ zLfh64=5v429eipia<$g?1;a2}w+C{=C|zX$0gFZo{1v?l~*THk`HP4KcoRxS%NWa*!JOYod;02_T zcf#G6J<)QU--*%;)0H*E>NGNrN?6|BDNhS(>_}~A9Yc!^vbEa6`VwKbmb*F1le^7IEUA8S5as#2Di>K;e$WbAvg^HP)MFNK2>?Y1q8? z8L#D1lQz>Mwe4vS=LmwPLE?_mgJE$$4vc5$2ATtVCmVbpK%cUWb zC20OTk$qxh-jkQn+XUQYG__H)JS*oE?0Zp;k1eH&*x(c~ymDTrPc|)Q`IlbANpkJ< zSzYy^O(xN%7R2D#mpn^HzlMk9K*juuh1?Mqr9Hut`)5$9|9tW-l0Rb#oQ< z21zu6X)7WcBd=i*JM9uyCE{p6bBi5e-E1JhpXX|GY50jy;0$)?V8 zznT%`sGIdL9@M+o@_u;{A}#RqNwJa7DQ73V`ieq-r!O?rNU}qKqcLpbR zfOkHfFr_zuT_+H4LA$JhPt=Hzz?mtubz-yC;H~M}d;s>MiWsKsiF&nVSUwx6r3d&Q z1!csNg0JEr*rI32+r#U^85@ER(#Ta2t&#(hzcm2~r%HpnaBc!9q#;)eqE=SaS_DcG zKUwAtw*8rNIzhR5ERnWH?_!7wwd|2!%hdVG92Z0*mYl&5o7u2K1@o%*Md z3a_lkHH~H6G4n8NHB!&MToF{N?*C(_tRUvr(NQ z{`y=2@K0*Ocws#ar(0?+9p$ez->nW8`nXM3ByVE9y5?tr_?dd9C%1HnJBY{ zxwJNNR%*t9vpUzRI?K3CzgUPxF_s*WFNg5!lttOzH2(|^XNev9GagF@<<+Z2MwU&# z1XEmnpTe#CM+kFiTKg6tC_G_M8$>_AFZmB}eK`+dT<-%;c8fkuylnZ(u;N_p?g zSCx~j$^Ff|sh2U0?(6P#!xZ^?)r9cg=QkR{zLunt*~f~_0F$e)a_13v`Qmkc0;WYJ zKj2=Qvprtb^=lJ&>6#x>02x<#bbPC>E?*KR9FA-8)jP&`Y7M)0uT9dw_a_em;AN zJDfMCG|}01`o4eCCbE3Bzo+Fogt()p2TwX{z{9a&GvpZ!uPLz+2K-x4Yz&0>dCaLl6c5WFPuAWB~U?qx?}bO;{h%H!x7lx;DbbnMcP zEW5wqKq7SXK#}hT#hn=Ku_{0QD_NJi+nPY)v!_2$#GyfbZT6I+o#+Y#+;kLeYydw% zR8J~f7@`hoWA65JSC+dY+bAhDNt&>+^77t^rw=+E&=nj>Fi-%CYVb0Dc7h z5%v@*BZOSI+6sM3(QGM;<+6A%0Tb7527ZB8R#am#XW_ukkc_FkGYI=0QscPa@2l=M zUi8g%Y+fjlZ-*>Nlj>kwZn%ziqcG$VL*tl7%m+IZv(C_4+=* z1sZw!@RWqz#_-x+WLgoIQ-0#C)`c;@?ZDh>5Dk=R|HhrJJ8a&{n0b~C=SDy(57Go_ zfVG~*aD^$S(T29v@M6_f;X(kDP8|KGR~vi zEukQ)+%V&R56cG7-)7)rDZI>|=go6XV;^|YNS5lwGdn86(S_vQd1y2Ff;5}!uW{Bd zdB@)TYDndMLL@l(r;^_z3()+edzq9XA|cv79*|ore4gm}$i7ixpi-q^`!6*P=WfB_ z8pqVxzJWCHTk;x3QgkgyB{PvrcQ-3Uz;v7d(dbg5ibu!5ap*Y$OHgQfYQ@`a2fJJE!M!+2=^UmhJ|G;BEJT#JiG z%3~>WGM)$$;sHW+xlRTWTT6MDjH6=+8s}pP1Q;h_Wd-2Xk|bg-Z1XU*xcvhvdS)kL z39&%oQNA#7lBE8jI@9Y3{}u6AkwCYIb#kjleb?0_pz_~ElEj)vq{%RAbEOmI6+~TY zWI4$cI_e=J2$PZhnh7hI&FN&W>0=8cl?zzm$x{s9cu2wK;8YuPB_|>s--3vviQTKU z;J=&D$P8M{B-Tnp^;p%I3L5}*wU}Vw&VQy5011Do7_g|#+D%YYn){gm(6PKrJTtJf z%|(qyIF_KuBBQEl=4!p#4H2CD2GRvEt;P(gLP3eaZpFFNOCJGF8P?sCz`rB~naf9pkIL#1lL%G9DK<{uEC-qn%DOU6& zp$}Yh;k)?eZF^#$TwR@M3;z1G_T+aD(fI;Jw_?zv!4%!1F8!N*n|j!Km)Z!U#|rHq zNg!@&yD=wQehu)?oNX7*oehwIdj|oNQ47HwpE86h*nNsx0G?5a2Dr$Jxyl%ot_6e2 z*A_nDWze*e_YnfU*IMDaPXZpqE<5(~?y;@wgk3+Yd~?7BFjQ(Wb|vs9|KTS@m%udm z3wI0S(HGg-x3^p1H3$@0#)92|n3&7{QzciG#_^+=u!fLPg>eC6{Xxj4EYqAAa`+o) zLXE`Mn;p7cXq=M2NV%O@LmBUP74+O^vqaU))4=Jj^GRAHhTTPmU;d+20L8C(MsPXytgL@ZXfxBDj2oUu8q)GZ4G%*Y!dd+i0>fF8~BLhcg z+HRjX`-X4o0=bJ4?*04VZI^gKcuz&Fjoa42D3O{$Jd zzV^U6=9Zi?KHKtETp1CqOd`km;VhZYcP77{eQK(8s3Ak)LS9J=bpo=6$ynL(N|MVB zVB?T;ukojTN&M3MQ{|>ao_udEG+|GzM|Dh%_6bpESt^FQp@eHs*F-@D_Yp|Dj@n?M zhgOx%Nd3Vn#kPUEVb=Gz(Bvh>#LzE4-!g6Q?BA@-1G?1jM? zs>>G$#}z=CWhMt^L&V(B#<}hinj@Q9{XjZjp&ok~pvkB8m;isMLCDV;^aCTk60mc92hB@7DP=$A&Uj<3~WQIIB}ATeaH%zL-ZCv*`-!r z=1Gp{@M)OxfM+efBfYF=ffI$E=HmqDdF@5Gd%?->R^ovEJ>1MUr(girngq}4E+ZhI zKdDYa(5di*qS;v<;PjM111|;!OeyuXa8Mgk9{E*(xLV8~FnS0z^*TTh>W_o*Ktgkg zb`CWVaJ7LNW&Q|~g8?AM{5-DNqeMpk9CzP*1FB;qCy7=VWpR)t_+s-d05 zo|}-mdPWjnUn@!*;VFQJNvK`rFwXpsQwBuxD0_u;GW&~S%HQj*4KIkO=ta8E+;}d`x2tm{K%p{aoOsV9If~M|#%1XFch-5}ot~gRa(eVxoBVTs zdcUwSKT>{?`!r<_QFNk0m0O#<4$vo0;-#?j#c>`yJb0W*r$e=5iQKz)q79v|n@=*Y4q!@li=X~GR(}~G3b_OWq4m1%U^7^pbO1xSSAFna8JI1BK0F0&>Nxjtobpl zPqV_VRpq?)CV>q;O{#tu3*tPww4)BM)%tulpq2RMyxWa~;jgdQmeN;Ff9SBa<~bxK z!=2kukp=MuTE5PH2PC-(wpC5T!~71afr&v7@{9wyoLtO*xSc_Cwon2&-BAwx`+!(i z@`2;=*M~@d(pB*bI!&V`oPbR@$-?)Whwn44O5R?;vh_1%64GWz2KZVI03%g6$DV1A zoUrPTo0~2`kpr&l99DjQz1^Kz8HSF+eCenLGv_Ez-O;!lrkMixA4k2LZrdRNkGZ;e z3|KYU$mC-?+{i*{?qzLq)>vcU0ONI~#lK&>NyRjb6kHpN553&GPs+F&<8+$FXZ1bp zE!vj0R-4qZI&sXr3krl$DyZOP@aE?}gz$ZyyZ4?Lg`tDOp?RX(?iW$5TY8$rHQV=} zG3#W2?2!_3?2Xyz2;=%`y1UfIrfV4`Re2WzHGi_-w?$w>U}y;2^8qEkZJzv`mfEdo zzt*KhXU4(T=}uucA0B1ldLkVXcgT$HHNtpQBq6h(d)Klid+O>>4SXrHWVfgbW@M$Z zo{r2$Brv4thmW#KH-}kx7H5CTVF*qd7bE_b*7)uIQsgipSF^)0yC0$ZIJG0v$o;MJ zdGy|3=xa|Nbq>ZEE#^p(k-@a0&?fx%G#lwN>gR4`Xmm@0^<1yayT2UD=j4rv@~C`$ zzHJ?$FDX9iL&5z3jx1D1br0Lr#yjqBSg#*QM^+cOF@>+_N6Fa{NUi3jVilNY&w~V{ zgWSuYhI7`;HMaC8cnG0p*OALgMx0kVl*3OUO?+U-w6V}}zkD1Np0`(QOMc-J4+gQu zq?E5FN$8%V)2U5bGDn^*9yd|OV0rMJM^a$Ga~UVDSm^=PTpBQz<&ItTeOAkgbXA`7 zyRUT-Xdt_JQSE#z0Au+c+2k@V;8G0;2sU!Jg}R2HBQZLrN?6T-H3L zPtiY#>rX06lVT7wTU8|Wdwe`If zG$s?SbnAgqGk~JB>u)n_{T!0_nPi=9_Ms&>$7OI)RE) zwOv*@jA2ap*DJkD$giUqpR!373FC3NZsB3 z3>v8sF0)$6vyPmIMA}j!a4M$G5)+A^o#`bwRz$hL(rBn2oKc#`6nX-sG-2mvjoCA1 zDD)(^yo9WK`hZ{nXR5eC|0VFm`M<<*{@?Hw=l>4AQvW~UD|XQTgs&i@4Z)1lhcHqV zLPG#$hJnb8AdH5AKrjGo$Kk#Ht8o|^{@XbJjlcT8aI61^zhY$k|M@F=C3_b`m;Z{t zVr2MV@mK$O?f&=t6$=w1!++0T+1g`|A^x4!Z@~8iy=}jv4>1%-)LjGIra%osLHHId zrO*r@?PQAK`0ag~zTQbtDZKY5IuFx2o!Q>Ky`E*R>*Da--rdouX}uhY8kunOZuxBQ zRZELHIc@UleyydC1vbx#j>%FFZ&3O2KuhLaGcTn}0kGx)!yz(JCmj=+V0~epy4p%MR@+IHDztUKf4E)k~CXo>el^7 zzAECs%U5mw&+}FMP@q@tNtV8V*hNIF;f`)5CYiL{GKf~$Opg6UtRZklxLJf=sG9zi zaAn4HN9}6nt??6$lc_{foWLNK;%L*!f9uQ!Cp2xRyQ;GnxahaXj%<~@R=xV}d~@7Q zeZ1a=#VFqg#Kia;5)N=-IW^IQ`2B^mN3hDzQ+U*y2AlnG%%(0Ifos%ZfCMiPj4>D{ z7X8MoY%D_RW69)}IMNtTBWJ*|mh3>$0#;dejWS6i@i8>&@u7JlsEGytBiZ4C7u}&v1$t;vQ?x$O$#m3tmhp zWrhI~e~!P+DX1Z@Y%?KDo)HB;&jOl(igEp$|9}+lnCAf|uHbe2g2j(RTY2FMcB|t! zyDd4eSb~ow!a34ZjOr=H%1N4z7Ga4Y1l#YsKa#ZTmRn{-#t|T(ntB&A;xL#Xe9B<5 zHQ@5lgW2NN0f!N8*2q3bg;H7tn8C4`P7xLJC!WyYhr&r!;Nw6Mls2n4)><{7$Na&QcqUf zIuWqQ%YQ%A4+<79a7#Mv5A?Xu8iSZ#(9U8Rgeb8*H zT9MZ{DQ7ZD)pZ!G%TV}nZae6;8kdBciQ|H_F2Xb6Fh%5wMZ?o90EQ#aobo+DtdmF$ zyC@had?ThToCT_&2kei-u32FvCw|J5@^A~(NEU>gDlnoBVqtbD9TDvx(S0yU8ta@IJlK$?#}l@@9xV?64p%g^N^$7k zt#wc+@LcQY-OJH43sxR$j_iQ0tgRQSs<)gjTwYs*eSxdJmjQpkz9G{!M=gxEEGx?hGF_?gbKtCGa~x@$J-tzB+> z-Q#25G}I%DVB?HgK5iUKil(22Jv&d7q^mC$fGK^VrLh&Ia2G&Ul5kNMU?C^gtH&_V zr9>Y>lwlvT`5FPcKodl9R@$b@yWmmq{PRBstln%6@T?a@m@fF-e?m#!q2$(SIsDj* zrK&;6;Xujds5xT4a`^~^Vq(}z&!FWlpkuw29H^$R9Vs}>C^$~r1C!pMVtEVq9mLWN zSUjpQXcbL!Ojxo)1CRb898hp|KItm4NzKjbo1YI%opZ{y^4)P*b1kw|FK}D_q@qxPN1}jQ^um(UAdSVIo7)< zw^cyzK$pNJQSBYcK;$Dx0ppRJ z$|Q<}JXlviPBU5T*K|oB&K-C+G+_2*F1VQWCJ!RkisgG5wsD~}2obA_YuORJIhBQZ zBAMMlafzlRp{q9awV}g0m1m9u$4GQRQ)iy`FsQa&Ag`YJ~%KF=Arz2j7hi%MNcX}x+jMTTw3i9>cxscvuc7Zk6 zJPz4Anb4z0Z(g^TL%Di)?C9<8+_G7TPLD>ub+dAL^r@vwC&#{ZbE?;)pPg&hTOlo< zTH-dCIXnMC8DCJ*;#k`h{QNaCvIf7U&tJE4XrDFX_FwIHi~q7;RTY}q{LvPA`T2dD ztG}#G)c*ijX}=Z|ve+ha{-TIBPht8eS|8s(fveN}CUAiK;YX4O*sN<^zB43)dZN#1BxKIMsUZOCMS>RbbS6Hs`` z*rGoC)6Vu1XDs~Ma?P0Gw+f<}@fyoiKa6UAa}oHhbOJZyC_7p%RuFE0%4d%xHiAp$ z97{w>u-^uIDAZfQTsGBS!0pT(_T~3!tIqWmwNxC2nPkZ3rPGpf9?z|xi;xWV zksT$?%1?i-rXH?K&z}-P*7%`-e}+=j6|c1-i)ty}?lO-hoV4vZ1t@P8Gc@FCOc=X^ zjQ2@8yC;L za9%u4y|&0i5Mtj@g{Pr2E}tMpU|^AA1e43U--4b`zap{3`>(&Ke#7LZ4qZF8S?v29 z!NCTE5?}sm7Kt!~O6d+VPHkU%`af=4c5^03w;_dQI&8VwsS9xV(*2=eZqVWVPQtAG zJPdWIvz?ArEICIJ4B$~~R)5}W?6_>cpime<45t$wUq@y}_JG%`g;y9ve45*R`zp!& z8NsUw;ox*-!O7V37=Yw=T(heXy|{$rNuCJ+v}|xW3|j*W=<6(e`t|SfD-{64tnR-~ znu{BzoZgR=wbH{z><7GL6nsT&{W~-qdAj-4wH=8H?f0m`%;?N{l|D8LL&bQgA~Dez zF?-)~{KaGMoHYe+ZhD8g98en50 zoP-k1Kur=z-s2?igCIUk$rcs6P`4_VrFus^cbeB<76HI;kPfgCHyD+LWDg+#iWKP4L`pV-VJyKH6J$ElJM0-? zUk~p6j+$F2WW5aV(Wlz>P|WJC7SXq8)d?6+l(d~*K;@w=>T1Y`qJY#g8~s~{um{xE z6o38Yp=tVMEd;iXEq2mB%V;9N9G^fSjbx(&|B|eBTLu$!fiX*<) zR=_ft7gM5G65#K7+8=fjJZ(aGI@yrcIg@(1AO;OoT1khk9=M06DYDEmQRZEsmcksM z*B+;2kUnt|JpJG_Zy2h$iIRz<`Dmg8<^-a+>ADyX>{X*ISFXV>C%+o4p9^cfh}e)^ zV{)vLb(v?NY(D2}7)o|idHBif=;FsnI`AJxgsrIMXm1oh_qGsWy(Jie%<&ap!cp8Q zMPJwZSx08;;ELPv*Bym}L<8L~sev=AlGK}aV=_<5^PM95CB!A3pb|p+&Nt{bFDr6e<*<^)I%?r|r!Wz@& z%=b>;UsiZ%sIe}0P4CfUjPv{G-ok}cL_I`x$*4T5!eS1DAxbZF?LwBs*)^P{PZ6eX z4CoBDw0+dx8oY_~CqcHK~k-k-bwR zBVX|>rv(lU08h=LvglAz7s}U-!7QFV->O|WmMW*E9t7z90d#byrv?B|%cwz1V!Z$M zHvh|X4sdGgP`uL_JXYZJmj7ibh(7B6hfsYA$C z&FuVY+>}L!n7zye6`~tSz57G%PvmxcAeXXzF+^X#W7I5uT$OhRoJ~*E@BO%wS+qrJh>TL^#_BmG_zMehEHsg9%pyw)Ce&bK(p7l?n(p?8c*RSNbpp7H&g>4wLl z&7)3jb(8u6zSl^KP1e>S8fp2LY~Rg2 zgBcT@b-XXJRtwzUfKwzkt(xM!ap0VD$Vl~2NfVZDn{hX^U>+m z>6w{#FQ%2P&BevkQYFWpU;)7qZ(|Eak7^rUOnz}GtU|YENV4ck)Kn=Oia2jR56`E_ryb>nbMY_5i6 zA*j&G+|(E*_+#AfQ+CqQW7mm}kDhAB!*0WwH&WR#qn{?1MF=b!LBOIBYdOYJHGnE+JoO14~&kjNyyK+kldiK!*aSS>%Rp2BcK;QWg>QNBW!aA@Ivv@2t#Mb(jR?M!}rqh!)5$IvPR zVwp)-VHD%Ej-keBy~qB>(C*@>vl{dl3kXqmT+TZxTa5&&bXQr1O@9C+beLTv1jXidGGRO6 zi~s!Ysa^#no!9lqo35vFDq%U{i^Gxtfmmo(Ovz|N<@ekGQ(ZD*fmHFJGDc{!UYkr0swhLMUkfU>d!t{i!ihrkuag%xJU%h>A$dt>)m+3M_AQFZN2%1}@@& zgwkpGkr-CA5a%2j-~|FR;)aVhD3OX0Mu6k~jL!SIP3YqrO6d&h&>{813^JOzt*mc=I~2DIs9c&6{@0NgHcQ_@M-${WPm8hN_Rw(BTMdOcP`beMjA#}pm7S)Mc)tg@_~t8uP1IT%r_F8ZV+nD=j-)wCa(bMK*BG>g27~0z zq3#R;4(b!2hKG@#as0cWW>FJzr8)lH?393Lj@@rZ-6M+r(10k ztIv3PNBCrHaVnf{b=Dc*Z5WAoo6*=uqL}L4Kk4KGJ_dIp*^Vy6Pf-4M z%O(nJi!Fvf@+RHedqbl6dURkJ`RBeD1RBU_KiI9Gy`J9j;p3NG2YsEJAfrtnw_D|~ z*pN!n=;Ir;56L$8TOY}?&-mZ{?NqDK<1vNWRm!#X;~z!dqocL@MaHhwmsd`$c2wlO ziQNtbqmy!cKf_fkaaa;rY*T*^@8ou^s7!n4`emJMwA%+mQl3tEA-}f3g z)?*38%PrLu;qxtYcJx169~Qg~aO-KTHha-SV#$@h3l@@Y?`F%n-E4gPa=vli10eMY z0MZ|Sh_wm#Q|DC(A$p59{A3gagP@A?yRS+;0KOlA?qh`=`Urxz5gHM?$&-XZ^z*ZX zq4aux-l28i{Q|M|Ul4YUxc6s-A9QT}qu#^cTt)5)%zf|TJ1w^v)-uhI5 zhx0LqE}3JaF6$EAIxDTJ_?KAtR`GH8sUm*jhXcIAS_g_Lyu-*!P`H}`_Zk^-k`#&z z3s^EmcyhIEwwp_j;T(b?F@z-0`IEFT=v+w%k~u(vp9EB5fEimPNqVms2SX%eGUG(9 zC<+vC&jQlX#EG;%QmiT{sAka2nw>C>&*v@e&X8bV0q+=}o4P-K8}w*6e`d;0># zuM9$d_Nm)U$dExaNVp~aIcd;<$5L^D^){?GzTV%o@9Yv~CzPBTWZW7mKdaW%i+Xoa zo-Lvi>C}}%0bYIentTJUsHdeTpFg2v>5F~S9_|WWi=Lx{Xd~B!_j^z97CRuTtgL8U z_o|8A_!O?mi={w3Kv@HVSa-Or2>q!%L}*E{-@698`4`|!5Wv>1aV~Llfrbpm43 zwtMC=RnLn$p;G{+W+B+rsK%)cMKCLJ7Htieb2E*?pdp=Ydmin)MMsVSBUy6;dds_&piX; zIzws69Qdc86quWHQ?H7C$LCqgc#3aL`6&nikE=e z=5!Gi8`;j@Mk(JslMv?;**8Wt-<cPJ*aS^o)_D!Vkzo zysS2(n_fWMivJnn2LmOlM;>w;6|yBWwC6)Hb7tbfvi6N!djxPv%o1VdOW#x_K5DV@ z9xs%*TVV%IVp!bZ22Np%hFmD(Mt9}Wq6OGjL5B|F@n|s`isGfhz_|FEM>vm4p~YmA zspk2_j2YV3sKcIWa_i8`v<6TjGE#zuXk=EQ2V%T``L>OEbr~!gb&t~{ZWR7qp7umr##5o;D&8M_b{HHm22J1e4UGeJxs-V1*as*`sk3 zmt@is8$5<o_r53 z%BZ2j#MP2{cuF(uM>6&ODDf@OiltXDjrc3OgiFK$*rwUwK%+Tc@%lr(IvpSkx*ehc zlxKV>SGzqbY|5NRSc#{u-s0C*HufB9{P*DV)XwU4Rr>nj`S$8ek|5Hb)?7TIy7pjM zk}t14MOgu-B$RV>t37^-o*u$hxOL=+_}$4qW908lGE5fO-=9hLev!Wm=>;fn)6?!d zReukUm6taw*;lqwkoEJZ)YpS!hZYH<3Hr+N8_zp=7%|-AOcVyO6#>adje_i7?W5-3 zU9`Uk!|ierpm)J8-p~L*AX?cMTPvZVbY5iPRBAg@T%EOf4wCRSrFC|03$E|40w?yg!lGH{L9xaX;cPL)+A0G zQLCH(Nz@Kt3%!K7z5?IE%od`MPftE zxb|1Lo5!L6X>sshOMG1!OPO=3O`Os(4u57h?KW#9TYavb>2y2lLd%qDa#-seE!p4K zO~Z=ZMqLM@DC*+6uJ>8Xr%lu1&|8xv^oqbOXjou-Fd*8ZzTDdmYwy{%pihum2DTMh zwQhFqDc{{Jx~z$B1rrSq)`J`AegtYucRXm>Nl01yX`Zy!Q}KkAo6l+OijkGB?6&HV z@8Y}bDtxe7CQ*i6PNZMD28*rTrB!#*pS@jo*Ch4ETv;0U2;9%?9kBW=iiJ%h8wfQ! zww{oY6QInTy4(f`%PLF%V>lR?8Q;o)m4%vE@%E0AU=nbZE>7}cDSFe@>7m1EiHr!W zGVl&L-7`B;CN~*Sn4gJ%yU!UJlF(7(BM7hliJOdwToJ|XN65c|I`QKTdh*9@ii`fB z3>B2yuLW)Q<%RoSzswi;#>k1Bi~bn@(L%M z!aH8t5K>|X6E?7R4{mlsqUVN48JPCkk{UKmhY1k8R>hcLubnVMyOCmv5J_2>1F)=H zZbp);8mz(>H?y(e^;ihmnSQ)u^g$x-M6Ci`OFPk!^+*WWY8KhBGEr*EZUYiGxDL8v zbq7!dtRw0F7$O7heVyHuA6 zG5^;-@;3Cr#2mCkBFh>-ja%zW2O)Y=V=mf~on2+(_6Wp|&Q&<8=2M;rtl=nd+%#`g z?EA>E?X?wum&3y?+^0xcR@>vN_3=iJRb%`xR3HktJ`VQ8i)1NIvJAk#h8gpV>X_m+ zJ&DU})$?t#e3YZ^4wG8oq>6Wj_bix-TxHZgffvC^GI~$f-oaN3Orpijn}dFfPndp< zJ)!nqTHO10Gffiu`5cM}fy2er2GabYIk{`8?)DCr@F!TpDz}1-)N?~$Q zF^=^tqmh4_ENDn6R?9o*4-AbJ))T`NZ~ac`^~Yl`$eoVmAOAh@TlyxvI7 z@CXKnIREt{k^+mx4t32bat^2M;Ig>)`;B@-Ii-1=KRYe>z1sS!`Xz;(-3)mP2u=IM zTl3|Y18g#Ad)jdr{_%+uU?9St$J|Hi==#IONFuGp48h>n;i{uIv7Q&l$;Y z0oVH~Zpc9b!z>oQrOT~NxzEc=hFwp*IA(tRFjz_GrD`Tc*F9u38GUvYo|6gvAyzbz zmfe4xrEHDL%&%c{D0vP}WN#{e-FzMa=0J}_)(j7C$~t0ju1QH?{5Makkp2ddYyb>L z&lYNi#;!G0BSo!3KgXf7Qx9HdT2^3Z^^E%q~%TusiGIIFa4kb!qaVNF3ay&#Bo=xdqD zW6kK>V{J1o5B89+a!dhI1Bm!qgf_2fGYGv4rQ!w_uMszapPgT1^;8#qVoMVw&3pXmeXu4|I$hZ>86x|7tf!GMS z3q%y-L0d7~CCdry8WXFgiK=aZP4MPO z7`6anw|##}w%@eK$DoY?|VtI5Nlq{W+_bQU zy5KDgQ)KE06@_^S>hH&;VQx3C1kRi4Gjq=uHtKND!LnZ!*0{CEodL@jwHbmJI|iT= z*v(A;R?J_pLxQA&3SiS=s%$Jyw|4*eb2@|R0A&`W9^VYjY}XHuACFqJV95jvPM)=I zgRl7Yi`NErH_=W%hyBA(#6vQp1;P}s@w^_E4K@{KNfP>CeBytlZ@0Am-YCnIK?H_Be!bf@~h-4gNcf_(?pzg5He= z`9486S)D^jL2GgCU@+O%a9=^{#{(=vL1=3cm`o`0F_G75Tn~|RQe3?wr8iV9e@z~xm`NPT!UqVnv6RSTbJ%WE|q%E-}) zNeSR)OV9w|Bm!OiwavwLBx`#Sjl|cw4gfU`B|!})ej6F;m=iz3|0EbJQGd=EijA9e zBMh7?`*agbRh4vkBSY|Z>ua!!_^M`~YA~%7{#DA9bJ-znx(7@1H_O#Mi%9z>aC#<4 z?~a$y)?Gvq>rf&w0fglKI0V;1Gi-ufhuiyHf$T3lq6ty#Q@T;;Pma8>_*e= zP#ps51sH>oM|#XBp{2G?p1(5 z;~bkiS)=IfSBl=?=JrR@kUMZ<Zph4NG-5I&l4V4zK36ON zF&-IE{}A%nBfvVmk^{o{eemGtej0cjdIXg>bB(LZ&G^8(soEOmh`k%W4XNfGzuZG_@4#F4*haacC4=5jZ|_zl*rjf@5qU|an3g70xey}yDZoMb*fo2zZxwz;}bfA6=Gy^nvLQ~zc%GHRxhN{!SruKNqXdMYEYXkfrwwWz2i^C?cnJb7I-Xvf&M@SesIDjty9Ab^j{^IXH1_iOM55?v46m z{J4s=SbPdfWPU*W)jCUzT=nxqlcry-jr~z&fK?7F5Fr-DDr+>!}wTILI+?u9pGk*`T_60#{ z4FXKJ;SM2OX{ppY-YYZBhxNu@&IYq!rGN`>`ie}3(O0_Na<^eH@!sOce!hXPK5b~x8X zl^Ta%aTXqjN7+f?LD@zJK+_Oo_E9*}k9;F6HxGU)QuBv6##A1^!6Khug?Cp)HY9*; z83awMo7T9PMexT{WjAw9Y3*v=!tdO%c0&Of5(hZkuSuhwRxsfL`jU&}Iak9S8mE(* zHW1Q`g}^g7I9!g+@FZXN^R6peUNL2RCb9a2%6CY6EX-jC##24X2%>45cQ%-j<5y?ISViN@ef z3|{?Z5ceNge=eaY01-P|M4<(jQO3gZ5m>ZcFenbp2Wmq{)@g=vPIm+KiL}qOI+{pz zlRJe7Uj8PXKZBBL9ZTtzS<#o_r7t0T2nZ{y!NNv1e8GSq7yu}UqW%NEA0XDd<75@@ zNkn_eL=*+!Z`xlJ5_@za{h8uc5y?S0&&0R)Vr`h_lEX6`+%0N%G3egzH9ceBU^-QK zl4##61j&tf2S3&s?~4cn&Pc9_iieQzn_X_m4G?!;d@F#bqZC6|I|(GVg&+bOP)3A6 z2xp-5uB{;1O-+ zNAgUB+Tcb>YLs0gFkl}W(Ka!&)9L`!9?J+7Flr^~K|_YZlj>uI%RHReDTvlln0A(K zyU8M9c=DjR9Wj^8fpwVS5=mZU}14{KJ_niBI~ifFxsqU^j3gMO?7iizjo z@)za~v%4IJ1vYnH9W*s}ic6WE%qy8Ae}_KdOx#MKKH46k#f?iepP_ZTWSSUmtrw=RI|INkI$+5BfA!alOuC~bswu=233 zd`|7NtZFak<&LZaWa77xC$tPMrvh25F>{MpE1!o&0XD|?ju9ma$2eY)K{keyOo7TX zdj!^Q2eJa~)NG)q?L)mBfVX-u0EoM5y&HK+c z==OAJ(qm5Z$fR`P!w0~(d!&<~cIGk0B0EHE?AQ?2afZA|w%K`)re@JLJ^l8dvcna>hIrKMh_UK{_3y?`GaA z3S!W>fdkx^_V}8J)c#6TVR^iM0Uq)E!BwM;-}n_mtT})b{6Ulyw3R0ef(DKkFC&M3-we$rPuB&&H;}ki4jQnld zTN5OO9!NhD|Mezf!gFLcNx`gzU=C)!-P{EQy7o(yl!iPpq*_K3iAezlxb zVgDHJK>wS`Xf%iK|IX{r<^6<-$;HF(Gp;VM*a!mIdpUl#JuCYXn#x|(7unitrryLX z;JI}X7lR=xE%ZWMC8WJMgr?uYL1gbJAIy!b^Ov=>_^_7ulF?#3^xItBGwPT7Dxw0{ z2W6P8lf1s!lKTc{<_cG4qqG2w;$kqwArCkHZW$s1?R5O{W2Sma%dzeq#G0@0H>dDp zFoWP&FW072*yQpX6#j}+p3|D%^N?_8fSuyA+z#%YG0Z)zPc;AG!4@x6UmWdlD~a`- zQtX%u4BMg5T>lVQ2JJNObeSywET{y3B4AU{wjtCV2Cdm1Y)Q8$#_m29Hcd~Sx%ql5 z{41@r=cXa9*4gT#nBJz@%59w8R#fI(qyodjikTvWpA^sG?Ni#;)U~7g>Lm~i2EX^; z>xxM>aReecCV@{bjA=oOX%=4F7tlf8bjiB9i^0D23$&7(eBe>1(2doGF zUvquF$pr+9dc0Ne0du+rO~!@99JHbeH;|w=_ zf+Hio8h==t6>8byH<{fOjO85PKY53x(o~N8`0}d6%D*}It!0<4;~r0cI-}MbRN0lGFWy4h{>zEtS>1cNJwTiqD)zGiU;_cXcdK5y;8Lq;B1L?zJr)1{PF-QzB<69P z*81lm0QdLNoy~bXfJU*ngTl3#gTMML03tjfjv3~S6zz7YFvhr+(3yPLJmSWt6IDd+ zhbGb3DnAQ^`}tFniB)I32JF$#7GJDPflKQjV3vKit}igVBC&}iC#V(~7N^Lev5_ol z)D%M1LS~g9Oa$+oYjVv=3>c_n@^_LN^VRTzlE$v+{@JAtJAAsQ_AZh#`MSB)~(f)#Ljhi^`9YW9Qb8nS$Yg4ca;0WGh#I03;NV zw4qDR4ClcDQ?p`DotZt-58AZUq7YzY9P(k^Y{X=AO##Lo0hHN=70vyC;yXkbA(YZ+ z>JOSofmE7@W-rYguy1Z>59I~C2x;9iC!+wgt{4`nT`;Dk4Hg$zF6DBRl0N1A0Re*L zrz8KDuq4xe!jfW^HZG=4^kOzYOrVIVvAu~Y{r|vjvi{%1d5sGHu$znw{}$(QMjHYe zV+>-DF@#e7V4Q{++E_xEXg?Ocx`29sAEGqX8maw%c`N4syw!gqod3d1|Eofek>&p( z!pZtiZzWS_dsio8Q)eEYA9tUPy_2$op|R<|4$2G!tPBhc|8WIn#(z%#y0#J@AHArD zi@5T?(8`}m@t;aYCeDBN6aSCGe@;JzVn00UPmPMoziK%Cjijom{JYt|je#mEO#f=l z_)qJfLgs(o=%0^*@8|WuM>v`Oj|#niKD+-r!pY3S#PQ!FoEvsO3O(Nw^+#gzK9~aamQZA;Lr!U`gm8Z#gmE{S*Kv6uS3}sf{ttH*knr;s7 z^{t(nABEoj@V-r}b~V3^-Qtr```@B%+Uc2A{iSAk-ElEe^$rCeHNw;yl9a^jF2~Sg zU0=^1h2FxCLa#$}%O>Yv3ca+-&X19$Yrl^&y&j%luK8x)nV>U)J`Cd)1>W)le;KrObS#^{qTh5MA>}Vp-n+;g$LlcG zC(kz9;|r}~Cg^rS&?@iV43{$%>awRY$X1m&d6t>?cq5K2Z6y$$1(zEQP12iMoe;?P z?^<)Vru~X~ky%LdRTnP3WMNrYXPO=iXQwyH;+iMYUmcgxqOzmesQg8=M&Ch8ZN}3L zoiJDPQkEh#jo%e1GW61zde4Y~xv>}>Ye0Ah#M!4=77(pHY|lY0c{*UwR!ifx=r%%tkP5>X^p{M1 z=2kTprVe&xam@VF9?ht3!#AJqMqLNdRC0&5K%@BC*BAI#V^`8-OQLsRnN-e43%)5N z)nSCG!NFz1#$QML<`oluE`@Nn$^lJ+i&h2LV*il@ozV_}=8vVnrtPX|AOKpN?odNv z(oVBz_`xGc%{j&a;lf#D03=UA*;?Z8mq_Dj zJFHl;t38c*##qv&Rq63L%JNAMl|hKWTi8#z9FSIMR$ApdM3904n>dzG;7}N$T*^VR zmY|d2yy^qjT?UcP<_Nzz22tAC$^H@>&EQAyhODE(SwYIDAYs6v zXC#euZFPBoj`VRbeKMe{GWheTAh~M@i}8IOs58m4FJfw#zA@4+v;jC7nLu%G(TrwU zxZqGotS<9v09?&R2CKy^l)i{lmlQBIK*w7#u2>VZE?~$*!(?AhKpxEetKeXQW!6!B z3OR%v7-gTl_bK7UUvpVH8KYw6%g&7=Fr~gStzDE-@%1=_5%5Zw#P|_Q4hmlMSuNF6 zPs(a*5tACG>RENrVl4RGvKlk*jtxRdL2^L9!^tu2G>hbdLc-8S{SPcl68SxXrJafe zxg;FQwk)hH8Ue^3yr3&c5COw5$O!=)iM}2Q>U?2DYI2i|2!1nyO*+C@q!e365eHQT zjBhiK=AAOem;g-@{EQC4guqIW`y-auKnzpK5oe40ZUk6l%!-2o=yCNlxa=a;2M0cf zbg;SvxC`~Q4qrd}gi`IC2V|o zC9Wv^a^TGgX^3|2qQY=F3%{A54JXOW$+2iBrta9S4ZeQCZUS?a;GGIp$OCn7*s)#O zi-VJ+Caaf1aVjNwqXEifTXiby_ZZsFZ7%Gp_jS6=ggm1mh0f7Ae)z_uJ(OU}gL z*_OE;NL#1aTYIm(uX9hZivEeWYF7w|C>CQeN+(A5T!22BsE!t3Avb=G?|C`d9eb?L$$&NUp--_DZ6gfEA#@gi*9 zqFqS@7PxNDUdhP65Ken?9A*+6Wn@`Ai(H5M#^AyUy#YsZvMdg!-k{-iw1t&O+8ZBV%`gkH9>cn{9g^MoTNz9?< zT6BbDMQ?BZUln@z|0wiw{zIXcp*yp~M&j$YJbREEbCZ?Hjs4qw;>P@;j8PX2aJMYg zI)JO}h<@Ci65i-*E|{+T?L_u~3+ZVQW5JVE-5x9P^{9t%^@KgAa6w!*#tX{ zXO}HP=fg+n`}f;c8kcv-8R8(!7=w1VofcHn2yfkVxMa{L?Qn4>|DvmP)nE;J6LfZ& zepAIpnRDbhkBu_BY761k;*Mo}kL~sO%1nXomc@gM4Jp)v6T*>0%8EGQw4AY5DP!Ui z(9;jWNp*&@skR|!Zc33w#I?7<&+E*!Q49Z*ydZHWB;ajQZ6yQ3!iUg zPdgeboscMjK>ybp`-STK23umt%>%Uu40!y2QGMj_W}*x^F2U$AfUgA$&`2UOu-)2$ zY01aVi*{wCiDE;Fj*aBa(ni`1W5`af)4~oSuXkj7V4wq2beTi?6E%Nt@La%o3rBtY z@8!Pi@Ykfn?0)pz47UjWb6}K{C73ca)_XWBkOla&zaB2h#tz!6Ih0KYxQw-Um22Ox zwP}x9 zUTP8nP-Du3hFD%%q-{=aN^<&s`MyB8+m2#dCPCl&di$3FJ3E0WJ};Cr6OPB?+O&tL z&+miEzzSr?f8b#Gk2gJNhJ0mV6?C_?hMsMku*e=L$QA42e<(~}AcL_1Wgx#1$Cc0F zpBTY+oH4k}~lN>4CjwUzLH-(5l`39W+HS-c{<3{ zHW&=R8K~{$30PAYZ`7J9+!RsH+(hUbggVIFI2ae}!^srQ7_lgB{@iO`u5D%Jkwnnc zjHkOCHhAT0b+}NDNg!9~A$!n-xv+#ZB?QQ(PMZ54fh8e<_6N6NB|rjM2XTCPKYtT| zWvbjR0DUqbf6l?b*1BFy!&wObEI@=Y~M-U>ngK3!(RID6Wc7Bx#-!nycOUr@*gGveK%GQAC_z?*TQq*@0 zOR`4Vp-jQhyvM6!C}AT8;u4D-NEoAS$_I{N!`VuUXZdtv1NIn4OJ-J!FN+6rm=Ipm zz&XIy*Ixh=#?BUAacR*Qv8-}a?ow!BNIb^DfGnklyz)O)6nCPH0Hs`4j2u{mEbjsa zQ^1(ru-y6cy!fXC-A~q8#jK1-YodK}$l0B%LugZc60h zaEf-RAcj;Gjhv^)W)O=C?ZzOb&P|k>yOAGlGXgR#j-V+shGU7wl%(6Bo0k8UL7I?2 zy9Rw4)y;X`lbU8KEWMQpIysF4`IV`J%vl>fE1GYR@_24&xC)_I$@*$lPnyKiNCFqt z9v7LP{xL6081sPOsgNA8lxb)0PSaLNsYO6Pwd;WvJ*~TA;PqB_*O__xvZq-k9#zYH_o}Qh9g2I;b z_A#)0^fSEJ+~pbQiyRZGt05Ln5oTIq@|y^P6z!g631}Q6GEUY+ikHvSKyfd-G9=si zsVXqMm93)7@OM)dL8RG3Jxf0C5obY#nkk|6$v=%70pv;n{G#518>zq+!V@3{{De&0 zDDgGPe|e}3ZWNC4(RUkoB-^{ZY?mCOO#O7o)smhdcw009{7_uu)%pncfPFv?IuK3w zlJDv;^D>a=N`;5Hiy)Gvcc*KHLA(+>AL{@>h;vG-3>330v{+;iM7x4^I7oV z+h(H9>8+4E&FOD1!k-?Z;4;|te!}4cZ1Zp;ZJo~L81vr)>e2qpqpwJF0bP?>rG%>Y z!S9#V>h{06Rf;RD_-mL}_-i?=J0*#9`;c_7-H}YXJ1J5V=fm!M?G$JcU-Y%e?$n}d zaBo`ti0-GrCUFSn>TM&XkN?ZdlgB+T8xQ0hpT z>qV0=vIV|#Q+&+Bt!0x@N>Ydg&~H0MO5Mhty)d+-Uehotuj_UStSJVi$6khQy%n;9 z^}SZuuXY2}$H7)8>2j}oRY+?%!1A7IC$*L`|(Dn3L|9ay!l?q!ya~?A9cZ-cUTSEBm799pyv@ead?~6wki(d~m~D z_S^RfdK3(YlWZ5Dl=HEtGdfIL=0aF(-tw_fmO2-IV4CcSUs>MwC7Ofrs?cO-?sx~?UOCe8Ny1RT8mo7%KBR!jK=!VgTu71>u?-7B@?E{7xNZ~`i2>U ze5CrYG_ZF|iM8}|zhk#p=aZ@rV+-n+A{=ow-LSLw9p`-(>WO5_L9!(sFHaG?6)xVy zoAvT-7V>T=ygVt6buB#CY1s48u7H2neS6BD;w=W-*|tF+#6OfnRvw+%3h~8=?whf2 zDRZ+ZaV-de^VBZWvb$$&4ftO~0_pKAk_7urV=m$tZwIM@YqpZY_1Y zfSC%C>gx}@$gxB(ULAt^uAOq9QV1JgJ|_N(|FsnQ`lUWd;^EZKG_#wF4%qs+fMqjC z^!Aypi)5((vs%)Hno)W$h^N2Qr6yWq!8t6>xBm7^Z&4AaAdv%<>?qHIPFPKoaUhANmZELmRxHD zIIl_?3f8mhXI4eilk6%iv!bgcoS!J_GA zw~3I_A)}ZpVlj%}0CCnXVq&umQe~inLzOW6f`*+24Bbs*d@H~OAq%x>FxV|iE^4*h z=q4)QI7?IOfPs7XYKX#EqEexZMIrE$#^8P_*kUsNDTEDy-Z}k1I1q=y%@YjMR((gS z3s?mq5!TSK-GGB<1Vk*FR~76MTe>?J^u0iRAd6HcT1cK=AzypTl8!)6=JYQ{hA zRN$I^KA8UwP}T-3Rp71*$i89N@CibI`|B@`NeK6+@aBx6{PBeP`6!ggu{7Ov0>f!u`Q-=zf8z*yG5UBUZekf>h+opWy95V$p{~c@^f!pWW&502z zSuCI3TM}5=hptctuyDrU*SOq5FueCVoJq{+C%$16afi5Yt=mZe(#pKSC%Y{d%j4fT zt`Le7JcYul(WY*?aunl>Qc^-2h+Cn6t!|1oMX03K8fhdGe%cU$Na#R-g6tf7uefcq z@EMiREY0o#Rb1$!(wtWd>#%k%mTl9>d^iqsKy(-wPM_Y}){b?fo7X^m0eY~Wq&cOE ze)D9BpM8Zr zT3y&;j|}`0jZ+0IEs_{h+TmKrwhP#@C8K44A2y0#wKF0(FgqyP`ugBh*CQFPUq~Bb z8*_e}zT#F)z^*%u9dWiK&T(s(Nu1l`;SD!0l()5?Hvs`lkyQ<587-lv`QVT+Hv>L* z;ViOe5LT~*Wdb^e!u^u%^G!u{#KAsg{7bpp0bytCB?SoB>RU0Nrnkd}#vZ6)kR%0P zuvYoph6IzPux1EkXRN)srsP0xMP?eBZySC#z7iJg$TN{yw(h#99S6>tk00}5o=?0R z2s&{o7(bY9yDNKRZ1!k!3t^rxtuEmez>~9xp<)a4sGUEuh({5S;87cY?^!Uoyj#=Q zJ?I?^;T-kS(su4)wq?nYW9~8_2wp%pGGdH*VCXX1{~@@t9^KFI!IW;x!=1RtiHWFc4~^nsMC zq)&yNhc3zYQX2uN;a@_(k!Nno+~o3x;xz2dZ5*ygO6tPg9NeZV*_*k_(#Uo?Fu-Y; zfH|E`z|Ki|Y6J*#Q-_}1AK!>6+~xoRy%X5kXmG3gC(y1Wl_G=3UwrqssPw>ke~HYi zqfvyOsj`V+hJV3lvqqBVQhuF)Tqn|iZ5t!ufaTFoJaWykgUgJXL$cY)kRh(fLe_9m z4&`vE?VygEEK_EbpVb)-A^g47={%zflU$>{Sk51dV-KdW0i(R=%^-ilPErOvfBp@{ ztMof;CagcTDUyem7wh}sjLJQ~DqOL?Ckxoe}rs#gRr#9_LM zL1Z>J7R{Jw<>%Atu2YW(7Pg2cogp&&6G0{EedUPNeP z7d_|_K5F<~mId71dJbPSbk#hU&mPv)ov6YE$op_gS>vgONw`cn2fA2liar460q zR+FAQ4H#Q?qqn>SXy_H|yJ!7&XAOC%N6VZFTjFo0`2`?M;&*z0S@#F-8(9AGNW0X% zrTHLkbgS~$ZsuW7g)|C)e(mc8?e>;T#Nk{S2!zhY@mZ$$-j5JEnCMcbaKH|p*`mxI z10wiV+|BU8U$q}5)0!pD(C6-&w!IfJ5xdMY8;qX;-Qg>|K>jC|5)jJcpj}?w(fc`aJ(B3QZSd!h|k^3y#06Kp@~jZyX6q&CMTx3U$>wTlCwGIrZOCbECWxRhwmi?uzkz z`eC~|)I`x|-2E3>q2cSzwJG^0SW$G$Q62%jT(j+xUwkhn?>ZV zXxSaao-|M1|0KITfU+2a-w-0`wu%tu=Howvd(wib*_(cgU{lb=Pt!ibM{OA!YF{!j z8Ltq3_zS^Y=Xu(i>FIqy`^8!UttPsnbz*6YQ-*9!VMWST3CeAi)5^MIRM4GCOwla) zUoznug2}{1zrza&CZv2_wh=>zGajtft2RR*`m0rm8S+15CZr$lqC*Z`dZ;(1owz!) z85nuuk*U-y9r?lSC+?@>(d>(^>6ZBhRnYnuPvnT7BcDI(2n@ zpZYUy#dmnzXu~d^4yT&d?CO&PJ%27>u7)ChxD3)o)KMqUQN0j7DDjOR)DqzIM(WHt zMZ|R&oVZ5|6Txk868DC44u{iQ#E>lFyO-`F6)C>f%}Ly{HR~!_OBfwBNaR24PshAJ zP+-(>n()DDruh%W=f7}p(vfy`UX(pSQxxm7 z<)c|4Ii|CM;z{ln(F)FYT5bWOdORI}8Gal&Jt0)um8*(Fm+)VZ|E97hY9kb!^g!M# zPLOlWSWIK{9p}-{VVaT8x!=}MqSVpCLrRm|4neJdRFSCSvlTxMb*+0%ZA;@ry-wkQ zn=ry8GqA;FGUPfV9JP~()q3Jf^4JY-h2+W-Jt#P>ORGE0h@T!`K4`GX-=OhgJ8Vo?I&gx4&rwp0)$puc!T{SLvg0-v zCU?Q%H#`SebBArblYjDWJV7F3fX$a>y@oRHxhkf7Tt%7GgqF?trLhZNG=ARH{0fmt z@3YIjeOtN6&|xwogN$`&ZP5%IBLktu#ON6I4j>D&LD+0&lVEY`%gcaF?4^9=UpCn9 z{}6-w2AAkip|_~v#^FjMi%WtJ%LMO&**g(3^^~fAEvP$@$ZfR5NibU;W~y$JBq;(G zGy2^`l7A%3w+3eny#?3xGQ31{SsAR7RIMD3p%EC<|EsGuhZ*3;`uqdm!e8aY=0pAZ z>*erPcq-YI{PBPoK*GhqfNC`Qm7Bg6Kq_ouh*8{KC|2fO>E}CGrEww<|Ous&&k;KM#i3OiFdDq2>Z&n?SwT}xbwmGm&O%yQ?oH2%u?B(Jb zMG5iLn+H??*4P6dCneQ)$lt6;jV)5?Un zmHC^>Qi{BJ(CVKv4guln=gMp_@E#_~K*VVyJv1af7PPb8KZJELgLg10x^ob|a?_f1 zInr8K%lf0!HT@vZU?n{#fv+Lz#5m3$LfpPNnWoaN=!-nMAQKuO1Au^RWd7iW&{ah@ z6lFH{aon<@nNUCBH6O{`VO&MFG>E+*V>>MjIbfM;7bs&VWwBnH{xfm`PT>kv51=#= z&v=3JSia`oNhJo-L(Ia=qs7=X?-VTBqK#8?ATe~}53t8aR-p0oW}T&m>87X7(cuqh zDumVicCzR#rOef;8TXc&aX(Y1s8pSqGlo$Y)A;&EQa3 zvlY?uyc6kVn6LaTbI7-{Vm(!S5cF5PVRx{=O?S9f(3pU~v2BDohkmj|N0pwGvk53- zFnUpI#Qyrvy9pMdrh?0>V4@1G{7fcrj`{>UUiHJngSm*k(!_T(zmcsV#j;Y*ZDB&@ zG{K1H!bF)($tFPs+oB^la!MQHMMpUlk8hPy9%CC=&+8$(XN6UU%oP)wG`J4nv{{5| z6MW8wkcsoEqjglFUqznCshW`IFj)%<-W_6sod+|^MjDv^MuYCv83Zp=XKPNPYO@jR zkih;-CP5j>lAT(sEX-)9dIIeR3AZV*v))-SF7*Jx?xS7i{Ijp<{|M=wRD>4P&88f2| z9I*0lE?mt(d+Ie?Ftnk{?Jc=gnQk)2uvk}M4as0}jkVTM$ip(_pJV%kIu1X9%rP;G zX~EspJE*SX?&eE#7EfGSzH-pCjzx=uymIncDZiFO#i%DWqz9kf#@pkX38@~@c5siJ zbKhyIby1+ud4=#-*NzFh%FyAqJVUZza6StKJmpmvFp>^D`l<a{~!CsbC zauI#`$(u^sJ%iC>#=7$OYTto=Wm?H#G}AhEvB=#J$Sp-VPl~;Me+hR3SgHF~aTj^C z3N=tB?%ctB*3wzEd5(F0QK3X+_zGHO((UgJJFzZSO4nx#D4Q%o}6IUWvYszjcq2jB|*-fx{L_U zUSS`H_ec7A(cX7bLL~SQ(4lZqTz!; z{efn*sD4*RVM~s|UVb{FgLA9f6Ak65NF$d|4Px}hxkOs2BU8blZ0cJ%<>2#12&2yv zE?mMeWMAH2QOb#qL)QO zwRU>nmosQvDY&;a3D$j_E*3MHt)`%&TJBe!fv>uczA3tXcw6jP(N*~GZI z>z-7TI4IBfTOv9O6a9dse7e}==QQAqVmT%Y&0X@>`=0W7^)$N_Tr}!5EeJgn@{i{A zHrij@cH<>nc84&_fxc{Z4JK|I=g(ilzoOJhQyN-jcp_ETB!K%_R+A4`cuVMg@FV{M zZ>`_Ir}DjC%DrP{wQD2ezKgA*kfP6J2a{3r-y%jrRYiZ=k0Cm0P{iUN&39ZX?x5B% zw^kI2{491bM-9SKD&p=q;?{iY&NKT+>UM3Q7eWMCxF8s%tHBm8Mez%3H3fk@-m8Sz z#>c)uQ?r}`uN><``p*=d@Ty~egeD5RSV`rY?$;L|$`$L`%_@dfpUtcWoX7~xuN+mq zljk$pFJ*`*+mSdc+~s?fD%lsy+He@b2}RhSI!`&NkBzJ(W)PhCaVXG-GXus)!g(7H#M{xDPR3T8*6uIoA(Jis`-6{;EQwc2wb1SyvH@Q$mkH8_si4m)d zZ@6oyo^H7pqlh@Xydx1O`Im_9qG#91JMCjZ6%abuo0w}N;kN~7X*_goI02fPw^A9* zd_%u|69Bbpzd6QjKMURg4!vq1ZjUfR;YORPH4Zg{35NSQ#_$3*8zQz0+E`vBJhF57 ziCAR~-UE&T>QXF7%y!+l&l`Cgz^qzvMy{m5U zx%x18e0^HEw{>^u;3dXxS&V!g;KMfU$IF zCh1(BgOb4LUtSelBu16knlJXtEuJv6@6&3#E@m{MJ2u{V)nj5=SwqNjyC(^xMFo0x zt+UX&J#abzAOnT7SCDNN((2Xb=nUt!C5Us(8G=a-v|N&+L;7xx8SyR*gYcw(qK8uu?%n;9Td}tYZ-?KGxC#%(pldM`xfv$U_albQYGos~b zEX^SRgTd+m9xR7NzM*3a$@1z$U0pqo+wUr( zqeiz|o2e!3!D{mkxbGm4kgH~2X>d^P0z+C0iK1$z!ZxaAy{@h`b)OL%-FmWvA>+u+ z03KnMt(-39)I-@+q(O735UQzBT#L*(;EB@9%j57-!ZowB^}0~^hmwr(rD zWrZUyAsHATyQu4t{|HPsi?TD|eddIzRPWP&oMML!nmf)CX|7rF&c!!`U2a)jmGCNyz7rwTrllI2XA=R*>F>_VRjRSwfOxx*VY)sB0DUS zZO27N&Z>!;+3IAtD#wFSv-Oeg%2d^dKMP#24>&}R{O81VS<0I1Qp#4AuK;?d1^j2v zDn0up^0mrSv?=<4|LgBC9&=mW$6pm;489>HBzT0afKh$ zT>hBK3d=oK$M)(DKn-nbuW_xsO^`^ZV9egPn2DzC0dyuV;|$PbBtVT{;E|&t-+Fz$u*HCB(xC?5kUH@#SVo&)1t@SHfK}7=SeH!!R9$`EC^{OAa zww*0iYn>rW8DlV*aWSUzOJsDj2t@f7$3p|ls(1yZGruYq51bsB;Cq>RQ4WcY?i#JN zat~kSl_Hddz*Ap$u>!wM?BVe-lmtP|rc`cs&iy4ZZG=^R=RPq0L7O~on$YxnvMzpp zt?_dF>svjK^Xb%##IQf?C$+GXysGhD*WF0_Qi+|RIfAK>ZJdJHZ$k?qfrbWi>EObt zgxY!7$xra zAzFjpXv%W#O##9{>u|8aJqsHF0qElt3D#JI1tQcL4tj$PsN0Ye>&>-pxw1A&7FrUAP}pZgdVxZikGFEDm(V%U~<)27UH4)Mk&rGiVc-K*^a zDK0z06ts49nRf-=`g3>^c|)=4cGJN@0FT(Zgg08RYFa2%LbXK?pDcLRWcU{nyhXJn zPLcZJ*P(T;8XDbDzLFcr>O$P*4c0j{rlORtKRWt0Z&$NVxWX9ghcNg#E22*L1|;{t zjBaGy%ZO_~4oRvLeSKWN1V5Ofnoq#pDPNfL;|f{?x_>YqFl*S&Bn0llM&_%So5Zu}Ar* zYO7E7xxQZ>g-J!He!7?=HjVCd^GSKKU$Llyk4}&T#WB(MXVsfxefd$1D~ebU&vt0 zoA{Bm_Dv6>zUTdD5YkS}KBI%Q0Yt~{nwFPWj{^2lpB1E*c?}XBtC{WDdEz~?SdA0C zC;i+zS=yI>&Pge5%vH+om(08>RusEy)N$}z40lcz-LK725%FDE3hwcYgLjdYWUd3BaNYiUQ!+IJTP9{qFm2XQi7NAWbJ5Rg_ksSSMe4I)e_7P z)BmP6zE!l3`CWAx^xm}{nN#aGg=in3`J%FJ6lFf8_YN=-Cg%#YnHVtHq^!KDhQ!I^ z*BuapVdJ@z=uTU6E?_0h=~UJMo)(c+@RCK|SX_$FUDlIDQtbkw-@RnCTxvwcVsh$+%(yB2PRLs3ZKB<}9+6z=Zs?(XjHP`GR1?(Xg`)zn(+oU_;MefGZH z(b3V}wb>%24h`e?vplsT=|Ib!@V-0O5(t`z*lmvZG?9jRaylTHR`iK7&q_KfKETPiJz z5J+VmP3yEBM;STyqS{jci6;FDA(QSo*w|VrfQ2AhafBQ85<=0!c9r@(zY!Tl%X0uh zG^2Rtg>4K3qL&s*F#J$p(}B(ef?dCfAHaZeCLzDrTI6SRTnT&f>9Vq95=@Xi+EVH* zb6n>p4fzaQkv@8J`ZWv6_ttQUv@NO`!Cdkg*5L0$tJFE!S5ZKqKz!Q9r2kY8`>jv? z_wv&JAgwXd|C``oox-2e8Y9q0aBzSO;-fT}Ll~|DribZ=`G=;4bOgipPw#{IzwYBd zH8qys`N6+wYJZAc{I*usd{)ltv@{-><2${L9kd@7M0Xf2So3ERF1q30N5!8UAjmiCcYGY8?|* zhr}+)I-U4FI$g0Wkr*~gk(lN{-H<7{q|{VXlrydOozfnPoD3uhbGFgiG5l0^rG-VU zEhlA0-?TW)t++KR8;_Uj`oqrdZ5`@5Z9*qrIzC9dUxroc`3_K>IQD}WU4(`QsyzL>AuSOVHybw6)x5GqUH=xpg?k{ z$sZET*I?x;$ABkqTeI!cN18bp!lqD_nN#G(Gw?(^>UT>V{VGNNLsB#NLsGMh{2_Xz zdh*us;IN)>b+kr|Q?Ln%gY`Bf6zIgbtFH?6283XPZkC;^e67J0VDbhSO`J1;RI9=S z^PMIfpw*5oc#E7{oP^QAlT0tPq0t*fOG9KVT!WzksW55lXB0=}rmfcIMtAJH4kc$L%LcMPKCQhk3+C9#qK%4Z*KqvpiBpkm4clEN(FEZ3x?wWh1pisju26uEEM40LTVCpHD0%SVi*i_tYn( z`D$tTPln}^gMmq2hMy*6ls?U`Fd`2f5_{iDgPMSgumhQRA&Rt4Z~>E)aoYZZCy2qA zy=C)tt^(|z7H%0&BSezoZL7#dwCCey#QqEyW(p^O+UUC26}4`anW0C+=Oy_1^Ik*` zpglxzn?i4{%kHcNx6GymiNIg4o_2@SSef>sdOc4+y_n%9)D~c3khkA^l1#- zhvH3z(P&g4LJD)8m^l__f|Mz@8{xy$zhf=dqW_T5<(ERQW z2iL4+P&_K%Dk%2)?Wm+ocEZgfiyn!>B2qq;MoZB|ykGCTNzvIPM$@Me9bNjI0bZUe z*oxI55w{e`WucJ6AvM75643aFhzO_wH0nI3ASQAL0V)KCn0N|N2=vy%BnrBLT&Jo- zbRJ&!!a(hbL?NS&k=R4~|hCGAO&gD3}nNr;uFmt z?0r8&m5U#{8`NK0+&TV<`m z^02Mr_OfN$pg569t!4frsVwa-p+zO#ym4hL<3Ikt|5Hir=G(=O4X%p0?TO!=!R+51 z;nuc}_RiIH%k#OL1M+Vs|FknW!pdY)S7ldvQn$kHDO(i+H0V~`p*cYv-$BM#g;?t? zMDe2cdJGgkJH=^+0ml_`A-#=7yVCDD-G6E>)!q}n3$biKjZ_9&(#X(WVn}hWK8K*x zkZj6!;x?7$GV=LqOD6Nk{(_gGZ0t+)StG!&VLiRWQ&e8axPpiMa0US;0fCb;4;Fz& z{7@l0$*z`+;_;ohnVjxq@aI$XiB7FCnteIwnRm_+9ixhX#}8(Q$RC{OJIxY`c3?Zw zH;ZWZhpWi5M*1?Y9%F^SbTSuLCbVt&#x}DN?X6(~&Q_~M&lNN7z=?0SOxs zS3@&9k!oZfaIdckipSM9zAyOTIPi5R1qHM#Fcj&PcFquZsDEFm%GyJ6ID6hgl8{?J zXgBs3r66%CH+3z0Uj;k%stW}tXQXrRDJ2Z(C&Ns9Q=L2yYqR1O#XkNHt%D${>KLZ~02?%h zT>}Grpsxv5IJ&ttLW_n;=C>esn^wIy0*mOOUu9n|8B&`>1%@8 zlBAB|#kr*6@c~DC+b=5^wAh8(cu>A<(%_+QO*4;mV>s0u zJH5E*mF0TRPjXjnHzbIu?vD!F0Z?gGWHc9ho%FUHvcz_&vh}?wfMJ z(+1HeQiSzly3mKUwy|Ahv;z0Hi(es+pGz+EU*-A^XrD2K`~!cE>!4O#B8;{LnF3iv zwaMkd*ZXqofO8M!D#_GZ#tL~L1-q2 z`&CNyfovlz9_--)rtYVfN|@-JO;zossHNA;${y6l+z9advr~ySV4!^>jwv*XoCbdnDZXy){fgcXv#6!9?pc{q4OXkJUDy95b=8VLV>tvr8edS z;>~JMg>#`p)N5U5ZO^M;d$CzEU)GM#^w)N4vOl;iDo{~}EsDRVpG-k~aowqtdG7$O zKdW)Ez@L`!j5;nJyqndlj4WWHODwdABe93q`jA4@I89r=j`5YIP^I(ITObW9_uGL$ zOBg}h#yNKk%xcgO7UN0kGj14&K5HtGwB`1H?If(H37qc#Y#9} z()OVVF@){X9y`%`ndmrf=I2E%t4{4(gwxbOYcc9D%!Wg9DSs*79wZxEe-l`4koJ%q zm}I=bYwpLXLc{M<1(QRflI?zhgnAXNJ#==eY zZ`eNwPf6gX8z6*K2tG$-zzD10ML{Z73F!5}toM8zE1 zSe+0NqpFXDxmU7dkb?0!6qaf>DxevfJ^l)W3gK%|aq%^MkUE(-0EPi&x*8%^M+S6r zOn^|U9?py~bsz3sYGKA;g|;TRC<)mhsf%-ZNnl%X(l!=SgATFm1PNcD+No-ISF8=B9h%ndo2T&4;cO$9c- zlr`q%e`_vs^5UuJ=4}6lN45hw^mF@Q+v4a79+1`F1~&iDkUq!Pw8b3OT5AMz=#R5J zG~iTlz?eCV;9UNsuJf2MzHl{!u2_6&u(Z7cAc<3kBy>K-cB%w^&4?t8LA09|by?Wi zs{r2H7XW?};7HLZgbvub6YT8RTp(6rYw8?KdISdrec8P7-iWpl|4#d5m-6~7)j*dD zvB@bD*E2C9*B-L!_Dz%}S~Pc?$MvBlNCH|ZH=hiK3pJ|e&PAA7sjO$4yE+}$OJ>1F zA#F$2-hzW(Uf82vLF-3|8%J_I$7@Xt4m3t^ZR8D7``iR%q%zWHBB{)w)WFn8E;L~{ z(9c@r2x#$1E;B_-rC&E`9RsDU2A??qFtHa6BNynmo_$bcMovnbhL-cD#prXl{R)|E~FA^BL1 zQ3Hs}-kbRdDCe>6JXf$byEd_w&7WVPp6lzT#aCXjI9DDzIHEcE8fo}^K6t#qhP4Ef zwB+LDl%su4Fg$Vebn=A;^lypE4?DxL8joGFvl*lwI}j|!ikUd8n=3}mrDF@Rbd6+v zd*y7$U%eos524Do9-1;oo8AM%&5%A>4K?-d1&%Lv!E;IrVA#}(=m-Z``<8qOh~~E; zVkB`*?1R=l+`7kp6(tQ?@CdyjPD2hh>lt}{hab}u<&Zwo)g;X)9c$(gHf-#dMFQO< z-QqSZxeUhIzLT8E2Y6DLmC}eHj>e){p3t#*>56`;jgfvJB?NB*D_h~eijuJ{L=nH7 z_|e1Qam{1Tek!%@?2hE&OaFslw+abi^6m+*%@l;I*3PQ!S*+oKF)ou^Y(b zXs{$V$fp5lAri75rnYHmt3*$@$#8?eK*;>|vXE^ykJ|JzOx4L4l!T5+-KQ%s#iurxR1991 z`Rz|hc>zkHm$#vn`Jx6Pw_U!$7fXkEX#NcMAx9*-YS$j544DXugIkP~xUygD!p7|$ zXQIu6HwXJ_G)de-(|go;RIV#`>|L?70PMJ&mTm0YgtqZTj1BMbv2kEua%;F@z!12- z{W!Gn;?k$z0uug6KeulyF_JN-vyFnCL;-ThA^b^~{H@ek6B{IEhB!foe6=doEH?InW>Ir*!zizyG#8o_k4rV+yxs3XWXhn zbTlPwP?9GR$?tqK*~fJfArhv8g;i==Z_mDvTKae|SS0Fwqmr!`ZbnrOY~RkDd3XLo za)(C55=2y6&b8dkiYwja&<4}5fA`2oKo25p7`&wJNfm%>0XF0$qT!l57LVwIeeF19 zmk7LY4+9}VTiS1EU*4xQ5^UKt4L6ZA(;HJX((KAsx(24)PK%PktFA5Nplyb13B_Zl zVvk&l8jgC58V?hb%SWyQ!JcJDl95kJJ`wY&lIy#R zJPIheMm|We|1|VRH|y!0Wi`T48uFY9wEFVqMn%?0#pV+oTSns5FX&zi4(3BT-U-T-7nb`T@ruX2X!6m=C+$rTs-9b9yCv^54=E8^|G%`<_HXI-Od z!&Dg4&>0m4f=ntJDDFxWdRh@5ajiaWqEVD<^;a^C&r4JVW(4V2GwHc?#0$Qfl_OtM zWIWoD;54atWk;+}!F5i&t9^TXcWZ^eLL)v`D-CULdye2MsSF1IIR(Dve4j+`{uNNX zY=|A^eGB(0t=*WjEbCkCCTFt|onEn5=iC4hs*tBzC1!iVFRITqDU3$MnG=05qBZUG z3w$5P0GAGArtf3#41H%Iu;DiG6}D(!bd$rv4YHpiL0_0iH1jb=%dsYxk1>&m{Dw{T zP5C6p9Mua7vTpvvlgnId-B=Z?a6BHZ@QT)0{MMPq0AIF8@nkFgCO$KNc7v4s30}t> z)S;skyszUneBN#Jm$F#(Qb!W>f>ZfVJfs&hyJH^)Cs;ejVRMGzv~F5~Rd@3nTJ1r- zmND;OOPEDK`eU^4LLW{7MloB9ij`BN4EZtz z*qp5o`!y--rnejThv90G+_V~10k9qVlHM3>wrbiy0|W4sr$3~*+g;z>S2<`B2UX0p z%qmJ?H{^(Y*bluq?i-!8-fp2_AJ5hO4OfET>*ra`iHBL^a8EbO68zfxub4kGTCnFJ zDCKf3jOLu~(7`^;FH!^qv)LlB#Y#@hA#jJA)jM!1v)j-*%##SG6>`d^^cNaaNffnA zc10+Wsn=~g64|%{|N6P?T#RBtri`&5XnhR!J%B25tv?^51yHX-|!hEKcUEE|% zQN*pwGa_9amOIAXX={Aow^?p*inja-;F;X=01#93DTBh9&Au|Qy!N?jjfP9a3yN~F zi_PS%68aI?v*ZhJ6&%wjS|EWnWQXo=<-VVYbn|;DN@@d{dS%Eg`4UvQi-2=5WjSDrGHmzf)A^beJw<3Mj>V zx1nk;i(SkV#Lc=NYze97BHCu(36;x+cti=u&TD+etBQ;;YWZf@8T6B7kl2B_WLmhC)?Uk1dB3s*Esfp>n}kVb2D3tOn^4F#9^Y~0J4!deeMn8z{h4P zOy23CUQYmc+X2!McBPPDjJiZf&<1}tqv}DJ+vVtD0B}6pX<)V`+;Vp4W0xNx^ZOf+ zUB@^a(X^mpW(7Egsn1`SVqHcel2}zKZI^ejNnW zvV#YrGW_Ckn@@|+N~su@Y8c%|uBl6f7@E!LPD9C0Nx?i3LWJ+4`mLq2oTBcr#o#5H zlWVk86^*$@ijY;-O`oK|6S7oA&8HOK#`pemt)9Y`Cw^vgXO8t-rBv?b0Z46@ zo+KPQ!Al?HG5{%{hiEw{W2&E*rAcKm3}m;7f!Om>$i~lcz_VD610zC2GV^hZ9NIR& z%8i)YJ`X@dJSfVekcOb7^JDswxr$>=#$LQL@0;z8E#X~>+^B95ZpD&y3RW|GH$HA1 zBYA|vMqQ>3GS~tY?Uqbmy+Y;#{FQ$wQ+;~(3z$Rixrt|>vb1X=#%pN#R2SuvEcoSXGeyR{3Vt1yjT!MU1O*1?9ZI-e5(>oZoUhW8EsQ=D)dvtC@Fg_Vc*P~|FSlslt{pVd5p1coLiDpK3J1xmRlCCxmIWDSs zR-(I@*;lbaK2K9_t_az#>iWQa4ZBGzR<$!=*nk8EkIG~u<|sM9aJXUrZWz^JhdwFr z3JIh}cf0tTSqVb?kC9N?QYgpv9897K&a$ljM=2j;7W7`2qBjF1Nj(&Qi zXn!0=6o}hx+ieOY2V)z?=*>_3S_VQRzDJ11t0p`rfO3$DR(X+w$=sHvIFtj&XI=X% zl#f4bqLRdLfkX5kcw1N}ZXz6mEkVkN$d2?-0lgi%3LZ0+RTC-|LLQ|j+uQ9B0!h}> zD3jnOj79H{?_e9UBrPV%$=GwyU>p;ao-52u`e+(89HISF(&$Z8B~PK!Nap<|v3vUB z4xcR+H@8aC#kf9}4#ZkxkWbCloF$ac90`kY3lZk55I%jELy>S6z6z2Q{m%G5xlH6ZPdHqoOfhIUA_jmt zxyzk;Mrzr#W?1xqULOJn;%7^V*Fi7(22u@$%gj5_ zbL&X+XM88zdYQy1XAPH4L&{DEff=s0lHj$wMar8=VBzlbU}YXley;b;Qt%?-6XhWq z0%-gcV4;HqNYg4x(5hHX=LgG2y{E$-81z<(Q@9aUy@d#~vu2$V(|;9i7CFBfd;!z- z_Rh#h3dWj-W1Zt7mn$GAG%F*(J|(9m-^WxqASq6v9bp$$>_M{*&nuQy^R0=wp&eT1le0r7nTi1x=t+XA36h+<(e({d zBDk9e>;ntH@S&Hh+Mx=r`2tNM*q!s3w7=Guna$;~a6|ZD5BN&WUXFTG0q;&pt#+c2 z*SO1^rg2x!Hot<9&zAN(>H^)#BIrS?;H*FNVsen$dW5t#zBE1Sr+Y{$ zr-Ga_5hw*;l8H#v(gxac&+96WC-YSq&z9xCYP`Gm8v8fk^`Q4b{s z?Ty@Wkm(H0Nw<5#M+LZ=fCJ2yQNfqm~U&XnQYnfknKmP0Qr$Q-1D)d9Uu9 zRmZ63T5b~#rk1E6vZC^BenRi3eu|=v7V7y zk9WZ4AMv8ysg3B%fVItF2>x&v%h0D7?m*f9nmv<6Ov56vCZUX;o8mxvegZwx%SV}~ zjKmV#hEC0q?+^3rRn+nrothesvY#W={HgrZ?+cck_(s6VyFZjF++N>tje{6koe?Ja zuY26=gj6SBIbVZU+H@O#Jj};#7a|wJmV5lY=G<{&2ZlPciaj9s-R4tD6 zQD#_c>64P_J_XHRsc;wJZ~W5lqE_wXx8H3(yv%mWS_p8fjdmaU^L%l$h!IS?IJ54C zeDpYQ1S+9UPss|@FJRW^Kai%z*%Z1qKF#&J7AXK_&SVU8>xawNZtgYD|Dp*tIDe`` z$aA`N(YQkCl3iCEQaBk&u}@y&s7NvX$~;mbsHA*aHrsKkdd0$V_^L(}R`<>9-Dl*D zkeY8IPCNkiq?fCS5yB9H=e*gJh2U=t+ywA(x@6MlN~ zS;VlVD`cGiDsxQlgN}A&Ki^t>eA)qLKbdyq>U@%P{lxT&YCaL=8Q2m0>^#P`^%gX* z73w*f3mLL5T%MkWP~_Q)&U~gknxgsbQSk+fl9iFqIW&NL!_?U^NH1}c$bxD;?{n+w zFubWKCncqt*0L7i-ZEfv+`1L*g@fgx=;X{zlglzSlcI1ibw2w1kfLooyzlee`cp^znMb2o zsOvQojRWz;*AGoZ6Uil>34Xg^ z-xyuej5S5Ilm+uJ#F=j7&po|8)}-iV`c zz+QKM$qV^f)Vdw!s=~ru;j1g@eEkj()p%S5XNdi#DbHg8DIB-`FMSI;?FIkIj9R!Mk_P^ z&_&o<)4&9snek!X^x(lx#hV-#@n4bE9~_)`-3c{Q^(;s2cu+Un2M1SAqqk!*gC6$n z4-U>g`s_CcSMv`XTp4Y32TRKMZw`+B4-T&JA2~P?qTr95lS@;4=M zT@Dv!2`3QQ=$b$kg=&=@G3&|socAzBEsc>$!qzxoRxYdA!pso9fXqmFK+`^WiUSe{S)eQV_C@nxXZz~^2 zO24R#u^Kj*pD~tAF69c2L?&qJUJz7(UTy^%nzzkNrgJi#fCH=0nfEO%$@o2&vzb07 zW4!!8Cj^t`Wzq5}&zN_>HjqRqpI%7oQyFshtwxi%y5ezJc`Zsx&Cg1}7NyEmxoetr z=Gl&J03l=?7#C5DzO#M|7d$$$8Ud(JQHt2xCYt4N0z?WycaD=@aZVJt3>T<3E~09Q zn$%ajw4cuRAez}{N^03hZiKf!K#20^&P^v4wo1iSL+k-WLd67ZhdkR3wki?m_M$`} z2y{|%K-&VZSEAZ4xWTL3Qq6hSlEjbwP~^sr077I_JPtD%G; z;y>+1&2WHgr%|Acl=PqRP)Qi(2Kdl?)>CK04OSaGUcHVFq==ri$EDQxHKaTw_Zh*z zMFEyfE<-pYUB+mo2NEPo%r|Nai|+oe9$jVFSapXPGRlZ@*dL}l4=3rf6ah_ygMzQ4 zgKMcCDxxLkE98Aun^EgNO3-Cb7C zFLg)*=C+QxGqtO@UUiWBzaF~qvh|LAX!M^+vcqR9su&U8sFGGHNay z!WN@u`AS%t&dkn z>_V&^HB`R?&2$BWS-*}dHzRFbSuyX5@8~7Zvq`2!4MneAW?+jCi(KnV#`#hY!+buh z!L-NOGfU>G2|(w^*5g|I*Ba(@0Ao6!VhfPz_UsSE#Il^6X$dH>0-VeCmeAVu!xQfaTBEuF&(WybEj?Be=LW{Mg5=#q>4Gmdicp;VXe0B1qp&&C>k@KH#WQwne{paO ze{ygV|H;9P%`^!Ly?$FNDU+XYGt06?!m@~D_~78?jK#;a! zWa;2;)q&(#$5P^8PNF?EuJ0R*ov()@)52n%;SqLE{Sb7=&loF@ALboycBP9Q7>Fxr zh)HD?uJ`6wx~=UR9va_E!up2|Th~@goWkPb#*`hlhU*xmRA#VRxWH{0Bbdh>5~DqT z0DfJ2zMRddQNOn>nJ*xk*6bG5jhv*tjGT?_YjJvcUdM8YTw?h|Rn(Ug(IJ?g6N$}$ zc8hLmj+=<2d1T0c&stl(@^h601FZMnp1E4;+%h4%x<`Z7i_PJmC_`%JN1m&;YFCqW zEc7vGH-^0xhAl0n8wOwv!`~(}@RTFuFOT0=rWVv+D6%`x>6RUpUkF<5Qw#S6@w*hx zcz}(SGw1Q#uWZAuyV^ZJlUiWqG=Q9GOS5Atu#J|7(*XRba^&f>#)s4NH(%6Yq;=<` zB5nwk4Qf?BI5^lpIXHlT-3JF3_28xwZ9@#^l^cZ~xwDAeWn?$)jVm!FIf{sS3w6!F zm%(hY>Fl>DZQY}^HlZE{KIJ^St$R;=7UAr`ZuNAEZq)vWe6o=6nN0kg$aN4C|HWEq zrJTAQ_#mIk;FtMY?~hc5##=!@2}Q3Whqlg+82BvFmuKwZXX|X9!_WTOS!N}9Kas;O$_08U$FmqP1#j;V zQFBg#T-KwZp%HlKfxK7@L606CYlY5a9=h^5*Nmgj?bj90g^ObVNGcgC)NLwtD6qSV zsC+-)dls`ER;d~4?G&Rdf7xXSgYyf}Bf^|3FZ6$xW>(&SDB4>$dCYcbdBHfEn?C77 z@cSi}6fejmN|q9jr=bl_<&DQj1t3u5vnH*q+}zkP+P05%RAVkGa@Za`SbR?p>rdVa zThX6BXd>0wu(1DuZDtpnnhvId6n-VGtAuiMz6M*WX}vYK(Ij&g;2i7;RZ|jTd<8!k z!oYw3iSey%7O9SDL&V8OC8F!QH7O2)1 zaP_&d&E;eQgC6=F)c6rsC}w_M5&I709&Xht>v}EA(85$rXWFjH-S#88T7>obL+_-F?OF~lwG+{y zg+6u0g`l}ED4DWX;nbN}LvAn3S=%eGuUI@|^?Xx&^KH|uZN&^OYSp7w-pmTdoKyv& zl;!B}M3r^xH#D~K;bwia%uTgw2tjjNu)_DWTg_)e`*<`>=rcc5j=x8%qvU3mFnU=O z&z(golmQPpcYrJR0>6ecD8_)x^conC=-=7(@XEQ5GY+VKtR~GOSUbOH;h|GQ_Ka*D zlWRVeR^t!p81L)CJ7X&Hy23?P%kHv1_uoxcaTN!-xL&#(--&2eF{L5HGiZ%x;F@F7 z%ee-{Q4LB@nLaTYQqxcW66IX(X!{W^FH9%I`AQ;B#aNi%UmLG({t*KZVa~^>!u4si zMXzz~j@|ZS%54uWSPgsTijULhZJGZGjW|mDjEpO|Jm^=NWPtEvzJu63^mc|~$A7Yz z2I@E)_b4|f%y`{yK;6Yx42@Y#4?kauyBaFw#aR&=d(kQb@mHVoyU@(wQ z^=7s+68a@el54DkSwnjQJktU&=fmq;#VKrXzl6@Bsa6R>@9S)ILFm#uWVD$FES${4 zA3h~tghEt*6p$| z%=k*#?)ffw2WUVUMO|S9A|E%1%*5lZLLTv>xt;6Aaa{n~YFk-rb~)SJ_qR2E9489` z3Ur$X=S;Ojw#Qrb53R!AbtIQLpT}bPgZL~X8vW6;tNIy*oci(nmZFSfM}I<@kTIp( z@J_2!=<#14a~jczAn+`J-ma4F(HUAVPP-TGzeNb$?mcQYzmGu<6vHpW%eUGrL#|p)*sWdGu@z=5-*)Jr87f|_7Lw!+Q!_23)^*xj?*FxE(3fug zLRkR&vWLribN=Rs&QKZ{`cB*hG%m7N^v@3JOer>)|o~zV44-{p%ba1Jl18u>9)` z;$NO23xJXJ?*kT9E8J#e&#dp=gC+!Py)Qr?HN3VZQ0vVAG7+GD#A{=76Ft#L#`T6@ z9>--wZV3I$CIl+Ggo#CEsgmfb-!nAQIm|8BzgIFJ_y7LbW!K!I_4@%7K%jd-~*4H#wjZe`qM5l@yjX7MB^{r;-qeg0bw&w*V%J;SWQweOYi56_UZQY4+8 zDv;TZ4UuNI*mb8C7U0uw>fVuY_Ya=ooP@JqDOq#p9Ha z5O+ znbZs*$>MLK;ox7QAr34@NIA}t@?uM0i1bO!{p6=}mBxP~8Up|CMMIB&CmQa2%vYkW zD-OsBRg-h>^eH6zfMVZvUyZ&{LZ4WqLh0Wk^E@B;)Pv;X`P6qp=C2uL0msg*|6e5< zvi~U>X8lbx{2up@qT#KAO*ND_ik*h=VOm^Q&uYs*%vU^QC=uA2L9;2s>?rcd3tpni zX@SU-F4dseY3ZOppP?DdbFm^}lNn!THh|dckM$JG+Q|Wt=guf%FM{=T;~W8EQ?3w5 zqJw1b_rNao{Top}`Kl}sc;x_io#`d6e2!TVWq%3U*%}bxWT;MzeWFXhr(3%&{PHnh z@h9ODF$i}jQR?MAYPVi)ES;5BH6W+gOVG6Gp~0MTzva^5JQ*B@kwjpFHYdu|Z!(Bs zgGc}TF<*)Nn6JEVBGY`#SB^gBD~@?3Ib$GSs(^VS)5;Z?iiqt}emXyV%vX+-#Ij8q zkPbfPD~guQ&1P)>E{69=P)!X%v@jt`7d^%dF{<-t3{M?G6*Qrp?te0dXQ9ew9_%_e z_%x)6-NM6GsP}#ARk)Ea-qwy_wjh-j`FQns*PmkrLkp=%@sm5;LvYRB|DhP(w|^VM z+xc4zFO7-zS0}_d5S~0}OZ0J^H%(+3{L9&4_HJzi!q2V9Zf$+~L74OUa4-hh*mlDo zkQ@zhI5^v->`}a5$yJX!%z#w=sGroPn<|8HOxGT#)%I4F*Y7&+$89BJ=ODiOb4a@f?wT^_)iPzhZcd>T@U-A2B@YyPbgPxpd5v0QyLbpMZ~% zi@hG~gGwAtyy%bBJAA5UdFvj_?yFWpMO|uu&Bqi&t=nexq23#ciTOD>^BbT54fi4c zKRiQ~|K2l<`0W{Hq5f;naOZ#U4DbKu84mpR48!IRP$IEVB1=NZqUuT0UrDwOYvZg} zW1z_`Q#PalE~Y(KEB<I$4JrUQYFe&PM3?W8iLobF!Zb}0l64u`9TqZe3?E#S;Q}8j z+`QqgB+IDiA5wT56JMma!v$r3MDtsQ>xX1Qo^;)Pvx@4)9K^$a8HsbN+KKH*i!1Bx83_2V@e7c*&VsK_s-f$wh zx{(yFo~UArU^PpuB$NnC-z>vjI%(ZIZCx-5y<>M-Ty5*ru$T?Q2#Xurw{>X$y+dH; zWo-Xlsa-QYbKOf8<4?`d?>}02tJ=^iLg-=*v2Y~eoJrA>}HIa@R(lqmvW>e;d;pGzJ-nb4~k12m4W+(w5I%!>#={)Czp+loY znK6h~As8Lhl}{G56w0dS4d1TY!z(kv<+ho-cVr7y_c9@o;)}nLd_(( z0Dwx_(cET;Uk3$H)5vrUz>0;v!;Bik>*-*he9Pa3SQ2u7sx70fDMgHD%JoL|?l*Jc zj`7d744y6*2IA`t#V9-R>+f_(yjsTBasu4F(8xc>COx-*-o0`Bj04(x>)Or$tL|Ak zbI#6uTjlKsHXo;1vw7t%DHNw_&|X%@4O3#}aILI}=#NM5sGs7n;`-j=hSzqs*f8sm zaGRs&)xt$P)#jB7`iTp0Y-MX6=%Ozlw*Qn^0&5M}-1yK@W8 zpsYC|{Oh38q^fbPF^1&%yy{Tcj&3`8O;RqMB`Sjef?33HAxu*O1WsQx5?-Z#FbOQP zDy#Ikv?HdL3g_ih|LIGKe32Ak-qm^9UEqKQh>F4$xr9Hf4``eOrb_fZoO^L+f4>@8 zlXMph>49c3n)LTgLrzldk+cS6$w3W&<_7c0T42Sln5hx04xRoh+aW}nVn15%ngbDk zP>~n!g(RrZ&q-v(X*U3AO15NPZd+EbA31{260TIM4n(WN*Q6zsF?gfUy7dFTvgn6` zh;vg+MisB6D4)un2dth3fhhD`HZG;A~VfBl;xEFiJvR zoIsHZ20W*XRz=8K89iq1uOgm^7^Y!AvkwBwE4bP))r| zn}nPW#qDMr@YXxp`OCR~m5yK`(itl3$Kt-6Q=w}7vh(8G#Z65>f1&y8_4SJxt}g?8 zvCM>;DXkBfWGU%F2wBbJYnMNIyGF?kc?>Pu7L2G#dw41SxhMy=$%?;vZ>$`XiJXK& zMDU@DbJN!l5Fy{RoaG2vNjeJ@i51M5C3mpnNasQaZ{aOA!t^#^Em^mwAbLosMuz?7 z)OdC076+uEq*N842;Q4%4;jjXHCfkwQ}j zN=&yRRHH9h2|s)EKt}{et~zkvCG zBWDvNF_aJ9d>NO*9trTcR`XT71I$`+VG%b~0?^HG=0F!lTXVOGL^mKS-5-qKhqGm0 zv+efKyQABXGK(HzM}L7846EMlFO)d>Xe>3$ky$b_+Uu_o-K zlPt+A!vUHbm({?{1iqu&^owWUL#!b`g6pVdnud`+{@kVED&HHH%K5>+k<9kvMD0Q&GmN_LmvECR?`0p+UyzO7sO28*W z9)zVU8K`CJ0Xn3 zYA&3Y!WpQ@b@#lxes&0XS*bKEJ9{R&{tDJ1lp?|2SJYOgiymeSBT25-7}+9ciS%TY z!I%fl>j8aPo>)f`m2HJ<*C5u!w#86}@jQxQ^Y*RsQ6Nj8%uM(R3&6fPR?~W|3f$W% z-nyC6?vKWFC64(8R~plRq-Yl?rDC_&HigpW{AG(xal;UG)#BC1PAg)IG`iQy=6yo; z5|t1;S)YRQ@!%@4x%hL*<3tS6px^5}G>!`*s_QN0%vqi5lJC+9PFH2meM zdjGe$-BQ^Y^;cmVq6}`%U1z4K7z-L2bO`q(2sfg#PF=`Q8kpd17-PFmA#x`g%a2f0)vqQ_cTsvx|S-?GZ8gq$j|PZh5@ z3p%V-K=eqXB~(k!h^$Y=7_yLXc{JMFC6k+9$yYn;XohSqU}Is$KEMAe;y_?y^!^ms zxP%6QV~$yBW~8O*wjFs{w=f_7;k4Z|i#3sfy$9N#4gPQB-D7lQ(W2=4*tTukwr$&H zC+VbP+qP}n>e#l?v6GwLd!MoIdH0Nc-Sbd(C7gh|+Sf(x$iBlz6+9@i2W5KM zNK36v+26EDu+NZ#p`TySY&ta}lV0z16*=Gb^`5I{;EKi%IoW;}y7Kx*wNTNBU) zvyW{RM^FQNo7TZ*vs>xhkJ8F@+6YH-co zZMVX=2Uv?(!S*=H#wNO3v=TS3P1vT2R~*tL&}S z2f*xBo~OClj^=u3(Fr$H-=PidM}J5Rh=u6xOk|#(3)82_Xi8qU{C=ID%w*vneMPs| zgb?h?qC@8S+Q*O&0p{OXBO82U<(cD*nM8@f0rAkn+EQ`WlG{=fjjoBvAupl$vb^-x z;h-SzlEF01SNOx0ZLv>-W+>4_JxAPAg74Ve1Z%iv4brSY71V<}FpaVG74d?<=bJVkLyx|>00Z&T&CUNX7S6!#?rKDiR}u*7UWs zA}|X+^e=qt9P8z>JFnhpdKn91?z8oL> z?A^y?$S>7_z8Z6IRXcs&P~LTx#R3G(`F*p4IXNgKtx%-huvHJPUUoHYU)$aGu0FwT zm)H(9_}rJvCigq_a$1dLwssWbU&BA5>Yj?fS_0bHe^3}#b8~cf<2hfuoOe@l!?$0t zq#mF+_i#=-WL$e{tTC^E_-sC0O;P~hkKy1Ds(v}}E-}_`7=@AL^iJuI9ZRDl*eIE{ z-Zk`Eoj{>ZB7EuE?od7z{($f69X?OFx*(~o0q*TtnoPr>kG8=l(w3B?G!9clwNsKd z*%XMeMTec?=Me~LXEZa$z%8jb=7T7d~mA~$FeqUK&1>t5NU6I`ym@Xs+ zIh+->Q8%_{jS9xkY?pd9%S0owIx8HWb|^VH)fVnw;ka`cvHc@!Pu`M^M;Z^%mw$0t zUaBx0WvNx=-@I_um4pbE{gWqO2zha&oG~FEA6I*#j*y(C)7n~Yva@aXOT?XtK%M}w zz0X!7z?`UmxJ`dtqVWvY=gXIu^k-?Y)7`39pm0VyRV2TwI+Yt&A<<1=u0xcQ0+b$a zoE6e*SyG(cGVH=qvZ>*kxtHUypbN zv2V)m!5hVdHF=I15IBOJrjeAIhJxEz3u8?`3>NeAZ6MFK8`a0`u*DI&j;UYKU=8Lj zvT-?Aos4uN0qXp4Yy=R7;v@uc=Co{1u9dCn8x$D#a3H2++||_=D-IX$^T#vwaQ(ER z1zi6uzk@62Y7=7cgOq0gJT&47D-2Z8`)d{DRztbGu#_@eBe^h4W&ya~(&(HBxG;jB zP3DhgYJPunj38+Gp=;2yx%Ve;anu{5z#XN4{3%0!g8fg#Nix9sCWhqSzML&&ePB69 zecx`xDMddDF)zt8KKwV`PC?~wmguwz7e}c|cW>UYwuMg1J|9=9+c8mj6}nr1q>}oY zY5wZT2jE`Vpw=O0)ubFeET?oa=!N*bK>XPZ(gWTRvyRCfg^EQGkwd0?537OQ#OMH# z#FJ*I7T+F%U!fl}6hJPJ0~>qzFgOUAJobqk^ehv>BSB19>{=V1=AV-mr5wT2vG`96 zM$Z8Z?lT+>+$OPbOVUjGQ}s~p@YOwmEjS^BN_+n7zs5$_42WBchc$5jv@D|sh2-B9 zB39c%a6BiOUJ7b}ejRM_!}ueE;I!dCL&&*Y{AvLaZWIkjv^x1!m|SOu%)T2?6d^!3 zw95m0*P@R3T6$d%G{On6)`>WH&HQJOtD&|VxWwQ36dLyjN!IgSUJ3d@JevamujR>p zN937S)YWj;5$)EUh(F$+yZwQgd4a|7x&mQBI~$bSWMMsCn}E%tCkAKNN)a8{8^|u0 z)FY(B91^u=T#p@HH6s**T$NIfFh=ue1HXaTzS@Qpe66iMrcT_J(_`xUE8LniYdBsA zNf(>1QAHW;gCAeMj@oh9xZVuyL>-c>h+7k6N%S@K?OSZrB5}c?7H=e%&I(Ms@P-qWP4pnJ;F^X^S9zery^;YgEIF5kSyrmU2|yi zjL`t>hLH4`ThZD-u)rONvu4PgemDIsq{HNiX+Xa(6;p)ZN8yA4rQ=jB>=rSMsDY)p zmeb|02@0$8DB_A&{eLw=O3{B zR3CfuZb8g5`>`(e2`B(#gstXsthIG55+fJW>2FQ^f#=9iRcYlyRYn}-grqqk2EwFK z=#Ht{^+si$qY}JbITFQJ`Xa`vFzu#S9j>Y!%;nzRr(M7o!RB>oD{Q_%I6d00Wk&*C z4$Q8FAi+xhR50~sS95ljG#$XD4loihHy&i?7esfNq0*!_@w3Pa5592>+E=0a?D;2F zd{Aaf+tM4aAv_yCk!mBbARNbb-gS=RX)qPx!vMUHWFEZ|8D_GJz;bR>17{tDK(s9- zv(4|h9(&e%?}MKMA#P9vL3TJIpAo+lQmoHJL)9cPo^3eD^0Whq+iP*{6g06=ne#5h z@-A#C#;PXo`A2uznE)kh{1P(^-vwyr9~r0I@Xc^HLh8$yJjQV0Vq|WD@LoD;e+~R%ob3~%o3M|N6wd+wnI>}x+XvukX0PtXL(_bYfZ?~Y1lP&aZG8-?L$ z{s>CO;Kbo!zMYuCe@d%zS-HI@mqGJ;G4K{Ya)wr);XG39QfU;=TD2l1x$q09&Y&h1 zhm-$|M%W@H7f-Oh?JtZYxwi4EpXj^=mAXJzujVCSIOc#aZ5J?{bq{u9poy){Ed1rl zzUjTJ?@p+BXQ82aQwwBg;m9+{v)EU3&}T{*pv6+>J?xhxzQ(>q8`M&}!<(X^M-yEa zg>UpGZIpcMIetc%4Pr~-mNWesn|AGO@_S@o6(5FDFT1@TrbVYOa)^P}lq8ClX0bn> zx}4fu6t>WPsEtnf`g=-{iCk{UO8v)yePfH4SO}v<7#%g?36JHXQ1`O(M`)g>8CIx; z%BAh$gts8_j=!{TZNQjgZ@rUS_(uiafE+W4)S5@IHz-k?jw(5}v`2kiE%W{`5v$y} zOR3eJ^G@xuDnXX&t822JfgvJ{w{1GLaS?rJ!L3gQV4k}pK8~7Qb*xrJ)7BVF>mjhW zj*@Cr2_IE@G7_Rt-ho6eE>kI1oBd40!#!+F1*0w$DY;UZN*wHY6X_~hR8NWy$M5}&y4#^bD!))M}FpP){Q+Mc}+ zsIbPuluR6B+HI7E8u@_ERkEivmNg_jlVc+rjc^=?1R{RT@N(rMijYS&RY}UZ_w=du zly}68NHHtHf)ni#0-MQsmBe)v|K0>M55bRl55aVNKXd~~j-J@QvXLu*HQnqGX1Qq& zz>)SqG?o$z!8_x|-j)kM+TL?&cxTpJQ1fN&OE};o;6hPSx2{l}P3)V1g%AaHor>ma z#x_c1G?X2llBl&4Ci*qSlh4o7lwTki0NY9yQU9YnVE(r}5VN##F?FIBvoUlr6)`oo zH!-FEf2e|Dp}wU-^f6_@|Eezd#3${|Fuab2|H9(1DSGlbQX$ zO9yLvY<9GdYW=<#q*~^^3}FHY1nx~fUz)ilG~HeZAkk!F^twz}6qb)CuPIXVdxtZt z8PQpIZ1Dl^?+W0z4a)5Kv9hH{ude-YvHCvb)}gamS+j8X)A38OD=jB$^Os@@UsD+~ zRoh1J1vjdZowE|<=@Pbi?bY60$7K!vveoCN=ij38T~KG2UU$zXO-suY_QU(j>Vz}v z%QVRk!-D`tF=G|EmR0kI+U)m2HjP|uT$^S>im0C8ztSP_peL5fvXa%xl|3y--=gVt z@WJ-}d$K<1wGoKhG!Pcfx!qd#B;P9XTqhSKx#Q)2K;VZ}^K0v%nvVAafJZH0`&S2wj2W_#j}+unB}w zRw|(`(qD}>OUF_*NU52ybI3`gja!_65b5A--`KXm~i#!@;)m>0K=O$Sj zdDTM?1|5aRc3rx5PM51$=4$cp&iHdbZO+F#c^- z3VH0LkO4oybAZr2ra&`*%W zvr&DtQq~EF0oLMq%Tn~j;5SWa9_QFMqB}jq7zD{Zi9#qbG3!Q#JTgrFAOhq~%ex#$ z5UQ&-{4{bTx-&630AH|A6Z#5b)g{fx(3PP7jRYb2rek-gkW64qDijZ)1gXsDzu=7_-0#BK3QfNx)noh#Yk^qh?KcR6O zGASWhZA^hnLb+te#>zqCkjM)%Dw&W}euSVot}^1Tp9`&FCk@HsOCo`GOcR~g)O^((cTy(%h%nHNdW+ka; zKe*J9XbSvvZUt0GhP<2T1-{uRQOa3CEXAtdq*S_Mp7dyyY$BMTN~v!|A_n8_rxvnJ z*a;K4X*Alk4J4Tvgykm5g9L!2Rb>@YQN^aRZ9$=<@jm^QxJ*^mZ)IhZz-WfVKSnXb zK-05H!O=ze#ja@llHg5o^vrWtXt1O|$s#TFfTxgpZi?IgLcP%ePk*>uwxRMle-x%lk zCQ_HMV`l^t$XjM@Qze1-Vav?zsafH8(Ccu^EW}G&u=ezp0nJAUa^&LNjTp2256Uuq z!=Oy76m8|DXz7zc!d&!8jh~I2n@g8LyjzW3(p)sC1&qB^H2SY<)M zx$gX>;_!ojRN9om2nCUKJP7UC(xbW%(TF>HCCV8rk=<2@_^H;U&aM{Pqq$bg=rn2}-wd z;qfLxGsvSF&1fcR5Uv-Cfumws`UV~C9A6`Yr8$D1xny_tdE;;LCA~9?6)@vGYqS(M z>T}BK1$y^ue5M2xwsOi?=r!eyqBk5W-`wFIly2l_=0CW@%DEDC3$c{fDy%WGw21g@ zf88{hL-%ALOJqNw;bsgB@y-OGAwk0m6>)+F<^k({KrK@leoohUbS`Xjdo+HTVnt_^8JY zJhS0OJ=w(;W{3Bm+`t#}6=_H5O%BT@#n3rB)HzN|>=9$^HB(6^f5XaNT{^al4d!>~ z>zDu7H6i7$;qCJAQ|`as=JAq6xeaBl9lW6!ShTQ@wV(^PC+Ycm)<0hztB!v4^lVq- zFZ?95uSvPKXP>yd{1E$IPx6Qa4#cOtgG^f$3em4P=`AwL3n1s)l9?x&;ggnEEpLB) zAnG0C$6;6c>c-ok^2!U=$(IP5D*AZ*zKDoXHpFX<4-+@|6#oNovbj#*h0~i?xP}3A z+gEuB)W~&kvALLik>yahVy&+{Sz6ZGd-~O9DO6ks|Bkupir2!bX_XPB7wKLW?^26k;as#RGXeb$~dj7tc9e(z6OdCj=#YI{km<4hIad>SYh0g9bh2rz& z-*1{WyH-#+H#)npx7!Ot8L%s5mYcWinzuJTW(c^cgH_{j_={-TMu@p14HQq)4-c<~ zU7A(kq`!Cgz0*Ugh&(ZP3b2XhuiBRK1nEoYPnl<)P!ZuHNA`s6^JoLPzTm`;-pzu& zdhahmaiWFj9}n7}TQ^{cR(a#1kwhN;@EQa8AXl(zYdMl%5Lh!qRA^&^9c#~GIkaZ9 z5RIw32xI->y^~)b|A>S6qvf^psDFcwW8?hmPlweXD>6p(gIq?-nu;^_hX#xrz*AW1 zD>K}@bKK&UCpG_*_Mc~I4^u7IVk2^e(Hpmv{HUDIPK*5=4TVsc?BRCS!PgmrTetyz zmJ$B)T!n81aH|^Y!K3A?S_}AsIyx*W=nua(L_Z+VVQpcK=#TP3GUtflySm8h^{X`^fkI`P9 zxS2BvMZG!H(z`|KMB)n4n2$64W>M|GR^q@k`q%pbVVr{*BsQ2us(A`31UK3@we;Rt zbuEMfaop2J=V~_v8&_T|6mM6MDsMC@`rEnHKY zi!|Yj(@RMIT6qtm@9qDcyndI6VM~WruBmYXooWoODt13Z3YKLI6XPt*TM`TKb*4V9 zZkR0rN3}+Iz1VW_8ZXtRLwa=_jeI24#2{LH%eA*hIdL+RrxyNqZyQv z7K>5_Lv^i*)SHco2Bl77;D1bfFi_@~rwexXvbo-|Ty6R<(;~(%BwLSifw4$4xvEk0 zZ1czC0C4$vs=5i<108KvLYUeC6^fr-G45g?#%>~ACag)olmFTQ)^G||hd7lN!GEkj z>2hfUIqlFj!e&#?(kuwsWDP8N6yD9R)zq^*W0)y4=DrBajZUB5UFYdgZcJnQj1`Z{ zr#s>tI`Zv#9+G2xRbe$l(Im8#+8}?km3ptck6$H-q$&xrL)5oVgV5eG4#^c}xjKYw zL~&Q~d(kNZIX_1+?USvPj<@A$xPMye)F)yTP*ML0pPcKmtIxSapQ22!EIxKsgBo4_(ErwhY<>g^jv{*0)nhtdn4 zY*MjsF<;oj%i1`}IaRZ~w+zkbmC(gKoeJ#!BkY93d0-gl{bPD-;|xDZiRE(}8Bo~Yh6M$?5ccF%YSDgqo8*ki`w?8;NP)nuD`J)FMF@jE%) zohE;)yvO%N@Hk7YNzN^%=Y2eH?)^$rR0F|0F_O@seFFF1`oM0%cMw>!;T&SP`d+yY zXrcBH$Pvk+xqVZ+-6xTA^&|WzSg~MGQ&s_3k+&Et2B57z-0-nfQG2TF9-)FAG@2!Z z3xIL-^?+1y6-+Ad(pLms=*74#9+xO|un~-vc$%x4_Byt0S$aj{#ncufU%)N>(u1s2 zLbgx}G*=Y64{b(Hw#%7>~a*?{xKZjm&gVSc}3;dh5|jU~_!ULQ#eg=vO8 z^?(prLk>C>=AMjKSgXmW7kEGvZ1S=0g61cCUdQxDBzE*~Rn*>) z3nf;@WFvE)7v4I$u7!Qn*-UBfrs?L1r@c(Ect3##WQ@I5@152h3 za7aL=eeAtIMJ>l^3y4eL0^88)djL1;*0$h?C^Th64tBZ@Pa@`HjIu{4zlWLR@c0v? zFBq{4*B;E)H%N6n|7`l&@Q^VNb|1q2vX%o0SWNtKMer5+V!nbT3&tx?S^UK?re>-@ zDs>Aw@|%ZLW!L~&%t)hy6-Z%6*De&uCM>Pcax}JqHPCD==2jy38N&!7Q@8h-I{fE!KSx0PrdBSrw z*4)?kaz9t=c1jwQ`KTCUzxVV%@>8nxg&lV1cXsaTj(k7Xv)Nj@W(p~a+@lkY1}LnGco)fRH$s~Dt@?O<#Vi&NBS%`Mr9EDJyq>{qvCxK+6a z%F3g~o-)t+TS9Wc^G~gz`x4q|5X_aE0qRwL_-)&cM(fMN8I;>&ifOT6^|m(n?o(|e zMdq@yA(r~gvxnCOihj%n(dL~12&Xv)V_}r{$^(VxOZThpyBb|Hy~3*QphecrFG(Nc z*|%IwClkB{i}PsJB^Eht5?tzoj{_&HIjqWk9z~_rD6@V~V1aTvXWbcG&p2u#;jq(Q zFgAg5`rL9$mjj6GP^Po_4r6oBnTm+Y>xS``MptkiuP@7dw(SwsrNFtJAktBoJN8+q z>&F&_Nc#PpCOh?ZPD<0dBWJrpwx#3HZ^ag!qJ;w+tZ>{|Syc4D5Z4x0*(|6x7|8O&4A8_A1C+U|1c`r{F<&hku6jM&3BvbzvI zMa`9*KABR9G zBPOw#KditnJ)yjn`;4x9%48+hZt#=hGL!C!4(t*Xo^)e|S9<0FEgK~erW1n!Tb@f^ zbx&`9>28v0o*MgyE z#worBh){hS8Fho4rwwsWTEto^>_%>4?GwV+%HvV)&Fqcu*uBmId~lngXMK8l1<%7y z$zxiG-VcsLOuA5Ynd}hmzE2x+i1$mw53K&Ib%CGF>_VOA+E)jbnJLT;dIDV5t^g;F zy|X8cN1^Tq`LKkY27%}AjG@?7_KGrD0Qr!kU8sRnGg z)cn4`%1=B;KG;^lQ6Ku^baxn!-*Hq%Lj!zrrM@?=;HPv|_~CEK&mu&_0%O@M1Ut7= zkqOpjpN>Zj0HvmKJz7V^*UnGyljj9iY6`Sc2M~HhC9(ei_$WsSR9#eM5kfUVSTea6 z%^riIBk^&iWE^#V4xQ@9JRBc2z_Et$rWR0FO2PgLndLB`lmb~Gx7x~t%cG&g?GV-nXa6BUuzN($ zW*L<&0HvLH*7U8+1Bvs?Pj}ADmYo692>yh}p^6CcRF)i)EUOtw*FNTXuxl@MG5I)XO2b4_#lf^^t&!KW%n4pPGX~JcXl1|r_R&|*D_Gox zP2B-y47rHmHz@lP_F(%^1=h{v5M?O*t5wu2^g%Yt`E)?-`CU~_k{%V&!IV)J1=&(i z?d}SocEbw7p%in`U{lqg=lxr|WcXtD1f#Dxm$gl}mK1D!?ap%0j6zFTt{w`U^@BIC zcebPHu%Ht*cQ?FhjB}N_`ytRbC%SCts5g$p54R$n)9Tjp?tRBC&22%RQ6B+k;5_ClQnbeCWcNU<(*50wR^Wj=49Cs*V=A;hLt0Fa@b-^oakD>jo~TwGiN*7K@{Lb(FAm~K?p7QMcDOJ$9X#Yrdl}YP zec>Nr$|J#!u0sUDT1)~HDT}<33Kc3zw!LN}sxffCO8*!cx*3|qu*d>3$}lN_lGOG? z0cpg69`J|Xj)(%zeTib0``hG6NyMN@hsGpV5`HPGeCeR<+6$`ov6LX~UAMpMF%?Dx zfDT3oqs4wvmj9xIO6?rSU5$v1Tp(E6e_X?^9Zbjr$f5_NTo>W;lZ7B$*m+fEHWb6K zKye84Cg~(d@$aML%JP3PKk_JtPo8>JVo5bURm4t}#;3*6hGYG$TKWAyvLbl1bBnM9 zX0WzMe5vS72)q$x%zOXdc~UkeFkfWX!UhhKAODCAV@aa@uog>63&uREpP)InsiEYF z6nTKJ94OCbmlvOu@0vZ{_CWh7(CO!Qi}d^!-ICasQL3TD%J;2_gg2U<`Qs+1;w~|l zFZ~&`NgCMYAtKt?$Fzu+4S^nDS4hPU{cwhgG*8pW zm(QmjoQy4h?PoT{G@OuK8@X~8o1>YGc3C~{l!Sb7SHFYo_`n7!t24{m8P??cSSO_X zJ#D6RE3u*M%RTss$(I)g``63vhDX|E!1=T_l3xGq@gk(AvGh_dC5ldhT;K5Fux}M| zxuk$XHe}X}YaT;`R<6z+7q)K7ID=Kd0fbUbg3C!;qKhWiOch>Zs$rm}a%BE#LS3;Q zhBF2Gy23b#ae3&?<-PCu!DOjm%;KJLQYNObUMpGtGING;em13`rXaJjKiC~A)IR1y zp}8g61$<2r${&}f=j9s~{3|?)VV#tkd~?RF3XAy>Bm|9&|k@t<*L)xD7IbfXW8dnoT3L)cqFsM zmM7=OSm%j0tMPMv`^dX9Mc1i}Wj7g)6zrQt^QVSY5ck*n9vexl4kr!nZk|8A8&O57 zHekR()J5Gyx_He<&ZsCRxPuAK66u|AGOCMk*Lmc2aRMn&RT}pJ7wtK&qsejg4)=HW zvs!v1s=oGQfza!>cXqMT57d{ej0}$mntUCnf$VOaHd^j`5U8|(rBulOJm36qEk<%f zy3}|HXbQ}gIF0qH=F(&hKkClrUU~XaJ>8p56>E9-K~3OnqRiP32_68(Cp&vZ38%X* zK9q+B(3ZYlhK{mc&_0_oiU%e*$=pXrNn1a`bSXQivnYmiXWKT7}rumA15=94_{&(Ry^!H|WL3V4#8nxeiHIT5$v2 ze#eu)GI2v(W?*u?#&b*D6wc7KIdMZQSL3()y=5qtSSB_W$w?TOvS=fmtVv1qTy?L) z9dxq6_@X$T-X@20uv2Qd?)abJov@9FR8kABg6~t*4*W#aS#ddD2*J7B$tzU(KKW~M z`Fvu0AMr#-!4U{^8N{J>mlhTMG6S*OiZ9cIIAua=UApaMpQ^-gg;~9&PqYoT*&cX- zdSfWM;ron;_A&_O?$ohaJV&6xP}-EFRNx$s!-%kCGyt-vT7oT|AX8Gs6l5CeW23FqSnMdu`+lNg|)(aw3^v^(XKiSkcdd!oGw(73fpHX%65sO`SEa5+~@QZBhX$Z%4G>aWm%fQyuwjQ%sY=O zqz-l|vF!5mL~2jhjRX*$mvhiq>!BdQp~%8gc1;PtkP#)Fwy=@xtwkCH;ZfcDq(TrLZN+l=>RT`;0UjOyWwGy0|<1X3uwdX&trEueWA@| za@_`%h-#AM?-TBPHeuhhSe5@_#;$lvalzY3?IMU-xNRsnGAB|4@pv7uPyzS6gHbQe zwvt{qw#h+JXZkh6SZt}rzaD{}W#~24f%shggAT6 zx^BuRO}c7$cXT?pb@LG?MlTBx^l4c13C$Ui3y*vw!)a1jUROEInscGvG0FzD<0RLD z>Q?qPQ8r|pJFpU&j_*(6%q|IPal4$;HH)Mv?IzNyq`*it*+M3&he$eIXe>#jN*WC! zt{ekR2>q~Rk+5t9a-ObVH*TNtfb?7=Eam5*2d&7JxqI{dOE zbr-FUE(eaUvJzH=2!})zq$gjHbL7>*cLJK7ew+!YU!bM%qLN#e51CjJDUzX+Xf$tE zI+3E6Xtd@McPT_{M@nnKVT0Rq$yUS$-UMi%05X2JBTNT=4Jzd^zF9Wuz3K?Sw zC8f)&fUT^8eFi5`;YF~6rutrLLDVi3raRIt#ZxG$+b$I8CK`{K$r zo2djDt(w%hCIQ5IMYE46M?Yq~LUIkJp2h6Yil5v*pUkEob zMsAh!%&A&x>0pa$zXikzrrG6%8riQt-&(n+jBxV`AOrVSq`xy2>cOe+Xb1A*;ezb6 z2&_gd>XTY$RDMaX@n*;(Iz#`%dK_sx^f_~M#7%gGaug8@vpG1Z4kA?^bsJ2nX80CR zbz_3D(mSUI|AW_qSI)t<+dGQ?lS8U?BIK>WZyNml-8n^3u0IR(UC)AI7AndTh@&WI z_@H+f5_XPRkhGmiD8NNF2258nFAi5S&o4W3#z5;~9+}~B@J(^!kj>KgEU-CPtAWxg z%0zl`Ci{aqC2K8~tQT?|h%wSiuY`>V7qltQtErBcraTHM8Jt?Q40aOZB~gCGD9N@~ ziUS?bv^uY!s>k=m{rT$0+#fi6e0copPEb7k4|IE^QT86dJ#4YkN}8p?@x8@Ak@<#TCbsQu{J5+KgQF?ZWgxEKcKwmt1a;C51<&IRjrsD1Qaxqul_y{5984K3xbS)%Pao(@}u&~#xF|{1D4%b_V12NRH=(_}suNBk{8TihAJtK*)p-7LH;EL}sRb$fwK8Q?gY zluR%Ml#VvY;Ua%_n=(iNFkES=b`3HJkqirje;GPRMnOIvg`y_F3~>=SgW(Ycm;R4W zF5X|8^}D6P?f&Ef0?;*&FC6`UpF%t5pWvuGpYK6NpRT4!SnsMxPMa7YL7k~J1lK`< zUnO0WuKU4$4DVFhp3vBPgo;!F0Dv1{l800RN`(?oAGw8$NXM-A0tNQ;Ks zdw;%H5vBLdaG_sX{pi+G6=CK`ACD(;OCq|8Nk?@=Qtc6@RTzwCkd8^WKXsLez-X6* zw3pZ47e2bZC#2T%BpjZm7kFVS#&=$Aw9nmC7MyP|MQUslRyamu2a{pv1E`|&&{VZY z&cU)P)^6t5;3*E}S(k~>$h4h8t>amfiCAG@d-nM%E=%}bY|R6Jk{mc$b?8O&wrdBL zksLpL#h4Q|-ASu&A-eb5?%CFvQor+;H2de%;;e?T<%3U=z0YUY&v8aQ%hs^%nI1>Q zS)PWbh_Q{`TPNxXwfPp?qkG%R-12<;ff!$*ZMRfo$hPr_Xl;XcG<8F?+a!0DN7PTW zW0}T1NWjTzpq)Lc&Vfrkne848Q>Z?+D-i6mxmkZO#0z!Y$0d~w*!$0|m`#KsGG+FK zg-z^)JZi?pi8Zakjm#^!QCiRo!mHu~REG++>A=a>q}ZdM62VpVguYEx;`Lb#d>~Ex zYP1QDh@=b_BS^`dUd4f^lhLFX1vcwfTXeYf*wwZn7#Z`Mz;y{;6$nc_p|$Itr2rK) zm^;>~H;eb#?}YlY_)0cBn?}<_@E74F+80`IupRlQZZ0AYwAte>6-}Ob>Dm>wsB2RA zGpSIh&?eOP74RPVbxpR&)R=+6{;-ZLF0AE#}7c5fsgqd%mt52LIwly+71<_WnG8$LXuqnd2 z(8T9e4>Roa$;%i|#%?alllMwka421L_@$9Nz*ya(|!e#PXhcAFpXW@7Ux7-@8WYcCfts zA;cEAy-N(SZ&w(0Lor82AFFj&>Db`8ohja;62U-E^77)!DxBu@l~*`T*V{9_7t{w zBB!*6Q;=0OGO#BzzX30?=BQyTQp`kPXTD#Iu2)q7SzMArblie`(ZnGv9v1+r8nLip zTv`KDZct?2R4R+mhcd@ev5njR`D#=u9CS8pR%+H+#hdcz@#92gy9O@KaLUdsdNuUU7Z$&6D^Ah6ISeKJ$Hd z`sutOZ~7MrwcO-(iBernWgS>b^hU8MMy!o9pW4+!Al)aE#$_N*^jX2yL&n+(HVBB^ zC{aiD%#+;t?vGKn-$q*SO>R$S)tfijgT%f~+k}@h&vY{z@X+KbwlAn|b#+8(9XnDC5%`93V9YRRKO#(#SeW78c|X!*q3#l8Y(xY- zBsr;u^N^Y}6gBj0;UW|$1(`ibtm>0uXO}kYfJama%BxtlciZV!<|M!9djZ7GQ_B8F zea!Z6ef)oy&z#KvNj~$$jKTIYK!9jFLh@-ytc|iuec28Q;pySgR)WPKHatAiF>hbb zvw=7OJ^_Lo0q*-oX2Hc468ec;NB}&RdPXVy0CX!k+_-2RyeTi#V$CmlUw(pS0N5j8 z#`zx~`rppk{{g4}X@~!}T--maY5(8A>A$}`C3+=OXM0yCV^e1y9(rMW8+#{Z2Sa1i zzX!?;f9q@ve}(sVu}+!sA4mUqgnWGTqCZ^3m0iA-`S(ci?~#m5oc~1L;{O`>kE8E_ zV&4k|E&qf@{fD{M@jBKTWPlcY^DG6jtc*> z(ws~TZ2z4~OWR|MBX!@Y-^YC~f7piaWiS|9jy;Z`<87bR-Ww@9p9JJZWjkWA3eKPE70kaYaZVoj?BD@=u#>+zoYveZq0Ay zblzvmf1~>$f1_~sf1~@?f1_}F6K@|iIn7otn{M7s-U<__JzcuLTD$bG?cJZw4ksFx zkF6eaH2=_yf)_-Rm1kZ>(4QG{zooR7K(;UUp<(;a-M92s+!2DB-Ov|~V_8S7ZTwC? zM%S|CGxovv?w|K8;<@`wt@m?bNXdQmYKOY{Bv~tTT1tDX4)mpX`Fu; zU!QkT@6UITvq|;AvoXDfMZsKKjE>bKy#B53FA0d&9JHmO7C-DWXse|F|Es!>G2kni z{K&0pEKD8f%Ho(l+!o2GZo@a1>PB4)(O7%~TCAD>?B@r%uCXI&vMJHizeFncQw!b& zkajoP)MWQ0c@>~7dh3Q6FP~btS96yp)t9h6jjhq^It432kSB zpdBqOBKy)6*Y~A_32>hUXgSV|;R4EPW=a$CQf~e-2?FG33tSdj@e7muV^KrVKo<|3 zXXp=htw}8)zC;s=#Yzt9K*Xh6eD(-{{{oQ8QV0OWyTsxJp1FJ{3_NEqr0dy5{9 z97sNZf?w)$hwz}!Lat6$Kb!WjL4!D8i9b(kAC6=~BQCKQpfWrvZp4a{xCLEeTOsw4 zsOm<%rq9DGyaS93F< zbBwreP{;iBsQHN*z@1%b-n|QJYdo|Ek%h#V;ih@w!hYbcb2E7=3yslx zBd57+w@m$))fS_D&YsFs5>Wo~AUL@7Y|1!xTvDz58cSfwK)O4b#qQohxC&cetKz5jdPh)A zSA&kS2~1fsG-|4bl?ZV#r&AnzmqPc(IE08ZQ*n%A`UtUG+V4JjW{?L)%g!<~ud}Y- zk>5~yWX0>{FO<$8XdV5p?7prYhj4_9n>Xg)?7p?3?SEzWb%AFyBY$=o_`4VBL5VVL zLp9lk!OqeIP#hPpmSr3KT1$D-%EE^ zkfGqr10lr%TEb_MRF@>h8omqoTOMD9I|jm;G?0uoD1252(6qZHFKxSG5LG|QWi7L4 zE28kO;%gZWapq73Z)*<$UE17BtRve2lh=N;DDLM@Fxz=%I%qZW^Yfc;wRV z9*{$drvwD<%gKCK_dj`d56U1%VjxE{V;z)aIV>fbR*%p%JxWcF3)%|T_ zQn)ffdl+kit`K=!8d&`?^0otIDyd9VA!7>TRjpVL0+qFeLSk%JAHj*mp_grQu@8|8 zc&q03WR;gcFDMvJUE>OAC2kRk&-(vh(k!+)%m|d2bBNvAWW!{z02A5_wVw z4j|IF2EM>o`3*_SxWa#1iDO=^OuT6xZ+EyHXo?eTl6RUL&FuH07 zKeP`=w6-gZwmaBVDz?jmKQ12J>Q#PeQE6X1olQ zI`yS(%{-9DP z%8?uUMC)b;B7AU|X8++Yv$rnB32gMXLZUgf?X*BSA!e1M*#uO}9%tr0FUd45qTJ}O zL|v4&^-AKlOua%_6@8eh#R8Y6ZYk_*(R}EPbL3|K`C*TbDavyH)k z!mEr0ySMEvwZdel_h8Lgvuxu->xQmsw8X}fejuv5ESv^u8n=6fb;;^FHM#Ud`i7h8 zZIN!kH*PV%M91W-wsVWy;)-P*PwFyO=RnR zsbBjkWK_G?S8N@SgV(77_kmjv!4$GX1uzu4&DsJWOPS4$sV7gy7WVV790|k4LHLo)eAlq?}&lNe1H-eN4D}YnzNV=s6?xJHdIop)=AUo%y+;cgJiE1tC z-LgvK2|-@Im3_3Xv?oqMl=AN~uYscX&rgZWWoA?Us{>BQmuYPB)U(!8(xa>OclO${ z+$x;x*e#Q5mc8=I=Ex1m?yKsQW;G$kOnkQHF4s(>_+W$d6<%LA!2YI#72X20xK7j8 zGxsVY;~+wbTK_K9BvBlktnnvL5u9SBoDP!N7?uS&LakjAQ4S;BQ z*9v&|-ajZg>hTKwKx4h?UDHR%{T{N%ye5_mmjm9f7)}V@lFjQG7ZWgx6s@-mZWZ44 zqno>MnYbU=alI!_DVO8H=!Uz9@Kbh|c&fz8S^WmTRK}UCOiPqxMR+^ds|aQkTkKJU zwiHOn1Mcz_B5Xy{cSi_KV4_+M+k6Hwp!BCShb-<;tc>9P6yWpbqP=q_UCG98AYX+@ zJgi>{09f7@QHi*|4f86>f|^I?x}bsgdA@=&M*?3N#=T!_2al(aYDJLm z1V+LDwM}HDL=<#oj;mS^kC(5R&r$(W{vw@Ut?Q~Oty%`{4S*SiQ!cc+ftV-RzIg-U zDpct}1Ev?{TQ3afV?U6h-*bk|U^PkSEY)0@0o_H!wpjDXQY`~*s!l_Ze13W9{XG&M zwSUU*qRUysIv|g=L`{01Uf2ORAi7u)>+%eGP{0_==jQ_A$cF$rPtP@L3Qv=?g#ta{ z{v8*ClX38PJ$53b!R4pnjm4h}3qDdyWpiwSGqvtmJT*MmRlPf0RFrXd{cnjwiOA+t!_)c3ks9pv*XG1|)?3jEGs|9ksdL((R$1 zqPbqJ0cv>ezd#K7;kA~wHAEkn>Hs2hW|F0P_GuxehTLoqz$@GT7V9+p{h1lbuNxcu zfc^RGTXCr#8W%nR>^w9(L$(nLuRex}TAg(R4n~kC!R0s3Fq@BXc;&txWd(~gTh--6 zn=TaV@}V{F>hV1PSNXf+b(6EsK{EW`+C4_wG9xQHL64`}FMXWOCq%GS_@jmS&(anjyj9kAwP?lpKa@))m@^9112r6!bH40 zz-GHr96+=^WNly;h_Gnt)wRQ~y@TcSt9S=!^mNlep2zpAWAY*!wx3)N-7E+n=t>0S z-#7>paAi>K=d+WJuHHx%wt4Jz;2NI1yFkisav!n|^u>`CBvM$X)39P!CUy$hn%QYu zW!81-Yt#(l?Du&A`GW5Sjt0far0Yg$UpEXLiJ zS=}zZ6r>|6smrjOqoGTtrH{82sx%V|XK8)t3Qw9twC-LlPSD%i^y6DK0i`R$&*_|b z{uFglwbN)~cunIv_C43N7eieR>!=f=9_@uuO@MippvE-ot4-4V&cG*!L>V`A>Cs|8 zFGo+&tC~6r#z8CD(CIY$uFnB7n$d5R?I7l^)e9>JG$%M!>-ofvjVi@HlcR~= zZ)-_Rqb`XVJM0w+KL}5)MGVR3_0-#$TxYn)D(JRQTEBxy-rJkQ%oMa<4CyZCz4zn2 z0JN)09ddfy2B3Egz7wc7Wf@wr-I#$q@XnV?Qlq!BLI{2*ZMaTM7uQg&iNo#{7&P8> zR$-Bs#a`F7asqJ$oy?)t74U0-5L}Eeoh4LOXhFE+=^qFxjkeGz=m!{lL9<-#D-wge z_j%f}B5A!fhkxcR$~UgH)2gbSAmD%<`&o_LN;5=KIXBb-2}KMh(|C-g z=}gjmdq)KwZS#=*UvPSNW|_L?kM@-n5)rJp+aq!6wn2K;Rv8*Sf{67=#Gh)M6|6;+ zH{lVkLbjDr&9767qOUY`!APh}Ir5!ykQv{SPBJ zT!i;jkf$kV_CL02B^)@QR36?YpbSy0#)X=$_YR^S)?XReqrNw*L)4Faz91uv*GQQ~ z0#gDx>05~YzCGhu+|M%J;OhXVwnve?5tLjIQ4zct7vEV0Atq13GO{H!w~C0V7dc-z z;WU#RUisjMO%GOiL15RodzNwyfBrC3yw}W74;TrF9l3F4=k~9r1 z!LtNxFF`d@d?{%DoCyeyMp1tDl@1Hc*@ZlmA^u)2t{5Q#u3u_&Dfykf_LW-Jw)P0q ztZS5*7Ccze-My4HHN4vjDu7?EfBJdheY@`Td4V7;SUtTQ#sCK3cQ8|e%aevO&oQHz z1ArT9z(2OLXoCN+1oOJw}4JVdvc6gDWZ$^yTvo?oYWH&34&6uX; z1s>-6as}?VFL8e{Z`$cJ6F*WbNv64y2Z`sRkTFsAF-j;Wn$6WBQpo(rawm)e+k>c$^z^H`&+jV#U7EN{8R;b zo*q?qs4BX&ym9tRjE7^m{)+fe^0}x_!nMA^mO)avE^8_&?@)-?3ta*_r_bVZ;|;g0 zeN6nbzEfWT^Ey>65gr^LZIeedGQ;IHT6_vmn~BDbf&sbT>mV_I)TzA4<@H9e2!63Y zvMn(YL9?I>?4~Zyw>c!#qHKQK9|vei_naD*Ij&-T``F+U-{$(~O(8T$bdJsQF&?t* zg3<(RDis0(W^Y!K?AV5iIQM0zz)u=?34Uf*41{af`lt<5Jj~14QHC!#kzNbYm_+%o ztzi*+L>}cARkr55uI5+vp}msy$e#c_*wYuR*5I)2;&8dRtx-7hy^u5P*A}xOamKjZ z#FLZ#-F1zbqhjq+G3;>M+rcAAKkTQ&j8^tux2THJLWw=jjc)*|LUD9hdg?c~L_mphK8es1(G50%3Xd z&AYMtykY=CFSarU@mK&na z)e~|X^r?WLcWdc-N&2{oY=SJs6vUx~@k&Rcl;YAI-Cl1HI!3e!WNhjFf{4+=`Rz9k zPkelNzB@1oyL!9hIx!V2h$}DdYL=+R5L1+ zWHd%nN)i)JIS+G5LgUJ@n`B7Dodp%;0LU^BM8nw;qvFZqc?_U^RzE@>j)e;ko*-N3 z0_TJ*1WZ-Jps~C4TV&^}G#s-3pvnG*L5nfRc9bzhCMn{rDy+h_#X~}Z>Yg7^4+J^c z3IR<;co4l>KD+x2fk;JQNwvi)5traXGp_hx*TR`N7jBtMw272-Kgac@4J2S#ZSfl)y&~ z99XBZ0SaM#q|r`)tGJ%1NewTMAy2|lD0#h?Kb6f2(T#RwW?1TB5NUQF=0^K5O@n$Z zdDZ2sTDW{xqq%%r={+$Lsh%r;op5D>DhrK}@R&tN(o|A-NnaMH7AM zfWXPqLwv=&z`}9XN9@IRO&-RLMA5N(^_gL=2H`riXMncu9FKTFu}6G|Yx($|qEspvJ!y>_IMKt9=qbu<3z}z#W#aM9(lTwsz?NHgD4COV`SB zNTWMPi7|n23^R4LKcj+KIajZtZqvE5KQIv|3U_Lds{qQ#>U?5AmYD^|bsX8L!mPF* zS_+E(DC+y*pc2ttg*^3+d6F=PJhIW$_(4sG`6hmM_fXM1zfdgL%4G6~$V&BcfOQ6C zYCHCy?^WtFyw0lV`l1x~0+^JvsQQ4ZVDb?e0`h2TN+E>+Ak}T%-k(} zfK<(Mss+x1J}OaA?{KZtRYEMg`9*bjMSh;dQ&fWAPYfYx#}F?hCRNJ1RNQU?u6j$z zHy{ITQ`iTNd=RDW_E#YtJ~<-QFE6uQb~3t#Ym&CSU}XoIxN}p!i!=EBC}&HHU1Pxl z{y{1(CKq+PD|Odl)7)%0kc}>12U}K}=+z@H$drgLUfVwG7)S&$jt!NbC5=nE->BvO zle(%>T2w?czyX{z(E*&CD-HIGSFk8_e@Q9d!DZgCAXd61xfz%L3r8*!gViyZtB<>U z0mPFN2a(|70T!UVv3Q^n)^-xbi}!tz573p(Kd#1f&Q17;#Lo!QTt`j$_eL*ze7@Y? z@hZv0cnc3#uIK%G=yNF6wS>86zXF^fbYaG|f*22@EhmSZcr2!uVdZ#{RS2i}A)m2) zE1EDz2ZFxjDLju1YEEzyGkU`a`~Zv|ZG5ZF#DuDU`|uUBsrWvpz7%lGFz%sz(#K$_|dp#VA(kd7!mCX+~5r4(3 z=%Wzt!9koMfZ%n4Nfw~kkV`YmR+R;bF;xUX4xuP$iBFwuXBp_{1HnU28S*c8dre3W zf$6w!A&llODb%0d_O%JuZ|j<&&CK?CNp@%Wk+dV#J4t0{0&24LCAF0+)41&XFw;JU z4>Yvp34i}Av?<1y$-z~(f#Yv>x(4Ekbo@B`$`Fv((MT$JPpXR##>h5)YI__%u40*6 z&4!hd>(Wav3oxQV)BvU# z8ODqT3ZM(ZQgV$hJAyoOR~T0ea-jt{eauJ~3bR9)q_@(8{BHF@KFl(VsT85;-uOW9 zV5CTgvJpY#NYkYp4D)V!Yu5-DK58S>?4B5o#Ua`=!A#!lBbI6H_qvwc3`{E`6tkM-fXa}SIg;(vyPD*vvsIEjA# z?cgh-d5RG>waSO^*u4OcT;k1xw8KI;3Czg4oI*Xz&|}6UyR!Y06?8>OvT$1#t?@+> z4e)^76L<-4ms<+8H%r*#Fb$P&-V2os@k!FTm^Ld#Oq zJ9((Et{h4V*Qx$P)YuED6*Q#F6+_qf%P^oSY-QiI+HtBC$_sh$zMhDBv?U9sYN=EV z>?eTS@sdEZtj@2RhraG?BL11e7|U}UTQMQ*?w+-NEvKEQ=ax9p%yUB<2os0jAjw$* zUrW%l-FT`J`QChlrq6J1^4GsOjBj{4*PD4U(kuZOXpBuXup6cKh%f(MTAqI_Z7k>v zDo+dGO3_R4Y_}uBakk$n+UM-nZ2J+NXgTBlpZZiw(4;V(fO5K$B>$!z8LH>LrU%lh zLth+J!ERjV2xYD=MX%-Pb`I!5SflgxsI0errv+5Aid|!X?lgcdOFYP5!0!cU{%<;w zQ2^e3N=U4Cukn_lGf3GLoxB|4q}Ls6FFG>heYUU|GuL_PAX&9!LPu#Cj;f>d(J_wQ z>hS$nwYbQf60J01Z(Q^q*1`3wpQpdfI5_BTiSFJaqB`O%Ra7CSeyw+qw-sT}x#{%2 zT(_;MG_U$O4#q=5PgXa{D~Q3GSth!aN4cLw_VhhQF2l(5R6=MYxg8A7!V4pawoN3%_fO*i)2|rrbAzmbk8j2p&<}- zgW%a`n+!p34M7JKGw6z&^AoX0!1&QX^mFf%3a}*j2VOH}NYPc1Q4c`Q4WCLVnop>E z*|zCkVhkA*znBlVLC6^xp*lkrJ99GxsART7rmU2#u6T|g~UPn<-+e20{>To0dI{+t&^SOl(z zWY_)ZrfXyb^!M4%*6s~7&B;RdHm0N=k~WMMZ9Qv-XSjO;EkOJfcT1XkAZzy#C;F}Z zQ_NVMhL*j-3VNyjN#-YWTm*{Ekem#gO!Tn4R3O&+yp^`B_MJwGVHSh_22^9e7lh{_ z6cgZcP@g~cQI6`_q{-(^a#`GB(Wyh+L}oIrSRh;Q+U(CW^;ZhK46e4f*jvz^LZ&J= z3JT|#j$^n716cEw_IX)y4aPl=)B(FJM8MasY|y9R;+tn?dO^OeSgC^N2jU$gG>P#R ziGi*{F?r|rh62@WT4fSlBfM9kNpU$*;N+)GlA?=Ca1W_>KT@a2!bzrg=T|ri{<@7F&2T^~Q|NMZ+V>wI#FPxsfatXA&|Ib}?tm53D*luA+{& zLOcsKL1~uUQ`^rU9pQ*A;`fhiNR*tEVq%j`tP1iA)v$70F zN3V|++2KWuOZz@adjQ_1G$;Qpto0Xv^iNpp|CuvmX8TW^8RJAPLJuDFk6vX(KO8a8 zHJel0FUyo(jeCxt9Si*%StD#qTTQY%K-fg!wB89|WVE~-F$z9{Vl{BvGCqI+rB7Hd z|LztUX#aJK|H_a4lim7XB2I>X_DKI<$&dbji6{FDT>Bdu`-h10|DGTHzVr`A=YQtO zSpQ>W^zViIKR*%w6&a;xqW#}_vftL2qX=Gqs*jsvc#T$`0MS5+Tsy&b2B2(X0sL@V zkPS_B#i9g_FTAr~%i4m2EvUi3QC&j}rI+s=R8%}2AKwpj?d==??vUNZPa6Fd87&Kc z+560QW8wLZj8@Qi{2QLE;qraHI{nvQ=FNUL*Vb?ICdfBW*45prZe?|OwJ@GsTs^gL zpdG)H8Ve_kE|qWB_N%KT_~8KeGz#@t3ryVtTzpehMq^v%#Pn37 z8ke5uKX@`6l>upVBY|MHO!uF5ikY^nAfh_8nAz5(nGhhus~M)g)QNriObBa8=2}aE z$hD~bX7m%#;Z9Dl=K)-w%JJo+)A!B+MFS0HX`1s3yb5=M9Jrtt9g(lH?Gb^vB$~CX zqbB)4L86$yyLS?#&?zNp{x)yYnM$Sy`aQIdHgx+$$i-p^vbGZ&q*8MT#?F36Mtww# zAtCgz)4$D|slMc}b99OLRg0a4ih(kucG@XJg_G(T~AwLh4q4Q99%Pn8~|T;07<&wV(5z zq4@L4vJaqrp5^cw@^>T#XQfO4iIW~L0c4bOO9)3}0YKen?Wtfs zC*T7J52n#uogKYuBky5?BpYlFGR6sD?h_%#Dcb{7RM}nPgT+Ts zOLqSZe6Mpar5-alUyOq_$st5vjOj7Xj)*uBJ=zS74$lL)JD#-nf?sM}(c_123E4Vf zBwtSq-Hh>AP3XmrE5p##4YzDc-h7*2w~31&^^9b1k;U(Td#2x0b+km^IGHS&kLsM< zU@wV$B zPA2*{PUbYKn`8PvaWZV+bRRMo!q9)=WJErsgbkU{|AmvKkoFUD%YGb@pLUx_RS6p8 zGn};kCh(i*PEzMaCLU3ZiW%@z>>Cjw_}_4{J_hA26w(Yo^vOJDh_~N7(#j@wGh#dN zH$?r^;R~iQ*E2vkHk_0B2XI-taT>$lI2qYrIGKZL&xkuf%svUF(xljb;baXPf8%8Q zIJDQYhe_$}Me+6Y|H8?biL(BIlNIpw;P;7v`()PeaD!Wf`;I)=D?%I8M*`ZT0jn9F ztnDT7F7X;#|DY#xlO#+9padrZK-C@b`5xQarnLi5F&G-KH@0Ah1dT>4(9R6%t0%!? z=1tYI;M{6#ARofj_i(OpeWjkmL6Kh;Gapam?L+(=Kugb*k z$_vdu=2uk9$f*Klhx{M}Nf@&sx=|VjQojhbtqegc*wE*PS*P|9+9i*^y_D?QXi3gvR&npS`t93#19 zs1uB!eO=)h2vP&(gFIQri*kvjghhx^svsN7q_R@pMc`a>rB{n=TN;vnr|i%!Ke~t( zS*Df2E{^#^ApJ>Fdzap5rXP|MRMa+1DWZ9As6cP%K4jE>S22iSN$u?5y+<#3LW#MlVI5k_K7j=7U1s|)Ixv(;wMqL77&*@K^OMFy0}Cq zvKD}LB2@U^<8U{&GI|haAH>O&lG!SQN9IHrn)4ln$_^Kigjy`DvRSJ9G4@VJd%%q4 zDII-xmp}a2r=vY^OU$L0xj-eq=`JX)66UgA<2J^VU&AV9)a)pmO9~tA`Y)WU|6e#6 zHU5Pm&Jc~bpvY2R?lh>4mJvUO@DZ=>rf>klZn$rskFhCbw5T5awYdp!J$)&*GWLOq z$Tc;V1+zCQ(PW1=0j=G_Qn6Q72F*WsGE}IN&XzRUtbl)1Mo;V2Hl&Npnw~BB|K`c| z?Cj_~83-@uSz*j}N1doFk}jpv=SDzIkI~W4ko@myGtT?~iPR zXH|)D0>oXsBbvMgF8GWeTXw=yYxj2%r?2-%N!kn1i~z$NC0)O#sS>Y2{^}Dl}rg`$0ae!1jmk`!-~J}blD>(u zp>OSGt%wHpEkmaj4r8NRF#fvbr@w#eR>sG3*b<|KIwTPn!J@O9FpEp&rtjuOua!r% zI{Gj}yp4$zO~&Al!t7ZZzwO$HfpLiH$_9e9Uh=n?Hmzc8?IM(efoNn5&%q>1m*dtZ zIHPIRyw7z$_xk(~zKpc$(YTdaH;3zU^BmFb6!LJP*{5U?Cu~IPN@n=)*Os*RYL=8% zf_UN>+?@bJj=2tUE|A?T7RMvlbSlpJ`UIS!Q~kqRfO7Y(z6xbkpO(%F4sL8J13t;1 z;%l7tev3_1n7GDJ^~Qi_qEI)G*b&d|1sPH%KS93c&3Xgv?(z;WqAGZ4r*=uri(@>q ztBgm%h9J>5n@-K1M=S1C7-`c|+#tC6!(?361kmanaK<99xAA#+>AIn`-fd8>1s^h9 z=`GlqbepdrS#+K5D$uuBflsFD0ub-vtp;E+ zc?To)F79XUqj1|SmI6zXrc!NNJFl->wr_o(nJgZaxQw-Q$%ugMcg^VPhYsJ67tfrFfb|rnWsW-d89}`QoaaKok>+oxv4@9jz zIQmuae%6^l&>U`8U$TqIy4F<9YGkZ`7YAQ`!4HAJ^K@k|}tE)F!MK_;-|7FN+u>l$3a_m~sVzK}8mrbwEfoEU2@;6%;S?I7(#&+hhh zq?rOR;;99#ZMc{l5EXxZ(~J2se%dnPtmB=iI`&*?flsnp_A<3r&egp}!q+Ubvg{RK zTd$P>lj?Gb2e*NP81Tqg)Ok|Bmp`MtU6I^3G8iIiCe_=bk*lLuHvzQwT0F}mg6AoN zHr4V0WFMWBEbh@g98hB#Lm$tNM zSh)uSinx0RQK5lE?NK4M$-O+ClG20+tKy-nPIut$#gM8qAvx9jD>+0O6+mqW+|FQ1 z^6LRofq{YtXgv%%%PbIs00PFzYmu+ZNit-erCNFW=s}&vBuOuR3G2dDfyX0E+2P_9qti*-jmt=5L;u(z>>iRi+F z(0VNc=`}kGi+aD+2Uz{k!5%tJWL_$H1~h;L`bTklI2Mxqhxn#b*LUd4(33tVZm^HGgXD8tnFI)NCS1t0XI2WS+=*cNdrrKRDl1rn%~+>|oe0Nn`yM6k_-#BxxOs zc^yM;E;)3ni~;8_BlW=w1ftA(kS2OvzA-PNUj$m*Lg<4k=@fcPLOewN+HS14xs&*% z7zatk(_V;OdkAK8Y{+_&K-6<-MAsBw(isI>$YmsHhqR(NhP%1Nq~Pk2m?|8lcQ6o8 z|HA3XLwdeD(!*K8kQ)6#0_fFU45Bi#`hHPx_+r1DTP*qXJ4VBA)-syx0mQq|`~9eD zda=YuK$>!hCYIPwpgHr|p>D2K>my|gjjJ1Nyb;t~T84zRIQ#gL!qr*( zuu|xu7NipX?lUy@9cpV-O?MbcKbF> zQEP~sL)vzD_KIq0h~-BJ2DhTk2SI?TIZr8fhKe@f0WW&ZKadS?DyXFrR2K2K}Mc7(846 zEi9l+?mFIdxEPF#{b!PTMf>!t?>?43<`&nkV;X|z5!gmis}J`*n6eiNNjk!x^nF}L zDh;izPZyOSp~Sjj9`m_+kKtezoeVJ6MPoy*!9woODzcsgQ<2GUA>?EDcGuD{<`jJD?&9DP>Yplc`Z@S6Voz}LIl!2)?q zp=ZifXgH%=D3ktBl7#4WNZSq6x&z_saK~vn&$moN@J;5ll~dQ)`NCKf6QpGT)*q_S z!Z;4xtp=cE0vUZV6wVaJUkZIEPOr3X-9r8d3+}+fUB&;rr;p`wHkuYxzH|*7b!jjz zPrXxEC|yNTUpzQ*L#g9pnz#d;hAVz$T?kp6pm~nsO zpcHY#h>FuNzgnspTog`_O%`zLy5qiDdTNa2Q-;e3u!%4r!2p=JVjK}FGG#NNVd!|m z(xct0(b_s<)d=CC7|~MwD7Ti?aF*{g(K{@;Q?CrjEr4sAvB+5F!hKqImVZCeLC9U! zbT<`!C-=|+acuZj^2$b<4N_BgLQ(-YQyG4D!Z^r`=1|x zmV`3#?ttC?OeSC_t}{Zul*&u4T_i{ab93l~wu;H*@jp>oA1>sEl@Xkw$SQy#x9^(Y z?`-jhJ%>tYWKkC_%vA&Et`Mu zeDBW+CEaywEhG@J_7#v6Q8J9?85|S@UqM0@_aKcZmTa=xBtL-|UuzCRK~Xi>j(;;c ziP{RS#G`?ES>~p4@?)sYdd2Y)TyIE_jFNi=&qE5l!JHNTPUDyu4z6`Q$D$ku>0v{r zZfmnWISj&4l!D%aCDYG{B;Z(ma}8;hJWsk^hai9>B7TW9=Er0>`gWPSO32tcC`7oK zn@B9WY`spXg}Ag6*S;XD8@Hk9nn#rUbTeJ%LQbSaf-g_Ee9lf5!4Jf$O-Oh41u5o;hIb>Jup*9$f@Cg*P2S{uB^<#!qL#@Yxrpalc(p1d@y zUXit}m)jbEin+shjK_OFJF0#Vce z=$vA55`^}>@5Q?;)+ieiKSWh4rSrN|L=#RBYg!6bR=jNepxBGGx&^^a*qde`HJ}^! zrTzY6DK0ZS!qmoQ^DwC3cP((cua3H#O!ojHAB(IRDP)VXF~E!ivxT0!hDPT@bf#4|$$(p`ydXOzkS2=+?hjFYXg5wc~(V&D%c#^)SDfnXeUcTiKltaWikIWQlG zBjbJ3SaI(EaI8wWIkI3gxM0jh#JdaC$3j1(PBIZOw~ti6?er)Zy+`rGkHQf{C|6lm zSvbL9NT=q=_{^b-(*O%C)Z_PLNQ48B-8usaF?OSp9SnlgF6jVrB4?hR&V|PE@z(nQ zG!6x#7`7V#6M(zC-1niG$xuX&wQI5@Arr;L@q(bhK`PRE31f(YdNe~di`>;vkvat& znE>B>9r4Gy`xG4p+zn>_if^D>d#TH~@Te!=Pxt(z(p`U7sJi&lB6jSkal9A!vIvha zA01gDyLICYjs)uDp3B(XUo+dAvHm;-tmIW^5aNbICto!OZK!jTjR3RoE{&sIpzNwUEj zx;rf+-u6+Sx-joLa3)tL%?j>_2Hv*vqn;%+U8$ zw2td3)#utuFq4_1OChCWO<;Jb8vR|D+&Ixkpmh2M>N~gYZ*W>^ywwjT&q!k~R`nWi zU;e5aJpfX?7=_VRsoeZ=aZXol`dyTD$!uCXe>7Cftf;yyx^72TCCyM+G*m)aST68Z(#cG9vW~|lw(?8ka&8DYjlIN}8>>{v zL0TX^-b-0I0&&HiJap)L$GeUjymDE`hTcX^&X2w{T3By2x*UY5I9^E${7Dc5z*bL? zqp4n~K&VT+78Wg3$UwJXrP2w_$hy8I}m}lbuPuUg`Fd1ztMIU9nYJZp#57h?-sl^O-L;X!eTf= zT=Ynv-%=>A$+4tqUrYE^Om)_Xczz1OS0@he#|Xmm3(jO8&QkDxCiqc;ofT5Qgw|40 z(ftG)aHHB3pHveX7U#l!bohj)(hG7p?tn7WA2o`aLU$VcK%EXROPpER29PPZ3U|OG^jJIhG%l2sXop2h}$d{~sAuJ0xEi0rl79Q==%VFc&XZKvymYtgA0s0EwgWvW>AIX zszfUD0mpU(O!}#m;VA`U4gdP+F%r_wCyEZhB57#Sza0es6<_>6PbU9c4U+9Y)*v~f zMqzwtq5pECh&f5+z;uOtuH%KFb#vanrfe1G59VXFo?=76>Hsano$?a0pCE(N_87wX zI3kr2G(`jfEE^6)M4x~w?Z-0-B)pGR-gp5)1Hb{&N&VaV{>v=#KZGd%aEkm(h{EvC ziT3|L!2U;MlIed%Cja9!o1TvGKb0i^Ue*8eGxN`BHWLfWf3u^gTdNQMHO*cT!O7v= zcmtt@R<*+*^?@ojiX{lcH3+LiR?VZF54pSI*q*p#BU&Wm!FOF)J2pSciCwh z^w{}v>-Dr=9I4i|rlDntvtTzUV@<=ev88=!)%=b!S1k&VKYS4QJ^&1#iA`rhB;?B)k?Ppx=XLsjU`iIa`?n0z&~ zWKADX)Z&}FO$%NA-ZP7-hTf#HU2`s^t$35ICUA1SUn6eJ70~IA(y)7ioS#eeXRC1c z&VGeN4Qgqc^$R`ncO&e$pl9Fn?3K2NNL=G^y&IDJNJzX`*gDT%@^pHq6b(>j6GKP6 zrIaQ};Ik`|`4=E&VId><{qvC#X7$Guf`z~4*~5Lv@&oKn0{0Yk018+Q`ZNNXBU+e)qzt6A7Qy#(kkx%txNe4h>UK&h~9G;`-kq$=PLhl_BdZoR{yAd$Ro+2m zaWz{-p}fMlfCb(dqLjRAfTC|AylVoF5O}NSv2WG@p=~)uPY4I@gLK9$Fe34fHdH5Q z!*OPp#D}61W(+u+w0?M=z&r{>_~-o8;|qVyvrE?UBlvn__>PUsYC=DDUTBZ|ZrE&8 z^O~sQ>?eiC9r_mC5Hp!NYuO7aW33ne0J?1MR^_7DOo;aRNgu=r(xH0>nlvIPvqtUtui#Vk8BZ2_pB(`J9ws z^q$SqNF9+jUb?FhgiiLfXnvP(z|&_FK%|sMC*(maN65L?Xt7XNI+gitNJ_7tsA=1$ zUX%CxL8#BQDLNb_2ul*tB~&nOH&*DCQB}~y7y3t*5ygYQN(-?HhJoLY;H}8qAT3nh z7ia)GPJ==-w$cepiv3k62j3=jQ{SQo{$pT3oT7~zn`H|-<&x?Vjz9w85EG$McIiIVG2Me zcu@eVzF5G*A20iiGJq>aV*_?(wjA(K@u?=dIpM=ANl{>Vf%=Zzw+TVyF$)e@lp0?n zDkJhZumNp~P$cr1BAFSo#y{D0NI<5nT#Wi zM-%C&=;!3jq6f9<2HI?pFiTg1@=H^cGnBTmacj{ChB!3~1#Rs@ zgfOiAQJ$4su19MkO30C(B+rm3LiC0qGZ@b#0^@MOO;Or;=@T=<);hoD{_&xEI`*Zd z-wr{tZy4v1s@}~*%Pu4}J=?t@N=mKbWuRjR_ydlKJVvS$%zzF3?Smi0R-zj@@~8*p zLK6zJK|NJoX8KWi*{n}&(~9^Eqxl(|j=N8}{$8lu--voECllI-W>@-+-w*8%}R5Q8dRqq{*hK!hax9uHOfQrI}iek&%DNwVSleL*!@beFVmr3HBjbGphTGI98>>3uwne*8~ zYvMJ6%U)B%@G49>NRlZevsD6*%ndU%7dQ%(HD!obWIlbT##XVO{$gf0{i)ZjQh(TN zFcv&r+!J%A6tu<}sctav6_?q@{$N@ENDdY|d&HQ3vZoZ;w7>})>9huG?@L*W^^*k2 z4Clv0Tg_NxL`1S{PB)nj8MhRvnXYD!zRf@UD7hwe z|L~)%Qe8TAdaM-fYc;N}AMj8pz1?-oswzgKfHFM7 zjd%2&D4U5czGVda6)evXU*w>Wqs zUa9tdyqqzY`(gDa-nUgV^Y>%S!&jUQPQZqD@AML38Kl|@+REm&{)E*?J7Hu9HiyikJpe7SF+P zOu4eK8sFZ_N#yqD3m;1Ho{Lb^R2$RUg<2Yf6r?m`E1C`JpY|?OPse)?Jlj#-^`u7W zKdnwmEgCHdf;%piuBN|}N2H9OY8wFvZLE`1V?oA{KXd~?uI7*fG49rvqddPBmsFfU zP{ALEIT?LL%SDDx4)ZL9k}l#92K#SEi184z_5p2^Rb(iHt;+3z)KI#}{J{`Gus78& zz;Q76AA9}}*V{GqGRM6^)mO77F^Tg{Pw@dLCaMrLmNZ=}1m+^BYI@wT+IHdUq``D0 zU8D3wd#?rigp*UxN1(2trD9S=5!PyDr|^$~Trr$7HM-a`w9OCSu#r)X$s<}!05vz% z{i-Ltf>A)#DmwdnfqWA)8{00J6_X#4cN1N>jS&=qC!OCzRVJ?Jjpi<-pZDl0lZ*`^ zesigipx52tJTU;0c@1mM&Vs&det|#$cshhn8Rfr;zls3$8CJd7XJe7>Wl{ciq{~ZE z8Sb1eab2=BE)!P)eQ4|^QQ82}vN2Ji@!g6$tlzAjU^>pY2AdSdwiN}m>7pc>uni?^ z=|ZQ&R227mYJ`Tgy_YAbw;X0g3(wPS@9-}mC5h3E@wqx*Yd#EpD+!;x^?g<)OU8_b zqD6bKelQYO4(8+Sa;7e0y-?8#r@m85Bb<*gL*rD8sMhvIc|jo8xT+gyg~Kvr>$q{U zBf-!^;Wm2$^A6Z!a_63TatVaE-_SS=3dgxZ7)yTY&B) zM;UoNdPzxs#}W<^eD@#G(h~tV8Cmf-y(|JMhsi5-Mo0y|pKRd_eU6mLo&?;xq*Jxd zJeIGF;k}fZ?3i}llTJmiQ!uO=>T}x9NR07+_$f^OCNE?edK96Nx`s0XPhwp7JKsXeJA{S>q$6;jKNi!F`odcyrb^EOpP;um41CF%#SiqIHJU4MVCYkY)AuGI8^75x|QFb7tZI)#Xh-o9Eza1rvs_L=d6k95LeBfb{}4|u2}QQ>J@J|F zHSG~B1Gf$QKDes%c>%<{*k*L-Ih*hocTEz% zKqNv?D!^foOvtyWEKLHbvJgMGxIup54MxeS+-9l?N$PQ$^2SwQqvN*F{dGP-52i0{ zdSh~fWmqjd!g^_Rt(4gJ!tl{4dD62N{?Sv1lsvrr!>1hTGu`Q!HLVnrsV{ty-UCMY zJ7WaB_8^6VACbLZ;gPr99%;KhAZUWFBC5>AL|#G~s;!AS$p=^f%--=F|aRB7_0OfbWeyJD>vP3CIPs zT(0=Y!x>Pr&>?48xByh zsRl}ANHu`GFY)YioyFj>x4o6Gt67>8roKS!z!uQq{EY(|YiCOqU_lrP((D4(rn__) zPR`$^#jXoRL}aH(>Qk~r=~zr4ru`>FeO0+GK_S{NaF%7cR=QLPPsAtjie2z=s)8V| znL?1ZJrJK_aD80SLE&|&TC0tEyPAc;H3GX=<|_v{t6SHdGU*q-3u_V& z3pqwqyp8c%qlYYk1wqv@y%0F4o|`$dVrIC~()BKgC<4sukbHYIW^0gHfXp?fvG-3W z+YgSw=oyd5vk5NG33zZ6Qxq_~vk#IC5rWd_97AsRJNKl7aISish1>Usb)mAqhGWbad0#Z%?t@WKTkN=1E$a z=+5t%(RXr`ZDC0YT%$r|z~z`-BVJm)AQDY)mmIMaDHi>0J z0Xz?M74_>BliVFOH4?_+DLaW0NvwD5$Bt_GGOHobn+|p%G&ABl83&q_lEKyGrXV$< zwSQRY+4|k_uEtacDJZFTw-xUQ#3@5HS1I|U`YkrYVa*$LZ76ty zJ!fs`&Uy0tz_>pG`pudZ{4b;5Od(pAnjoFWnor1fDRH7V-lEJ>%Bpj^%*~jdvNwJ> zx}(2(OAt+Tc3!2#+qd8baA`-IlWCWMryC!L7#AS0e;R8cvN_N6J*f3rG10J@J5n?^ z+3${x#lbB;)E)J=Rqf|H9rz|>4VHuthM4TEkJwPWPnhLSkWRPUdTqAYy6q0Gv=@T$ zM3-%H^o3MBb}jBHXX@qh+CRN8OHMCbX0%?B#EKhvekJIzW437t6rcyR$M6{Jz2-;M z;cdW`2{|${1lpZo{w~w=iH&=kPq>>M^}+F~Hd*ET#BdU2Fz-v6x{SRw+={U%fs}*W z>c;U1uDH!Z@`a>_LYWQp9MRK;k>}H8RMPoWZk2IHl`K$0wZ{$<)OTx%cl|OZl(VR= zQEb;f05d~oL^mVVROVMv#cQJL3<=+}nMzW&sOjL23XL|}e*%(Nl^k+^jL0ST@Z@O( zI_tAv{|Z=##lX+xmsDpRyRl*Ql1(Z*0Z4)l6(WI>8Qo-&2ikR_ITuFvXIdF11sGi= zVT{_o^euZ6%@-wODoG1$q3-EofrX-(In~=6AB&}K5wr!jOAf(_H&B?3C%T^ZM z*t~&k70e=gbO9ysX5+i@g1hqYh1a@LH=FqBhM(sW*p3kY5DmUCL{@VQ)5k146-pkx zn`uLc2_{y=uJkqm%_T>k>9QM4pSPv7^`ys)r3PO431~2Y*!aN4pV+cLeYIRP6a7Yb zxdf`VQO1I!?3$p>qBwCS*c@5V!Sbto?Kwkp*FrHDEdg_(s)Ie9?_iz>eDYAt`Dk*6 zptKy7or8}y#xc@W=Jb}0JNJyo>6PdcaK2qL9D*DtOwUlT{rniNW?JeAY~mAN93%Hk;MMe7iJu z^~|9x*DcyQWG-N>UMJje?_ud%zR0F2IOJ;sI79Trk&VG+@wVCzBEC8(+nu<|v6nSr z2vlEWUsYukc0(reKd0nax+qb4x|Lo75)eYj5IOB7j4zk&H0! zI$`%(e`1{&9v>$Rv}TZin25gYgW?)|*YsLVfV~?&Gx{e?H^|h&tK@fb5#pywmQzdcrdNsC{e0JCSE-^^{8!@{~5Z!D|D}8 zpz$=9Z!T|}57BRgq9JPSzsXoSIv%S^?sDhp;PG0u zvcbt&v{)&%nO1y|NaT#X=PM(Kpdjhg|MR}i#8V!oj@yZKNtaJL@~)-@V2i_~ruHy@|w5oDPkB(rmLGuFyPMqDB`Kx#RBPYXL*{7IP{c zMOD7-lPXh>8~pg_N)+CLPg$ytp>kcV9W3$Ab)#iP3ii<@n1qP&JbkOC9FW8~-MKqT z-7Vt@-#IPv27|@l@Sbp9c$t>7mp#x#!>$d zyeAH4nnr8WmCN8y`8T|$;zbJGs7JZmqG;3*HC!{AfG5fV^!=FsudoAsd+#gk&``WE zPXBpv;G7zlQNMBNrgf-++S%@An2Y$Pjh~yjJaiQtkVX!X4bz1?p$j8_C)%+n@h9w1 z9KEOk`aA4^)l2#cJ6r}$&5Z-AB9J9#nG=b(0B0U{I8+G9lW6 z%FvJHmRkCPrB1yY{=j>J$Pt#wP*JDGVUgFc8mNF1Ka9NdW_cOBh$N+K;dVi~bkjhR z<^wbcz_hQY1jyM~pEcC{m?fpQN+M`3YBMm?f1Xtdh`C?ZwsoCwNb)CF4Z5z{LC+lV zJuloc;i@EMzw48d_kE9f-+MD6Ap<|PNCDHogX4Zl^sWQU!}O}t0-Cv~rTR8(8oBlx zP-JUc`ECI?&V_1GpI`eU{P0>`>Vg&_KW- zLJ9>8^PYu1j77MYaS?4Di**iwBi&!Z{C78ZTxFf`LfhfkCp8U~|CzA9TjOUHd>9lY>bd9;F_ z1d6>pChE)<|0(MrO`3MUXVl?39UT540nG}IftR7*WDv~?g^Z<&0~Ar1Ec&s9N;w=4 za*WfR?U-Af-3v%^3BVnZS|-m>NM@Hjg5u^)Ib};mICWPK`{?}*M&Y8l`PAVbSqFh& zQ5Tyr-;N8d@;$Qr-&qImpRD7WNBb2eNS%wgpPNw+GN~73>X+CevJi+0P<*>l6wkCC z+VFQ}9vpxwFg}nx_`b;5HDK*zQiR#!{!?yxal@PdZ!9c56;|^g)xpK)T}mho9F5uP zO6w1NHC)kV7T6P6i6k%wO9xxt3=0S=u(e;{oB?iqONX;5+Jrd<5u+I78Rg#*XumgF zrnxeEF*7VEf;X0~%UR?$+3@X7Zh(rHmygSlIT2tEOOr!zp#5MkiVc9y&na*~Hy~ubU*j;Qqz)0J^#%{l!ET?E%?loRrw;N*M90iaDWd;RB`Y1`l06`cZ@`FeA+DUoLpi z{8;Xaa*-_xaJK#YM zGG-*h2WCVJIz$XR_3q)X@BvH4ni*f58m&zsETO1Ik~T$xulsu9)d(0AbjMI6NGJD7 z0iVkloNIj3%+hZvq;Mr}VZLVdXqy5YLT&|wf-;yg-E>~3Fg9JuTxncF2fN=FPiY>wj5Vtz`x1hC#45!>Tz!Qi|UkOEB;0A zS%tkMFI_6sK1Ikkf7nApHgg&jjmfnNh`uo$7njORx>;z^e#zh?$(gP)GrdbO@vep89MJ9tO%j?NX#e930f5Jl;(F2Scrly-BOm(J+J z{96WZP~T={Xxj1CoNXNhCt~EXtUk6eF7k4wFRAsJ_Bv6VTx;{8^Xx$viZ*J1@GZi$ z8M(+;^`pc+@doDr`*wO#f?>g2xg~&_!q%tK zcCXATVVvo50`b)8rmnqRwY>3UzO;YXu~l``oN~T@=gy|pqfH4Lxv(%&YtEl|RF+Lg z!szH3acF98g?Ukh_oa8|?N(}alhbl;XLWG!{6K^vf!(#UrNcwn!U`LE?sT!x>WKRv zHh8ix8~nJ&KWy;xX(@Hfi7fN?%jX<0b825Ucz_ZsCOe4y%HXLh5ymNUgWqFXSYFYr zu14oR-Avc-5??m>)+MTzr1ng?N^1OOZ^=Jt2Y1Q2xK)XY<3HiOi5Jbg-8O^BCe}D3 z)^)e3F;lu9`mGcjy{eZJ8y(q%_;rd|n0F?i{-S{y@zcPdiG=nf@w7vmF=o+^YZJqn z6P#^`o8=POQonEV0I#pzZ?-Xm>=RHk)E^YZGPK&_p zSR67;E%M4#LwN9Ca&ta%M2csL;(M&vM5F1U{Wl<2M1kC-fIqU3c;<5X#)Jh83scW* zW6H`}W2VG=mkIjiKv^NTrsfpy`NS5Zq3Ernx@=Fh*N-yVeZTPgc^f1JfiV1Nt_U0F z^<}4hrQgMvtBdoOtC$q$5d=sfxgF=vnLP$&(u2=1H*dDb0e9SmV&W|+a1>gh`k#`X z&F2l#7&=cIQZ$JvT^M)I);iz)$d^IdVt7VWaFn^F30y6@#LyFBzP*0U8AzpNGs81r z%&6`OnnI_}D$FbV_VBM4XcZC)l+-cm=;q9UG&J%T5~y)THuPP)u1i`7Cn+-d~~ED@K}Z@>W; zV!P91?uy>7zCgd@@R*kK9!Rwbd0o33K7KxQ!)euK9@ly(fnX^B>mot2ud}#_PHsUB z*_7=;|2xW`Rkf)bqhEbD?p#@p#BvIETQ(NZdL98-z&$JeIODZM7f2F|VYb*YF z3EFNLk93oMX$H!%wPBbUUv3Gt!4r}0&S-q9!q+~}PMmpD^*hV~`WXp#bdLjx^& zJv^1_10FdssqyU>N{Wv*agfc8@C@m>m*ibc1n3y)nr(F_Dj4v7HDae^?V14y4?k_D zS-k&W}W99wZ2aE_J9dT4y znmnQV_qc#N`nmou;Mdj#*E69)yVqJ{dI2*J2j8}(A@lXpvFWf@uLE?WBr6wsY+iF6 zL#mEm#C{CYf)C@y@PW%0b(IbT4a^cimUJWespzF>PZVs})rU#=*61)@ufPLlpNx$% zkSQkmtjX)VH5cbjz|fn@nPZWtOf!?7r6^=B-0NL4R4NK6l+We=046d){KbQ*{}B}X zh4?5KBlY0G%Ca|#)M3x(4>s)vA*vQ!Mx3mUwMNNMJ*kfAI`!{UdQvfYN~!$hTG2sC zJUY(^+JK)9<%4ZsW}80Eoub-pe)=SIHGuOt{>0<1B-QJR2+_4l#y~n6oVD^3 zS8lgFFn-~f>`dvW7!2gbLD^4_!psc7opQDr2wuzCh%e|JTk^PZfV3BW1lkIF4A&;< zuVA9G6V*auRe{#)pxD`nmQuaH3O4&5s7glOHNf7_0j2{RUSyo;fT0|*X=tJrFm-aL zAiSPeXw>TOtjA6>twO=3hy@}LhfJcw<`~t{w=wVI5J{g&5d!Yw5OC#JhZX9#WB(ljAO|;WWVN3$?T-Svz#~5Lq`s7G=>F-N*qE~#T=TtnV-W-0d*>H}kgHIs->acJAN#v848Ny}77O$%Tmv;`n0D3o1%SMMYoioXo-hlIk0j34 zHqnyPqESG}uT!i=xnT zhU@XUkFIO&wPsF;>h>8a%U9}IljiChXT`}LgVvfnccW?!)<-lGs220DKFk?6rjcxS z)GI3TipiJT<4eU2<~wdcmLlD6HRYx$V9rLS@a#scaL2<$u&v3B*y}2SBv*o0St>F! zLKd=elR7N4W7Q&F@S5efUOOvP1Hhp&bS8lgffK+OIWOLhRf?eDV^U&Pj+-9qLo3KBA0e~ynk=|bu zZ4*sW9_U;^M&=IQn5U$_4V^13oki;HB7`5{gr}Zh%ue=eIf>D;6Ovhjb##WH1rSOHM;` z8Lbr4WHHEynO9@piaaZYGKaY3%g}v1Pwt)fBAGbhnbJ0!>KQ*rS*{9@<%mQJBJ608}@InOvfCU z`@ON3zT6c$LBYTT9db2RR!kaFqqBG#YJ6iIf(>B+v7l?S@Im9y*>A`Rzkca*JG4l`)c*R-G#W(B zF4<3C$R*xPF^ooM0K&>zID7b3tTjOHsJ5C}6%4UWQ#mY*9v-M~}BzQGOD4}m#j zJtt9m{rTo?*y=fgd-<8$apJn#kbR^zt#_v_`9|d3%$GpEu^_=Q>w{&vs-QTtzeg9|HJ6uq zgHo7kf<|NSF^8n8)*1iqo?%y>a*T1iMTimx@S~e>V#UP>Kg3CBc%4%}9p=!<$qjIf zAtIMStZmlCr*;Z4g($a}463Pa$tS`TTh0VMC+Zg*Fb9Xa3E&*L@#xOj#_y8+!r3Qx zxm&^MzFDFIHHnP2JW!pHJz_ID&ZO2plEbVLgNxgc-2sE7BO)`}iF4~%6_e^*-us>e z0odZ~h560%lJSbq3J^o}QM~d-bit$uSdhbSRLKXb1Z8--;*=F98q6pVc)das$w7V%K zeq=%rQ$zs|E=aEm&;_2*&IL&$2Fq@4e#B_-*QDtHB6z5YHcF>_a?srA8O!7KdwpO` zy@(p-+~;eQAI!38b+cdMjgj=tx?c`h*>KGDMTmr7`ZbK%mOD20o^&9IQI#0iL8@#` zSm)>8Ui9y)+~{&?xGQuEtSIJU(dba;hPjydv=;93OVz*pz;HGas(sw)a*QNS@5vzBToKcC~d^QAe;qz)-%XI~#I-i_P59Mrw$g`iKb z2v2Y7n>dWjKpTLI&1P{m;gTw(=RTJ!@|;MWOgN>#d6MK%+~uR9fra~gun`pa0!<|w zH}db5L;x*|s6IExhAA*VaaUZ2jxvexMQpMMt7EemS$hKt&c>rsqtR)@?6!FaPAXw; zMP?RY({+)9P`$zy;4e?f0+Ew-QCX7RKfCXGKGQX+AvVcfIgr@4ZKbz*IK`#C5Ux~YaDd4XePSpM(7+&6#lK>-Ga$rRy7$1!nN8uSF`PeGyZvV*x2l}SlZ?h-?7U7 zR=5yxqTN1JnJ|2`k!sSsqo32_9mBTjLKR5|14O8#8F}?vKSliNcMIuQa!o$K8af|W z0D^2l^1`w2Se=iF`kP^qg6B(dLnzI*vYPD`H$&(E&30R<9}l?cH0h!N-WpRdZzL7o z?tznhb78bwaA9^EN$2jb&#fKi&n=8q8_c1jur?9x?Ix9gR zu60Ag`fS@ zE$}2*l74p*^NGd{SzJ;cI($Lh3(4(+0H`C+Etto~bJi6D(WNy86}uSYi8;;(`SEi6 z%awFf{-VvamdmL5hT{!)=2G*Sqzfe7A~em_ZI#14%d(G7gXiaD$qZGRb(N2L^%)ak zA6c94gF+$?+&wY!=x){r*p^u;BdozkT~O?K#**Q0UiRYQLO{fKI^9Pnce)i$Ud zH7V^}aH$f-U%n^Fyaj6n7-F1yD|2&2VHcFOpy7+(lG0kth_%I9BqBLdIEN&(yRnl1 zFVd#quiDiSiG4njW&2H6U%jK}6rW@$30(^Nbv@lS8$vMn(hcG9o!G@X#c9wr;Ze$#&{n7cX6{+H73VL<<~hd zwydWsU2{+x%|=&173^ux?2YF0TZjaN8f!Zw(|(F2+B@o68P+x&4ze3gC;Io@z;aMc zBk(aDFve}FoO4lH(qfQ^syz@s@EEqxjdmGDg%*kP#4V&^;f)oX1#lG_6n2japMr{N zhYuE|`#TwRlqKXf&lf*SW!&4HVq!!9-1-1E7$gVC?PwbI9n=6`v@ zPDT#TvW9e-Jm{+Xd34V*AHc+F1eim{-er;h$c~n|{#-kyrPUtenir?@Z{l_gv1}iiEdu~BBGjE;~*oDg?^LYoy;gU!qP>DwBZ9e3A+uM2;fE5-LtCALTqNWgE! zU}?3i>g9H)N%G3XfqC(0FhBKk05xxxKnV~An{K}^P5F}l*eP5jM&g|&N^FFEy6pVC zxF4n}j4#t{f4N39TS^^l=fEE+Nbil6jndRUb(33xO#S$pt$z;(>G*Gx{(l=I{|{I1 z-x2q}CI5d1;Qt>a|9rGs)PFd|e~j7FYSDZ>(fr|5W&dxx@c%C!->-N42L}1|!1(9w ze{{&Q|Cf%y|GF4Y_viQj&)t!KbOe~`>6rgZN5Bf51>SS9ax3>P3;7ZV3~))(5gNyL zOf^)a3k)QLd=RT|ko5=S^P`*=(lW&`f)Err$ZM6&oWeL!Xj!Esr6g7(lTzuuV!LxS z^RlJV>FIcWi!%8o_FQZK@$vglhi$pU8kymEO2kZspnNis{5&?Rv}~8d%uTCKPV>2) z!SNH@+ahGKFaO_*wO!-N#y|Xj>BYmH#ND+x*kQCOMYb(u9YurVdzmK@L`RZ;3w}2- zDklOFllnWOewmdqn0m1Nqa*PA)e(?7jen^lvKgsDS@|L&o1=15(7!qY;N*(Cx99qn zyxoqBMk(52`&Y_Revbqxh2wl7Nf0#|clhsl>GAE%aFLz&d!{#J@0i)7;N>ZXi}Var zbL`U-ftqYqxtw#9dOL%|ZOUi*bus`1GrS8_%m#7;kg;NuAmwF}V`#I2+1l@xwB=OV zdh&T7G!xKa;i6+a#H zY$&5-n{w^Pf&F4;0svyk&z;H!0u;ecjdz&C?ND_qmfW*w&K0%cj3svM#B2Glfq)Mo8kRC_Q-yLzT6Y5{C9+jouF`IQ2^YI{_0j_ahMvVv!G)%%*T zs!#?24C{4%f&(hE+ry1UNP03+@nRGbTPqBrJpMHp@Azjh{!t}E`yPupM|?@I-6GAG z{zaza{*8bDg03!u+&>tFy9PV`6ViZCr(FWyJB$-h_>(cNeB#p3}LN9El6 z4-nawHLwWR_ZJWeEfnkc1w_Jr0g-2f`$utKoMDO1cb+E@Phu&bRsWQP;wLab8JPwl zv|a!{knG7g9fZ~HTivPVU571d{?>7X_b(t)^$UoUSgrv(Hmgb7@15cyz4zq<=!;`f zz##9L`Ku&wKu|^9Sb+s#PZJN-5%zsW274~VSMuVU@yL$)k7JSJ4}3Dnu3^G2AQD|89OSiM`H2*3I6qeN>Bv+4AFzfsbGv<6@*WvhQNx=NS zDG9*+dr4p%kfidTB?0`el7RCgphniQqG*;$J?!D1l7MA%vl%N3*__f|IxjrF{1#>% z&NVY?nJYvI0USUe3Nh|C8@!JvoGJvo z|G6X}^i>iVzfNVK{_F&~_=YJ5)Dm@)>qQxn3iWz^l(k3854vwXs7+0qtOx9*D(I6; zGOSs<3Y4)jd=F(apFW5aA6sU>$>3Gio$v>U+?ozh{TqmEaI({K`vXLx$Wr|L14IT1 zLfY-&S^Wh>!UY}Zi(~IHM+%+Mrut$Vft~2hSj$N~EV-qkURY#D{3ZB(_!9hDXNXA& zVA+v>34YhU1i#5&g5Muw%D?_4_=OU01<7GSeRA#oekt0H7^2^SWV#BEo}&UHGa*r{ zz%gx|eP>a8NflRd@CS&j?vx&g{tJj~8bovW0wVV-xT^OgyTMz52QLHUgX_2YI=1?3 zfCp_hX94l%mXZeltKj$Le-``}Q~eKn@dy957k_d2uf6!D?XSJ~#=(E?#moM^7oYU^ zUc7kbpS}3z!v8Gz)$Yi&zFG3y4fNBC5N0kWN}D52qi5X4!Rd=3jI1M=kLa*h#)i49 zh7X*}VhUi0+UPB0On9l>i&eiNMIxN|kxdgb`pm-gR@FVeVZocSHV)YP`Uo>?$t{_xNVMX?ONomDo^%1zD z&o(JZyvPQ2>Xes^iF!u!AY&qz-(H^rTepF!o0Fg7Y1;V<# zPYm=uIpU_N3ZN`2aPAPGspDF}B6OEpU}5GAPji*Av-y5-7^SQ;w&3-NN(dvd%z83& z6nRlCWeJV3#VHiOGo_jPg7RwH($vK_$An+*^aUe-kGmhv%`G##HNLsfhNI0I!2PQt zAoNVRuQ|qLm@Q`dVnSz!8SI*dI_tuqz%?ekbC`DQ?r_d)jtIO#{X$B{$CY=u#~#vMAZ5F<8g}4qRgPb{J!gBq$aijK)CF~ z;L|<;N^!2+0wsz&{HEj%D)nkVZxF%qBWI90Op*|vMhc(?*%kzt8>Bb|01Vf$c zO+kxjC+u|qkW^pFs10fq3Y$UYoF#De$cikO$j{G&p2{U$xnyC_eP{q3Kl5^g-7u8K zJwvasH-1|d=!O%gk-G^6oHb*GAg#t@B6#`0_b=6<5bi{GXxw7czH5fM{)|)J6e}uF^gmuXTYc8f_d#9R8GQLhc+y zF`&x#`!62S)X^>lLl)ntdg-SP|3m%B97|zLW7pwTTTa|P_+bMK_3T%qS~a6mR`)>H zv7gWGd<4d-jV*}D9_uD3+<7enFgc5u-Hon!U8FyR3yyrJ%#Ci`W(QR=0++YWhjHr* zjpw#`8`WNZ2wk+*qRzFv9{HYY>+xcjWG5`$0$A-3g0o9ms@#0U&&o(EV@; zex(R;R9GU8QF9@_OrVcMc+3X-Gic|L6nAkUb)t1-{ehLRrD^jamBwCZ4$GUCzBqlh z`X|5L4~__d`^p3{lI}4^PG=DL2pfQWeRu6Sd~N3{*rJb!DM;`~A6x?99w|-XhXbD_ z4#*g~IEhTJ{2sP4(!R+DVcD_m@Z{;|thR7e@%xPNqCTi`lz_buUw2hP?;BP zQnRad5jA>^>LtbKH z#G{5?j)iNzO==sj5M=ec$q#1JbPm!M*MSVbMoc@8aDk^F<-pzF`)Ex>bgUOZ-Iz@U zIfvmO?K0(=VO2|L8!DmUuF=v`JCz*nchWbJotqXNkUG~tL^FT73mwfu)3D5)@1`T zxS+;}o@V116+3dHoZ2*maUnC;#za1jCfp#jbM0P&?Y}|~*cdn{^RoWoh1p)I6MOS9 zF_K4E8#7!+B*D|F95pvpI)gUqgU)_}UEzH3z^z)UV1h|ZS3n=FFZ!#sS$@Gx7Ti+c zw3FX_pbtJof}V@zIb%;~OvLsEJ4>H$19ZlgOwTP5TV#7Cto(FQ9kQloOwAe@$f=xc zNMOIIMyYfz#g-dE@Os@-|B$HL{wPt|lIuDges~yD6PU#sU&m4Jx+a1CJdIiijqc{z zRG0w_Le}S@lsvjwt-9$#xTc!Gy+~e#zu;^Dzrl9lJ!c~<>+nE137j^)+fcXz06=;) z`{6q_T1yhgDLk%}#-W9RNDNSJ2?XTlb8-_Fp}}})r*0yXiOLunsG03Ly^v@h+9+iGrrx;|0M+tjf?9lsiu~QXMS4Hc2L* zj9>UzQ25FI*TxF}jm8xnsC-+gV*>ea{izMO{Vb&91WzWb@H-)K9g=tR^{JfsB9Z|` zE1rEZ#Y=9qj&Loxc}=F`Py@|zahG~w)|EE16KeGRZ+Ff5BnSlf!aOR#>C0(+nPP%9 zI@l5>UA)(l?6T$!t4dJJE8)Y}PKs-Z$Ld-rh$m`SMUPTLu1XY`=(?|sAv1>d zm+ab}0ia3Sy1ucm{fvkj+^x*3Jv(NQlFAF#SD$6l1jW8Gb@~hv_O41R@XFb<6Kxk z@>x?FEyBag)=*FLgTwvnxV?tK4Ft$x$HmEwfYa*4F z?AufvHHkZC5*-&%uBr5|c(+$VlcY1ApciCuG6E+T2WPoYKA$C75!r6nCwN(zdUy?~ z<-m#D_dX*x;u~_8nq3VJ)xQqoZTRn|SO)181P9X|D4r%B0t(6;3-Mf#a14ReN8gsBqZz@*@~& z=XzEibxv+vcW&fBfGkRLPybXD`UZSPS+ArxcG>)u=CDbkjn-Pn#9Uc)pYj2ZH0uTK zLYNPYLOGz}z*`vVd!`v$E!AO z=!v0Z$Q0q(7=Fs#06-&@jaf)Bi=LyM-z%%atiBM7 zu45NEZ;;_=6dPbx%h%@vV;Mz$&t^T+nnf1d@*ddEBRNxJx+a5DrYfC5m9=&tCEkk=`dtm>@rLfr8VHk*(!({A|Q$aUdxH?3!*H9^d#@CiF~ax-u(?`n!3MjadO)|wHv z1Yu9Al*z#`su8PoiOwD)gkPuWKu*UsYQH+cq+&PS3RcFy0c}}WJUFWXizDYfBPh)> zQiu=*)m<#*d`c45@<9FyVQC9^r=)Uv5+$~L0D{kTp!Ij4O@=-*E5b+ypk^Yvs`f$+ zCE0%S*v~4&y-HN1tTfUnI1JMdc!{QJR1iD zu6~gFq0J;8x){%1FtW8@*+cn#)hCP87*J^)`hZ?R9#bcHKouwxY2l8y!b_vDrVP}M z{)O%X2{0wF>{*OMvi;HqPkWx?yd9-%p3Ze6KgC3uuJmwfte2<>2Vrbu(dJ204`d*3 z2H>5>kRSb}&ux1c^K|8>lq`&{g{BC$bZPYl=W2SPB^_#a2C|l^K4@{uLth#Xan!l8!sHV`mxU^l5 ze6Vpc%P9(DcI0c7aAc5SqL-|5xuGj?$T_?u(dm;JjVniS^&!;Q&hy*jQsWSXVteCh zlLolRVo`7?+YTwzD@LE&!ugBCgiMzD0lXVZ!NIdu!uw9e(;(-On&{P}9yTf1v#c$< zc%2aY)xs_oK)`;~dyR+>Kd{rPX5Xkj)R_A$RZa6IUL`bH_L*AKV+Nm7{U7q)DmIdJ zO|&#K+ht}fGqYW0W~MSTGcz+Y+hv9_Gcz+YGc&t9uG+i%^y#~A-_b~;c^D~QLis7; z&xlk;DsyQq0TZ;l?AN(@J|3eyu}-=ap>%)!T%BK{Fq{ojHv)t-@7Sn8Z87ra$y(2jJ@oTSuIrtmeLT3>My1nMX9fgOD*A)lR>mf6S=#`mQA6}?0D6rL% zI;XqYqlSGa0yXi~TqY8jMj+y7@F#GiD|Z zm+y?hxOV#O>#GTpgB=-hJIA^uQz08IF)k7pAbxqGx6@DP3?>6tEbc+93A=RN!#|Vp zvb@CALKi0KVv~Jwx0UF{bs$gcMr3sAbg7|9>TSE-?8n=vX=s}h9vlXV1XB$_ z>Y5&G)^vu%H4L_*YqG}Tl31!6$uiz_TC>v5u zG6u*{U9QsG6(nt#$P;j*ii5tJ-`pymjxV`}cGY&@fgTYN?lm17+NGR6wpHab0)s21 zf4f3StW4ZeH`o_V-ikZ2`}qc}PjYti{mVNW)Rx%o%3cpTFQ(dZG5{n#KD-^iOZ)Cp zNZW(Dof8j_5^;F4)q|uxQ=Bv28Dg42wabaq1o(G+!IoRRX{DyU9{8pUoM~XFh1QQ9 zzFoFju6#eq%|4*?COm(7(p?x@mmI9lM%Bi3GYQLVxp+v{?}5SNGd!ZV`*-kF1pL(z zzet{M_i=L_^?SK>oLLXNXHkc@F*0BhNW6Sf1gx?`Qp)!G-UI;=VFBsQnNyy9u7;V4 ze9CdZ$rR{^q{+qBZC-AvEm0jdaXXAPUV8UU9Is~?8A+e7 z@4Pve;R`=&DFH%?buh^(G=1~`V}-Vkx}z?l`Yy}5;2iW4&Mjx%AK>wa|7?Sw8lvjUwcy} zUM?6Sf;)jnXW7Ivj*c3P!Jsk3*`DS=$#}Aw>7L!5BHH8Fe~kB369n{mf(O#Me4_Ag z;B~%^AY5ngo4XIwwTwC1+MQ3Q&x^s)mzy2#qA^&0*v3G&;}U#!==eiAsm>9Pr+{8t z%Cny9?Jgh5Vrv$}B4%AgegeooPxSRkJw2D0Hhp=u)SJRVH|A~Yt2T|b2D{qpw6ru8 z@RC8_u%7q#Y7r#a3|jdB!}z<(wqMCY4oKk60rMc9B?18GUJs8o<@e$!zSnfANMu_z z%C@YZ3~pi8;T;t3oj*Xf&+cW?wCU%#G>@%mXugl{FF0D#t%y#bx~GtmJ#OTe1*$%h zcDmtMed}?_ehG`}=XNWVoiIQRr8TAjdVJ*6-g6!YT)O3A)BaXT>63}_Qwj1rSZIdX ze2>q#IRS!h_>+KRvErkDi0 z)?V6fay`NGsXKji=@QR0R7Q1iK)dko;@gwO*mn)GQtBu?jn=m~3*3yW;dbV2VBnFs zwW79=c(4Rk7DYiHQX9!de{2^!(sx}V37O$7_BbcoT0tVi(MX5SN?%9?M%>=#57LWH|TkDmxOLL0dgVDzF9wHCNcPs`C4x=3!Yp^e+{dI02GZtmW^( z83P}nfK(kYJ?K+V`!3VNYpvrpXDX|8a8*bzJjU}a5#>c`f(DRGuDZ=(lw<yB?IPYl$M*aO6*?{$qs3Fkq{LmCrPkbHG2 zf8jhqhF_BAqIbgk)|@#M{f_=FjK!b*l*+OZ>Rab$1;K|ay9OpW*wyZ6`TBy*`rG={M~6hZEe znHFCAyfsgcxG%4;R?n6$0m2p;a_3kTJ-}<|0l<=-C$xP|vqqjLG{N#@+O5Lc<_iXO z55ia5*I?BH`{djP46H8p4ldXVL2c~bk5}jC>-QIr!73`1l@&CRvdJ2z$-k^v(kJ#c z4z{=K7TjHKOYs7h+XSHky|t{gpxy-1BF%MEdSUL)5bmg`$QzE|t%h0Rzb(R~oOy2G zp!tHRijfPkqvz*fOf~rBjMB)Rj?*c;B*;nT>TrP@-)al@9vs!)Z%JEUD zD$>EoEx(%3Q@#H*NLpALsU6$F{H3YuBsPXdBQMVlhm%)5ip2;= zKX}SR=$5ONuZ~S$VrYXZQJtPa7^>WMFD%5k8OZ3!jH_4b6h!#ZcyV187>3+fm2ooE z&tjY1K^?(@AE?q(HUV2M6#xe^gy`ysN^93O0VYC>RDupaF!0qQE~jB&pIb#4Z6d9o ziA;ldkw7N^3p3s649jssgAQ`Y7jt|s+&tjJ!4$&4m|{Y_X)u~U!c5ju?T zNP!bNE|-ZT5hmRKB|ojZ0L0bRZhQ(3J0xh^c3QOp^QOp~YDaYjfRUvbcLdX&(1Q0{0-uW&=rkN`BO4B*Ng>h> z@j01E2v8bNaFEF$ieLa0U1nHFe@c`R2&380{kAZZkzEo7KMdcs$7m9wEzh1>Tx3+Z<*wkJq=zU*^ zx!@|AgfGz;57o9k_iLKunI*2Tjb>+6uNw@Kgj#LMR}AOl?+wugKA`CUn6X*|{t0nm z`Y$=^e=sckH}eKN!~ayw=8Ee6Z6OC%Ifk&riK_wA?)zo#BRg4{fpVBZPoZJmSTkX* zCDqJ9=hK|K5abE~iLwR5PXMC~1rU7&qRIbN`&#BHEX*d55$LQN`{D-6`^gm)9pD9y zk^Uc7{@X6`H}~-`IOlH*$A5;jnf{_z{@;Of{yLbvp}n=EoxY(x7ZU%-1^Pc*zxC;#zzeOAi-U-QgRaBxNs-SBp#+ma~s5Swdhd>Xj z`Jx&}G#m2%M@qge)X?LP%7)Y_rC}nuVs(tD&k7pLat zj#ed^?5uB`3h|qy{~~Zc6Wg!96Wd9o|DM<$`<>Wk{+-xP{gc@C?0ft65>WK%B>x`! z+evPD;BcWiBPQ zEghc!Vd)qhV$yK>TS@+z*v9GOVEJ1~Ez+6jciOyS7w7 zeC)nNKr2LhCWQ3OvQ7YOFJrRgR&^*$cquIV5Y<7HVuQhAg}ldCqSU!ABzqHp*NJB?NK6lZ;rl6vn0Hz-hqOFtPfQZZ5_kUsW64Dl5JAzzm z*^Vto_D<*HU`ufHRp(>6i?MV3mDuJ(=u zbGm9nud{E1!wxcOq?xA0EG!01V_!<34v+B?Nvicg=lD!)+kEv&`JLD%q>>u@JF#tl zdh$E5z5I`f?akW1659l4U46tZbt(yJt$VyCDLLKl3s6(B%+Ptl<6?jrA<`-p% z*7>`lMgyENytu;4$;e&th%3^f5PF*aZ-F}?+rxDI;H8^fg70YK!-=654o2Lp5`8u+ zgASwVKR_@>5#yfl6X|baRbjyk9(znzOXYbC6ElY*l$`n@TXh6)r&|N=%kju4nb?nr zt3qAkwv&WTm{eUpjpS^fM)GHWktSjl%zS^4;FZY2AQtGHHZTBos~Y+FjHod~irw{3 zBYB|)xo_b-e+D=ZmtynwLB;W2xvX}qGn80_tgrKwU*E1l)d_WRoFE9cUPb^wU+B}W ze^NM zg^CN`ma^Ct{Tk6gl8m`uVn`A_jeV6%1Crzr!di>%$u_{woT>&%Mun41edNvnY$T66 z9$kF{i%e!ltOKz?HPxNT*Q*?9Opb)Gv<100Hk>(OE#ap1FR<(`QPv|=0rcq^IHq+} ztb^7IU2h+lO~4M4u%DKW=)Wu-jd}iYqb&cjbTs{gr336gEgcPe=3=`Ie=Hpme_1-7 zKP?^1LIeMcrDODG#2-rs`k*V9Uh*>b8=HVEUVH=Vr=_F4G4;HidXD}ZM*hZ=L5ma(vpHp%U19O`!Q!rF=csI)@JPUVGBxj`32iuuzNd# zuE*p`nO^-=^k#ekE@~x@DX4np{eNynq(%}n(|B`f&WW3>TAJxR$ti?i- zS*7wwv$L(V`K(^v;L{7r&L{NsMMQh1tf6i$#m9Z$Gq<0HYdZ zJ!`YnzP=|xv~P?8VSX02um7{K{Y2c6*~h5U5N$cre|I0@7Dzq}TQ{nXuM944X`NP5 z$bP0V52sw8V&-<5e$=Wq_=mu``$OQ=ei?E~|FHC|@6g_?Sg2Ylt4*GSm;*b-nnH`@4&q zQJvcchMC~I}wHQ>qW}h-!wA7ohF>7C?@=;_UvsRwGzE@@oJ=R z4>Zeq9GWMg&i5_myOva|t2?W44cyt2;lp~28|5@jf+1Og6~78}BMPc9&O#YFKH=@l zf|V~R9vcSIK_UH=*D;QgGzm>*c4^i>Ke;0(mHoDeXs|Dg26>+SvtB$b;wb#GT=A`^ zIGCWtHB|kovVpZ=$9m4OF2KSyMd$|6InUI3a0fSmTP?(6P+#+}tGvlgg*{~Tnvq?p zTvf$ZhS|-!ODev@j4r{~Vk?n3pcLf zTR8eWrz+TPMvLFtDSrXo%=Ukvz8>FvB>cK#oy~Sdde__vOQYy8A~eI`Q>QFGZ=Z$) z(`d%v3wHwuhY6jLeuzMCjeFP2tL0rNWo-g7+PDtWA0Q;zoA*4}?Hi!^mJhMC#v@B} zWF?F0k*g%#l3X2g72mbvuhyyJI+}TOy*;j;JWpn^f zVF(mC{RLqU^Bfm?ka^B>Z~hk0IbfWy3zW=ok7ShD8lQ!vkPP$;-D`dUyk3eH1TL3- z8_S5P-*$gWBTQZ+id$i4KcOTQ83hVC}0#OE!8am#u{E z&xcUVsb)}+H0(o3`xsiODXIlxb7Tw_1ZlM9N^CZG=G{ z3f%3z9OON=wb`77XV04CrE%z$m4G%MNGOrB6q$Vl3nD0RKHwy;x2_VGmhk*>d$&0| ztwguRF=_RHkbK%HKvm5FoI4ZLcq!*jNm5ytU$MwWjHMIPN6c4ihu`2R`Cgc2mN}t+jEvnv>w#$O`r(Vt z&-yr`4}oyMl6ccyz%BVB1&YAiD2<7Xg?NByyVFWaUGsK#T7 zgV<4rrJ3QI`Kjc0i^f(4wwMMFJ=$N)zJ*eoBGReQh-D}sq1g`|U|8%bbN0tnv=Yt*9?4UV zkw>clo@#~w7U1Hl54jgME>gkM*3h>ENg(+5EHw04Y7 zrEAPc!?pK%`KaalAd!a%N}AWkpp_H3=?uwmid)U+dx{qBQ+QcS`PjsthzTW~nUMq9 zddj$ZU255H4qBi($hZ`*AEbmYx{<}YhG=!KDkFY6uuVA!y0??9>14Y1S7Q#ISY8_X z-ceanV;9v}Br74-6GOnh<$4F&Di7zs?ccJ=;cuZTppuXAL0}=r9gYEd4Pgyj52S@g zIpCpRbuls5yW|TMsYHBDGi-T~r$-+zPpU#fJ!v8abRPVfVoNtCr6~Xzd;^IECY~a#Lu4lF*C8nTfV7y~jOBMG*A>+ct9<+c zRARDN5v5jGb~#7_bY=JEBhCTd;JG6;JOp^5ah3#Z(3wKQN|=Ntqh!~DB%yp^&dXns z9-&?mr%{q|vWm3%a^Jr{9w{K^|kf*m+RbuCsw z7qE&3@1BYVa)9AgVQ*d57aXrHew4eWip5h;zQ9 z5+`1n+-%5&2&RkDTF6HSvhrb0gA|~3mLpOjYZvY*GKjisDe^ng5W41M4y)vHWvl8C z{37E0i$ss1fH06`{f~X5Q8zFf`K{KB*O$2{PtEX*5p;@)}NHH$Pgh@8cf!P+bhp6AdwjKA{M+{&pyh60w zI&b@~g`OLLnEGw^ed{O8%Ga;}v_tYUki+OHgI|lLj_-OuwNNG(PxwC2DelOXR_|fM z(}X6;VQM0uE>06b9+H9=S!~y90)zWfGAFSU+2skLn6qXRY);Jbscr5Wa%PaC6v*j0 z{M=)3dVboG${C%LC;W=L;5T#L(*UEwR$UkltkINIjGAv{_6~`q68;sbB$LHO^tO_PbSTNSp5n?&yt@W z1;gaX{wZpg6$(q}U4n{sE%i6u1cS?aB&80~#%rQb`!Uo~wP?c4o0P)Uz0&+68gyC9PLfyUgU#| z`zBxEEQ0cgL`U&yHYSoi0~#&cs%yTpLb_O`0ai%wL4JZPba~o|86i=b2XcU(633XB(c(D;G79(kaq8 zi_#z9SIX*GqxOP+CH^Yb(s64oEu+=1_F1?g1k(eLh28T)Kw5{hM;G`!RXe$LMC&y{r`4fvrfPmUS!)rOFuG*`#hzd>m8 znCB)_JV{W#nvczxa)H`HiJi4DP+9@L|9h{S@ zO)oT~l?i|t>GkN#HhdgtM^rU1 z>46;r{7LN%z_+EjPY)M%WLpP|97Ce?bKY&lWxCX?{;aAAi*C`4(tv#x>IPq1< zFZe`H*pFA^!t_kDt~pO;nAlJ;j7hZRi9n7Aj|n5RCy&V<2^f<^K+A?}!OBiIXUKNY ztPl2Cg^7E>LG`@x$&|U^1|e=luF6faCl8mVWl)n9JP4_|J9RTR34$=Bituyuv(>#l=&Zz~+7jBYL9c1ORzXr1v0gpek2*i<7-D(RX&dMw zWbxKy%`>D4$zCuqwHXlvr~>sjo_=6}luY`zrbdbEpAML%IJ1y6pGk=^wy>WHiyJSe zhXH72G9&D_fI0*Epr-1J`<<9;mHyf*Fk_(2G<>D|lurc@&K}Pj#tSUh|PRCY6$n>t;Hq*~28aO%#x`Mn-C?w9ud@cN+dJv)3sko!ue>~ea~ z5dL@oE{xwawOV?f#7MB`Xl+k50q22Nzz{A{1&#{-W@@T04;%6PwBtiYL9 ze+p-f3iWbT8olE2aeXxvBE`!uDCBQ@m8{d-?#+H)pw=7#G&e@V#+D$-x@n3&763!8 zKG?CSh3sf-zd-Sqy}C@0Me!tt*laEyIvkz`3scxY<*dQ4%>*m)=^V-Ml-~elli|6& zLo#!i@muab3xvz$Trt%B{hXvd5?4NuD9g9aOizeaeSMu>-Z9vCSDaZd?G}{bqH(LN zY$fV%Wlce}z+lFsKZ5{dEEu@UKMr*Bx=W!}m*x}8>`G=Uf;01I88TUzLr>DlpVz8x2+ynf2 z6(kGwo(?B&PmTgii`WOAJP*E;-wUUtV954iK0&q#r^>*_14MbE&ee-2lSP&p1=GjM zj!%1t<=0?(jPi8UWA(G;mfls76nohF0`wjkk{G~pSj&EQfy^`5!_|r@r?ii^WhL7Hh`cIileJE9{ysEKHp;6X2a<@iJCduY&6Gd zLX!1P(0p4k)q6D+_(5Giop+YZucC z&uuubaw{V+6xfs)vk~S+wwFostXzy2Z2*9?l2ekMow3fIxuaCdq(#*D9;j&jhIMnG zcyLz;{G6}}O>ihyz|V_Wsn1{`>lUP9zVzRaE_fVCr8<(-*(xCCi418ab8XH?P)63i z+_D2cVH^AH3vaklbrnWob~wu2umsKM!}k?FoX@ood!7O=W`Y>ZQ_ejIKWL6{?~aX>c{ZgAuOCIt`mRCrx(q4Lw|=$g|YLb{E&j z^9cw(8Y@7_EYnr#7i}3y#JOVSzeYw8@sylYL&{HUnb#_%DySLoE+rc9R`mXGQnQojyQBmflFp zv+!E7q8=sdE7et)UXU7fh7&p4&<`i@vw*%*+@HJG5bQxg5k6_>Oi3n<|1HQw_>qx1 zJuda3iW&G2*_^Waftx}@ojlr=537^6B;|bgtjPo(tK$|pNkU{XtBkeD=DqPqvj`)s zP{HK9*B1UXE*P>soI7h7Znf4(R9|7xnoWT$11}mz8n2VjR83yZD6_d+e?S{!?8nJ6 z>#rVFqwsK^(M0IYg=YFQ(ZwInWfd*l*JESa(=B`n>x%0$d-D!ocbAJKf(p;5OPD*p z6c*BCI@YMXON%+bSLMl*Y@I2sr`D)&uO3vq82W4u7YDayMcyLt*+sKmNx7&ajEtc8 zx5;XyemUW+;df-B%s}gBJI4a6X)fe-&L>duQUnoRM2S}vV8!QcxTwyvp^PQ=R+`ip zreENvEC~&1KiOAjy>CklAwPIGG`S6 zw$nlDW*U`%^~onvml2+wq6~8*ldCbg$(qz(B@ZD5QB`E`j47jclktw?A6y5pQia}T z?+ltoFfHE?nk_yedK~X7zDM@=8$tq`T(^9`XAAYBCdaYP@dkp6iM&Jp*fv_-?tFA0 znU#ijQ9Ao)f62T}s-}IKIMkyp8d-P}e$G)i$wjKi)#WaH!{C zp*5cYT|qli{g{!B71a`k0Ux z-G=+XYrd!1g%G046`dR*FIPE}G8AZ#FEqK}$V?y5kNQ6LSu9<^8t&|82SlK*VPQqb z_U9VcwX+KrIrS&cv%^Kalbs7l6yCxp;9rgAVLQiGXs)70Khx?hoX)Up&rjC({p=e` zSA{cQ-%thMY&t(gHv*m0&CmrGnF|=7-?z7o(3;nTXt12r)+0z!cF9nBts11Knu{3_ zJe~8T|Os3ZGVl_3QlB`LJF4Qta*z1^tdw@yf~@^#?Ow% zpMKt_q23jCyKC*N@kxvUCj|KI`>z8LQ^$ zm`|HDX4aT@a`faXEvxh7bnD;;qLvdoKo34lM;s4P%d57eaPBO~K{&h@dAOw_$0F}( zyPkG^wUGL<5TxZ%`=gHf1xuWlp86i}sNep)F5hg4+zrfxAdoxp zyvdFOi$=2Ci*&@;$g=P4@^sM6GOihOI$P#A8jLcd1F7%as15KTJxxh_eDr6mYCr%x z{2#%y-vrnHo_G3h$Q<+k7^`AnVq#UHlMP{EsRfwkgW?S$iO_}7Pw7D?r3=D{&;>M9 z?U_^UaZtnTLJy(?TMAS4`CJ$d2dc+EF6=jG_P-Euf6JWyMa2C@nf?Ebi2JXCs?So@ zzaY3jMBM)k2#$^Ze=1cm{XVPz`!~hk7Akr=dglL@sj)D;3S7@T)OTx|&x%AO-J9f4nt4Me|LwFRdelWhaad9@mSlPo=-m8XGTMK0k>}RV#@+tY1PQFzFFnBsN#EjYlo&~<)zj9Y*EqV#N1i3+7;ChcwPi)X~snu?THuTtJwMs z$ojEPI4qy(i~045hp4aG8+1v{fO_5nYi<8>pz^6q%BE2ERh?Fej5$&fOnB~i#zZDG zd+9>c8IZJ2HDGDYf@kTIZNHZ>Y77~u8A>1) zWB~fr5kVV?e=IU?@0I_KRZaYkRlQ%ckaL^2Z$5TRv@(2ach~tVR)x1TbQSd{R)zOF zRt5VRtI9f6(^cnzt2K07_FbR^^UZMxp@&H^FzwW5W~t*>6+tdC%@{?u?>_*JG;IV5 z=QYQ)rXNS@hlinBiU!RRT!_4*w9wq?E4t^^FoATYB7zoa90MD2yo<{Ie-^7E`#V;} z)%|a=Dv{4vReTK9*pyoh3aK8zu-B*lAt5o~o<$0T;ROQ!eV11qa0ZTd#TwA`aaqL2 zpkCyn6Oj1EFHK7X;quF1#yS(W)Jk`Cz5upFX?1c!o`QVpb#V|P$Trqf=6{b>fq%xT zz9|GrS%6Q5^Jxs8cIk#Vng6?o>hUvH6`bq;SFDQsGgkHQ9;z&WKOQQ{KOQPH@XR&9 zsf7M!l$rRMcW`BNpLhuu>HwUS6p-kLFb4DV|BpOWZs}E7_qzsxq~Mt#EQm4;Yc-;o zV9@Z?F@S@!k|f?&5XpPu{thu)vaQo|Q$~OZivak8Qp%-iv$4&RhHbxNRSti}s{Zm& zHU0Nk72l5S|C3l%%72ShrTvLjo&O!HqWOxZo&yGP^351|+Eggw;CRy$yh^S@};SX_eNZ z6=B=mpn>dm_!M=G^djX6QI5D=Ra7@76c<@CSR8R7snf4s8E|Gqv_xwcVL{kjgRF+A zJtARQziNSGC1uABPIM}XPENw=^iPPvi$ig|pfDy&BE87$q5~qa5oiVp^ zZo(?20P;4$dRNU7)Cz*#u5X{@<0wGI8 zn)K0$(A*TFjU;Pe_?k!$-2Jy$)!!K0^#Y0u8e~ne`{~fE6`_EXF`=3~q z`JY%7+V5DE#AmEZr zTY_ZX9V*ZrItvxG+ff7`BCDSld3^1s@Zz_Ni;@Aw=#C8)g#i`CUa_^;?x!F9l`R92 z6diVhLRdmkjSOSFFn7!4@ZI0fAJ(>pxUWj?sW_IlE+G5hFb#{KZl{E$z_Fp6#jQ!A zrzgQ)R0U-CJqUbie*?Rc{sc_bjCEEp(w`=}=~%btIPd;CKtCuz-|WXQ@Hw)ySB#Cr zvKZUNPyn*X!73z~j1-%fB-=qqK)hLxm_U!10x}#BKb6{zpoIK6x_I#Y^%X9qtthP9 zWEI$q`_o)>!8YYHak7WFP-rdx5ypsW1F8WoMB>9A6IE-@{>jc+aH<>+@@snU>PKrLaQvTE7+;7tebT@g8m-5Pe-3ByNjQ*7^rMzkl#KAZ24~{ zD&OBGsw~0?2yFx?VBCTN$=JStEAv0Gs?C3hRs9ssc4OxJFR`j;Ct+74W2&&0Kot4p z`5Pk^mGPTHj6i`1QI65F<^8udg5%rxAlwg&PZQPJ@=}|Y7f-rK0_iSA^SWQU|ed9!t!{*y7iLl!>zDmkNT=>`E*@Pp^5Ce-lwnCf6Pw8i(C;{^Q;K zc-_g}IZumLTIRB&SVAVLz^Nx~V(OkeCby*CwxTxR?zF%Ekae{ZkJapYv9D@H{cp_upD{RPSZ32(TG;vf=X==BVl+XYU24TmD@^EuO~l+qcQxL;gm-!` zM*!iIqpW&pub3LA8yI(cATDsD9z~?oHA@jb9tEn4`x7eQ|D)7HVRP9Ht zg@(~C?!QG;k2%frMkqs08f^jf6O&q8dF21ls-hSe4JsFd>Uie85F0zlwfnZ`mO`iw zigU_K2`rti^{#b!4Fe|cgsM65G1C`CRhos^fV1sJqvgp@CTgLZ;=GCuV|8)tb9MuY z$K&0{X2eZ~B`|V6G3`xEihO&aF8qxdQdd4XrWiQGQpImQ92P(lu*SdonEsa`D%Vwb zv%?%GM$32EQ2}4k7Wv7i_?G<`b(;04_*U*k%*>1%QZb5#TD7yQvyAq){E}~0xp&mh z8hy|EiEAAEv9CcDpU!QyLJyS5z0@z6RB}DLlo7~C)_eEBPO*Y~BOPhwD>>kwbbJL` z9^osw(e>kOYgr|5LUS+@r#jZK@_SUb;~aoDu;j<8&dM1_=Hs-5y7C=%C_wQ=@e4Q$ z-1~s8wSK9$YD4RFrxt#(=^-A-@jl+B>)JrV#D(6Qe*Y6stm%6PI4-)?;74!8{I7Ah z#JeHO@7wNPpwVUo>qeH}1Mqzam#g3#bj4T+5QJyB)4+rkjZw*-A6?CrVyPY&Mhuq} zDo@Tw9|2IUYPcYMY9xo5-NO_4$lgE_RryWA1M!~_3ruOW2!2Caz4n*!;rC=YWN^a|JW7ryR!B?UoZOV?JLPOnNqe|EoTKJ-Bq zOruS%u5+invI&5HNQ{(4#6xZt1zZBNXSRcC`3&LMrbL?Lp|y%?6rXwR&~H;Z9Jr6< zI0kgx5S`Wzgt*5Md9U=+5ewQhj^jLIPcJjoE`6JHE@U1~Rjo*QIg`4SJEFH%_cY`8 z9~s!%y^ZBeWYk8OFn+ezwMqp;sKa@_WhOnizlYNWropUhh>*WiQzwqq9Q0*ht*5cK z+|=Atuap7g%C`Aw(=k*Wa=LJP-VapMu$$)HsCT4Xt540R^!#YMw|)3Eo|v=x-U8m( zfBl8aH8b~(?O=zEtj}l7Rlbsc(NKQYpVi?RLd}-pLs9?xdNe#Y(28=e3*N6^Z|$f zVVL-N@F&V?Qn-n9Iq&Km6cebS1|)>OfN(>7DQ&b$ zW+2jXbgNJ2=zYD&>KT+FV*HIOH-$el0KeMUz-HKExY3?MNzl zJ>!YEXHH%-3VPCcP6{xcZ@c@;iub@6;aymavliDBFQy?1N5pbQ?A$TAmMXvkB_keG zM%{vRjUUywe(}1!-cL%}Y+CdXPNWx=#ym6kA^Qe!n|&S+7Lr5Z8z z`7+b5B(o4MI@m`+($jO!)oxUMroL~mPpQgkD02+v(Ce^hv_IL`KO9?V^q3fzCi&K; z8EwW<8f}dkmO(IEj@&R%m~-TlC@w_gC|(!LVRlA{mSW^(?~FFt&CEi0C6wYcJt?Y` z?#wP(O33V1bQRWY&aN&+jA{XOVqr(c(@?`v%*=rs{!mpTF2xc0L7`4OQQ9L@Bn;8S z%WHfIo1a0Rgs0>?O)?NM0=O1j42ph*5{vTrQ)|%>`;Qrv64pYwj!`At1EPR_8?Y<} ziefq4HE;8y&f%|1og7Rj57xb#x|4QKud`ap^}v~3YiqE1Eghn%#LJE8jAK?%_Q687 zuo)z!j!XuE3$4#S%^CX1YYpWd8t631VR74pKcM3G^@14Gq{%nG41^K0G{(fyB^PAO zCfxQ{|6qT7Se$RE)N0e>Gg7ivK1EeHa}w%z3@ib^HQ4gL?gcLHzSPuh$tcs62Y-pZ zG`eUCFyz)>Mpi^l>Sa`wu6L*(EZfX8WVs%U9G{1YWybG>p`>^dxvCZ5={10Vc{CLg z0dJOnuYD&&zG;7PLgx6=5CL^r-rIQIy~NM6BP4#rwnb2}1LG zyr)UJ?|P2a^`mlzk_~`^8>RENAGw7h1;GZfE9fL;6fd6g67bVFW;?9-)A9w*l|2&9>A1dts?r{gJ`5m7B$jU%qeR?QyN$`VHRP!yI9 zq?FeU`lYnm>+q^5bqUZ1njU4+$iaU|J~1kY3XGaUT;)3xS|iA3<;vCQ4^J@*-U#Eo z0Y=*GabknePNf1mHm?HyDlFB=6QTSS*P}F--tG}?o42CW{t+gbVP_tok>12@_HCo$ zE0L&T!n;&LOJ}li6SRwNMH<{mDRtJxZYR3z5|*H@(w6N<(Oi7hSfq&^k&Z_RMg~gY z_h9lFS8+HO0XS~C;qNLjWovCF=pC!;XBW=B_cRTd+Jnls2cDXmJiJXh#P<*)=>tmh zz4tMJJVum~?DQ8ZNb_55d1?>Gu&&$2V(Y%1x5wN`U%r3Dl-&NZVJ4Q6EDY6^Qfx6$ z6(9XZs+@H16#yYJ4yZX!9fHM?M0ocrSmZ8c*FFmxtP=}{An~iv(fvpjc~GXL^Aw$U zY=(%2klZ8H4kRAVRrE&Lw^<3RN?{-qW}lu_n@)OP#)bS*_@!JwCfx*lyUT!%g2wWu z8~0+|C*BKTUym0lWQ=W5+VZVsZx!?GJ3nXExRpc({PBQeyOW3Xg~t8?r#f$>MS(U3 zvm7cpc)jeRLnP5BE*yt^C0lIRH3^>0>qH#Zx1h{GaMOhG0lZeqsLHl0(P$<@QOu-Y zp*OW?iQ2i%L~9=H{AdEPu~fXhG!p@=orqIk6Vh9A)?jEH(2_LJRj{688C!7X#bfLQ zcsc~CxX2YoHUl{leLN;k1uDN$9p-^jO+}GWlLZPVbwm~x$vm0TKKCy&H#@DKY^w4@ zqV;njz-EILcoZaqk4JOrHuc^{4(rS!G@lMPP;3K^a49v(LtE)Db4dwVmH^teE1JdV z#1W{MC)TZ6k;ZhniH;*4q*$qMm|Ic1beb3AF!2eiMMi?vd67J|y}%M-I;H|}R0eKD zL3x%N)|Nnv|6=P|{#Kl2-1Cx$&oz~fz#+V4WHw9707$lOh7tK=pI*+%AE^3^782y;dnbM2 zA*JB?d*KDD)`Kqax}a81+T6-nF636a(RKl(K^@mU?z4f+>%-7&2j|V)3z2;PycxwS zM?0(zfBPAUO-m%HpGM1y0kOYcD2O+|cbXqKzofJZgS)3#j(m6q`&a%5KDkms!_fbW zyL$|dtU>&EAKSKV+qRtvJGO0WVw)38FtKghwkOVHg2~P7v;IH3dtctVRq4D)x~fmQ zbLw`H@xhdqHZCAoJ`e__r_}t?ph+kbs$m11ge>J^Z65WzagE#GZbiEUY~+y z|Kpk8BI}$l>>1d`A)dXH{w@R8clek4$EY2Ma=pdtOG`v1nElfZm*pxDVcxht_khzA zEYd^mj8q9?OAwhp3J{UJEG-5g8Y;FZ5?a++RAYOAqvV%Z~$*2rJjqt5?0(>l;KuT<3%%W@YB(JvSS=puxMq=ICQ#l;uXj1MyAdig8?}j%WILdro?=nTiN8AJ}va3pEWy^5gwGEcNMaAcpOcA)N z`dst`??(~{%c*<>AH6CQXm2>WhZSu&(idscDx4f-A+1~du}2eX?Z#?NeVgF|o26rc zfrex(3<-^;LZ%~*&sII5x6h+WV(}XF%iLHvvbNNgj8?Sa(&rorq=={<=`risBZy|wugFhzRI~#?o|k6&@95YPHd)D6jixVCgL`A}FzYdiItw2i93$Fo4~2Xc+0w zFy)p7QnDS*Rds5mjL;@#@x=l==8?XkI>X1JWb|5sQ<(G&XthVo^CkJ#76Q%qK@=fEGLe_k)RQ4Z zQ^)JXBVa+a7GK#DA4L-IO2xhdX(N-~X6SpR;jqVyX+YTXbE&PNPkll?JNgw{9OzzI-mfOESOP0^`Ll9hqz?wedyo(PJM$FZpp@cvS7MTpGm%Gbji;0I{hV^Kk{C z7w=Jt`7!0-7x?{hgkZ?HMAIBwkALWZra#;SxnW&e?8mKKi;v&T5z5)75^fU~V0USmQS=y5i8c zNBE7sj!;E7(b-+w^4PW#8Ygv=npD2T;LNd#0-b?*9QXca*sKF&)hF=;a!aH3+Y-NvpPw(Jy$$3bIo+pFF<1 zZ>_v}Kwfc&6~X8cs%m@%bwCe1-+_xPPnJy;lhZ%QXry0y-c)Dslh)<3h<=;&t==X%m|sMUZ0 z7i=i>h=#HP&WII3!bpXA+_NAxnXbbwjnOcpJ3lDwz^~rNY$<|WTEg(>8BdMK<|=+p zQ?=Iarh1FTp5RTj4^sAj_4YxRsQ z3U@M}i0Enws^3K!L1#q+X`p`%nFZ66Yyl-)tzG66tEqT4Ro2{%)t;v8dkhzmYFO^kW!mP_<@iHO zCs=Nv2BwD0Wh)8lg1n*{kl@70o1qjzib|6)LSELs$0Cz4V#3VJu^bOkNW5pN%HCd+ zFC_Yk`3s-&sv+nqUPC>-=fa2;52r7pU-p$Ja8To1pKw3I+U?r0Wt%&3+W_A^i5 z^L&Y}`m*P&kV}||iE{-?kR5?l%Xqrgh%do)rEP0S>x>XzH3pqS{(yaaG=uQ{F?Ff6 z>8HAm->#Ye=g4JGLINaw1op1)Ox|)-1`igJZo+keBxhccU(1#oaubB%v^`#@z84Or zq@$&E6*e@^;kG|v9sx~?_k%Edm9KwaE$>9Gj)Oaf^(L61k(*@B<411`1qWg=2GUN$wn>#U? z<8+O@ix4F2-eo_$#m`6U)5Ow7hqv%<-_}+v<~uSQo~h&o8Ab5Wwy|yRxp?QDZ9oQs z$J=_ybFkg4NB9A&Gl$)Y1m=E0Kk&(M6m{eek=a$UseMlak5zWYQZT5(&x(f4(n&zQ z=d;;|DC6>n(*y*r=f>J@hYWG6aooF4)(R8~h6q;5RgZ{elY`?1#-dkkrt_Jj_jIQ4 z^?eRrzwWK{qu__9&1XKQtPvoM?bnZ~I%x6C`6WwX^%%3NMOfUEP8BMjLE~Zyc%xQ3 zXG#ozs!sj%8X$fKa;$McA<>vfmq&1h`nCAeY+l!Vw?J8ivaRDNG`wSX;fo{MSQ9`c zfAIp%n;y%vt&?9;Iar-}Oi8JTQmLDl*W>Q-*NvBNm)Cv1H84KKf{bHQ8BvSv7u<$* zA^xU&nep#0!4HC^MzrFhi}~7{AY`T*%UV?V1d8~tnl5!SH0VFCR*!ZJ%ptqPGfeIa zB1PXr)u&s0a%3K3#^I<-n^8fsOSmNhtS%&7GJVx!~*e&VO|kpxw;B0ZuJk`!2Lukp)@m=#UAVJyiyIPgYY7j*)JB zZIv%!8)*RW!tukr341|$z~njUEN?3*cL&l(Un8yAby3$na9yTrf95_=-(}HS7NXf} z`o2>#gOGr^SQqSS-;TMf2iv>=x-(cj{5GOU*mRA_moyXMtN0pq)y%tLUIwnQK%W1# zJTXs4m`?ww?rs_lJ!hR5tr1b2I0PgN9f#o?UW#Zk*b_s(>oZ`v+(vpp{oGWw(0H+_ zZB`zkfxViogZ6v|_|V<6+@kskAp@-g159xl5}yQA@jn+Sk#jM?DA$U#3x*{f2YuEO?|^$XfOV=cxZ{-aCK9 zU#@83GU(p$Degswb+V@NN+3W=J3(v&Zp5=5KB)-(sJ4m1F0t3r#tsAeMB=?M@~Tp9 z5tB%SolkBDWgl<+Y+pQj$+aAGYi(7mH!E-8MX%_$ye!SLDCdmJekKN_2(@laQQEFx+&o}63(_`NAy5K8NqrqQ1oG`|{t^oZf1#=&rg|PF@++{~zpeT@*V=;hKA%!3OnLzs;S-zb!;K>>Y=`Klum+ zS`A1+F9Rc_hri9h@bNgTOD_u1>5~r_vsZg(Zf=G^$p_c2r9h2?^x~l!;ga^@!)uYa zKs2EiXfQ7s*89(k;e8^MG|r?H7#^DG9*&lwKQF)xAC|%yX!ia=vWVt@hU3*shsa0b z1CaJu%C+ZjB1?b~7ThAv_x0TG^5xR%CnaD@d7W;+1dRAp0fhy~;Wa z4`TFG(;{#583{_dQP1nsz^N)3rzM}}%FlOzp|)0}9)flo19LN>SKzUp#{s8|P`qIH zW)d4?!j)f>NEbAxtWZ~fRyC2}aNS7w3CwM%hnT>?_(+IAy`+;{rtZ zTrIu5$_yg!rESI4w(MGDX8HO@raW4r-m8^XVf_s`FAhwE?itWJNdW=)SMto99s@bY zpCQ!G{;z^{51B_-i+O>|#G;w}RBuo2s7?1{ELB`(Z9(W5by4Mv!z*E$xl|Ai4 zxgtwpnhPq~htbNs8L3c#N2mxGP_d&3k6)LU37c2SCR?|KS1fqdXOjsM5&)$44luao z4#TSvf|LEH=){i!HG$)T`Ri5~;)Xbi;t+0CALMT~rH62!4DkT3A36T?}& zBAhVf;Vdx<+i)FN=n0H)s0SIAojaDqz1)_@MFxElkt63@U3?UFo3eFVsF$C(-Fzc#8S@UC22p5|&vng^(cXq%d!g$H#oq-S(w6Qt!={O9-YF{ciPA(#Z4e(+#&x$@)fNjhZcB z8uu{6;_5e1c;jB+VwxQy5t20l9;>v)OF4LLNynERV_u;Rcwb>!$93~zYtp?L$L(s; zA^oYE^qHOYYnw&xqtterG}9A6;TAgQ7v#Y$vfA>t_vl{+wflR`$N^cy3aje%-3c&^ zz?k#&ZtKn^dbQpqfY43UVY`!EG`IOqzA3xkza&P4r%?-?B2kxls9iPWE!(7TNrY$- zHTin0*Y}32TQtUIFGvk2&7X~4I*i+OP+DZ0z7Dc8*5?d3*~HDVtd~5EJYq4{>Djyq z%Ok+Btk2U-yBT4Bz5O`sIy9SR0OyrSdt7E`x^9D@qs6vvPmvyC@qlepTofo0S{LSe zBuL05T;tWkP}wV-@H$bdlOJxPr&hadzo0)}fxb5Eiy!7d4IMw;#`@8VdWC&f2_hKL z_S$0;cDQpK<&7k&layxY#;daPFDU-(y|{Y;ydRRUmVO(bW?_4$ZC;9oh9oB&90l$* zNwE#S@*F6$hDd&HAS}7zHqgS}HsSvSw(lIjjJ`i!TX`ewGWZnY!6p!q_F2(tb98r& zagw?+BwR$R5Sy0PklIKYjbu@^a{g$+3LpQ9oKN2*C*%t)?<`(vUhd(%e>$pJBIS6CYDD3@!m>CPNsG)L~PvL ze+&yU{(o^D@$VM&zt0|Wv;SA|`TM#1&#(Sp#V0oh+rM>3{|tQ2a|x@jp#KJ+LchT$ z8PC6f&!~R`pPnmQ9ql@{i}mKwNtcgS4@SOK44C7Sk`HE%UTr`BGx%Kp0H4;49<5z% z`hsPHiQD>mo!zb7Yg;zo7w?Ws89%`1^1p&lBmNKYIsYHPr-u7qz~|S0fKS>#1)o>{ z0zTRQ34F5u6ZrhP+P-T2ui#VapTK8xebfI5kB;~JH9Q(8()+w=qGQlAR3-&)oQ;p2 zVK$DS8iG?Qhj(r9|0+ECHRGScqd#^%vXXNfx30Vmj`gtfw)pFmVf?-&%*NT6cu0yW zq=wEQ?jV`hLeO}TPcK$7RS|}-*0o&-oudZ}De(+oLCDoLY}ex8>JV2JMb5KLnZ~pl z-vtge=mv`Cvwv<*H;deZguu8M22mtAmv}~RVsPA>_sXYl2;ogl2rbez13lpJ8lLuu zsD=YJ53LuPvn;?7LM^3ijc^1tWL5y3u+Kfn;{MLy?0 zrKK>;EcmryP?-Zv1i9a_$7K4vvM4~XeZk^?SrSzNSwa$BHU~7kT%Zcot&#rN214-^ zmaid=_z?w|vcrj`xZKg2BaS6sT#+4{r7oZFQ0s>Zx`uyM$OUVLWv5rYK?W-x zx|)hWZRukW1{5HdWr%0d{}LWeMTgiqK+%Uaf~276E$S?sSfhXx=?8<~s@DjJt<=V1 zw^D%F5p!vi0nG~F@*u^RWMSP31iNJ#>&gbojhS&15kWe~IbcMigi;Kn7EpRSB0lT3 zP^gwODPlcu)g}p>7x<#Pg+@N26&pVQSss%RH(g8>K^6N-KOdHDQwEyNmwv#Ls7Ew~*Y3MlPB!`(?X3cFBr?r4f=;|gHk?K$ zxO!qlfM`q-QUDjSzYo%i!0+&=^hbCU>+j*wgx}%OD{K>``*aBwU^65sAiBPI;Bv5= zeKvRCbhEJm7b{0zB>1F^GNb(HVXagI+`^?BN4r*8QB0{}mkZkL_YsW<6+48GHZ@cV zksRHe?0EC_K3I@unV`5`0_Mk`4HR0D7TEhNkkRI}y&-VDRk)=D2t*2>8`b+xt$wJj zQ`v3IJk|dIR<*XiTNuNZmSjO27l{i4K|Cui5G^mW#2<{C7q&ex4O!QljSoJ4`Z6Jc zRX@5KDKYNk{MwI`{%!#39uQj&`4JvX`Xf9#JS#kE8iO#l8{2DW!ZHl=y&(pSRXMTK ztQnH8F$ouEuYxyDn1)jOw96VuJDC2b&YW|RB(Bxw)11!X`r1}w*W;9vd;)W^4uhJZ zaXIp5tfLX}t$UH5PPC**lcVVZLzV<_E2bQff|E!K!^JmWGB0X>aIkKzi{JyU4!yJR zzuN{GW{nH|xA5p^Ie&ODXO`QCAn2_WFKV<=AKHZ`MVkmSY%y-m&Z@J>A=r|uwC7!s zQ!9m-2NCRWSkr8e0+%*(*dJO+49U_zTF>48@9v?_@7=?DU}P(s24;Re2N?s0jiMk` zBeOWsUgnRFVf5?{O@|9)@Nm{Xu%cewL5oP5bF!jUHZ;7e4u3U=Z^R0zAy^zRgzUGW zsn_$bpBza;H24<3G)$!~N+7yRYo$9OoJJ9OYTW^~ZM5&ROKbwkUYcc=InEqHbMnh^ z`8EjTI84Ox{WW)^)Vo$@0uHjsGvD_n}FRkKv&J2}5A`}xCi_u)gYkM!sn=T`)$2GS=1JR1&w46;tocQHeg zud}84(+X)Q5)PGTQsd+c`dwMdxdYhIg?7ZD3#N@7S(EDZuci{aNTi8Vw_a}Cg7#9+ zuf+w!-8kFa?Cu;K_NjtXN6LUUI*=Y^dQA{lrSa|LD;0u)*Lr9@l{>}rAy=}q0_HDw zR@GhhzssXxhIs~@|F(NLzUuxL@cE}#_tLCOH|NqVIt4{egM|{xaTQ)8dGnKhDvwU? z?cM!xeSbPPtJnLp>-(RVN56(;HvCKrJ9~aTiZxh3`~aW)YTMPgAK(+UU>WkS;M4a{ z!Dq$az$eDv!Dsg4KY>sA`8vrC-ehy0P4AfvD^{3~@~D2V&at^*NB(~UpPl4C$jsif zxCx#3<&dj_VqNp`nb`QlAfn5zmcC3ee;GFBODngEHGD0J#MjpD%m>7W=OqZy7O9=HCM7@4n~|K&?=7 zw!V|DHB`QEtANLE&OQjPa^LfYzvV;+$86^KQ;?!z?UKhL8HGM>cW7$IZ44p*qV?He zW&%9+Qdoiko8U(hq6yOiLy%wvxw)gk=U0Yqrl7tow^s{DX-qpwNZsda*R8m^;%`gN z7DiuMt6^rXB$yjyDG6sRySEBR(EJF_a8|eL5v{wG@?It5YE)I zq+D^#bYJ>=a)TJ!`%|-eG!+0>_b-Fercn%II{9$vtz*n>8h3NOBF6Y0{9x#^kN)vQ z8C_gehlFv#VB(8U=1b!stwd1xf|lZwqkR@&xKWjx=}nZWZ~YsBPj1hz{u^ce#XLa1~yc_ z32R*HuXI`edp1cf`%NHpXEIw3qcmo;Uv75cAGtWrSz1CkAdxiNj);>LJ~oJ+2eu4G z1n=yzmIu2rlU!3?+#qCZg^@4p+*uGRfeJD_-Yz>@0=9fsZ2{X7dGt$@WO>TpMm$c8&X}Lt$cAOO}Fih|QMv7kE-Xa?Uzb zSqDrm&t&C=Nug!+m3ul|(4cl{d?O&6nPN}h_ni8Bst=QO`p$2kn0gV8xsvEXLC-Fz zTj?L$L#-!OdwMs149{n|m0q?PBds{5?;wrUo}}U;ZEmwbCr)M`n1h5_5-81*0C!-- zxJgc+oStC5P9b}IzitQJGn+1J>xioNVYuEG;%t4UdGK>1`UTBpup_(h;7K?ju>GC? z-zc#mX{$fJ@$8b245rz*mF<>yYzxjiyen5HW$~rWC%XmurxpGJ1^;FXYbJ@^(y*El zrdFN{e3?f%?c)Yu?OS}#=e3SrQCZts`$P^S2rKjhA-(g23F_yTGZ)tzJGEs@S~}(c zurA;qCDPdP=6#k&D}KcpAT97D!^BrHazB@kD=r{qG&Hz3aYOIG0~8(7Y(}}Nan~uH z>ckj_c7(Kqjx1#3!g#G4vb*ndx%aNtL)P6!A`>?67GnOTFT{ z8>1OCy9)WW%V36-z$0!u62?Sd(w%i2z0uh*FdxvANHiXFdn4b$)K)&R2@*@eK|!BB z6C5})b~;G%_q_WbDt6g`O|in*=8^_GRRZSQ-cq3(0jmGWH#W_` zzW+R2D$B$1Q%l-Q+)Ml0<%2-`M$V@m#qEa42YxQ-MK%&8m|k)>qv)(UW)|MaiAH?- zOFZn2=z=V-p9cpbx|i~$%b)9W10)`g_#2Y3XLI~J-ttRx3R(zWi9oPVWT-kALPjnxC`9R-An6N?%-=x`{I=f4KRa zK)n2FUXRbb>>08vL^wZh&vfp_z`qrl5N2+8hgKS0nWpgwt2lYvQ95aCvX6BGx5d#t ze=xWs7eaO<$Cd7Tr)#xP36`3${om=iPbrp9c{>Pi#rRx$+CL(RKL17BfU0v>!B3w zQ^0Bp;4XdwIV^A{{2ZK>Z#+{2$C+$Q-z)U?gAdMS%!cj<(eFPm_1*ObVo3&PBaDmD?RXnD43V( z#TlvF!`E8cLb<@N1+n_hvqJusuJgy6-PHw(q{*$q-qBjcxO^l@Qr7s-uPFY+*OV78 zFQw^F5kIc#q7Toux)T(nDphGKb`M%}8JmbYV*{;SH1rvjN(K!?;UrATCgYypS)s|oZg9upag5IVegm`lQe z5T;DBHdHl+N>mXEnxt!|v(RiKtPBJYBu`8YP6t*Mx4!$tR2z~*qS5NAFVU`k8YnQMI=gE_mVu_#LmIdst?1FIN9Ywct9ul)ex3!)1PZkLbx zK)pgY%Jj@t-!~e9(s(uwO8M3yg1g4P?7n3M&9Mbrc_e+^85|qqLH~=m8X(Wa^qe(U_kkm)u%F6{x$( z(Ag3Y!g_n!*@xCLxt=cOBr={4Pc~UIbQ$CG=#WU+72tW(4cST_98T^c8V7ot=2W`L z>QNdlETP`Y2hoEnIYdejXJ9-TDjZ;N0)1$l`pT&JmD-_JUO@dIX zyD+q#Gmc6n>~AW_GhSyPLYV8d64daj~JJ<#%gv_ z6RHl;5p7MO6W+pif3VNdKPEQcC6(4kG%x5`{0%Fko;b(3f&7EGX#*z1>#ivp{ zE7Pv!Ip)GH&Y{btg3ob5=qy(X92d7i@{*&%3J-bt9l4vW29e2mlYM`0gv- zB_RxQoK4zDb=TvY2zx`t5M(3|D$f!DDgBBRFKyRZ`t^h^HSr`LN_OQ~UT@&^lBHO< zz;hd?toSAUqmfK6Z-LWGSZ!(NAC+dXEtzia3B=eO$S9=cGYK1e#wkrDy7_A^u#QU)Tp`lI+>vaNJdlP+Wt zNae$x)GVkS@ux~!6Ea`=Ktk6Cv}P6#8JLh!r@^zbA%uw-G?L}`hk_GGCxVZ8@lg7s zL|pp0Ql|aJni$=Wl3rJnphSBw#<&dgZz zmi$rGcg&hI2idhLb2KB4t9#YKA`x^VbqdU6DH|7PR;+taQ}rC{b&5uRI0PK=WSaB! z_=j&@XJMB7Do^yA;ogp2?@=HDs67gi$hMYywxJpWINtK<;9vtvn;n7`KkEmw;317o zjQ?u#zX;d6`n3Ep4mJ5x-ONpKhbF=@e80RJ7Le-(3PE)Yl;H3DU?2M{6z#Qg%2Toq zUDNPHoSAED#1O5#-eM?*_TWw4A1)0lu z4>UbHC)z~rXp#paf{B9kfq(*sFC=^*H}oQ$raUXsKvM0b3t>A zs$?1b^^z*amELHg*q|!~g#!~sh%G?nI1J~)&9qjp+IDc=`&(Ifq^{ebSSO3L`2o7cP&6_~|>nHL&5G-44(3r73 zgainzYt>X%AW~^cpx{$8V2MOE;INq~AUMfP5G*+!NG3BW^yS)eXCT0k>`oSfd|~w3 z-qD#Jn=_?;dMmo<8@&ateiFTNbKxc2xpaaCAUz>?%a#`S>H=!hD_xj@3TK5)N8xd! zOK&!Ns-7v$V6bs;=ptjPI?R?I9FIhV#g1ejZKr1ha!C$o*q%=Bk`;M_iE0T2m)iDvh4mP~n4!*PNTtG3jpqxXL%hjIV7v*$R! z5s4Y_1{COxVBcp(yOat`{`mU_w)WC}K<#(G_=DjydpNwd3-q3XvU7O`z)ezRS-)RL z*YG%hf3O!AVlh&R%hi=c7GGPXc`OVF!Z%oy;W#ItcEf&IwCAhy48S8*aK9Tij;=;IZGh1A%WAN(XW5o?(Bw=Bb(O?}IR6>|vYc ztHv{L_k+}OkriNwQ4})(D{M0?I`?;Mvr^ED2+cc`LeW!u;j|xB^DKFJwQl@AV$tY_ za?50GKbnKTQle|kQrShp9PnN_u)-W5bZjOGEM^{epT-_@0ds;Zq!tQ_bkkP#2=9BK zB^(Lp0aCqA=RlZ>K;W%^bOUGt24ZS5MZVDJ1e8s1QU)8ma7V7!=0`EdwW5 z%lC(`KhX?V$qG8^C^Is?Y1gGNs!g5)V>OQ*`!b)Na?~rUPU~7Bj8?}^ls8N3hIgUd z*N_z~AfP@^RVS})CpKi(i&RePSvJ0NuZekM>TGZ0GyfRI*2yWdskXk6sf2eKQr~$4 zxgpW(IhzgBm(efBO-!>YZ3kly(&ZrYEsvu3sSr(?ckhLyw}9dhL2!|9*V#2S19A3n z*|5yGN7lLJoXL1VGJByNX0oa!)nPv5odI5B@-bS5U_zaawV!K*nR<=_-obXF*#geM zTrW2Xg*-pPmzgk^>_NEZLfw9ZD{N{Yf~r4^CsXtZtDJ_JL@Ofsuo4X$SzpRnjP8Jp z)Ht%s)k;k2*@+e_I#M!39wCefP7|)iuNrEuqY`Gc9;Uu><9fj`{myb{9l!ffvNPl+ z{8xhHw1n1Jv+xdJYHJto8H)4zou7o;xw8(0I$#|h6uKa=p_#mpk{hFU+I@t~FmB8j zDWAMpq!xX{YkHrNc^>0=uJzl5tPd+@M|UJ$jG;4_t^$av%8$aHPpFw-ocIl6jj-vL zIrqRKDTWP>-<(>st9FgO5g{$=iaduvU)@jOyFHc9@@P&WbMC4@G|;Q*hs!Pk#ZsMA zWt~MTM{J&jwXraO6zzAz3QIKe;UGg%-s`$A315tsA-_9&pJv^Cow&&D(VJo5!3%WN z!@6t0Ws+-E^gR1wFwGz~V9x%EUpl~vBGo&>j>Vmn?LR<9vc8ekB7MAbPzZ!y{R64c zUef|`#g&?Y3o)kEs|6c`x2*?Z+^y`ph6|-MnQ4+Xa^EVtr7^EhlI?AWvUv9S$3L`= zxI@2ixh`CFs-&kuV&?RE)Q^u`u8Lke2K#H9 z;O?tgKw=!XfKm`{#XGQW-~0#CAu`Hw9u@P7d^YnCisPi}&KDpVhU6O>?%5x;5w1~) zu%4D%OStuc>7Ml;SeI5I9~|Lluhx~u@KYb7ov>Tl{*+&t$P#Z-Nb5t1ccW%~sEYnV zel*GqYuiCb`3gDi6L7_cjL3cgtS(qg;CQGB1^4~%#ZnY{7q$Ld7L9e^M7A7VmiU`p zvLyHjD8xt?4ObjX2CjWc&A0Wwm2bSww6m4Q7brbwHAHgJ)#)cci0wv~o)?{FvAEV+ z&x0N*v)U7^n18I2aAt8y3AT``_JL(@*Y7=sIvqtf@v27R!ka+VsU^Qk74 zt6w6Kx}R%GPMulU%R4v0_h62hH3ntBZE49cj03W7B7Tx&U2p2Af^AIm;!Vy6e$$#a z)wKN@&)?QcQ)Dr1!yZ~m*BiIsbiIaeGix&e_aW+j+R%IY+OC+^(d$RV z4Mu9CB^)wubS=wMhA3*zi69#Ag^TW_BNX&lF9(j2uG@2VTBR*!@d7Cz>%1-nl!deb?FeiU@VhT*hDoj7?}*6HrKBfX0o z>M*&;34Sp!&Rll^cgQ8`a__5{83b_FqDHBU>VuJ`S1N>uHRo&`N$C4xqL7@=Z_BX zAHCrJAFMr!vKTP^{(1fgI{)t)GSlCZ$E^QoBmY^5e zYvx$n9#;~@@3`hf^42KKmoVUC-w~Cvm**QXLtTI&9op~AaUtov*2}iNuR1>@weFDz zX0Lz^Uai%0+4twOi^I{k??=y0ovMntbc$~6;}7eTDQ#NSO;?NOho`5HNp08V@~dSB zGPqIGGy`*~#VT`EoKrJxcX8cU0^QqXhl*L_Une_ts-He;$5~nJ@7DtoxJ@pP+R zdZ0` z{)<+&NrN&l*_^}@BbTY_5KNNn^k2E(XOUhWdzMQ^Lx5T_1oIR^3|+nH09wHiHL5os zj_L`?QZ06&W&(Kno&)a@OSQGD8Egh!yA5?=GOJL$f=RF3>HC8mSL@f$o)csERd;=z z{96mw(S94tPC#FXPACx797kRRNy`}e*h<;!ASdrbaA zlrRw$zA+pqg*MNX)@S%jq@~$DOPphtflswRg#bKNJtQ-kL%4MHVmH6J-w+7nZ|?WU zzN4!m?(cm^(7)|Feiqy(ZeszAJh19cG5Uu6r za@+m|$}u~)M;#xqVb0IX!-!QYF6(nu-_i5;eMd)xxs$lts$+xSnd6sH6|LWy;^C1G$#a3TqJ0Dhln46werFj?$E?@cAIx#vkU=9hjXQ>0T`(zn~PcY zmElRD`Z%vSJyA9o78>LRC6OYc>iFld7_eBN(U zsxw8NvmbRzWfa9MSwKVpN0P;<;93c(isY^)WjiMO_O0przwA4r|6|{gvE;?Qk_m`1 z?OF$lmx&pg;1b<*iGmvmhr;4IrxC=(WU{YJ*;xgMvT$ArmS@Dqng`4{fD_UGJ>;cwgz>F?Yx@GsmC>#y8T<6pU-)?c{a4bNY>U-+=n zZ|*mAmjXuE&u@HjYbpN? zWtL^g6aiyeBM2HhNS9NAA5SP7$NFo?`r`NUCgqKmf*bQI&@W3%N975;iLoYBsi6e$ z-~<511*g~X84H}V;wOlC>-sGn0051$tQ!u=$Lh8KYKq#mS& zFo!3@-n?9toUjXnomv1)SQ!(wL7eoYy>iJWHLI7vikAn^VJ&AO|vj}-7>&M=c`@)Tf01xjnirQ2q2am!=M>=YKA09&p;v& zTlP>#YduhDiWeg;CJPi@S}2U5W40T2|r60Om+OFUcGbnI{$uCV_T3) zZ!)r0fC})QAA?Gmtl)05OJF@0%yP=$?%SJK6ofhiJ{%`nF`(mMY~Zlh5VYTNbqYeV zuwr5C)v=RYz0(2-UfMT75FP}04g$o|a(UM5tdPPWn1?`11UE;`qNp!POVpP$a&39O z=kM$YZO}n8+n{w>9YE6Wmi}~~{EVb?qn0(-rsIaY*-dU_G{#*_8+72;4|?KcAGeI< z3QE_QWmz~RoF=((&$R0@`|Q!j?Ayo8K6{Ys22Cj_#nl#r63ff7I}rHOt#4EnHI@)1 zmYZa^IMYET&tYyMBsc&`HkEHSQH}R`&d8U~XIKVLC3uUuGVq>2z!gxQ_Ydud|99=D zAm8?({f-;-a`N7ISkDlR{gvwvomCDe!vTgP57eTjxoh+)d;ZdjdY`?vEML+Cr7WGZ z=kBj7WOQi=USxP}U%Glyv=C5}pxF^Xy!0#%AtctuH|8m1#3Yyc3Z@|(^d&^jsAcoN zeW&V+xCSp^+EHE`Lz9++@(+vsMwQgo|3~H+7BXwn09@L9s~ZW6+v>VpvwlA1kIbsV3?KzV__IDV~Cqq)9Sr}9_2GS@&vaeZ5+-h=_&hxRiO7JS!wS}Jd?cf9d> z7`Gu{PaUTK!dtYWSAuMOPP?@o>rC%v6vP7`to2^oy#b-H=G6DE6}ZD zQMvlf1>Q*K0L;oxpcCZA?#HJ$Erl4 zs@D6Ttf5br$NzqTk6XXAbFN9H{pIEP^XTZilY9NgdxgAQfg;eqW{ztPF{-!iRP5NK%Z4lC&W?;oVX>HleNB zWRPo49YH`r!OOyN1Oj+G;)9C9bZ1uU*T8n#uQO?w3BslN^KR<eogF0^GiAd&eAXN4fK`RhPUb>QFoUW!M2vvw$7W`cQRhIm0ijW;yxt! zQnbt`MSJ9S-GNSBF6;Fh@*_ck8n>t1B#7ErzGLJ&gaQ%yk&z|_Wsvjf@ergOmx;hF z^9|dWqrv}&y?1IB1?Unik8RtwZQHhO`ySi2ZQHhOoA;P^Zgo``daAmkA39>DFkcOs*?6Vp0Bl z0R%jKdIbR6jB=yRk%S51>66(s9n&7UORd6a&2V$Udkk;;$>3C4y(V6*0Ch2eEV=JV z{rQfpFB))Q2K+IdaKc;a#ku&HVPoexiu=!uPs(*`0?ERsk0|bpSX8Y})g%JMc%mzI zzAg0qKv-zCDTzj-X@Zse+?sr~W=4wyNf{$XKLe$i*>Dso@t*Rpq$uZ*XsvOtjlg@t zkAdfi8hxMzN7@;FDRQk3)9qW!@T9GID^4*{pzY-jmsXGm$~I_GwEQ+m zX^POnx49w(>sY%96zdZ*L}WVE><6350xLuaRDo%(-~jDX##)?Fzz)m`9Hel<_=uwf zSxR$d8OLvtV0e@TA`EgYfCJGpPFA=n2o7L~U9$rZaH9%KFWbjM6qGHk)pl#<;*+fV zAB`54(#U$|ltrAZnq4L?TT!@3Gn+=xUre>u@71Uq(_-OaFG;^Pa$f70k7ToZSlP(Y zD=?8O8BF5!OE+|G7G-8JjMs3O%R{pO|9X-m-K_KBZUzhkk{2HL%aVP}9adg2CXQSU zW`_>44}CBO>Pr!f)Vzk(3xu)x`}1@r(lw(A`0+`|%a`ywoZUFe3I<-{e%0Co7nV24 z-T3sYx-O8+ctjtnbSfx8u^P_;Wsb{&htWv%$Ix*f;lbKO_TJ!Era{RT=B?FrM_POr zm-y_X_BAwZma~Cvn+)YI)u`{Bn*McndiN-1P#L`X&1e~QFgz(ZYcj|bvTqoyUc?Qr zUm>Xe^n2**qjkAxDsi$QSw;;Ngr%sJ>MIY2{lpKQ+Vg_(sE8XFm~UF&t4mCA)z?;m zUbdLhPy_Fw81=_D1oD66(4-GHP&}OqM$-sSE{G9jFksIl$PXUnS}dOt)w1sw$h7B? zv-*fnXJ_qPri*7A`%K!bhu2K3%O8;x!> zlkKCBcU8Mqh<3j4~X;jq58^A#-;U0V=m{e+jM)V*p8D?+z(Gx$5J zC$PPMHjl7hhIbs|o3%63Sos`*%w~jtgp9bxc3D7T4Rjsb)YFpTuu^ zPIi2-l}aT{e8%YR8~sn&7U9?(@}~dqakzHNk)sPZR0uk5)ReUW-?qAg%6)Q6A zudf85KZC zzFt{Gi>I{=Bzi8|s^=`{&@Um!WQT1DR2hymut+3^Bz9BQhOHSs2C-ty8JGe{1?t4N ztQCksJ(Lsn*2Z35waDxO5gyEvAV16kwhB=RF4EgSf8ng!%Xg-@R4ML_I*1S9aY^sl z1OXFqc>y8z8~T2=YCBRrbcNleTYUL75=r3W&-MwHi=$_iJ$5x!@w11O-O0(zYoW`< z?r4AO)Tp7J0LbIju_z-48>7exlgx#d2zgx{LWN3(?8T}xJu0oE0W-36T$uvw3i8C3 z7Qf3{Fx z?a$o$nWk#Zpuf_ttqRDucPTLthJxGL4R|@6 zgveTHF`&VX77H0$Mj{<$Q^yi7X;rGezN3RUnaGnZda# z&~5a|;<|YghQIi7H?st7$G=8W6rB>Vpkm3yeU$TWm#FQ&OVd=z0DOpcvSRnPK7)B* zmkzDtZat`ZXC72nvr2sxEo~elt|sl>)`w>%bi4IsDq()BE!DeoPW{M8<6xODdd#!7 z^?o_a)ose=oog<2o5fKG-F6pT##mVh-c8ixbw0N?+!sHT4Bn9ZQMc#GuM0y44_M#h zh49sPH3C#=<&;k+hu@$}!wJvU26PS0!EazT7I+kBlK@R=}!<*CR$qWzP|9lo386g z%j$2zlSAXgDBuq0@S@3`pb&*uK?Z{+JC^hoAag;k5@G6{!YD`#+J1O_(q&c?1P*O3 zI(!s|5o(kR{lyW@SeZ$a619slXQ>2XVx-SD8+yXXi~{Wd5BUY11w4PvL&)$NG*u)-Shrh_RMrUQ`C#jIuY54pYhy&H{Z z267e{pItPHqzAZYUKR`OH~`QJ{}m2)q#wNpxhA|f1<)))RDX@D_@KFXz%^?FiHlD6 zkBrAj#%J}xJ9QBsm&b!T0xnBB-DH9GwBBb{zUQsbFm*Ni!Awg;jRFcN|h#pfeO_@6m4M}q6821`d}Kq;t0_?OPIFKAQ*Fj6ZgORw#_#n zpBQlSa0DY=GNQC*kWy12x$) zwLF-$bZQ}-mh)FQDK2_wVje^bkS3lnZ(Tlp{@DcRzx=2PGy}#W>z}DnRKX|i9F-W> zVH(k*57s}zHNxCQ6Mt46r^R9!gRkTU-U);!PREVX(4;KI=%zuZ4?Y|jIl3IB=X`4f z>3roZ9l|zQ@S)@AR2Kn#(NYkn#1|sOm(fW~nfB!;CN;2mJ|=I%Ic&r z!lqi4l}q|U7LoM?qG}b)ltnSrNeQYQ(jywZM89a_10{*6fR%%a3A8$v_{F%X%wY^)>rNNyJEVV}xLE|dD9Um|FhgBu)b~uoGkJK(Qzzu37q*A|WuRzj=%o?!4F$|m`aJq1@ z9k_CDUqIepwdE5N%k5f{HhR`+6-T_(eepxqmBZISb+ZK9&0C3=p*A0o#N=KL_(ybz z<=S5%y{4{6~*av|>YoPVKx-k`o;i*&6Izv@e8+})`@l5HwZb;Ru@^l5hk!A&{p`E0b^ zBCOkg2&Ta)yMg^KCG|SP4rpJwj3!&{@X*k4vb=uiSQYJpje423JmIeJp+-ILcyZ}f zWQjWH@Nq4&XSCzBTDV$;clr%H6c8z7da;5nIqx-8>N`qQsy((4nEdpdjMal1&8jb& z++vYvHpwZ+eOA5L$_+Yi1#=6*DRZ98jwpaY zOmLh)s?uWlKqFoL+bNn!)Gk)xL=<$l!X{TibOELSX#rD+m3(qRP2C(~MJJiy7H#CB zzX*|0=$$x1@JFFLI?TYzNy={;C$iw(d+c$33o>F5)`E0p_OK9}wQb=P4@hD!1$JC; zo;rZ7r@!rytL75aYA{Dvv^JRAe4ySPGjxQi_^q)PBv}m}6KycUYd^{eN)YUq3C7Cf zist#YUA`T;u#V$+#3s^@+R;QJ#46_xnN~UYSqj51=^Opwy;R-VFWU%k+0ecQxD1dc zsq{WlE~YQr1KH`l)buOoFWJq&vN?^Z_&&~RGTk=JSVjr(BKQj3Iqxy(q^k4@&0$Os zD}dvtz&mO(Ro}0BuN9`{37g80Rd8k8=#Jf4G3)6DA8)9!z-+ZZCOY*3&z^oSe7p$J z_wg(@C`aI)a283$F?LWm5szd`N_^$R;p)J0RAjc)UdizlyK-qv=m`)dCJvyLRnf^( z9!7=we!s$Rgk!`k>GJ|CN*t>)^w^1IRH6gEP;+rdNUIE`M%l^Aw+Lv_f|JkZ8?UZi zojPMR?inhx&%;KlTK9N~aQ8Uq^&uO2w>9i)eDtcluuFWizFQy5E5#S<7z7fVDT5oY z6-U_R<19j-o({_9YIL+`!FK8p3$APj6R7+xW|-eUctE>~_uK)ID7-#Y2gBx)HAeanx*`35vi+$yis!rsMERNe-4mcYO3Zj}<}=1)9_+CxhIE!b zbPR0PiqN2@!Jk5boauMEk`zNE7L6;e2Cy8N4&#l)>C7o?bcF!s%dp$E0EmYt6!P6f zQLu?flvfpPezg_ytb^$DA6C(p<`-)8DKX2A~^CREg8vA;qs;|IP?;Zs;u@xXj*(+@Msf(Dt zP(!d990oC>K-PE!-M6^MnsazHCQz{nc!jx$eS#rn?iXGn(m5HN3~HP0pK$dnvaHfv z<;L7fo+Su7 zb?M9)fA0dE21v|iG66Lny-Mu9A9L~U&moMT;t3&$+_3J%j;5@!p@NeoMNw4HDga(HB&=!2xbSy;ps8p|SY$PlB7d>DAd9$&CJU1yf4&`a;h@OKih$^M z{Mw8NB-1j8Fn74LZ6d}Dt`yE`?8ur23>f_EMBaumqv!35qqDo4jA%@Y>3*1R8I7Bc z$2gzCkVh?7lEC{??Ssn2Ln-I}ZU4i`w#Fb<+U_ikV+8z6GHE1|<(Mb!G!!zavReBam05r^%nK%3 zIqH`WLW( zE8zRu7$rwnj`< zb?yk95VG;uV_$12{ti%?bm>W&d9|p6pEo2+OaScp=Li=->r3LdjyKu%eKRyKM3dAx zeqAHe!1ud`4+0_di0`yG^(+goymY&D7uL1#6836 z-*4-Ok;?=CL%}LS$JM60Ch^_AUc~Dv#!rTHY`*>~h^DI`VH?rv7Q+Gc8-yFgyV;w@hTnBLgwo{zZ~B5Y-0o(1Le zMuUH^LmE+WP_YNSb~nH>PR>zvmQHDHBVgpk*#sPY58d9FhHo#FwNu14+d3<4k&y!P zXDK#C-nt{juIW5G?z-xTAnXaL4GgqClQ-K6XD3`16hE+MvjFmNRz#CkM_h4h&E_2f zVaJk|$1-RZ6usX@{1ijoNT}Ua@6kJeFjCiOa?BUfwrYjJBqlKL!ti@nKHyDf#F zb!gVNzEI}BRac_z9}%-)*kT|1I6)V#1lGP$+O+5uL^CKh30^fc;wuw|DEw-~s}Oe} zzDO|99p8kBA}ZRYbgF+gK*O~sB=DLUNU^N+hgD1>EVrDh#b=AvTxjJ;)AQ>gx_oXf zgf$1P`Jp($FQ2#R=s_);LKOu%~s!Ljoy!*jsEB7V8ij6Fo9qhy|u;ESWs5i z3*cBkPaK8sSQuSltPh<#Sw@p}VL$>%1W7g-^YI|qnmLJS_YOucJ9J-Dgv7vZ^o8=S zJjI=3yA`Q14_fL@I&ZX?hm&kW`=E#p-D>vk>gMO?<P^TowY;+@VOy?h*c3cWe58BY4g^|z_RP|LJ(#xlP?NB!f!{MG)ru<(D% zU;Pt^^k4Hp{{sic%0@3o@V6lA>0nAPZ)BzHV*78Dki{+&OEbg2*xy+H+5SdW$`H!= zHvnv`A%s~9#(>D29?tmRTyXvv4FG^(0Quoa|Ec$l_20#b|BVX!7YOX%sIY%lx&2>2 zh5eu4z!;hTrySV7t1OFI+PIiH(Tmv_x|oWX8rz$g(*G+5#>w_?H!Klxt^N-V>>nK1 zKRB>|aA5!7!2ZF3{euJh2M6|l6$fVe4-V}AvmBVLSIYBf49>yp7ZhJ4^mMv!eb`f$ zk0J0dBn{>|xS`?r;yRkaR4fVk#;-iCPl7CGOor-=ip$QpIu-qt_T=&Wi0f5g96k_! z4t~RXYXC00{CDvK5y`%pDc)G7+*8AR{`$6q<=|%yphhz6A6!yqVCh&Gr94Pr*(sKO zX6QQcYvIc<^qPQ>nEbN~N4`P%73TThGF~rkL-C!4PZ}XeU4H(D8@a z+it@sGKp=a@Z;5L+SR#CrHX9M_18m2o%KMl{;Lhq7o2DpGq50T1U9k~Jrrw8(-K^S z+z07_A=K=lYlDFpES-3z{kQvEzLo_Zg%kI6Fx66|R8q8)o)bk7DC*Abb_Y}x+1*@S z7MS0zIBEi87gx#wbaIVk6=21?TgHc3fB?`Pvd9CjaDT309Jgy)@0tn>!~=noII3La zf+YTwR3NmJ3iKf@%QOW?iF0GB-O zy96i4%Bkxh3q<0c=7$XQ31ZpDHaDMfCz>+kB?U)9^$!-P3<{mQD>49r@|cE@DYsVY zjxs`*(K0LAc>z_SJ_}V~69h@n<_oH@3XrF22t*?z)0nu74`l;#l96Idk%;e5i46aq zuTdo~uF(Vx@M8@SRFQ4LBB!Xb^UsA4VGXZLr7@6G6v=L4cyb#+DW%!y=PhJQF9>ex zfL)X|0(P!M1j3+pB{&ro(WD0FrC1Ne{FsS0kZUm{IA@0p?qBlSO369#Bm@MG#g1Y( zrJTItiJ@p?ZXvr+QQ)tYl|^E|@YB?|Tdk@G1f2`9T)IIIOS!5Je+1K?8Lj0i+YkcL=W!I#u{|DsA>j*r=}PA=-L!X|$nUZ{D@B$Ri>i z(AE&~B5E;BT+FPiGB2aQTK^DSvvM5eb~1lz9^Pm0{6}%9Eld)Rn`Y0qwTrGzR}GLF zA-Ju4VAGLTCF-7ov0ixlhsmXsL8Y{1nbSD0A`();`MFXtzm(DgvK(e%EiIMFf!5$! zFDk+h6axLc+d5#mdo*iU9M20#pZ~Y|;l^tGR=}#bGA>MFy+YjGxhz_pM|QHi8g2<# zrtdcUH*j7kwz z2V!xsec|N;aW}q03uTF&>wcSjHh1=| zlqwHc-Y>r!t?lp#S@x&Hm$*UD0h^~HC#-mAN0IBlx12?u^S_0qSG&J-=RbKhX8H!x zq#wR~&E`+yu0Bno=4=>kqAuB;b`z{rpxd$mj}9Jh_GVW#V3DbfCzcS`YYOhuKcUF) ztVFuz<0GZxSu|v6J{}}Z=4ke@s#P$SllDY(6Wi&t>p$l_<^%?f41E*byyBPTD@z$~ zB5(7|)YN3ji&;4nX|Px6LPN1lxfDJM1rOye9-b!wHL!Jo%QPXdUkZ~pzI38(^d>C& z$@2LCU%hO+g;}k3{Ws64-8#jdIl0h0 zVgWS<1xF)3%4^ztc)ff|3P9W2=1}jtn0Lrzy#mX3I@_LsnE^z=w0IZ*BTJ6ze-r=! z_+`cldZYK7UewU*c~-mR_QZ-S>>ngDwvB(Qr5gQcD42fNi0Evegqz0^pH_!eLe^Ju z3ITL+i#E$hk}In7RUlJb%S0K%doEv92CF4eVj5~qN>Csxp<`FD4rJ0LR9`@uwiX&d zn2~WNsycb9J27RXCt^nG&`F|M>DHTQ5#cc7PKwYUD_5*6-w-KL%K?ZCe_K{8$Ma6^ ztC3p^2(ud%gUUp6fYcc#dSr&qn7{!kT!Lo=5zm5_8>H)e4N=jd7YWbYJ2_(5A2O!u zpM+xS8Q|goHwNUk8S*ZUk1pL_+d?ba&CY7?Ql)Y9gAbF265#)Y?ET_v#Wt~~^M}{7 z=ih6|&5s?+3-SJB*y0(gw{MJFDyqXLpml(IQu$HvF5<dh= zinU@6*Ai?IRIHhYkTJZa(;oDZ2WmsXxO&Z-{zLR8xN$^6Os`e*mwu0!My(=t&w@V@ACKehJ8QQ_j0+p`-Rrn!M5JcO=d}~AL6H(|OhQgKo)%K|z&8zfhaiOK zRGU`{%_(s^?!+3(wz(@SKN~MCPd5?_8J!pi^C}^LVt!v3Gm=ViCqe`vt=vlP`9jHv z7$S^z6`Rl1#3~rplf~d*C;?Mr(f+Y8j#vO}Ka{P_+4@Shazx$|4-#0y?a?#&w#ITM zw7*V~p=}g8_`{txx4EUmy)ZX`&1nNu5Ku z%w871Ty@x@ejxQhWnr+t5FW}xU#D_nf#L9qC;v<%mz^D9B|x1S&sDS_*F;naG6V7_ zd>t&wXbM3~Cixn50n@HPd-m`~fM7m^YakczSK@*Bze}{2`o5Fi$uV(eqTf*ipE*%D&uQU8Eoj5^ zUMuGSEq4XavYtl9FMVc>Xv%<(d;V?qIl|t^ zUl0O8ieFQv_)S8R%LOU|lqIARO++jSgPcr**xCp$SfWx)urnpXtiQ5uGv9~op#l<- zXJ%XdD7gSF!~+Wf@vl#LT-byq!VwD;!o3_^km4CbB25C}8mu=1lsI{XWYt0xB+~iY z$l$nq6EO~|m6&L+12bXCBs6BGX!<6)ZGc^TRDCdSQWLj ztRkCtQx5S&JQ#ZBL`*Qq=0SyGUX~&BmYdI7jcuX5$vn$r4@(y>3)+uQjYk!oTk$rL zIj%E7;0@AVEemk#XF95NJkeYuvQ;Ij9jVhF5lh0rgiL!aqNGmEJG`?4B$ioz`ex@^(~WEjrDa0!)eJlo6{%IEY0 zZeTEQvGH~zBm}T%bdQ5YtY!yD4=q5RdlX$PcAJO{2ESLrL-O2?R6vcju#v_NQ&B|#yhdw zf6WS|ZN1s97eNFO{tlFPxm2x=N_$o*iPOpT1te|3e{3nN8!}GiM0Lf)W=r6%C@)UF zN!KMjmSo;>@fCbl9W9}<5N)f9D%x6KHtO9U zeos5@mtSjgO<8H94%}kYv(2O`d$i}4Ue5QoUUIqJx?Rst99X|R|8gw*ja5)yZ(++C zt!?jI85X*&Q+#>1+!RQ6k-8=t(Vz|%+Vcrq%=TFNP&a^y&nv9YD6ZWCVh+(h%UkED zLw^{USMeA;yu1A1db*n_1D$L(&kU@Sp-J#rCJBEvB?qo%sM6o6$T3b^nUVRoh)vRCV=esF}0xrMrGvYp6w!yZM@Y_ z46+#zaABlMXaj+0#gAib==Z7Ws(z=};=u*=q%&nbUtd<%ZC75=O-=`WCRo8O@gCy8 z#+$$WIQVPmKzxeFDnoax4~|lc8P;8ecpINMpGQA`L1xO$RU`4iUjFqf2ne6Z+tt7j z<^lIM$OY8h@LHQrugQ6+_4Cn}C*Vt_?#s7OevK7^f-KiCfhJl&feDKEAZN*7EOdZ1phEW4IGRD;!EuyLbn!G z@zHeAg$=Y+A^;Q1mK<=hL4ha20+lsFF0r(8iHg-agK1Y)it=e3)UKRI#r*vn8SN3- zt;T?~$U+vn_l-AFFZD}-4epI;O=N+pUR3NYC#43#OjHbIR;wx!!a8OsCse~ERNvi< zYy|hpUSPz3A9#_0&EDn}xb@r2dhl1nh`Rc(!7s?Yn`XMc)wca{75lCb@}$=G0+W9+GZ$D9oK(DqnTBw2|gMu}55Yy^HlZqwuMCBIX#*$Aw z4nr9vV(}f69Lf94?BOyfCy2`ojta^dy}@`^_oYG>ca!#-3eEGIo~^O^?#=GDy5(an zZv_>f=Ay;T36STbj*w)au4d)crPWb0`&v8seiJaf!!lojx^v3fdW_|v#D$tDt3@&Z zY)p)JD6tPn^h}OW_wmgAH+Y#e{0zC7D~`meY8kojNQF`@Okk6sJc9)Y`2Yjq3?ORO z2ZX=JxDX1Uc@W0mmN@HQ%MfA;Ci40ldDef)tQgTBdMWGd%sz{fx)FLuV$+uyZ7A2i zO}VN5$27pD_O~v9y`krT=_$0|$2a&ImZra+N3?1ie0$q|^CNb=w>k%`CHQ8C>!cWy z9db~TdgJ}?!xJ{9wjJdDe4!pSalOea+kiYZ|EL+kZXjKOx#|!ty0YKD{mN76{CLcFKivmFZS$!n&RKY znE?2?_^}?tucqZNy^=sAIdL?zldZFLn;plC;6ax4$$Ygd@1M=ktWcO+Sn=SGzUGvf zSW)2F@8n$35#P4JBjP0iEhsInlezqf^i-7A`toH%cBdRJ(j713ckCCBRzoVf&xPpv zhW3iZtU>nVW_Xl*afTN;+mp)N??BQ^h~TvPDtvlnXbOJ*%21NEtU_5D(~OgI zL|YI6x0bh@yu?EMbG%+{pe`ePk4$uid(EGbpKBd|O;(_{JWj2wAm52?I`8t<1xdJv zM3vT}E{g(h6CnWy(A8n$m1C)n%hDOlEj=PC9{!zf1Lia{Xq=Iyv)XhUz~6r`PQ~NW zz)*Q7`^Ym*kVjzV%ZYocRVBylmQPwv3q0zHF?L`XwW^G!sV=(`3_% zvFI!9vRmAQS~$reI7jN$16}&oC}=<$VTM(vi{+sy;E>@xJI|zktkf7(sH! zIgQc+3O9u4Ie~RbTQMC|?0$h-Z{S%=iPt2MDi0C_<@W z024*j4HdkqW`L=QFjcV#kA|2|u4X_6C5A$-SspfN9^I29CT1e$^)NFV7595$zdVn# zJ7r~pTV4<2Hz@5VSl6HKzKrXsuQ>abZ=! zW;cf~T3xj4{iNIK<1kL0&01G;j$hq~@K~9kBk~eFhykb*rEWGkXJ!8k!;bdQXSfKKXkye}9wfj%!-ea^XmL2~F~0Ww{q< z$#6LJpAJNr(vkAo_c{)m@zZn+zgKS;M(bs8-6Cu9sz3~E49sHhuOj@OM7H8+)jvVZRj@=hw&M8k*jFjmQb$G(2=)Gpq2M(Hi7Se<1GcAWp6#3k_7+xX88kqY}&Svy*5aL@8zC-@!&M@{hECbN&vq zV9J)lbJ2usA(&o3ksHz1bzQZ~GLyqJtB>^yhaF7~qE!Gxkl{5OI$)BGWeO}jw6L&| z!?X!9``I4moRk1+f57hlCT}BcFU=7gEgS%0QUhC|iYFA?#!ko91jZbw2g8iIWFFT* z7D*EZ!#)_(Xda9&SX(g7#9&%|+oFyiFlz^%p3worR8;+j9rx&S1Qv=H6p8ig=2$>C zwW^LGoQ5C2SCG>R1)r&hg6Du;?KoJx9|!3X{LD zsH*Ml?{i5*LHpWuc|&JXxFNZ#NAqG6Q3C&Zv?f_nXh_dLpB*VZ#{RPL`YWiQU`eW~ z2`Y;bmwVyQhEIIX^}!tBc4rKu*9mqK)PE0@oAUuT$v=78x}VBFLbV#9F81EM363}~ zEwn}#?*gDvr*A8&s^J@K^}K=ELaa@xG>=+Q z4r39=$>fQ0Jka!Cx^JyF^NKwu1`Ay4=D+@9lKoUdW2c&U@(B)fyb**s$gA=|e>jzL zwWkc%L~>KP)mLxB81xEMdSUOr*Wi+9&*)jl5xjqrYZveIX!pnTPdESeO%cxf%wgEt z-PPqSC==AK97MRUebwd3tv~Igm~Cr<2wVDi*59Ntt>%ZKC(5lZdwkKrWYHVQ4SM!x z%3}aLupXMvRmtaZEx?vo$EE%c>g~t((wQ*C$f_bms1V{SYzO}2#Qu%=3JdgtJkpeI zMbc(hSJ~mwo{l(wW_6rHT+QMBp<<1>Ed#EuBzE@>%k98h7}3ZGed-T<%6#WyWM2sT zg_k@klXUzd%vP&3ezSFuN{$$p89nR+=eJYXfvq|HvY6%~elhDrkc>8eGDFw$P?&SY zC{-!#+=}}%v%As7&-StXLXP;_hH%*K%(ivgO>!6embPuuP0iGj_sDMKo4J(t6*fDN zgJVgV&nJbwXIl>jDUiT2T3U+1`!$f2ZKwZXV)r-KK?L}g;6%uS!S@zHibbo(XxqbYM z(fX=I}>gK{%GC=!xaxlk=zjCnC*9CH(8uiPe%wftQBMas-=R2X;N@lt)e`Jm7 z(X%a0bD;q@HuKDXl7nf?1;3JHmbns&PJ?RBg(es-?oJB+m4oehy?DK3|H#cJ2dl|8 zS!ZHdSm9Zm4dv&wDdJnFF+S{6Q=@TX+NuCVG{-+f%WWhx4jnVq3sP4hH;pzcQfTyL z$y`CNW1vOiXJ9bEhUS5!$-Y=u?;3%ew+eidPrBU@O@p(;giWxv!0k6G z>|zSRL7fenCCmOH#Dt13NI*6DB4Ny7Fi!B4!DM5^?V$&=$*BhpC)})^c8(6K zybLshV>6j5D$z$erO5|_o2l)rNWy%CD?tEXbUg*RKLKG&P6ERsCwCH`%Y^z$4*ElI>GD_8T7_7@s_QWJNd&42V~`?c}`X;-pqyH_jbGB39ndZ6SE*$fWU%rXooM z1ji^R1aK(wbs=b=4I@&Umt;hc#RxX-2xE~_VjV>sR2?w6``_JQ|LYWJEOz1SgX2HD z!D9alH<()p`hRzW+5GRNKqvl}DbT0?kODP=o&Hl7;QiMP=1*7rL6RSJWH#i-$wko- zy&%ZB&4>{#bE+|rlbT>aCe^rh{o-Hd<|Hhv<9fy@aY919;|@z;T~Denjm7TOT(BBL zU!&SvbEA7^eMhr~f+<{iA_Pj7inS1K7^`zEOP@^N#ssvG9erV(efkitYvz2v1S9Ax zgJoYik?Up8eAGj`%=n_$+qQAAO9tWA0i$xvIEEBeHw9~MkuXt9U(_F6;zV10BU=6{ zkhD17Y)z1vlu(B*b6>kGT_AC)UC>I~K$vNYaI(#!y@DKrF145X|1NpOh;Nu+2%%i%!DWve;hyzzV1UprE8m{D+? zHU}obL&fqI>^q318?bm(VbCg?=9sW#g$5qwyuw4lu?LQZ7V&e&k#OJ!xcm=w{`S$1@G zzkN=Z>qmS`&ZO=oQQ7ll0}0ovV^TdX+a)0Vk@mW@TAnJsS%VRg%34Y;jZ#6qjg96259x zTN^sGYJIa5I7T9in!0m((|L4%)nGP)aldGN?v}SV+C4fxEZO3)mP`==Vy#-x%7e7N zYkl&56P)Hn&6BhKsn=}J71^PWzUaxUx8a zy35t0SiQUUbASA>>QRovqn&f>s`_jGZ1HN~>D#)wwOg4wd4Jy_e;!OSt;ne_Wq0v~ zGO@6-&Ay@~{C4vE*Y*BZM$+bb|(KHQ=q;g72X6Kklj_F ztd@`R(3Mw@S=xSz{78VY+U31lG&IS6!ohlXrhqX@FJo`dh!_=R-m82VK1h3VhnNgo zV{8{AUmJm5A)FJi%~N6oYT`<^_L&u@C*zfF^_3$kOWJ!Pd$e3H;cH{RW$Lp2Nexyc z(7N>-v-t9QtwT6tjQ!1?D3_p$GyqcQ41%FGR{G{UW5IFSy?)UdmqH2$A>RUIZ zcxtvQq`rms#0-+;NcRu9C`5qP(Zo2*{1$Yw>)jm*qWEZ#4NcnPub;;E6J0hO5E%J# zicR3%mi>R&pz-oYb-b*~VN}VXWEYb=$MaTFgKS!yyzTDbvTa?wCyPl+*LTetrjyF9 zU(N5GT?OqR^gXHZBz`OkhSl^0iG=#1^6xw*S~;mn&Ch)$x*tZJmM}y}ytfuNwMaHd{>orRoruWznNQ3VW}~jLU>`0y13u>gX8GK%Cz5qR z!Lmq47S(t-C}@AiVpqUJ6A!dsTmb0pUxx%P^}iDMW%4xRC#UV5xVk-*{tDp@V5U{M zQ!Gd6a>^||0zzpazepw##yaK-@9@B;)O)-$xvKyWZ$}Y*YyD}y zMd>$`MCONvN0jE^=bQ_0Qbu+)LcAAH37ws5GqDKJKsQe{{}Jytf#Kee_mzBPWhut3 zBA2;F1G#~#7tIGslvo%h%&-A6_q2gH`#j4T;{b6=`R7r5#{CGv0}7^bz8gE@()qEf zkq~SK#%-g_5uNs`;BAPDeQ6-Dd>h{qprfqP;J7Z4d15nV1kraPcYc^IjGJR=_}D#M zShnGEA$zk^#^p!xl@5Ft=Ax|X@V&Aku&OeB3hDx@fBAgWVZm{I7U52|cd_f3knypT zMRV{ld2bk!Q&{ps7vT=8Eeu!c3WDj4nkKd$841tM!P|XL{7x3y~C_W~MSTGrP=erxv6~1h)^i@L;T8bul4B({VrrGbRDGLHY02HBxEQ9Ibhv2-aIY> zH>(1x#AIGGNDkJq9=~X^y;_)TH8nB^Eavq}qtsMoLX=Qh*!f~Vs;_Pt_QJVvknqxF z+9I6y-M0)FNZe7jU0a3)6|YI$BbxBJJ7zfK!pBWU!VSaTm}o|NA&zsyAQ%uJI2dlB z_w^nAwM8_~rD70SMQG2_*EV-NDDsQq1kR-lKQ9f{UN!>``)CdC=`G5IfqLp=9)_qE z7$Qr{A}bVZFyd9kL-0@60{=0x{|UE%B+uL{54T%G*MLTP2}{#&swe8KS*E)}y1Y=@ zG2-u2&hrjJbd0?G5cmKks*Wibefnkhfc>tua&S)(+)1tfTX{)<<>N`w&%EsP&si?& zA285VwuoVd?|{{ZTeETBddf3TO)5li>P`X4ugFZ3%%&@o4P3890TfLuTikf>i7jQG zQX3oD=v&g`UxJL#qZRaVlQzwN1a?ARr_tMI(>x#moX_z}{nr&tk3*^W6)bLVon`Ri zM(2{h!s$?a$h+ni#8y==dHT3jSa12&p|t?FAs#$QJHdPt_M)GTuWZ>f=u)`P-6qWM zc71Tz@&kApAAm6^LYq7~8UI3dT|Vdf22evo2Cubus&ah|PRat#G_hSk? z_;jZZnHW`8fKqr>Xz6Vo8=Xw4-M1-S z{Y6U!HP)AMM^1Z=QmEom$=U#4J1f2Z>E%hSX5rFOk88y-v)JAN#JOTJPJ+K~vQ9W4J#kS4V+!l$SjlVNyF&C* z48G439~nU}_tq&|bcHmoRsPGPFeVq5vc6M$tLjQE>s-}v0}$nP+fiQAng_ZnurI#o z62L_6ETDJh%bFuNIvaI_Sv#%x1Y%zhuu*4ut*cPz5kbE0WCR-5`=cF9_uf<|&Mv>` zEibMIv+*+_Z>Kzt`%w`$`eTPW>|sG!g0QX7=x*Sse%`kupHMuA^{s7K^nzL$5q5FH z-EFa10Lp|exO(yfe+ut+xqQV7`ss-0@Ag!r%xFC*P|6P#6CO>axp|bj@{8nr7Kfng zxFxQYU1=_NdN%SY??K|qhv;sl9~BUd8)dMzV3K5=D%Z8E%tndcm9F9W*F9&fvVhv0 zQ**`o9-|niavtnH?>;%18(GD5CQQZJ%ZHT6XCVi~QNL$l37E=5Swa zRq1S0BYOWXm59f2a|=y&J4J%%j#7z-5zpk`elkfopVyg-&piu8`;!tLNr~7SP_$m5 zAvkE1q8Wpne;r(`g%$jP18zz(Iu7?XWEG?vu^J6*^&<#Q zPI(t}v{`lhXcvqMZ)xstSqL$VE`BT!qh+6B`Nw-Ik}o|8SA52}2Kz67Dcv)AG5s(IehF*$N%CIb9hfZQBl*Hq*^a!XBnZ(-)S6ux?qX&r)v_V#eJt zeePR_$qW(5Im=#%isv;UJsx@j0M?7Mdlb$;0b9~+ zn1Cwwg^yF7vpK(ZV>&9jYV8oHA-1v32|_RrJ()sY8)K=Rauk20iZqG%Zm)IG?@+hw_E#t`3#zD_fe))8biL{({{C8O^w@Fn$2Gm+{zj& zCKASL9`mmo;C@?we}PV0K>*eT1oBATO&CF}!`_1q>|5H*HdkHU!`guBq;3a$-p4Bj zu$1Rnz7*>KaK<(=h^mc*aT1@+7IOK%i_e6ue4N&7A=d@j4L1{I;6O1gCEIXiM)wcY zo6^~F0s%6aa`F~5L}L7K2}9VNu@@X2Y|yTZ5or(10~bjn(lnJ+`wE)zJ>IgWxu~C7 z2~5|Zd;D0c#l?DnKL z)w~rV7 zyC8=vPTAH!!MAy>N_5Rqk>w zBm5q`k4A-N(uU64q)II!u1`e2PtAdAw9>kX2(V}$v6=T(5$7>9G)4d zVn@}1R2;L(j>#p=@dNm>akMUQ5s;u4j$XX`*v6W0yaDsF%6!m1|3xQVz8lN?h#Cwn z(Q$SldBpGh02k)5f18-%ne-LssG2=rzuSJ53`xAlvXCQi^d7&QK9oZ=>It6iS6z8mTv(oOgQ$u%-TzjmvosBs>l3oF@ z2CurYiWk<-AtS4TBd1MTQBWL4T`kIkCZTl*^wJXR1{*7nC$6n09Jh8*_9tP1;|;@%rpjK^VoPH|nVTht+|1~FidUjDmaug+m3rSJhO;NsamVJ#>zs55t_g&U z=}ApE^g2JX*Jz*9-*3x))8T8cYu)vrE#aiIr+|<}$aItJ%~GGx*s2Qwi2+GV(4P$> zv{@}s)WBHdchZgBrU-xQZjiKu8;^izAG#UD1rzo5#BFU&f>Hvw^z=*AA zW7C4>x=G_=J49_-;LBea*Uj+8L(iz`5VN5hByV7Emc}Cf+aV7t`p6bmTaNe zRWoGLbV~r*>V4O&HTo30L}L&U3!{7;GXuSATY5U1^Rpeud0}8U!QQVqeyDXOVVYpQ zH!ofY8@+Q)M3V&#rrxSw!j&ar2ESLTqvw9KO1DGEsOB2InGUg5n;P>eI=tK|w;U6q z%G__d8v~xg+T@ljnA(Yi(%=dq&sWkeXe^gWZ1czIpUNWdt57!Xxc3mYrJ5~Ul4N8$wDmb^T$ zaW7F7)7|JOf5NrxlEJL+| z{`AxJ82BI8K!uBrOYNYyt1{L=SfyTMXlL5i=;AP~L}j{82xg6jy4ytIIB+mhxP(p% z>YSF9(h}2}T!J00d)FdelGSOUly&4~XVA6iY&!J%`HK#ma!vDwO-n)iZ;D=6elfCS z5YjRlC3`p1R&oyu-MLp$N0U*YSBqmC?-`euU)e(+@-fGG5_p0(+1~lM?GQHNl8Kp{ zqo9VTj%%kAiOVg=%TuY?OpDvM&R!*&(zGe$)HXIt0W+w_JT0*{ldvK{c>B^*E<;Fo1H0% z&w13}wxVP;hazHWlq<6A0^0^Kzm+VTLd)}6IbXfolF=?Xk(5L@{eV<{DCUAa#5t3t zn+~PYE5up(7v-wP46PW-H?=<77yB*F#oo^yr-#9mPB=#VnuSA0tmGWD3 zd26@7>;57LMeO;z#&`BEHxm;Nq3YC^&!d)osuH;=QxI>ghDNw>l>N&GQS3&q?2jfy z!G72u0?Vwu_vl2>$TDNra#ZQam6(!Y|I>yJdr*RIc4s;e5UCzNood|0%F#&tH)Vis zC8X?w>z*hqM=T+w*cQWbK{2A4{kl7MDyT&Kve-~CLvAhDr=U%J1nw}W^ zZB=%<0M(G1l5H}(G(!E-3bqpGjMmzO_czk*%L0j3U0qx|Be*Yr#AEG>TI1o74cwqF zaN#~iReh`idg<}%Wb6+SG@!8L#~=}c$?8KMRFo|uw|Z0&a3O1+K|#o1iDnmjabO}f z!R)+g3$T(sR52U^~kQ{==Mmm59T^duiAC+r48je>ES zeAd){8`bO4yum0}lCrVgqmN+8wW8XJQlmb9wX>#L1M*OO>T1My7Y!N;nH0JX$+^!^ zB~o;S_;kZ5w@!I9c?rA6jb-WU3jeT5Idd zbau`yUO#Gml%K9!MbZ?qyhScVky@zR#I%)xikByzEX^+ewB;`2)jk_7f?4+uQPP|6 zz*GH2b|vuxcuIkssSAmA8m-iCjAe)!^R9krl+FHwr=07peB{AzU}s!s_qj|hF|o}l zlYNI13f{vMPRAg>GPX}^ zf8*T^!(gu@!!>2=_!!?vkVq6@QLd7Gn>z~WtLp2-$j-bMIP8b0O58I~HrrvtzhynG zD-WC1Y0|kNm&M8M+aLtfW#q|&yB_8pTQp?>J90FekaO}GnB%XTXWXSX_vmr^bNk0{ zufy|Gt%y(SatH4&hZxoVHx7^?d1t9-hmKY756}*vpN<+eqo!&J#VD5bkBC2{~B^cpirM6sx9SG-bieumtIpErJx_8#a5+dE!0V!9mDu&%4rznC=|+6)+q;##F=zQe+n6*gsmKr9?si+TNh zA9$$957Csx#=SuKB|$0|=DEoViN7+Xgu zM+|4oz*uJ>n^T2jQcH032vhPfa`)j&*{9~E@4=TdAOQa+c* zKgVHPLm+PO*8OCTz?U+vJMuGrJH9c!Ykx)D_*oYP{?raL^9Jg42hxEF%K9rR>HkJ*!DWdQjF_ z5`>?-^|b}_^Y`aWq=wO@_Q&kj%{~&f%$#c&h=? zzzhd&T!KE4T0)uweMB~$JT-gbiN&5PKH(MP$RhsC%Pn}}--Ud}Pl999vU0x3soPI1 zLqDiS!;^H)E%;y6Z}o-xT&6^dNForw0H$18{H~?Vyo5<^#&}OP`GB9h-X6^Zz%WTi zo>~3>F%AMREFsGrCI*_u`E5o}R6%iF{H~W)8a<@;5}DGPFdBE7z0XoGi}tcu3Z=o zRK{zR41pA1@wPZ0sRSvJQ)xcJPI4yqS|P9U>q`p zesdTcF-2$u9A`y5*kQvQvFv+CqBT=mkHDkf;Cyx-Lp+!RKuSj#+u3ty%KH!5&M zo2Nzrr>{39hFP*km4zRWJfKBJ$@`J~H=j%+C_OxhNTyMil~Mysg3d*6TYqd7ug;{* z&e7<1m$#9zx`fd-4Ri<=@>M&z#dzUx-WjSbB#pi8&y5LdrT_-40e15kQx2E49QKL1 z)!**Ld<&`9J zhDtilY>#bA4FhHf%e&Ih3(qJkN#e+iZp7WzGH18Ks<{N=Asv`o(VPSdlOmRhiQzn; zxO0XGP$zyp#zTr4={m?*bz<0<(P#He?{iNp%&)ttyg`B;o%1sOdR2lM2zdNWNFlha ziBw7rA67ivsR+hgIh2l+*WN6mQD4_w74TSZxNF(X{Z_9re1F+7IECO$_cA9x=M$d% zazPwugojaj?N-O6hx(w-4nayosU#-lQ?=~vt~K|Mx}VANeYh~+~V()R9}RnTpF&$S#K z#z4l?^n=;m<>9^nhtEEaIqfo)U&Kb#Yn1|kHV`muoK;rePt64|3Wt2&Mt=+k?0YCOqBk&GHBYY36V!w81&IYf zdp2wIckW&|sA`}{tifBlHS}~i9PsPV@?31-n(LKCUBdztwLY(PvjeA={<8CI{kQ{C z)4_h?Ad3&OLvxt#sNd{}w9q?1E56YM$wnK8|L_-Nz?^_^W28Ne+z*<1hyHqn<1i0W zZ+(t(<$f5K;04a$=^%LKxpqY<`)t%eAlX4Nuj#waO^hM(i!cUP2PwEfa(F(b@jkg- z_LBLcp0=s`vcCa-mta})LVE{I2OmGIX~qijW#oD*pvDfT!w#NtD{9Nq)ZH`VtX+=W z1*3D3R6s%OhQfhk9r3D0>V2ZA>SWJAuDn>>3jJLmNb8`r9E{%f39dwgA~5@o#|U2H zIT`XHe8HEXRI{4cA@oJbapekvMVaAEL8xc3JV!vlYu4GLXu3Dz^ejYKYm$Efq=v3% z=2zyH)c^jI*t*F?hZ+=^m)s({VDwAXbBE+WxTVw8R<8<=?KBi2rT+Cv&_)SigeN`J zNGG5Ta%5$OsAnb1P6MK;rDX*R2FgwVCW0b2+`)RzM?&hOsl0}`b~9M0qw5gCg>7#y zBcO1bXoazuh87m?flk|@%Bo7k!+-6gTb1&7!1+ zrYHCcr^j%|GOXXVJPJMCpA&15;(oHBV<*%ILeuUvlWMnl8$d{RCq^5t&R-nA^(snJ z>_m^RIXS9tzXX7^Ov>6yK022}gcu&4rJB9x#AvK?4Fz_*S2t~2)U$$x%4|sayUHpn zdBPDgEd_^nTJkHdYFgT8eOhR{4EK>y4RN%CY6&oL64glIFjj5ouaY;!Ps&mJv?Kr0 z3kDv5!%|~~^?)z%BTwRI(bUY3!IqT0Bnkbb0Ns>gn<}y9KWcS~&9e@YaA(tuC$uTS zok>>$CnxEIq>F8Xnl;ab<<|}bM5#^l4%Be0EHGsb8x%Y$$Vj$%<@*Yr<_ z@|~*#GxboS1OnR-reHQbvb!Fyr?=PF%fR81PpFp4CXP2GLgjFfkNa;hk3MgI(AUmK zcZ`lDB90ULoA+31Xas{L33O{uZ$fgRmDyvmHMop2E0FFA6^t ze@o`M;mdkzJFb+&R&5m9-Z)Q=pXjgT!=6MH{5)mI(A)-Dh&j8ET&$xHDvS((g~kGa zTsR&;_+Evjz;n;5WK~X;1uri6he3rFN=a#EeZhpQ#=Yj>at(9-OAQo&>$=>8oOHKp z>*2;c5j4ZGD&hq8rq@sS7h^sW*Zg{D(}gldEJ&5+;JN9ZywFXcx60aOJp(`$X44eI zj-RF|;C#uNb+9CDjq&Ef#u9&68dp*dGVve)Z5MLK;nLfXhnSrIZ1L4)t_H#r6Q$Y@ zT&uWG!oYYOKDwRTtK-C=OUJeq*P&%y4U<#dYcmsQQ!v{hKI}*B*BRc`V^c0EgL?Sm zdN&9Ik*Zr10{r$}-R7p8f`1npKpii^LmV(Q+EYxb11W;}bzZMDD|XFTXje&B?9_!& z%+?=~RAt}_DTGCOqt(0?cd|E72$H`b$e_TzL}Y72x_ZLCLY=N48r? z=frv@GDxplNf+(^O!Rmeewsnr?Y5dqdJ{6-wO`@=jv!5^?*T)^Q1sXm2&J3H-OX7_ z*|&g~Z}f|8M);&YiY0s-T9nd=(G-15>7H}Y5iVX|5uNwV$uJ}JnQTilj?E?K42rZ( z9>ai_2P-2iJ=$haDi`wM4TM7QV+Y(}dAXVe^$13y=4!6cdj3}(}rq%Z?-$T=C-(N&DWj01m!o9Ghjg`4XKS7lwKT7b)N zzN=tfm!Ww1mVelz=d0v|lXXL==06SSTIiBudWj~H^ zx3K~kI-;reC?bjEtQ(7O23H$K#hF|6d z5=e?Pc|tkFR)3#AV4%2GqBpJH^>oiV+Q+BI_)&=skgo-Mx$|xMkpD}O0g<{FTd~7l z2=bSiH2Oue1@X`FW2K#;TCXowS=caDrDd#i)7PQ`VDJH5G2a8QcjzsL8GRE6U^2ccrm5xlEkWfb z6fRMbiP4?lV+`Va_WIIt7{+*X9(iW9z@iJ|VttU6L>f=nni9i=^3qbSz!|Cj!*(fk zYNI)Ch2n_sr~$15AI)$Dp_t|5zKztq6Z0Y`ljDpPRttXtw)iAPcs^f*;Lz1Q4>L$N z8+f)2KXz4W4)hfE5y&={)A}kv7w)v6Lm$imru*6SI0TPs2OYA+nd7e47NU!*66ByD zgaY>od1e@$SL0<((#W7HiX$0i-FF)$=LOut!s;Tf9pm(OS7iJ|WM+wk_OWGCm{LL8BT;&oWkc&3JzNV+t^6W*&cRspszXBQ)e*bA-aC|%sM&3_~W#GZrzDSTx1 zq9YhF$&@} zl1z+J8sHD|=!5*>gRmCqa3zg)&89|jr5(_lVOz)F$C;ydaPbU#{w*7N3WJ@QLe773 zwB9IaKENeWi+>iX@YP}eTvK+(EFk4^t!7i=<<{!<;GGgrN4&`+s~F_v19-Vb3w2Y% z3kmIl57^S(i-N{^*$N~8wCaiD`vkuj!>;d)3>dnE6b~$$qv9D>-YdBG6l9)212-Sax0@vkH-QDjK)fXBRe@srx}d1+GP0*_GFf8Kp%b zJwHV9of8T=eZ<{co_<%w^tt&CZAy}L80)d{Kq9CjC4)jHMuOs1P)k`9Sw_tV@5Ei! zcd=w?hVQlj;&wjY{Z)P)CI7%;sJSt1$skp+@7E~Gv?`N{OFDwdZha=6;qf(bP|;!I ztkgB<&PcHrn1bYcV(jU~M)42qfv_I9Zdht972GsAS~tm8=&@=O*mU0VCT`(;?+_`; zQY~NToE&zU%g2d4FNGwSph%a;*1aTf4b$ma6RU7u^Xk-Qm^>QV4YlP%z=N&iqWAW4P;TQL<_S=3+kY;x*hog_cMUiQMHyP0WOY5bUcS)+(oOr%#wNyb4Nmn(i zmq*LTAO)XlA&+sCtd^iu2yB(;A5bwKQQ@XtO5WPU0fhvW&*exgFfDXT03rp&2uW+) z6ujz7wI>N~3mOt1L{W0uP`0)IZgf~15RulqTlNx?!x4-r{fUYr5Bwu2E5WY(o*UtS zV9n@M{`~;^JkTIwFQhH?&&M*9yx*WdQjPMGzx??$2`~L7RsV>@-v;F0Bj`#S8uP5l zn_nX3O7TR=XN<9P1go`{@N0iVC0ugH^l+nF)Ol{PzIqM zu7tU;u|x})_=3Fhcc)Mq;V5=skh+=Pr$V(#g^PAz$iR@_m?B^I_4sPFG!CZmb2~<} zuaaSsppv3MqgP1U`1IpE-VvqU87HwG%Ay-itF2{-0Fec;OYH{N3s2$D=)*X& zwX=_1io#^1%dQZbgLUtGBT$m8Gj9JDf%*pnWM^mz&&$j3Zy1^Xs>|m1Um;LRj0)lG z>>Q2%(q$h(FoqKU!;g(MfJMO=`Xkx^!YF+JixHHr7h)I$3=2p#4Xx?l-WJz?-qwG@ zQU8!=f3r~kmoJ;0@qfcn3`%y+-D~Ryg#yjm%&7#rMFk=5LB?Ia zzypA(G^ic_V2y=MaaoNw7~%e2ZFX(SaC!|UD`mdK+F4Q_Q1ZRwogZ%91NWic6&qDx zvcs@GX-*tGUJ_QbXE#|En?;5m6t}5?o5@NBHze?(bF8V`KSnVDXONSJnRzOeuLSBl zbK%^b%MgaFkDWs5ilpsD3tM1HbI`72(Hb?@G?7Lw&IS%_B84^m`L)8b_os^e$VYA3 z{1@ict8-TJFyI=otM;iTTK<|~l?q&7b@T+1o%wemG3A8t38Z~^qXsB?SK_g!TKaO_ zfVHO1W8Oog5D^u=Asi@$=K8ft416`x^4y>W&N1__cKwT_(Ry_+$!z8@k4&TJEhs2# zOMP$BXh(u?NVRnKa}$C2chz~UiSgb^>Kagc?Dj1SK>>|OujU?YyfdH-Vs`LUir#P& zNF#aysByh63JjQ*pflV=g0km45^3ZVT6>9USY)}e@Gh9I#XRCWf~3^&>5ZU5MbNOr zJtm6rO}66`fFA3ItEQATAc2U#pga~3(Uj7#TM#8Gj02h%Kqx{dx&$ivz=?m1_W_es z^ZN6ND@ejncIpCltLreiCOa@!#D^!%JzQUe<0Zw#O`d`sXNe_*)E%%hmbB}dUt&Vd zA1J7je3|fV)QJ~5VKG@9biMhF)#UUW0WZ|Nm41!^r=$!#lWR4ZE-Jp8;{PcdMfktj zsQ-7^D1Q62Q2O})@7bsYob)d}&?o=ca$p_F2Pr|!k*Uy+yOX>>%;J!T_9Oa?%o#@D zZUFJX45~5R#tqP%^)YyOhoxU5L@7yC&O2=WReh=6bQYT@bD;o^?k2UDmKL{PwOx(c z%EoZzsj%qj8kVA@pCPUbaocyt0T^51ADbM)0I*yXiTy^TjASyQ4u^QpcO?S}^msL1llEF4P2=%ZYdE zGWK;UF@%t0*oCaO42GJg3MD%&SgXpj>Qeb=orS>`S8_2e!?oB_SG~mvG-+G-IFnjT#f6V4Qo*oE;u~gy;JE7whmOa^ldKeu)_GeDZfzft5|D zeDrUJQ+1rBZA z=LffZYWwjx_rrA%^Ip%3!}B*O-igxu#RY?!#H^vrsny^akix~XvfK@dn6>8Zo-VgD z8HznfZ$X)KJ>;s}e!SqJ+O^CoN99{2W9BY>kV$CP6O?M4gT4@LsKfj!npl0vfbf34&+Z!EjO&C4%| z##WjhhvmytXXnLPv;JHoJW!X=FUq;neAB;?^5%&!>$N7_C|bG0ggrw)BIB5) z#|_ZHmul{^D9OZhGFRo%STd|Qt+p$;#=!R)>@@NyS(yqtQoDvW?xfS}1{CIu@ZKq_)3LAfk&vGnKovlZ31`RDSFs}=L zwY53JOTxi8XqQoS0z0saT1`q>V&R7Hcy@BU_wT(0afd|g(J*G~>E5*Ec&M|SxU<+l zIv@$S!ho2t`@{3H)5k+>>#qGF2})K4ZAnO9`wWY3e3!GVFc@Qqto1aKTA;fW8gvpE zK{fbTWt^Gfn+1*tJE-k>)(w}!9BC97^4;mQm`dvS4M{QWBk(#!BmeCDCnUvm(0GhT zW(Ec0BE%0n(t+Vde%w5Yq2&P{F^Z}K_R%YS#_JfF? zy{}c2w(9t3P-R-~;S(;#AgR&sdeGHTM(j+#)(>ognEbCgU6U>cJc(z%9>bk}wubXl zCsVLL0VIW-{>g&L`k=^gLd50iKtexAY&gI8RdpoXX6`SBe&3{@)f}92cmFH}u5w%K z+fGZ*-Y>OsQ$5~iHQ^uk((XDk>-hd>%lb#KP58vM(xMm@;gB0JU3izi!UXW6cQA&c z#G?T``NoGF3o6vbjT83jSg5!;xGPtkW2%xj(@2v`UxbNUg2pMU+#{npr1&2y|@ zB2+zxDQ(em?1pU_s~i}7%6pz>DIrYxHLrLn^#B#O>b{DSuC#uGQh!4@2##tiTKj0` z+`!%aeV;$xkr=kF@~~|KTsCcpb(M$1>4T>SpFM%=%pg#^G%#r3*x_q37xKG=*v=HH zb|(VI1wneC4p$U(CH51Sn?UMQb@U{jb7nenBqqqU@_RXuZVmjSu{n)=TnvR*W(M$U zGQ;GB>=#q%*NM^?vaNw=5|4f3xYclc2Mrh8wwB((u&1V+=M#97V#Aaln$0|x9`MT< zg7|8>xCUFbTzysyr-&kcWDy&-6e=~<+hU5Fpv7SYG z5HvpmdiHU8+R92RCq#si=({G6S0d`#z9iqSy|Iq?a=+j(LEjMHmB{jhs#RW^CmoG| zh#Ko%z-?ZhbGqHp!e&3Usg-%{^57{q$T9`}%=fA~eV~~&n5@Ri@Ivevj%tl|WOpLq zK2CpHxcCFgKC*wi;+f&AI6G-ny>WF3+L*>OB(y(5uR3HYVWtgOX%qOv`AL&ut1s0~ z+6NGXiN+6MfdZFXSHfKb`2V^6o?jInVuu_{>QJi{{C8BVj zJoBwVAeFGDOSAlv(L)wT$1rW1N{nB(-hk#h`P&zPN+I)GFfeIL!C`X0Ke>tgv1o@r zKOgcJK{lu1Z9o|LHv>B;VwqM{q6Z-=-{Gx$sK{ zIRKNGLoF}ERuGYny%vyxz;W0cBaA{I8I!{aL(Jh z5uO3r&&x6HH((tG=Vhlt`<}d;pPpk_N!uU8(Zx>_%j{x6rDwr+kMU62>f%IO8B@re z+N@#8y)>YAY^|3`r~N^derN{xW>i-Auh42y4jIh!r;pi?2LVTQBX88m9VDU3DIGyd z6Km8!wv*OT8MB+K#GC0BSsis*xS$q|m5n>gxVb%BO_J*YH6{X~Kia%jGiY2B7Ars< z-`VM+K*y6)ha#of7##EG#EO;bO$9tzV!COoub5vV*bFRimQo@&@iQpGRbH(ZD(GRI z)9h!`n&Dd&K{jIm6CWAKmg8W-3UwK@DV$mA5il(-RHVh@U|p68S|7U_%Z-C$!kZ&u;JfCEHdL8rb^h5nghaH7WvEe2g&ia1TK|Z zoN$+YGfaG%krxK2@zstlI8%1Ux+WJ0VT2$st6 zb5*N+MTKNQSn^We^pZ0EI2r}w2gU{NxvIQxIG4I36i2XmFmo5kqMC0Q5&qQpx@1v* zh~$+=^mTcAdEB@hc&G;a{dwHo71L~aylE<7>9Yv=c{1{;j7}!^&A=Nh~bd_BX@K8UXH$JzwQ$d{BU(% z8itqfgM=9^L6lg5Vnu;1Ixj&r*JkcPs!T4>9<2iY42n!!hO7VM5Mm*U8L0#~Gz6g~ zdcFU|@>{8>+=8j>r;DuuGyEBxZcy>F_VX~->a5=LDn`l1C*V&#^oc(A^EJ<;>+ct` z=HNuh;^3Yys^+HG7#eUI3bE`zJvA|C;s~~z5N?9@nQ$pzdCo2~gmvF7tjM~(OGTl+ zjfp&nf&FO_sJqq|W^yujErQRdn6uk9AG`!XWX-cp8j_DMA!KE|wXyWr$!_U+H*Y>Q zXeS&=hKiBV@aBG9<|EL(UG_Q)v4!xL@sF#LGnx!6$Q*%-6WtCaY8kj}PK~0>ZV%*q zrAgGhZFXi1!%e@88Cbgq(AXNfXYpIRVd9m%UHXEqyNW&qG6t$@USgW52yBa>iXg5^ zT<+@lm|QXS!OcUu>&!g#t~I+QP%rstoHIyf9;=STD6*X$EN14jrud?+Tb`;)6!$bQ zuKIJ9S}NXb)QR|Z8Zi_=HsNjY$e=V}G(oC^KTghQY=G&=rCR*mH&UUr03lzLYx7QJ z05FPk)p{xj2M#YfwJqQ3mH}!TkE-kpe+~Bu@HEv;XU(Wc6$ZYC{;0^N7x&KKas;d4 z6OleF8`Egr^5oJ}IPS8^TZYkJjG5JY?DxLEuqI3WwrYI}m@CH^gUtteSu6)jfPQR` zxo@6~GS5H3O|e1x&0@36R?jmHh|)R*VxEV?S-L>m3hH?`x@zU&A6v%i@V2PnaO{+56WMk` z;66)#pmYp>hDkHtk6PPvg{%apcC?BA*tmbFx^zGT-|cM@>jKsdwdcga_Sp|$1rpdp zy5W4}E&#*7<<r$v-z%`0AB-8(g{QFA1)Zm?c9rjJ!osy$idb_c!wune;KiiCa_s*B}A7J zRM+UzH~ktIxSI@NuXLOZx`>}5cEh`U_{z!Qqn73Evt{4s_Vn|dh$A=R=8pG#ptjzn zC~)|mZ`dyWe(n06L{erH8PeaZrdXWl6IqT+r0YYP@HHtG&EO1&v9Y#YJ-JYqPp89f zJ`v&i(id{iEtx*=8 z9p zQZ+|xPMHmiD2%S!BD_l@6U}|_kn4*JMn=2Yrlxh0D-9W4&59RXMse8vy#WyJqrZB8 z@Zm~0r|^Y_g37jo#G*H5qg_XtuQ;=W9?1Y7Rd#Awf6iK5Ri64po?4G^@p^(~G8K+3 z>g`_K=KivObX*A*EgQ0ka--%IVA zV3UqBfjoeyX1!Rqrb_+{xLnG7wvrfK zZ%V!CkzX1W7|O6-3pz6L6w-?a+6DnPTnBM8*gTJKp6zvf1(+lFWcyE!bJ44PX2<5S zvy2OSDC6(Rj5fgej_)@I!C|GvR)Ph|>EMb~q|8xLEIjid zb@-|1sk}1RFpmESE`T2;k_ERMU~UT`c6i%I3NuP0<`*1^NMtjBME+8ItUwng3?U;_U=#Z59R4g`2x;nb;Ca-AOLp3Tih3#za#clJL6F(!s zL*OGWCfOXMu2dnoYAYb%>}q~SO1mJl*ij9TKxOE-+4v|?d-*h4vrVP@^Rp&9^wV)t z)-JTL;VO?mrkL~S(ZWEusCJfSpuEH%wM$QcusfQyCH3&BnPr-bQ)HG?;9O*M*ZtkQ z2oZ|}0v+c_4Iwqc65em-3iZT7w?{t@NVGVP0ypSkGExpPG0Fm|RaPBts=!AQqyl{= zJYSl}WkU+OevjNVCu5g2r5FQ?0Hxk{020*-7z8J7nf+-V)dJf?xN&ehdBM$U!_^$Q za&T((yHmR8)8Z z#aj4Dw?`mAQe2i~l$@rrp;JhOwIT-eu9mulg~@rf30%E*v<`OdpEpuHUG9DA8+ijw z;*wfFIq*ClK8Fa)h`HOZdT_71dboeh6+k0NV)R(Q^uLe!tvt16PxiLm_)3}bnAD3o zHQ8Zg;OY>}TXZ#GjWH?5$a{!BwJHrnQDgibKOixX&Ajamgh+Qj7DV>TIR0f z4fBV1g!%l6^yL(VXjk=tLk;KG`uyQ3cm4ug6REfSY#O7RDL@veLVQ9h3vcnupoSi*LYHWFR1-%wpMYRnysN0(brj$wbMAhoc zL)9OQ%X8`Wb-v%fbb^z{R$tt@Cry{1C5hizabR4oL~uv+7L!mkN@gr?YdPKJHatwj zTdt(7YSh!?_)$O{RR)VZL}f@l#^#OFax^GU$aeCoTV}G-8gn|7P~D`8C3Wt}#^e{Y z6k?Dcl&P46T}`G}Gm4qcY{dEy-Pv^T<2jgE?XoR~!HUrv+(T5tmfF7hd=6FVjW`hB z7m-hv-fnED0RRdh4DSX%h!cu3$-Khlh=#=8zTTi6=#-9}7i&Al2O;C~vcQl$7zi*1 zBY`4=d6bq-$N|#ugou*Y+u6(JmY4MRXn=&szv9%l9SM?2?j9*92_U(%JcU#7^z_r_ z$|Dh6HrFpgAZgq$mE5yi6K*bLxkQz|5Ukz7)>k?FbM7M*&Oz8Z83(Tyak zztJIL8ZuC(+i47^bOKQMc$IhBnZ?W18vI6~Jjp4u|I}pOm;wI=V|F)6pQQn|JH}ag z3u*>W|D@>!nkUs_`FGhV`#)-h|NCU`zeKt$|I0{M?VpiuE9*ZZUBOV~XahK-^#7CFR!DY$#j{sYVK}Cgyh?#}$U!qMF6;>i?(I z_4@?g$+{iHUPhv^EFU0TcXe$t|NWrU0$MuSv4rx$&!_U*3a?O-6#xPftz)=}+|s?X zx|`3##o^e&ap{ZvNghZ6=b?B71B z!U*cpxBV#3&B@P_D~rG@m%5?QJk}2vcNhMmUfRzP6-}dR#q-X!zZcq-50sPFh4Suz z+Qm|~2<6bBSyQ>=?GQXwGi_I3$~ujh8Mnsi;J{1kY1Y2f@xA&S=xZrf`E%jObl8R* zc+!EQUnxPH$ZYNtIMwHvTfV8tNPTOYp%Ux zMeNvr{O9uIWoATPd>0v!@s9Bfk0+#0oO}w1>I~CmW|o-+?0*(7um4)S?En9}cxmu| zvv_Ip_u{2ZOpAnh)#F#&KZ}?C(TX>L(NVm$@w+%M92%&Ce11Y%gP3I}$=u5geT_cY zrsHSzK-Fr{fC8uRM(FgTb3VfsR%XGqF{HBd?5PY#5tE*n^EM!;{!7eT1{ov~c7s7@H!ZPQ;Onon2=GqgE1^ujQ ziFS+=;>#!M!rrIz3WF1IP-I?HvDqOox$wb4Tl|i)<&m5k6Bs)irKN2LJO6^TPUT$3!Rg^ z*vF3CFKtd?u$PcpX2KpP-&Ph7%JKXRf*(z3b!S{S59d*!n*HS9$*+WZsyHqu>58wm z4$)J`y~bZPC8C=@3^O zKd$I%DpF4(!kVl&xS>wqNAMo-?kN2rSj9HC@F&{jXmYrflPM3I)PUXEu+w;E0Wiim zLc$AvGQ(ZGIt*CxbD#Nog(9Cxa`s4+ipwBGhraOrY)8;z6&@KCGsg*WZMa*)Zi>hm zv$~s^KQw!eDdlURSSzs_W>Fw;=vs712rE=xCnx}iO}*k`R?LJ6<^Cp=lYfa8g@4JS zU=|o4w{qM5QO)T=mAqcOE2Kn}yua&Az`(w7?HNs3f-o?)VO9{pK={jkU{5o8m^L5T zAU~@SblNBS45idEsu-vSP;wW;NZtuUv@zfWejI>$5CM=P#NM#^6(Id&Quyh@o(n!E zDU(7!KU!=Z^(C8d_Wr)t4lRrhKF)mIFS{=yEdt3l4)`-gnKW=`8)pZ>oJ&YL@C`}` z-Vo1$l{<5pTEtn#Arn~CY1IG-i~ub*SsrZuEKJMFp!vnC@@9pN*8JO_*FYspOGo92 zObIc@XQ5fjZYDABoK2aCIMMKmpon-uL_40?@hNnffv zh1ur8OsE=5PqWfXYrSJ?c}t^)f-yvSJQz}%nxz1tAG>WNQ_d4bjVYy%;=)a%eJAvO9uYJ0i$fhD24=8FBNNQhA>e_Pt+en z>QGaCJyQPKpR_pMe3_q_j7X0zZAYg(RWN>{MbKKyP>6XPf2_^Cxq=LnE~%U5u|Ifj zF$?`Xm=P9jjQv*d)X50ySv`?9Nup)rkt=0`g598H=VddFvJN$i9XX4=dWZeWQAh(F>qMg8y;x5)1?kYtL9B%%Jc_fk@8`f^Tw~ zg-y_GP{~^I+)Bgx!7eo@nBq9D20URH1}<;Bi%ZvN0j74rrX(B_Oh?yl{xfL4;PEiX zC?v?pqF@xr8iEXu7+c2$F@}qAcOd?CKPa)36q}C}+flerf@QaeV7I6o0_+Dt3blIy zNx2JjasOMZZ)`Gq5oi}fmHz`SZ&Mw!7fJ3xf?PSdy()Ngew2x&z>&Yaxl&?`)xs(V zjoSBrvuunR~yjmM%1L2nd zdGs4{8j}cO(jYw{BI>cCW)0`KLY&?fyV}(cjX37}ukfA`)k3*XW-c8G^xnD(qH0xn zPiLM&?r@GvlfhCp(t_A%F5Njk6{pr++6CD+vnix>CvV6jGYf0%%UXhOn|B|l%a^IKSWO?}{rxWYWhqoXo}RB?PhSq6 z?{>d*fAe|^VIg{n?ikU~;Kied8eI`eZUXg6?C8*zNo4x!%IoBAZ{0?|<$&9DhMuiY z_6eRo3!gkHpw5t+_(thn`p0{;|GW(7CA+;O&iT>cZIwuSWQ(>yH6dY>r^5fw27kAB&e

!E&PoG#BOoo=Owa(MEEcs%~V*=yU z2G~*;<^BHah|2J?gjr!LJPP*&d>HerNxzp%ydu&re0yt)RXG&lwIU9Z}; z9q}!y{TU2J1#LpqsDo>?Yjl5kgguxY9=-W$dB2chwBoHVCa(o+xyq z_!!F}^Tbnpt_Q%n3_ALgZ$8uy?qKkYZ$RyPSe*mW`&sQ~wkymd^q6L*7IXz@(jq(n1PVg%?KQt0Z zOTauR#RkH&TI-_i?e2om!U;-n_Pv=pW)gK`{iG$f?Fpo|k0OCGQtrS+@j_43mSQl# zeP(slV#2J6rpa|Q?dDE&g}icOz#5a9Cd!!{4!s4+dI!vQo0HfgN8!*9QnemAo(`rHpD8YY+lbXWtJ8Mp?-ncMwi(MAWITquS>sr zZEJmiaRMgNk(F6mkQ6H!?oQ03Y=FVLLfR{r6&%01J+8D_X&JSoa1;HJTQ!goA3QNY z@Yh(^!Q3!4VpLkqdD_j~Q$0Ty3m6+=)UJq}VY z`X14Ak#;sU_HmUzd&d&5DK-CKQp}Jo??(2!?0UgG6#p|?)GKdWX8q*fj-niUHLv`j z?5?)Pp!}P=BWK&a)dCJpKQIrU)kG-s%cTa@T%-J^GE&)jD6t>j21}{}Nw{1|nd7^*+gYnE% zFLV#~lAixI*$gBZmR*PDisI;;HF_6#v;(##r(sDBxq&~%3qAB)7rBg?A}d1@EI1}UxLWtDED z(;stI(cXk~Jp>Z-ZxELJmkoF#XPBu0CjoJvzCooh!P-dPK2ij!ZZEZ7?yVU<2X#*g zDu=2Ay#U;CLtycXhWJew`vDE4> zD3ttBX&-tfG8e~SSutTXrD|RuKV7UVc;D}>RcE7wD|25eH%9#DHHN%laWK_QGG(nQ zAoU9eXHJ~5TWudK=sOX6jzo1gLK~-}=Z-`cFUO&Ndg~?unCW;jJavsfnPrM=Rnw-E zxXI4$J+v>&fl^8oN|?x_>UInv$z)3-#}l&!YDibl#Hx#|o4v?~(C6-gv$^!fVgPk3 zl=Cq2z})4{%92>(wmVQRz2h_viaa4#`b3Q&U$P{+p zD#bxFt)lTbOo%y@vKv27r(bEaXX{v}H|RAE^#f`y{%B=*DHn02MD=8^JsW8mZ^73xRDUm+$BMz z@@Wt3u}1-z{U!F6NP0+%E0HXdw89l3c&>1ml#-KP-m1WL&(H3nU2uAaP{TT?PgY~i z?Vj|sP8wUM|Ehsi)~sp$fyW|qT8gkpa0W2w+PS8`JPe!ft@&1q-j-r*43Z!Je8fyN z$4M5$H4aX9`o((oHQkVr>ilv~4G@l5vm$k*Vs?f47Vpiycu{usBjdOAuhx}=+}?^y z@#Y^SI{qiSz*5pS?sm%|o>WLu_7a*Quw z;EWj+-kooEvAPk@TFA5<<^&T2DX)HS2jwJO2{e+_k2q?!h+WY79 zkuU?y{-yS9v^-8HM5xEB?!>$L!k4UiL>+PDq!vE&G|{eYRiYDZ#um{LYuY;BUqzAB z^&#d+v1^8v{3!$4bQl!a0H@c~g{*z+&SDjHYn}C;{!yBt<3C>TNDTBoD68*BCe&+6 z#y+$2;7$FQ89KQmukXjUizz;I-a5^2N-Q@^&wXk8L8o8&c=)I$E>Nq`+yl>OY%}K4 z)>koqofJ@|cnm6bedV!>dbf%80)3i7!+qkb6r?tz^&|VVPJf|hl zYXQx(lwp&CxPp{ud+8VzJV-|qpDVg69O<+aE)k0B1jU&IAt%3M)Px#@3FMOv6q(%> z7|Hv=ile~CYNY5Ei~q_IfqyC?6}KW0)1eo-8`gSF7LBHv6jeVK49NnioeM(634h@v zF+Yy-00eZOt8YdU`BlV~r=2p)17-E(MthF>cB3pAU+%sau7n#Gr?-ztI4V)RMK6O| z{&Ic~THu3+(9ruM8@L#6N)D0LRx6k9S1i2vZN8x}fc(S~#K(x(s$wgp%TKWru&XRW zY4iXR34tsYWCc9ZToB9)sKEs{Cx|E7o~!-qR6F3slB3Am*WGAZr)Uieyhqy8W-5*$ zIk$Gh>>SXp&^wd1WMe5NWjUqk$7V|nI(rBFj59Y(kNxYNUpsgUOP<_B6%mVqxep8S zxKcbTdM^23WtEzA?~ns+^92c$WvO#Iw*rd#7|km%bMM~rVCg>Iyz}0DcsIh%P(~gOJ-eT+y)q{gimZ$ zFW1sL`1ayQ81bI<+Sj$S0s=41bIPTSlWu++HHXyg{nhYh>W6JLm?yDpp^?7yn;m%t zET3o!8VbxqaY8bpcOuxWOqK!yeg+hlA5v0t0jji*$jRLg@J$a0c#e>_Vh4SbQ7s|WBDY=3qJAGo7I50=-%UWJSi%}?+ z&kb?{j5QV&U>T)(-BeMvhrK9cx|Ro?|f@ba?3-ayR6p^%{J{ry(6I$pwV_w?W)PGoD z?F(5g%A|ivg1rI<{-9S}#j?y7cy4^$;oEYG6&-D4kq4lc>noIj~pO=bi*ck$RFyAM^T@?ygn(s{!d*gbMQ)i<6t>6#2ZaES!wIhyTaJ*mf zypk4014ekD3?36Yo%^sBzyD+?`s3~y9axC99HBe&ygccLW!X5(Z|Rg0oKYSW7zA~i zx1(f!6#y)DKn=3QBoYFjZ~(%Ub@QN&-k?c>hYhSF(PpQzYi0B!)x>R=+ii8j_j*dt z`s;ThRu`!HeBr$=He(GU2yeeexEmK;v|guz6Id@b+Zpy4WD6ri#DTyP^a6*;`|znO zF0WqR)=XY?AN=5MSe(~!mUUh%&&YZYiuX=QKx$ChP#zh}NbIq_yd-)3Rrg*}Tall7F)j6EqNeW%#MD(kOsc$TVNPdeHC%n0ts7_o|Y@%l)iOAf2T@kur^-n7PP&J+m`us<)U%y~x5n@t!zy{VJ*mBuk0bee&@%iO&3KMg& z0LliN%5(dq9SW);h`BL~0sz~G>b=N_+NFmeyWQ+!EZ_)#X5vot9P{9{j*@>7 zMPCx(O79)U9D699IOkn~n=l?ES&kIF=MrPc$R`riNRSLm7A+)}QJY3HBMMHy)+`AS zoz8vGpCY`~gQvzXnE9p+F}%@3Mc2`j>)oBrQ?uO8OQKdipXmv_q|?nc-|$+cE?9OSGnJe=-a>H-NhtQD_z$>rMlhShHd9&7h5LeHiR?_37ahjHV=>i0uIZA z0?!;>v;@)R3XHYglc6NrA3~a29!cuR&mi$`0TMnl?3M{P%aSfn8#(h+wH)` z_IN*Zyq4HivA;z|gX7i2vIj_-9o_rWkJ0T&E=K_w!$%yB;X+V73OXq*c)XsI1}PTv zd2CR3SHUkQK82tV459`@KHh6RQ?yC3cdiiPp$x6Yw!FzuMbFS(*|J&-=pD?4yinKx zcfIZ1s{3XCc-!u0E6G=9sIRSM$pF4Ixuc_5*;x1R^+pQcs7@#_KF9<)?h+@unR|2n z4l9p{&t>Xm=VZ=2RCzl_tyBr%mj&urT7-oTKU|DC(5jXu-M$FHEcFUzUeX5J*xvxO z!~sM=ZU?D?pPE#R>o8HVYJ_I68W>t!8*9e}8+px4U6!MY%T8?xcAbn7O5%c@!sDb4 zc+L_C$N(vI#Z2w))?D^#S2AQ!juYza){I>S5BuqXoU&!Ees+^A-{%B1DH7Gs$OU`8 zlPqts66!CF5p3d$nX<*8HF0cuUE1g161VZjS(OS$XLm5i?0^xSaaeV%05y>$I{xeN z;_)%w_l%up&;VqhMh?HH^yMDr3>)Sy#QA!j$lyLFZ*>X`_W&CU+lmfUHZYi;yo`fQ z;QK$2X(6aQI2Ab^Q-))l=7U`uojQy#Bon{@jhem+J0`ID>8(#$z?(mnp8nw#d@bdV z)zhr>zDIYy{h~HH#F|{(sKT^rVK*^#0{s=xtbi~7m!+OQVyP{uNZ(eKhof8HG2O7k z$G`_e=b}6x1S-0`uYIUXP$q)wIe{C%d7OT*4L11JmWm%tc`fvZ;7179IDWr&ObIgM z!IZ|tbZ@AS-aovy2TtN%zJGT(7`VT)^rtm(DB%n!Oa-Q*3lmYgH<}<8eIavTYyBFK zp{y0^o~1(&am|94aT$0(9L!7(M{YjI;?Z+)_JZ_6*9|%!JZal);|mdYC1^rirSIK8`=u`9b0H zg8lJehX-~2>g1=h99#iR^*{zbrXj=TFstZOE*hKRw9Nt$3FwKF^ThB+&@tN6=;=Aj z-pNJJ@rUbX))8c=Ymotjbp!$RSj-XAiQ+jZU zI4!y01f_Zp$t+MMiuq0(vIg}0vR(JOMBL~Jt=S9GB3b4jw)$rQIVqr((E% zb_~b<<^m;Z9S-#Lxgm8El!Kf`L(f8E26tu#+YPDxJGp5Am~Qbu!U@d(eW3ntvHPz# z|3mElE6n--MD5&Z#ID8F9Lx6-#h^0fA9cIe}DFG zir^a@_-~4U<*zUNTYdlkKn0lpR{{KgkDva73NX+!urdCp0d8%JHH`2zrB{bH3g^1< zjMhW1KWd(lN1GKU0tGHApp;bHlc1e0n*H@Q#nj*_rWoD_6p)8!k>29y+0no>-FbDl zxPSHJ(Y0ERMwjx-rQ`9))U%j2wK7!hZ1e8oVz;vWp_8GPVw!SbF11K;u8VDI zrahAG+Lh0Dt>Q4Z<-GFpU$DSi|K+EL>tEM|Zf;NS8q+@+Ak$G^^kZh2$@AW~B0M%f zebt^D`TsMIiTItzEUH^7o7}W=_sL&8Vp{qqk9i-mLeXz^LjPZROaKUKm;L+ADyIA$ z_Dm+4+G0gFD^o#F!^!dXIKm~vm5GpagW0Vq|Loe~ZBrMXcmKcgm}&pcW9IyK9<%Od z{~Hz{`_5zbz?&1Dqh-}o7zK}-;0LOzl95K6H^|reu%$nt#W7GS27><-6HHmH7emH~ zRw9LUb1I3$U#i0>P>aV%+r!6E!r0TyUKJ!Ar`3ueKZJ%aLP}?deeh*Mk~D5&xK1Q2 zbk;4)oVQlQH1Fbj=-%pJYnNVOVaNU*n?F#7!}bp40|JC}sFQzeHY&Q4JPGAno>Mq{u6pdK>! z)39C<2>?Ki)fuQSOx$)B0sU6MmH$$}=e`y20G|546z~Kzb#HzMDkNBOTdZ%x<=+Z8 z@a4AxPC)u^1zh~U6mYnI6mS~+OM+MEZ&*P56Zd~nz!UzJ$3*|16mWd~5%hm6;21{7 zZg}LU()PLnMk(xfuDIY0lE$29&Q z^O$%V|H@-RU-St78y29SVHOB{u0qKpvP2b)&PW7gN64;;@t5I8?u;LZpNMkB_venP zr$hW376^eKXa{|T8ibfg+(p1E^SMWU)N3SFBdniIf7qZ#=rzY1r@@OrG^Q34Hx!^W zI3SA0gq6Gwo^Mtu_8Ft(+Qp!{jzE&_jWYd)1wQ``3)qGI0}F_|nf-uc&pA@K1Dm#! zs4%fRHM)<56@7_m=Gt~erQOf8RcrJDiKv?&J8P=z9ZLw7547@pLbAJxF{ z7TKa8W)K9~62L;7PVB8{$&;MJ-+|vH2JVqv$;Ab39^^lGY}C>LjqMBz{4^LNFRHW$Bd^9>-4Q zQ0LZyATO`=O}2i{dl{e}RP3&)NTE;+ z%VP6oPV;qrZOgg)Y05z+o});URvF*093dM0XhdY|f${E8)wCxgk-U?fY${+hS5f&>ZsGYCR7uq9#^QDsR&w85O5earn%(asLP zc#1K-6*4NzU2w|H{KtkJQIIP4Vo767%BCostAu)*1MF!8zWc@^Pti}|gJ+B8j}+zCn^$CXhpsXC^ir3|q!+z8CAC6b zX6sxgcnWJ-r3_kK6?3V7jLzh_mrcb|(!# zvQpFILgG2()msq0X#vAL`W=n6F`|St=nu@bo+@dJ(EoP8@&0na@xY_7za4P6hKA%I z1nf%AISY^`wdHRIJnp~xm>qxhG5IF{>0?gP9^0Z0cz;=Z_c5ve>0`FM`F!^=$4!v_ z>SH4O)yLHOcEJ57xBqs)-8<}f>O8dnalpg&Q`i6HfLEng20peMjYX}o! z4{V4fwSxsD_Gr=lMGNTsn-+)x-Eo6kbX^Y)p2IWCTNYd8Z%F!N@O1_jJv+^5f&-P> z%lsED5c&NW{-OoKZS$0x0qZ#T{zVI1&HUZRRQ&E^s`F8Q_c70neEy|?XT}q(Tfd?% zc{qM;T52lh^C%NBI)t?pm%FD5+Jmt?szDQbk3nTkrs#TXvYF?Av|%7#%kuj0 z<)(HKSSQx=`@1s=oPXr(73@c64-O7B~@ z-S(i5)6{xxRrR0ooFcT`rRTsn7rBwo3D#CQwjlpiz5=mY>m8;87`F3a5&yJYG>DLH z9k-QSrUc_$hB>j)uVObNF=ubd5}bt|yy!p01$-0GtX^kw`x&MkG#z%>Jp3%rm^53R zAJ4JDU+lHsw$AM0Lt+S*u!L*a#3eB{LctNpqxtcK{>y`~KOsT8Mfrt=@yiThC}Hx~ z1j91F#yy)Zo9&5bUA+gnEl`iB-x*r~-gnTcs{HU*fg$g90H7p`gnJPOe4lw$UX3&dWPSOeIYfpD2Q)iS` z-lVQPd}P8^Em>x&s`k@QyG)}uHhbQTU3G-E7w9`emKJAJ)uR^9nET+T1om#XL)_p; zuZxL(#G+F=5a+PoO^LS%YdYj>`LyCwHy-pE7?PHkW#ttxT#2`WmxXaw(rgl7tDNy* zvpwyk1FbPe5yeH#9YM53ZaA#pMEyKNkgH49w+(THI2o5G4vFkyCTSAJ1E*rwrn*U z&9)P;2w^vEqxHjG3~<`uHGyOE|V6l%_#M62fcfCw~ ztDf&6ge1V#FZdzPs%aF5d=5=YqU(>K3GD!;{jL>Tdm*{C=uQ-c%CVO-6CD=hThCGHyrx{hpP zqsS~wk4@;tl*&J0g1qr@Dlv@O!oRJY02HO_5 z?Zmt#PYR}3J*0JajUEBOL}o}lffi9=AiX=I_x6c z7@&$Kd0(HzYEY$M#T4K)C0Fxsryk7{O(X zT*`~J@byxl6j})0qrha|9JU&z*vv0>)O{3!TBHz-Q|P9-rrg;^f_aRE{&G@#8nAh7 z9Gp1@1#(4<`z)XHwQsALh1H`#qGvp;PY+vx_zir{a)4zgS$e}+E) z9L#_pofpttTGC%{N4RI8MUFwl=5Eo&lZZXS%Rt)oRd6y>q|t{()dErQq1&2Hd?Am; z_91CHP>Ft!8RT5F>s39guLIA^Ixj)c1G>CfK9cs0z4QJ!9UCFkmn;xkC6Hf$2WW4N zJ;dTRTJH@seABe9v**N6ij}7>c@ss^l95P}?E-DYwAiY#qg8o8rvDy4Iczz#sMb@i zmYFYKlCqLdyBI75swh^=CX$|4pc#XOV~ptOCM;}tmL_493Kz$WDSdO&1YwCap{(g zDe=2?6~-f=(-Sm&iOz@%Um4_sN8lGyo->Qxa2-01vr4H371b)w^9nT@*f2Qr|i z>j>ia_Jce`H!RCMwJ*Vi@2|}gJ&4J310b7?&}$d5fxZ93?+|Pq;t{*3Blg&2KF$f9 zFB)kYwEA-j&Cw1QtTeV%%`%_Dl($%@xVe(79Nw@;Csxppxbn?9UCtl-p+;`O25#un z)K^=m$uwdcBP%K6REj~Y@E zy45w{>N8xmbfsxPPIzl}NU_uOwTx%+KLbhlKRXj2fbDm9pwn z2@zKq>}4nGE9y3^zOLpxczX* z7;#s^S(I80wXwOZt(deCJg8KW<(mTN*`tS~?)fMb1M^( z)r+l0alv(jKZ2_jQa*Ud!aDz47Pz{(Fc$~`+|x=|9=iv73$~wT(Xz9F+PAL%@F%D~ z8bH+YvR;V@t4clZTR6hs7=tKJ8c8>h@@v+#cR1x6skWv05^Lj`{#4+}m-PscFP$S! zVvi@lIVNfxqh!vOSvFb|K6zK9&^>XkKoF-As00ny;0#C`O?+8-xVOkCXzI78kcRY; zUY#s{S1VY=+%@3HBr4N_%@(=Mrk>ODh@FEY5zlna4)~NQNd$!>Ox@F7o+ssdm;%f> z`qGb9o>pMQaW%~}l#R0L+7*H_p{5PiS4~t(rtvC&Wp?ZnADDp7&3}uo4}rmGIU95s zl0xEt5R&~l6;N~96vrXa39v%DUq`4k#x>k&9n@EcwAKd(I&64E_yr*Qk^-%kB*z)R zO#uQY1qq-HTlaPyndsZS&9kXXSCg|B&Fn#RU*Iniw1P-pI_ecJET1X@!v-R=YTB_l z`p5^GdUkYH0Bmh;kS&r?ML<8E^j6DTG31(~x7sFOLc7i;Bsw?8=g0j_Ej%*?on zgzZTJvxJ4!%94TuXkNCHaUfCX`)pOOB9#G1C+p+wTtrZXD$X4)S+gscz}5?$4PP^~$UL>oblhBp6KQ5Y&rbmLOynCqxPz z!+8`kJUz@a-e;ESr}OQgeg!JhV?gIJ!?h#L*MwS4TA`pHB*0QgX6eH$TAC7#Z!h02 z6bh7YkfFKPn{ycT({u=^&|zgVI6cJFQvrP8i#;>^D4uW9N3>W$N@J+02Rx*_xq_NG zIq9VM3w8j*JXnh^1qj!K-7m%8_u>BN=Pt|_^^hlKGviL6!<*&{yOpP2xB%4h#`@n& z!xWHI>Va*MhpUTRJl4y{Gg|&!>1YA@hdTf@RK0>ai)7_SpniNK2w(v&`$2Td zso`97vbSfcM0)FrvuXfQMSwe@ z2vX&4pEBMpW`95G!S+&qvGWr6P?!YD2N8D8-=a%NB!a23e_frAy!q;2z241Rn*n@g zM}|p;2#Dk0I9{d}=N**fKpyPWO0}v2dAagJ3z_Xpw@&Mw5 zqrfcy42Qlvk2O_vbYK*bk9Uw#)*Rel?~1R>BS~XTKlc@C!#O>5g^I27b9vVXcVa;j zm=k-vMK`jBCSmqWVGx8d*}|aP?A;*Ptdv0a$4$32BcrlB>Si?)k8D7ryQGkX=2j@4 zKe*xr7NdQnibf^|d^8sbbuph&%4tdsiCLZFu^>AYjE2wGu7hpmfp)*Dpka-bZ!`+) zhJ2+ypFNqbuu^W7KA5h4+pPHJOSREH%?P}cSVV5Jod*^NRuJCk&v`VEV#sFnX6}H5 zLv+u_=u-bWtF~V(8YjYha=&(te2tt4fYEqmjDD72J=9@Cmp^HjbFHV6vz&84B9o$d zPS2U?SH)`%K4}->L&}Ez<&4NXyi2sVdL7G>{22?Hhz)ZMbAGz*L@uCIX+|jlbTv^k zY|&Gh;}XcrJtf&QRJGfNp*u72-UMA=Jdwt>>2lEdisW6b(faopnKbos zVI(snTD?%B=aZLr;&+-cRs`D+W`+=)MM3~g+u(p;0F?Hsxl4RjhDcrmf|4Rwrs3G0 zz@aBM`)Jaw@lX=1NLKqI)&T&v-i{nry@i#ew@2 zsTaToXbMfmP?BjlE(a`Fj%a(?(EO~x;WO1mP{L472^cn`a#p!1(I;&eB^JLE z_2*b(KLG}-v>T+Og_*YZ_)!I`b_j>~aVk4TF%Ueb==}l?N9ppJ))_%Z_dLTvPe)7o zpSL!XM03&h@+Et1B`wHTYq8hMoE5Qk($hj40UEMKp+r{2mYb2Hy2OmCI+SS#y5t54 zCKiotsL;uq!?9PNr4h5;9{6Rr+-&uw$o+bZs^c)*$+E(B8&jZE!Kb$2Qk=(wncWS* zwwK_Ln8YFDc~P%6W^3-NrJyym$L!Wes9nUFaQ$uGkLOhvEP18-g55%HjY%Y4!jnBQ zV4YGOhe6fkmxpH4?(Ol5`o5xxe)5J%QLOx2+a@gF0I9aQSFwkOE~ip&k?qcntwA+2 z*|qa^%MTW*!Z+m5K-VR@xH7UrPEsJWK&fIeVLkycyH^NSay*p#(-UTlfd(Q*JN93< z)u^-VZVjzvsUaeXH8DGfV$1-Jr+Z>%Js#g7+1VZmBL_@yx>U2B1DTU3h`GfiGkp7V zp-qM{4WA93Z960J->B4hBo#C_0DKM+U?GyG13=+Q1^NL6=}F+v?Lx@{$#|b=s9e+^ zg8NF3#i}&(gC#!ju zw8yDhv$;itwPPYpi=(iW<9Wq-BQM>s6j{u~c}2H2VJR|ONTFkmG+0MX$qh{#%d=)z z7IR0Tt&KokHg)7_=e{%$%CP{n!Xg?-dN^!w9f<0yseQPh>dEcFzc~NU}jUUXIbgTeGP^8Me`YV3Mw1r?@|RYDO_;o?t!0 zmMVMkw)_2>Z5c#>yIs@%yu#n-Tlru)4xYC33*C#{jS5W{a>mN9DIO-C9b&TDXc%asHMh?#nz#XEXi(~H&Ng&XOSTz8z;n_9P4`mufYDS2N1UsB;jrDSt)FS z02{Ln1vVO*Y1slxD28q6S1r?a4MjV|t9fx0 z)yeb(wo5yn@p3qlJ}$>iypRBAVF0s25&t7L8uulDp*fzbVhz5UQEn#*vSgj{VfQNg zwRnL?@-C24&3qVV5lfYIDpIgwHaLR^mEaSY%DbqnAn*N%k@NOFwhbb%aHu{H(tQ-d z>p}v247Px)1LolWOi3QG!MdVfXQ}xh7F*umwx8#O_QD0XDMNy0RZe_j%F{8W7(%ll zHog)u?~WtlUP~isxQ7FfuF{Ahj0FjFgOJl;I_30Y7x}ZS?=YCE&P6YAuHB&B&!iRa z{xFRZLJuzaVonII5zcT%5*}uYCX>=f+7^huQFxFg90}dQbE6X&k_A{&%D@>BCRPpj z+{N&z!iAe6{;?s;j>|%lsPD72ZR>)qW04f`de7W@Que8d>BJN&58MnG2D*L2YHN%b zYzkv~OJT<)jrHXNMXc8qv81ID!o}BvF1xfG=nwq3h~s-WFM@ZdU!$YFw<^^jEQ%{r zgHC1k?HeS6wHfqfak;bE6wg-)VuBC%9@B~U)H#oDsFjggD>1>^PZ54T)iH7~#Jc;e z^u~R9zO?y|9U_6-e25gm%%xjiqQrB;t(rmRov3C2DlnQtU&yMXuTTRMg4V9#0_#n^ zs0#P@vNv}BUnimfYZ@5lTB_eWFEQtcMS$iS+~IzP=>ndivTPB$ zLF$E*zcLvvk#gAL$#{M@qGVkdhvxM3P9S7Jb5Weedg*_o>G3WJO(&v8b(e4@#5#`mLpRdDA4$ux6 zRz{#OrmoA(2Ya1;ypz0n61mx+{uQcj(;`s=scg8OPQP768mnLgLcBv`9aNA;(A`fv zQI#Lm7ODC@N-$tq75x_nl0oE1cSoO?_$f33tl#P8AG86GooaO^U&Nk$_-61T@1uIyH`4>=thCo;vJHX?)86?`{Zc#}&2We}NW$1IYz z+#h{eXl9?0Uh6{|c}j~rA}qvjp!G#uY!-(e$P+v=Eq)ZTQPDXk zyYNQ_(mD^784hHfati%VD9xaM?yN#`kT*Odwt>K{>ot!($n)N#cC%$+f2#H2eG+!F?rYUDW|yj^CUJM}De=mZI4FkWbTi(fs)_6=jpM zzcPy23@0jS6>U0|g@F@|3_(S!SiU4o@|!rW)wNJWgs<<6fRKIX!CRWU2(H6j9lbC=wl z96lc&-Y@qx+^5if{a{QF16rJHN31pYwG7Zbzd&Y7gP$kR8#Xc9HoR6?{Y{lOS$*cq z=mt7V#KVOd5iqTE^>z*$-H%{Z*01az3T~X2GE7T*G-16s{^lD#>rO)Fgt?OFdz|V; zev?swu^V(}o*|K_{t0_?3_nD$++_Ry4|VSpBax{k z+qSE^PxYL0{r_I)ti5*Z6R|J$RmL0fTzr}NMdrmfo-w{Si7G~>0*l8X6MrG1X zo0M7OjL44~HyWwnwKT2n1fTJ0gdL~Z}(9MK$>r1a)c&X!8( z6_%ds_B)wM>mpONHDbp~5Q6-YVL+i|-XDCI5N9wFs7iGxLkWel^Ri89CDd^4UEjV&kcUcxu^kWK0f*V1_fbyVax zP7W~poum){LW&v)Nzx=tnvoZs!OMEugd z(a9zy_7?lW-;i&s$ki&owPL7_jStU`cOEgbY5w44Kjl^B7Gkn>Fub4gDzfLAnlh2j zzTEtj@XoXVi2qWfKBzq+O-Vy-hdUMK5t~v4YAPsjx8aOKOXhn?B!Qvz0k2WSbN|Bk z=&n4sT08Kv#w;Bo%mtFG$_x`+z>J9X%5kJ3FX!wDU-Oelk(6~#{qi|IDG|4ldLP+Le-0gB6Y z2t)S`hM{$Ctkj{eEI zmXK&^V?##}h;tVV9Z1*TDEU|@Aiy}ocz>w*0RosGOHKcmI40}Aam@d9{)d(Ef0h4H z_~VLdp{EDObZijf-9rrm*s-z-V!tB{;|kaZ zVQy(m5hq+LY?sxu&AGVAgpy2-qmOtopn0S$Bj@I_Ty8}t`>nNgx$;pJ2bV^+Wqt9j zqz5TA^6;qfrH-9v(+f3~)tP`2QTj}~L4iHD14S63p>do%!|nEP|EYDRTm7S3PvPR+xl*nbzVh<&NB{>fHzzlIwa0Y20slNnKB0`hL5eke#ODeAmLJ)eEL(PsgW$Aw zsIs1x-Sl=QxSz5*S$%s3%?w?!Dwn?Jo^_|Z8bQtqi3}w&e<~^}+hI0RHQgLXHm@El z_u8!55pw+$!y-U7c)*BfqKjmfyV|ACh<4P2H3}xtRTXZp;ZHYcxe@Q=8sMuNG|QY( zQ65lo7VNqNyQXn@_`?+u`P$TGZbd?Z1ShOCxGI-PFfRURuOerp@Nkx>rPj8`0I9vg2W}nushMy!@sS)j7o2F<>T!eeFECrPb z3PKUC2EEc-PmT#~uF8Ac#1WIF5tEx!n~b7KbMs7hZ6j&4oR#hhIXl;i_!v5?Vpky{ z*_Wdmeg~nn9*j~mKKES1P?Hy~@&2p{xEl4#F=g@Pn35Nm_X)MEG8Zw0mHEO(rHv*@ zaG#k=Hj(~dqg_MHGAMlXb^5qY+~HN{r>QF)gC@Btz&80L8w}CZI66${_{%@Je8vTx z4ItRFIbeySCzXNB_YR2B6-)sp-!1$s%2!0R!6vUe!%V-*K~_qnA@j&>1%=Nu8WSt&HOn*tHQobZphX12vs-P-KqjEqPzxxqj?gw1aH2)c( z_@^2F+3^Jxf5RHl^ELpIyMS~pQP^{UQIsiG4CTd6T0d_LMbZj%|4)kYNp)o*_<$Ri z57``$Rwoh~rQ0!x?*gmeEMUN$5rcS@LSU`ICn5#32F`m8!d$I@Kf4SgH1X2|WmX#? zPO%%Zjs|`TP&@_-0S+dmP{N@ZSm?)`LwGZB)X}$AmDMtpvn8KTn z?`cDwN}T?RK?U16KvFgBhm)4}6Vnn%Z-xa63W3Dx5~BdX)nH<CmZ|XI+b_!$%|Di@sV~bE#S|ja z3x2S|D^Wet7dRR|--1@|6+xImJ&(Q$N_G)|I3{KXywvEERrT{AFR_<&H4&wiy8Ub0 zBb0R1Z(pK>!}kUv^*~VP3coMkwXi&Y3WvNFkUu1|N}jot$SFPIdn+cV*t`p^f5p-t z%@nnA`|(lDnXz+83%(sl0!BX2bsnhy$e8|+mOM$gv3(c=2M9iGBp)|~b2Q-0qmv?x zFw3ytS}Y)i;nmv5D85x*gBlnn3Qx&+Isg*{F~E_5c&Ku~3qwLDfV7f@A?IQnt}$$2 zMp=GgF^xiORG@mCP{bC2RoDDD0 zsd$K?#>uSwj)08qi9DsGrr7Pivpm)-cmJjBQe5EiO^LJ+Mq}SexM<|-(Mu=`{jVOd zD}YNOP|o_kjIs5#1dIKyDQ|QcNbis*=0NSce^PhdI_%RF8)bLl;*| zUE1d}laReR=0AKnr{uciu{2f(d6tTxP2yPGK4VDSpkmf(*j?F*66ioj;6X>^sMtf^ zbFdI<21U;Y&Oyl*1B`T0vVfR8aiC(bpkg?yb_UHr#qi|q*@>j-vAS5WY2;0^jhS)3 zz|!buIw&~S!7)M7h_8Uq|c8D$omeKA|SQl!Tf9O%DEL3e1a-&nl zIQL#u&BY=jDhJoEHL;=9XC@LKf+sEpEia)8FAq+T5cQgW2ZWM_%FtETmtlk@D+iKF zBGnW$m2SV+G{t+IKuh0Q@G(%R?e*q=1%ynE9X&C@*WPeubDHV@(4$jCv@l5|^6F|4 z^n_jlINT?-)J2V{P0&)A6?0+hJs>CVZ{|fFss20tAGjQ#gGyoZ) zjA_yqygYo2x+9)W195@w3#N@Y{IsUcIHY5O0>6%TPEl)|cUq!s6K-hWtA8oeH$v?v( zx_OWOi3fS=2gO`zbbiHyta-vsdYzBYizZ&*Lp*{x#$1}DatY8p6j|Q$}<>l;`2P+Qw2@obvp=qW2v8InaSOofpEiKrPMXX~}SMDg`zTS@ccP zWYT(}(9^2zek@ih0ugk*1@6JD<%1&s+v&O7Lr7uHL^iOFmo5*C*({gYD)h#lJJIMp zT-X)h^9FKRUAf1gm7{+cQ?)}xFTXoLb6=;R^c7byi|g_t*y|-gz(td+ODGxs*E&v| zaKiH;t&sx>@6%p6Brb=3j}1x*L;+Z6ud+1K;eZU?c*EP_GO244&KqGq)XsqJ^lzEO z)AO?$g>Q{L4}nE0*>5ZKXViT$$CSB|+74PY&p5$VZ+p_ewHZqbbe9Xbl?p+-V~hBd zMp%%%hqjFvu+h^kx<4B_w4U@@#q74bygk1~bMZ0l%6oWuQLj)D+ik*m>U|sgU8iTm z<%lizprh9}VqnO4K_$_Lsn5X#^r4TxT9pkBi6Gk9SSeCeZwo!@n_F>Jb49v}<5-l8 zjO`QWk>Xuh!RvCSJoVVoTQr=jqY`O)S&vF)4BOvm#-yk901;ZL*uu!^alp1wjhptP zw#BjzmoewN!O&Y59&bMxU;XBDk#@c5X^8pNRA#(tnq$Xt2kMh#v+X=W_pR437H`=Y$9H1BbqESw< z>-Sf$qOetvYMm=1h~L0O@ZlMk(bV+*LOOMB;hDI2TR%A4YM;XQ06>3I#r@2{KkvUq zZQDRr0Wd(;>hio1=h8$>+-&CvptyvBaXaQAr0!LPG97eq4)5HvKhE|sLh7R_wSR5< z#stM$&DZ67GuxPURH3}GMZa&rc+Gn(T57yyH*!AR<@QjBBVR&^y@_reSV31d{zzmi zscPVc&b`t+0Ubv4ogS3@e6~$EvcV!OF-kSsyt}t2AJVv}j0}Q2-i&aJRJk23;q= zdGn3?%wbjSjomKBd&x>K+YwI1a^|~c!`L)u%yZO{EuECx#DMK$~G^y^XfUN zk z1ycqxrMBpJ>z7suD|qmJx62(G#4TEvhxB)b&}jUha7vit0XHv9LWN(MmP8m80-?-c%<-3NYN5k@ zv$Bd(rlbjhkm1!8<)-^uRu8E;CpT0xa~aGvon1$?p^V45W>6XEaPW~#JwJI86-dVC zv+B#Tyylk+%@}XpQR_$6fp(2mKFRqAV9W1$;Y%qg&Xm?43pFa_o|g zu;~1$1JbGcCA-QOF6MkXj2qt9Ka7@*XxTep<-jlDA>K$+{ewo4e!6%bMMKE>eJGkDlYMm`-MTVhute<$mjhB=OC34aZNH9=aK zZF=#ABiuHw4g#FhhH(Vv6ciwn$=!VmjtCL>l^mS|)fwwiPk*7uJ#0WGol$oU6VgOu z2ju~;&b90a`6y1WxL&-EVtrt$qp{8qz8c3p2N#r(<(x-XEz6l#X~!bV`C%);ZIa#YQL% zqU9L-y5qw3>A~%@y98M7dcOSH?6JU1ciEKu~dYo(0bODXQL!&P^QD*%A3=w`$}iX zu@a}^A`-H9SYzE~su0^O)O`!pL@PMol9X-+=C$KphD!2OaSO={%$E zJttw*xDT45vj+8c%?;XPb4S!qe}B*}{IQtsx#GzGaFRCuf8A zw5EWPAxa7uBcQH{L=p%J8pl#Fn(KFbU*Tult3f8qs>fwcNk2a4zDdCiWxB+W_N@1h zj&Uvg_{Cp~nc91?LZ)6+UMOA&d3_3li&c#AcYx}svRe#L#d-4MyA$N11%{8z;9HZb ztF(0ATi&vOZTu+gw%xTlv<+6C;n`M6yasY}9fbtx+sCXny4@;kop!9#zWeoDa-Kk{ zJ-gnaX9eAgALH=$+nT8D2WegX@JSsgjWWCk6lH(8+Chugcs8Jt&P-glzc&CtdiT*5 zCYec=J5{hrAvVNwGo(_@uxH^bklQ({#39GJ_$gBbb-5Ag#%^#w4Zs(?uu_5u={pw-*)9^I%V7;h6taPsPO>(Rc8wM$}YOOco58K011B5K1Z#9oxS^+Czgrea*UC12lGf{I{8+PJbOFLTdcZT z22|$Zvs8GLAh8=IRukmT)xti8Ab)?asPv;H^T18CBwRj79SN;;u!I{{q0k|dsIBK+ z<4yos)5|WUV6ws9=>xJ_Vu&tXKR`v&Ha-9Zh7P_Fg-=H4eixX*LSC{O%E4qSw4vn1 zMQweS%R^0Je-lkuLawhxoE=c#P99)8xwc=6llYW2md|#=urkuH6WUomI&t%?lM;^X z&kvvv_{?V~?5fWkb+`+pf%?Xf05=0NQ9HG+4YLqEO!yli7*dEzz=5ng%t7sRR*Hig zeo%IpLGH?HAD34!k2d(!sCUCeglXCQ^w+4ZCf{;`89+NVatS6j(%g&`T%oLw*O)*u zkvh1+t+*+LP$A2uCBtczzeC)IF(5-_;xifiazZX~T;ovV7`RX`T%9i;Oi|>d=K{tc z#(6-BN2IQTYTQCX(-A!!p6+l=Y#OR%Zfz^zY6w0*3!?F1wSYzpjf^CUDPr&`kB+KT zCbEhHE2+M1l(2%(n8pgNDq`^|Zyt`H9>oG3cejofgPtO-J`E%^Y#YCj)ILg8SH25p zd!(%3@vpK+$Yh87MtlP13WRYlCea7%TdG~?mdPFg#KFK*0pPfh;TJL|FxSwKz~HH; zQ=gwwoiuxqMF2?<8TQr5dzZ(r-%9s3jlhv-5KzikI~V7h)*GSnFN1wv4OvizrBQ@> zyuens0;=yN%0`l*^(!JfqC-hD=ll^zvM`5^vyLIs(;LgF!nql&TyaE~WE0GRprgJV zPo(Ey8>!56I@yVu-IrrFt8FEfz2Sfg5{*Yuu?C~LI@{e7t?{BLWw7g zeYzQMZ%olarYdngY+i>2kI_KGfn(}vElevToj8R&Z@e(rc% zD0m+e*0@s~fPW{1d=ddG$@?`KAtNDEC1qoW7V~8!ys?@}O?~&Xp)+cwS$FQGKy?NJ zmsKK2PBP1bL$%zxhwhT+)d3a96*HyZZ)q>HKqOMsbKxvDA`UCfR?M}*a67eY)x{1W z$aknm*0!ViIm^eoLpGO~S~cA*N?53G#}#rrBUmGRg+jLzu)Hijl#qrf_YKgsrDHeH z3cX7<#j+OI-{0^{cU1MhuUtDEKYL4?^^&bT`s-c4z|QSyXmpVO==;e+tZ(}~}k zXvFrQwXTHr!bN7J_d-``iFw(0V})GN!@)nc`gW&DaBMrj(Pban7on;Z-58sM^nb1) z1)?v3u?QchKy=lmL1umZ33S$B@BqThrxE&&%u@TJha8Rv8?-AMun%n4P~5fFXRe7@ zP9nEixgoTbr$TPHIMvmJPW||>q3P%u-1f9NIOVc!(q{c6c)-s4aGd9(8?s#OKn{btr-?HS6M^)iI9D``E;nHChGk+#aKab9SnK&p=22dd0v6 z?E85cRcZlp6O9{pq4q70=0knf{gyd95!AtqNAtqwp-|))?FnvRwOa`6-4i`qZ-pDi zj4gHN=4faKg%y5abt+XXa3vjC8X4tW_W&`>2iE}1e+8X@w=cvLK%igUNfQ<##_ZTF zc_5TZ&b>(+TH9g+Jgo6+pHkC8k-mOh&B_a0d#|3W$3=V{) zR+huW^)t6mASKWiYCMJXcZrGv^b%DUn&Sz?von}hEb*|ot2it23@CZ;>~;eby!JtJ zc*(D4HfOF%fmz06KR8Scz&r$rW&|uTx<+`g?{gkNxj+11iWJmdK<#ABMUaI>NY8W# z&KQC<%P3>YQla6Ti>2%7vpY!eNr=EH!o+wLv_bFp{$pCTd@L3}X@i5uTyZ%$=H%c{HXZ)8yEnpKXw zo|Zd41q9$}^IF^AqTwjC{We$U# zJgoipSyW@PB@!3anEdT6YlMB1raX>89n6u#K=ZH+A zkyu;qhF3KWfD;ex#BpHDX0&>MxvvNw8ieno+;0MWAKKC*$t6a9TG48*s#HHOq(iv+ z@-k$0Qtp0)Y*ip@So8zG`f`Tm*zG)cd_uCJIOm~h1Kkj9&-Z)iu$N(+otdaJLtokT zPWjw`Vduot*PlG;WH~c8b{ejdv%icSb5>y<&5ckBTohfRvu3~dU7G_gNZDw8ZJcbb z#xPTcfEPG<)q8Vya&^DiynjaK>UOc8+Bn@6p7%UHpPYy*q!A2x#KYK^)r(o_2*vDx zZXkYdE*@#Q+PGDa&X`xqq`)g*c;-mdVrYM~ZN@$4<6gRKLjCphA!B3pFvvOu<{}m+ zfXkcVnyS+ruWp&k0()Z_AfY5R64zm_Quw=Ud(d*d-MqQ7&(aT!`K^?Q64{HpoJ$>e zRf>q-Vip|V=ZkTZHEbx!sx~@m;j_G|G0u&42`Ic))&8ClH&{#Y!h*8TnRl)gryFF# z`r!8X(BLs2G|B03`9LeQEL*VJ_Wg6QbKKYFGH&Z8n?+|=)+LCAAHtVs-}kLpi?Ahj z!!+i@yMvd5!%m0_(}Ic*Wt8A8&Tl02VH`)Jf59X5g69t%c@PH_jTE)C4W*y0BzR-`NrV%0l;@n4^18eV6QU4l%)>{p1xmok|P@LXi=ebw0WW zD{cjMF)hrC+uPE^&yZnb_Vm=*>6`}89X^-4j_y70SUzxhA7R%)&(^h$cICufAK~$` zVZ-;%`MFWWITH$*FOr=kyr;*C!E%xQQiB0xk2h|tJ{-%xxmFOOQi>PveGW_HOmxKN zw0HTYFg%DnaMSI_sj-y685eV z8^3M1gR2P7Qm%G}61Rnfy}-Qfo2ujI)34f~EOVq=v8;x!=Hr?3R!oT0u1NRtwcSQ_ zaCj_9RLUc*0ZLyy1)#nFCj<$ZK%E!|o2THFcLKQ&vW86pJA6uJ_aJhZ7FurJ8%m>l z(?*!u{iK@Z!IV77>4}hZ z%}noOIg;8j=xpWPG20wtz=fgQ27pVx+*Nw&_KTk5;1?rzam<~78zfAX&?0!n<U0G7aP1dc%Uo9ilV5sh-WWm*l6xPni+4i^ohntv? zyzx1ATyyn);`)h_i;dC>WVPzYxP_+~(l7kzr))OMVKXAATa9UxImjqS;zFzfY?aVR z{bMZ1x3CSb@oab!Gx+@?a}yLqi#GD($12UObq3J8_x&{H1FJkGf*Pc<&zP-*Y+ID= zHQF)5#(Qq}hIIqFNN{0y`V0!jiU+6z1BO1I zt|q%yiCKrBbW^Te6QqB2ZD(fXb*Uz8OKe>RL&zf4*PrO}MbQZIz=ik{ndY-*4jTm~blw|dzUYc3SJs-uPXRu% zeSajr80)g(z3Sn6elznh=ObI!9y9u42=_FR=sfSp>H4kLq;Yf-8iyT|aMhs&JBQ;= zHz;K#T5SK-P@+u%gna?K7WN4vC%U#@Y~e_2AB$ED32-6^X0{LEw8xr!!81_wMy@%E z|Hwgl8Ax$~SB-IJ%8Dp}YcYKWB#t`3@hMaH$I={(*1BK z7)lsJ(jJj^KH5p_*?95;k}&qGgwTYIms6I>Wz(QI<-k)D<7BmoI>)l~eWrCjwG8*J z9zTlvi6`Tw;cyx}sm0vpN!^%#CSC0hPz-=lkSn-9WT*y_*rChJ}91G!5U|4cr7xV*xleGu%hpdS%%IVhL zpijFXj*Y0}6q3R{!hX}bChG<8RU#?)uP&DDj|uSqgUS8j`u<0w;@@dOy8knn+~1Z$ zMH44G7e^x#CvI*!Av-8hsWz7u7OtAoz6dfvd zO4?kk%3b5ws8L&_9F7Stra8T4tIB*HRGI89OF1tUkKqAIWeXFTS;_-{6*d|_4O zIbI4ztn;7_-YwGhgyHs)t!1vvkYztn6#6%Lz6L9-5#uZ@H?1W>} z6nAR8b<5T4=RB7P0eo^ALl|UEEY557XqHQaxw(D|tWxe_ZPrtMBTkwD!k-yOT+~d= zd*DE5RZcnR@h-rhj9RJWx2O5hxJ^M^NAW?0*rYr!njRx^Ty?Y{fM!9A{Bv-OZ~z%) z1__bo>j2OOSo)?vF9>x3phIa5S7yhqJE#K>A3!QjF#3(=>i;+t8R3z(V3N^V6x4$t37-car4&?+P zQ~yh%2!^@hA0BpNUP|8RI%}9`5dd~=A@3Jcm5#|`Da*Jc?7YV4!3wBwBZ?%($h74T zaz{V@LmWU()LaX*3;o>kfDVO>J~l(lEAMSeX~B0kLpiKh)NIb7Q5dAuo0`pwTr!Ro zhaeu5A}A$R7|veaVJ(MXJT6g5i%$q}lU}B&wP-qyLg{?-B zY+z8R>5bn$EVtyZ9ZcFWlZQEh0KrR9C81FFs4j2-4ci98*(~8B#^jqT5Kg&?=p=p} zD}n<+U~m=N_V!9n4WdG-QLSKN(Xw7HbD;xwM)g}XWeF@09Kxy~fECF1ujJwa2E<>< z#W^FGlK~72<%AlVD3As)N>7qFfmtKVF%WeDe84&YA&(-Y!C2fj=w8NbvJ$1hb$@e= zM`2hV9q!=<=M@B(l%dyN9jp$1r1^$_B^TpnhZE=#&o<7A!noH>wszW8ki3|m!}5>j z6ZA<6OkyT*sB@w~kQqT*ZL>Vs{n%MjR3Yb*ugaVLm0TQ7Ix0uTln`S?xfhHL0g9cK z{F7Xq`zyIPH#!0HujC>i6KNsKS90;-=%tf|{_~531H?51@@ zBH@t!CgG3;5WsGT4tkeSF``{>2r7|NEV`C&qvLouijC=^0efCLBiGvn?cXghK})8w1=9!a3E*z zK*jOa?vsdxis2~ucXCnj4+r-Z6?a%_Cj$is7i=I8G%c(v^QUVH+U}i!{gYfYvXGpx zT&)gu{a11^lt%6=xybV;xfr)#I~sOxgyI=DR z^hJ)z`HXUxXcV_SMaS|{9=grm(_hI&-apAj)M8lF*b&L~zNR0&M{)4TiBBYO++WE> z6EVTHiG^WWabfVK{(`UMqO7TxHIY+ZT@6ux*v$~XL2qMIvKTP~=F8%;zs9LLJQbW{ z6Hyx$9O)?^bb{p;A0h^aXY-p(J8#MG z(KYn87|ZV_os}GQ9_ps6?2O85^ugQvsQ~?4JzB6YiQ+ThB-rl0&MuLecWZ|C^P645_&^k|J{8w5JHvrL-^UNA}Ac=zt z(uiL=?}7;WJsb2H>e1lxJcX9OI<7rScTGu#+3%H#5{*Uu@~h~|s%vyy&%s3kzD@YW zz$4S2L_#g=cd%8DZx^9zO-20guq=+TvdIjfpkgG_Pz_v;QaIi+Kc5b`v=9Yees^`R z@mgQAuDizAl2)asOhYb+{$yG~!!e!d_yM&qLX<0}cjY`IKydb3`WjHM>Iy$v^{y4nXlR{c#%+UdsTs@Nh9J)|We7BYZq#*o`tvHXmQ4&R&q^!KE<4}Kb5`24%ipRa z^j2-e=qrI{a8{Me$!+X*CM zZ`Ih?%F4KNe1yJMoZIZH7Wf9ok|ID8w&#MrArR9e<#p5IfIs&E0BT7Q$bF^sflr>lR+wil- zns!=?ybPu8too1J;02IvTbdavh~4^ud0WR}filKP_WbUwY&DKoXfp8rC}u8!gC~B% z<c;1VpE1o6v8$(r;+JMGWw&*uo1@WAA8ph7SXlNhS$&q4mEG~- zQnPWgbH}lYq{!RQ5dokg931&^veB2 z!rTM7NGK<^qqw-*!#{rPfHHo+qUayw1itd%P`ataUZ_n;-RZcPJOR!R%iWt3}t_A9eK< zb9H(d&B>XcI!UYfVI~fqPBzRedyuHdA+J?bVc{G_q;rq)Je}le1W^97F5l`YCTZWp z!1)ca?cHa#I2(al8FS8VOt2j+Sne$E$D97S?0l(~dh#f$T^tliW_XW5Xt8yU=y@P% z&E{L_^7f6?Z$|7aM`FSU6v)BWHTQxN-{TB21k*hdFZBtElDh3*Fc(^AK=|L}yPh=t znkx6fZn)X}edBJ$Sp4LjB1;Fq)y)A9z}4-%LEXLR1?4&p`bgGK zz3-U3IDZ_v4qi=1nwq!$3e%?tgaP#S#zAk(NOV>jrG=GX7 zZPEQ8rnwJ5bXW8`#2BXk4oX`ztNR}KS(DzVjTMb)WE*kRqalxhZMV74f zCgVby21QK6K8ei6+(IJ=c&9jOyEfkf>wDp$mJ3z_(KswG%8sx@X|F#IBN#YuV1c`& zfM7mQ&O7WEAb;&hJ-s`xx@oMjP0PV)cN2qvPxBphI}y1GJ%NM*2JagHPUHA93ivjq zjdjDg<^~XT5+~?%DfRg4M;5Lv=_${bp*EDt6$xj*XqLU<7%+G{6*BFaw;>@J(<}=~j%? zGkAML%?o9UH4=N;DTS2P!CUoY*vSR3%D7XwQC-m})c|NZPDr1U{Nu5G!Z!rZpZ;j? z@Ghtc9h~693`OAbFx+#MZcUlsZ{4^kg$)CmFrj%AMZ`05J&JJhdr&)!RC-Wv!9xI| zlu&w6&(djh8t&S0DYYr6#L@wyU*ia*(2U5cLrc=j%!=C5-OA8)fCnX~wM|h)15}|Co>O z*dfrF5&Cv?7WQM;RVOp2m$cA~sZgy9@tIv%HpX89ZZ=qh^XMCJAt~ryaOw$RNC}RYq-qZ6%4Y_yrsQY!k%LmJw0jC1!d4cNoi*yP zczW2$J&x}6^JiZK#u4N-R?KI>Hv=K|+uWE5eRi^qR}(Cc!qbsn54Ln0wM`_2~YKb{k4JSeldPRbNgERd4ir2Mxezr*q09Wo{-+4>l-+fIP!OG$Q8+JK-msXYcr-z?t_9?^!t$@+%Sz|<4 zP4?>VE8)EnUm8g#SM{2XpWPrvNNjm_bJ@k}$t|J>hvH`XytLFM}}mlif6;uFSMkV8&k}wF^Qt!92$(*u9{Li*Vhrcm9AN& zHu{$%y)KGV+L=N05wkSJ9<2uMyI!e_3eCkfQX?O2-~lk0P2#SEi`A8+-2LE4w^s2o}foU zxk0A*_}q5lYR3(33q{J4lx3kKv<^zjzn3+(j>4fbd~;c9&vndg%)&0BXpOzO@G2-S z(1RGJyxab%fl7jwodA=WwV$(`Z5v($X=;b)^nyKANINJePiV`0`znaMZ?ot!5~gL5 zVg3y9S~|mBxBTG@S-3bqic|-_?OOnPi>LdI#pGnG=Uzs~SE&IXe248u(J0=lPOC>R zIqqj1R5b@o`TS85toabw0wNb$`tLy+_1=2Ow{z6z=i;uUDP3LcPhO@np)-E6`RJEKJtTdDPEob3yo?hCq?VWjB^2XNIZR zv1N?%WFACBj8K>pqVB>_xWyev$=p{|%2)CM9&7NJ&#nB!iR=cV2*5Fg@EGWbMo$DK zD@?jm`~wRH<1;}6qCfX53V#NYq_>k>B8p6wvgbbuNbuvDRVN3VPn44Ams?0o0~c}U znd^EGBgXlGWU!04`OsI4utavq&g=c?*)eE1EX~z$v-L{inlX#@BRi&uW2XG%&27*k z#Y|ktX=|6_Yh9kJG7bx5!c5uK7iz-A9P;ry@snE?#(U z69dcnf?mk}-Wbj}7M1NdL*ihn0k>Ay>sV1hYJPJZ2;7zGU2_ueNT#z`t^aeHXa`80pAQPibg+ipl-S z<^{eh&5PdVuG?Xwdf;6x0`AjmwaX7_0#I3;c@Hcn8SHLdFub>3K+{5Ph$E988_O?Yp{MEJFXKwL?vDy253z}f{$2yb;`(z%*7-e_a6hmSIT9MFwo(9LZ%ElaUH~jkAQvSQN#oa%Z^J9A$4vhp^4=*(lWkGBt?IIE+cvvw+qP}nwr$(CtIIaKs>?>->b3Sh zXaE1%XTRNu8*wA@CEsSu%*dSImt&3rYhECer4)Iao3Og6lWPe`LgqW=WyH`ERJP|m zVGzp%cmbjz9Cp=T1ft_jg+~4Yw^R4Zrx4-b=%gFwie>Xn9?KF3JX5`vn6gkxRHyn} znll%a-&8kMMdalL+{Q)J>M&++k(Y}s#V5O&GZ0u*G^NfN^DPVx7UB7#TGE+MOqv^D zU;1b4F3^fcz>mdrPcKHewo$Ow(ETh55v>dV6AT6|8p>9sMtv^FCe-bqI!RR5@qLIv z@k;YvZ@G~@F}o_%tq+=ji6JF9j^5FcVVQK8Cc)wek50XFN8fARPJWNJ3o~=Ar*-db zhjY7VEGBS|S{*$4tV^G;kRNqq@Fa_r8I1!HjEJ=XS;2)G$XqBD5xLV(hsw>W@Dqfc zmms@jm9zuduWA{G5f(tkXbb(1pKK$adgb=VZV4N~7qLpFZ$@YoaH@x>Cm!?7lZ@+z zok*$UY~tA>c27GJuC_XJGZq^4b+JusOc^Q621}$1k}!dv!rPPe&V`aGx;_KmF6i^S zJq!TLsriF}F%W3q>W7+vH*DNWCPR|V3wGMR2bDtQ)Ilfqb>4sK?9d_0C55)P`Z9V05P}^lqy=i)~@M2SRLyPHlzGIhetO1+r2C%lwJ1Iq4j~sID)==D$W`4m(oz#CYBIUP zUR%OWx1M|MRMYRfeeTb#rC;{0zU`6pzmT9hl%OQq)nVRSE0&jND3-d z*RtLUw)eKGAX z)iR6UnA$H-K8C%!PUEx|e+nNt5IN@j*l}Gon(QgVi2Ux!HgnA+{0Az5%j|Hno&kYgvgb-_znKta&l$tb2f^X}LJA9`zB)@{? z_`tcGh{}gFF4$$G-WGk_C>isjr^X*vn;+h=v|{K!U>C=Oz}NV-W=IoO10I}QbKy=T z;iv*xi6YmDAfBh{VaZ7K>ZF*RpmW#|kYUPFz_30Jh-r2W&gj@l5wH{KH*2g8t36dz z2!+p%2!B-&mPJqK5;V(g$ywI<2!EVFMmjOn1ur7kqMyHs2pj|KBraN^D)PKj#sna! z?ZZ>M>4N{}I5e3K{e$l-cRjtL$v<8W+exzz3JaMEA(;=v}jVJ-ugawch>@`8lACRMT2&UEQfwB?}50_nB z0XRSxa^tGthGOv}8;ert=w+e(;rV#MikE!;ECJ48D>)(Ct1lwx-a+9UO|k+o%r@{z z2uEdypvQ!R--~3jIbzS)0s{7A%CaY$u%*9rh&7=;V6{xf8Cf~Dv3UG`LX_(5TTY=n zf{Fk1t7-N$TkuH7(1nX$BAKV3J2Y#ZeRU(Z)FwPwZQ$FlptjHaCWyP@Z90-iCP?x< zxX`Vs$!sp15k@*V8|g#du(s6x*Ysl&920ay-`Fcd9;sYAhw_ ze@ip`2_^pTU)29E^n#V`KcN?l%uV!)e+h}|=)wL7iBMBSd|(|_rO{%Ia!_zUv*I{V8!^w%W)XY&yIe@s^XHLL&o&G8T1f`NgF zmF@rJE!1tX#u0o@YEMOH2BF`00S5huZ65)!-Dp4|@Spul$R(k)`o6>PSsWE+zzo-lblYXnV7HMf1X@k#bPx-n>TiBa+eP$?C@6gY;M-Ju)#f@Uv4b8 znp!;0s$Wx&ffYuPR%HE(pgT8bdh6^ch3Hu5N5u;Galf*^^b+^2@fl6QIJ#M+`pP7- z(Xo1YHf={Z_qs`^{DdW3F=TkoMCN2B7+cv=%LS0EPAz)YwQ)Hp;L>V_xj$uMuRaIj zN}O5NQZOP7sv!r4Xs{?dIglM8w)f$};*shDC!YeMI>The(ju?iJwF>R&}Cc1yG(mz zXhU6-+J$kw3;@xb;O|~#$hfiIpI)U&^qFYB-iHliHJzTJQV}S_OiYwiqj4yOz+b(} zrjj}SDnllrT0$uL;XS7*W9*glDO5&vl{Ez+3R6G)%dvp;AHN@@*f}br-BXj9G){s$ zzhH}E)9RxI|-udm#29^(y}eopDDVDyg5N`Ylzo zTyq}7`0z=v3?YDt)R(SRBOxl_Kh5@;qa85}YgWDT7%o-x5X__xXiC%zp8fqn-s<}j z$2#JDf~zI7o||yZplJ4DjE(kAQ`P|5W43RYa0{pe`_%WSbo;lFy7T3@|N@8z#vWe`rwSFh4Lg-oq`G@KmiQO(wKh9C2T ze89z2mg}y4f3m3IrhQk zC!`~{@DqH$dq1r)Go(k05Z)bfPZ&a@U=~?57(JJM_Gb^;fS_N*;>Y@t>$gqZc|Sd9jZjxnJ53h2efe zYUwF^oP1lEZ%~du&ms8G6xVhqh4OG7_`U7t#&H0o)w3iCcqq1Z8U_Hev<55*F8YT4 z?p1Ca@|mUO^?NQu&c(Ap6^cxY17?NEsG92`!GqZr9f+BXaK-WAimas~{ne`!12@nP z{7XADPXAxpq4DJKFYOS|KeR)WU)mvzNrZ%F{A7mPcr_TX;->-gjS7YD#>v@ZQOYjE z5S@BLck>%T5C7~{)`kD0SE=S^<`2!DV?y~7DB4D>idhs09J(G|62c0V*98i|Vbh?n zloc~&OnI;c<>X(YN#S2o8_W&|{CBUiHnCCQzk8KXh_Qe7DiIOv!t4>h!ISOB!hPEq z{g+h3sUK6GBh?|1^SFgEYMCj z=#xM61s6GaXJ}l_Prsd|#drtb;jKZ+PfYvErCJOKl{r=>BHdbPy7BL-h+i{o5{>ZY zE(22`&=_hR>)lw9q{R`mIUg(z0uGhew@9#SU*=d~4M$^P`abgNSy)@=XmI?CbSRtI zi5!Kc`m1`uSr*+DW!FiC>2Qs`lcWxA$jZSrZ>DY&{<==lO{EuMelhq!s<&R*- zGhWvZFv(xYS#_Fr?>1t|YEUxRkTO{+cGyom-h4rrSk_XtXjxzT8=nexmD1J@)`q5i!n`hK%(6$Xuh3C?jdRv3^`t}9(+Y^$(D5`vH~=TP(?=MeMX&LP=m zit0w==J`QwMAVWj!45*P9M(V1pwR&J!B8UK#2tVuO7#%ghmgGTkl)HfUB0js{ZY;9ju;b`)Xf&S3r zzhR5g8WEnWX7A}Q*n;B^Y@u9CgA(gqoZTX*yDLE*8a^I`2?p3r+vGq*bZLxhhDB09 zWVttI3dBxFL;&;0IrN15Rjdq$+bwrka+x$=7KGY~YN)f!U^#Fe>pv_;mxNVMoxX7&`c zwwbH0lV>&h%8u&5%>)&;dOWx>%}>wD3}Y|%C60J1yl(5D1lyG#$MtZi{%R$NkD*Z4 z^Z90cwD`5n+`&|TBE|b1^v&e8>r2mK2=?T!T!O7INIB*>tkdDdM&xEmU z=V|XyavTqzM;W^yxv8Y50(_^Kz7kkHM_w~(Mw!!5`cEuM2_U`~EAZ_fV zLB@joVm>b~De%N5cR$Fy$50^2X3(8trKx~qR0XG|!IxhS&VAUM-e`3?4Zup_-ehXI zEG2z!sTlG5ALh{AmXL6AqpDjFM^~`sCB1d#{rrP910g=#aC(aL_3`~0buh@Dl$NB= zQ@S8vba&)fx8kdD-JN+PKAzpWxzt*_lWn004RfYo|#eMoO$q ze~5_c();1u+Cj3GVltAr#)&ItP`*^H@^i&kJPey7w7w^ZOMW!7rE9IGSAFIbS2IcI zF0bf!%x@Fj?=La80jf>gOAClK_WZqfl-O6%R6o*-B|_@zsmsV+w&{fJnaE+qS7H_9 z8K7r>qpk9bS>~#sl}(PnLS~)~)b^O{4Cmb+I+m1ZWxSoee$%JQ&FUK31RU1u*PrXH6H-+qT+gVl0k z0MXv)_M?&x{0M|4-eV@U$YDIUU7=(2IMUS#<~-nW676~elGL(;YnY|!bU7PwHbp&H z_a^32HTAR+hPU`5R>Bk3feZOI{j)i?8F?Dc%Pq7tn zmRC3=Lk=GK-~*P#7}>;HcO7KxSFX}zy;Xh&7)yN!+)nK10~5IbGX;}fDL#H>lmcG~ zu~ny&goaDQab0BDx#7g^)k=0w0~rQ|LU>`)l$Sm8|6j^x#ekc2oRi*ez8 zP5%BF&B7N^?8|S#v$AE7m}V2S0Wr;O=qRQVEb&rNngmv}e>6%{{qY;Zw7J#pR|(XK z^}9H0AuDs_0FVWEiH_#{o^3wdVrWE7mu?)dnOEM#6qdP*KYGJzvf4x8ZLV*4FyCC` zERf=QwykH`Es+N3y;#}Gu!R{l0qUwR1K;=n%2m$rK)cc40oR^sD{bt!=J+jdi_VPG z(6eqKE4*_ID=_hQsH+32%To(-Jj8Q7==bb>BGbDcIw9cZ|+nSC4!(_PwXL2aoCNIGB)Vy>RpLlD`WaO=xA zBCqn4Aw@SBymaDdIH?Lm)t1{es43SNSaYeGXzxH%toJs=o6sx;#apu1pWD^0(V{AM zvX@&`t8l+xkdxJJVop}xMUn4yLwGQl8Z0PTy%19c({6g+k1YT-GYpDw@F{^AZM*~rM5};z_d9Br5o9;_iW?Li&4^^_U1%msi~vL)^RPvEXs{f>xA9q6)UZhLUKFGZYcNQxQ`J)Oikhk#z-RQ z@-Dk*s~xaTjQ3m#+eu#TuAqFsu|^^DsYMqwtFvB`66;bSxY5vTTTgh&xW6b_wA3Bf zXuX>e)&A)c=hoYRb&}j_6M5c>FvQv|cuhM<&;?Ft(O)3KZm{VXeE9nkXQr||QS%#c zL4Vtdp%bDfC=Ou|)z&$Mss5n4Qb5Xp0g2$ZUCsd_@y?|EClKP{Ho(_du+!}hhPKx1 zaM01Ig61veV3teRjBG=SMT*>3Y+$X!?UEl2612T3p&Qz`qHz`al65k-r z)IjJVwB9WzWPJvdT2czJH_ZM)q#d@*5)qf93~4vfS1gg2#^_<8-H+F?r=Np{%NKR9 zg9UiGO1=o9l+RD11;R3qc@;AluWfHyYBe>gPn8cxl!p~ide=AuFVjw8revkc_AdaR zd^B`*sF@`$rKjnfSc1x&noVza4TARNVtO=^-%i$E3FjLgVZ!$31l+ga%I3#P*z*#Z zyyBOLoy((plGU_cWv+Ou1&>j|rnDA~Z(tI5`s5CsQ998k*nhW&AX1h*wNzL&d<=){ z!+d6AX7}5al#S1)Z#9az6teVOZiWWrKrgb2$Mi||pWT5m4EuwsiZPFBKOug*!VEHD zbuX+@6NL;O3xkW2=W?<@S$}E!=?+Q?Eo>dXSEuN{9iAUS-OY)=An$lXFC_xCp;`tL z5|<>mP>3jtE`7^p%}puIvtN5=_cH$83@Pa+ab0L?{4FUlRhQXq^8Hqp@@^FUI#Ctn zJ;b?nuay-djJ@}5kL`&a>0JbaXH<2Fq02J9$JC2p<+{<$LB0Uioe)o#PEt5FDwWzz zR#IWGR!D4As#fAkiEJ?7Y3jxW?vEJ#sjI$(z6d6Y?ZuWI>*@wCj+@$8-Oce z-G)y!K;jT$1gx%5^*Z8FTQ%J@sX9U}{bHW+s4dEa2 z0^1r<6f43%{+b4t_@NQ&_GP)_zFpgU*)jC&)dT)yP1@L zL?odu7AJoTI^yxD&TG+Pz_D!4l!q9|t<%O33a3IKv+{4IOx;FvcZ< zTkg?)6BF%bbTD*$tpc_An;2JBuY^UV4}Oe{0$=vQkI#k>Zo_?(n6~w<1J8?5LD~)b@}nzj z3A}gW23>3swQ5HUr=KowXeWs&q3!B@Y*;d0?21*sl4o;dfRvy0W zmBb;|1(7p+(hzMd{wV3|8van62EES-S)ef>g|?gYdEHaGiHJaws?@ps&r{Jmwtm}D zU|+e!L*P+f%Ge@g1T@?B`YLU>?)7VI4{tG1bsOj?-dMk`(%k zAf0tLwUHJ~{@Q|*ekBBr=CpdiJEdfUZ6z>5f$qraxkk=@(33|zf1Re6?);~T^sX&G zZcRKJO@v#G4*&KGk!AyhF~?81fG+ZAFurXn32Ut9CVj8hCVSS92qQjJNHqEH)bj>#ule^2sEakOaj1~i8$ zsznW{;|$;OMVcdNfBQIWnq&X4%Z#%je%*#|faA@v>%pdDj|KNEMz`PZn;hj#xmNbg z8Nx+pHTgB*PzUo0;Pchr1afGmw;Ye(V#z#$boP966hBlc7s|)r6}GE@RATbLcKX?U z)^5++_(ZlYGyM3zOSestdI|N4Je;ZemqLG3cx)e@r7|Xkh=*{zx(_0MWSOVLLjM*};L{(^9Z16UCxgeSNf+smW+2$7gu1P5Ra&6Ske{KE1ob zZ7=rqFy8Q4iXx@i$8J7SNBhUzZCh-bMRU8}1KG8ktw(Fwqn5;Qk!Bd)*ZoSPc3IL3Ph zJ5uvgP58usjfl7UCO;=1*U7<^^BkifQE|~yq0F@cke|nNpZpw#(;v&~@wWhR;I5;P zf;?6Co9!CmtyQv7iBIoZgesNZcRpYlE5SPH9E+oDv z`@~)Q-{GGzZiJiEGKK}N__+9Xe$`>C98TKk)uO7@wLmnCf-Cva^UYt+XvR}WwF1~Y zOAVD0mT{B$rAgBH7 zW0rNP`bi4IgJeBu4!G0V&@l7>W2lu9@`C;u%M}F7eCE-Gn4cZ>mk61Ji@VBzwfISb zgS@@u>79)F1tT`~)hx^pxc#39{Q*8+zVZ8_-qgy zQw|tt5fSV~7dJb-*8?*VfiHnn_`h@#fcHA`!2&>sXw>QlzIz}eKl;Zg@5D5+edC77 zHBWOOTR>8x;^C|0uT@|X?yC-DNXFQhQ`_x4i>|!XW#AoLL=Cprf&#^18!sKhXK`^1Vy-oT-mC zo7ICy89o&=b0r25QP4Bko|kcurn5K|uJ53O*LI^STs8D~#`!=u@yQ}kXNa<0g+;6F z>2EP5az;zFOZi#OX>e}h$L%zu7rWp)FUeL7F|7^S{0_spVcwxvZ%(ytbHEu!z~UFL&z6*A09y6g=3*@xAuPT;d8Bl*uq)VU&@SAQWNl2_Vtw$ zkkpT!%TuJT#;>rZuA1|6ZXvED7>Hje<=`!{z;xj^qphxC6)o{7njZD?jwtOx%(zXi zk92U41KQ4&KqOc(OGgHZyAUa5(n>X8QWlejoi*K|Eb7qpH3&lQs6T}B(yeq9969i) zDD_xAyI7lJ$QcS}PCqhjGd1<%msPdYy^(7Kxa>J{&zoQAe^)8(7CqQ0OrZcBtQ$Q} zk4MUY*&+|@k3D{YH-d2ihqQd=x4I6%%0w_BFgj8sW&H{M9dJ^+7phb#*lv74|7bD*I083=(!@ zJ+t%uh}|4pj3x_%_2oGwqwftX_$e?EAcL}UD|H?L=tI;(Ey$&;nNh5vye!@CMYU&S>&UuI(wjN@@wbQGP0`fHez#Aim>EpndTF)2EP-W??8xh)plx=4bOHc z0WI4(&1nteRs+DaQ;nM8Cb?u(f3`R~+R?fm{&zxTK#LEus1tG4R}*_aX@eR!&q>5D z25dueDmgLvR!bdGFf)xx;Sf~{5j4?JnCwL2UaeoNo!0X`u6Dy=hye*MWnVkIEF^UJ z94WObYpd}+wV_ae7N*7OrT|;cuXIsayqN)kdS-&fZmm2dn>=H-Vfe+aKhuP`BiY^G zH&N{wI)+{bvon6gCUIQ(OCIsopw*h@ulYVijz<9UJQ$i#!WR1Jm+$)CJ;{)!}0UN$oS=*fEJNG`EKNNGuJ@-y>#%&t!mlq0{tectfaDhS?XOd zPj@;L+8=Vz*~o$X^Ke-2iq4z%@x370u-!z>+SJ)&-<6Lw9@OIEjHWP;3`2vTKz;*3 zS=Ya2L)lk19mU_{Bdg#j*Szzq)Y7<1zCIC!gXE(xO6Ya?W|I>=k!ldh2$Ri-R=P6o57F?5saY zyI5Wgm6FixxE@lz+l|^IV*`<#)A^}#Q@Q|B+VRb~)9T!0^(rs(F$|*fwm$R4!h!B& z4<1B)=3rsU2v{?&wzYag3P~C?e)4D#6?v`lnoWQY_FUUDgNEg>XA4KPs zn_Xy?0}_2@`tdN(i9S3-K24aJPGiAlkYC#;*n*0mu(!~>@br;=YCJnTFmIVoausDu z*l1PHTYvC+3A5tIuy#nF0sXi+xCSUCo50(+X9~+PcAphdTYj{+C9?t8)tQZoZ@fsE*BayG!nfE5?FE1# zB2&4pr_3R(y0h2Jr|KfeK)ESrCv1$QrO5;puJX{S4FhZnUZvB4K!pDG9csxlatm+J zdAH2oRvc*f$K?LPJgT@l0oEqS8NkN9M&5e(+T>C@Ns_HyQx|L@ht0&#%MA-P)adYn z`k$4J4)o?7xCmiDOwMw;WakQDk&)as0;V9c2?xqsL99y3lP5r@OdJu(2b9Dm|jRc>lT^eav5Ly7obq$)evCDR>^~-VK z4qbRHrG6?(^{o*o5tl~)@_Z*@viXs)nnInD&SuU~;hQoEofVZuBSe;w!EP=u-T}kN z=HLJkkfli%zdM>Po+Wl)PK2emFZN9gJKQt8&|TBHkwFp5%J!{^=E1{fqEC_4WrEok zN!O&?euEF-lgcY9LIc%VPGMVth~FP-II>gsnPB;r|zop13*Am1gBhCDe^2-)~Z z>3#r=GYQ`j5pB059E0J>_3TNqDXKouC7YQ63#%zs`u3UIOGkgSn7IY4>f1L`UHstx z02Tafs5|&%`OdHFznkr$=)|qR`i(~=$UP40nHl!HFD0%!BwBWX>bCt;R1vQ@NrRzxe4n+pt7_%XjqT|<)6D56T4QxK z+PXUeR@jd)rMm!h^9~xV`M!H`z5OREGi91bz>QET6=YbcvQ(WPUuA{EUlicMWKbJM zm+MAArCuLU_k!$sor8red=w_T1heOQiQn^z49H7YtbFtfVg0vgn+#sT7;8pcMSa8F@WX51zY|eK)X%jtco%1A6&}{v7SSkdbx5qw~ zr2!c2^hkGZ&a)NUwSOVIS)CSM_ULGpn`AV*KdH5OprZPnSIo5Hv?0M5f$9obOuG(b zqj=6q&|o)n{+9aQ3;5DEyFasLX2rjU+QZ1)Ik|VWea8d#zF|0cXCw2q|7zxCWs!c| z_>)Bpkm3`Cwf4@~u z%+k~=128TY^fXtOhyB~u!_-HB_X6&>f?s>2pjgkyhkIqwhFb!HwmG5h2P2=PToTNi z9o$Wha1Q__f^eE5wRmF!Oaszml!m=SzAdsV-1<8{3FbcV0N%H8n}7@My8?N4*j!=9 z>hUq(GCHXSr%jIy2R4{QP|Iti%JsE>=aoNYuX^b+zsJ6Ivtw=fvDwv8?pH7gp7`g@AHcmRo%`B;9u*vq)r|HU+bh4ayg(|d|zkcKg|z_qo+y6 zc@%@^K@1YET>o{PkG?yb`E7>~rzpbh>Q@gw;v#pGJ;rwqWF7*ZitVla(3Eh5)@)&C zaj|wCb%t(@8&2K1xu;1;>4az)bq(JjlFyuJFRcMJIC0*7gX|c!3pHn8p=d-4k+hH~ zBgAUZt>kfJyXHkeb(T3_fSQzFH-WagW8SjT#!Lbc zlr}7)!ge{eb7p)ot%f>gbZkv{U3K}cW2mMx2lOj=hdK$TZAZ*F%>wd5CR;MLi*{!a z+ijm*y%Rm2-88mV1?0q8_C8m|5ojRN#A9szP-hQ7dLb{+V*$juYcp}jLO$85;z~!= z;MkQnRMZ?3lM2=O&nieK1lM?|;O8$QO2f}TSYubG!m_>oWSN$MrnjivT@?7OsXKM3Uz#D`K8 zhQ{pq^3D*76~b;n^9$JPR+_W#KiDT@d?8)54nKh>+}(0}wTzM)zjxb{i;~@vTx`LU z-is;ILR1E%M`{d%oCFYmSQm_S?Ie!QWwueol%TP7Lqnw zEJ`sTk&o8tE=x5aQJ>`z%&r)dD5wxsST{;YMucc6wCqcyZcLzd;t&&aFKt+~7D?$a zGd5q0iPmZOvHH#Wq#yyekF_BoMvY$&*7D zf3J7gnI8*-uU4~Er5AGVCsVRx7t|dRs$qIZv1WtHg9u5fn58r17iZ3Id%-8P=s2kY5bP4 z*Q4U7TiA5-G~trlpHFvm2f)^u9R+O5pdpuu^ZP2^khC^;3yhCz6`NJ^q7i=a{22K; zo%dTWlJlh4gP9&B$3$7ooNT%rfl)Rl%4e?R4bezGdA!kDeCjYO zpoJYzyzkmBaTE=qMIOFxp`2qrlPJ{24 zN3fow=JMaN;(ye2|2wbzf5Ys|{|RR2p6Z7Izy}BJS0M)sglyVdS@p%joPOn?y{?ETx({Y(4zU&!@;pzQxYBiC8z z>Hmh+|1+P?@t<{of9CFgzuy1Fr_<9j{|`Q06!9-U-2o8xZ$90$5n?|W4pg0w9u-n} z1Cn|^-n{DLs&$j zm#5Rs(b=)SdwsKPG*&h@t9<+_aaTPKP6R_*iDL^zck$BWHnJlhL4#BJQpXd47z>*(LY=K{HTvx5_-0VR zfz?b?-xr~7%7U<+Xr{voM`1uSX7MBTKGMbIabJl0vzoM)Z1!viAtPa~!rVn#5lDI( z=hEx6`HuBm@RAX=Cvz%tSX=}Pg^$qM=p*2(SW-pbes485aXwVVV2up9?4D@u3y4+t zr318g(KAY?;gUkI$YQJ?HMD`$9^zybyr*mcP{g(w)f#cGp0OfGG)|=vPI3SR{}E1| zM)A>`0Z!)H%y8A`Wxp}sTHyHd0jBr|7iyXS9df>o&+3Etf&&ugj#xdM9<>BI_Th)(D zdEPd4_h0hN3S-odeQ2?V{c-xG13b|cXrLE-8&^;Z10;T%f0>n2L0sNoMjSgO^1Fuv zG6xac05b0dE!r~01NhPbw%Gy_-v(gnio#!^jAm`IV@t31*B1$5OIFmUCKYNbq~DZ< zAOP=SJ!f)5*q~WxllC}h%Oy@a{zI~-}WirWLBSR=G{7qn4 zjVB34#&eLbWW(&M(6xva!59bkti@Z7Kx>oX&wnW(oM6)a~v zP##63BSn#}#M9N^I>2Ul7d-<+?sck(s=L1#b=QB-sQ>(8)Y<-K)Up02qYk`ei(Bv+ zxqLVw#LC&2lSyL0erec#Bq$#cbp$T%6+eNVPOLJ>f7WTY-cqqxUe$nTOj!~O&JSPk)t_D6(OlkU;4G@8LJQ?)tA7$LZZ{$~id@-3- zN-U*Rj_DK6kKeTOj#MP_%$wn#`~l#UYuei_IXS2nRS&ZT5Q&s_aheEj*fFX+pel$F z07KEq2mtH}KcDgIXg~>9X5|^;rs08(=|P(&el!pJ^Dpxm+d?yvdq@$b15ka1aZ&Zh z{Jz4t_8FZ3)J(=koXu`HVIkvDi}Z7YhUAi=F!P%?&fE5>A+&KdXRE60zvHXni#M^s zoy$r83gdDR%+5gBf^Skn@P>E}t=^hT*CEa`ju^wD&Z-1JU<7Eg$?#zFWno%Y25l_; zDsTBO8{qQtu{o_PG0wOgH53mfBzIY22yAgdi8Jzd8L;N~R0JDWVL{lOgG@#!{V7sY zQB0c2$(u+P`rm)LxHyVH9dYM{ezY-}FmFevd54TbU`KYNdi0Fxh9I^YA_3{-<2sES zKsoE<4>0yi*`xT$iB(QJEPhq}@$b~;o4fNN8f-pIDlaW9u8z8%rtT$U=zna0^!1DX zumO7HzqchLgdH16CE90=61k*L_s22;KQNfPm6Lj2bWTHGwaAUGdcJI#yt!uLv(FZn z5`JZI)x5TB*aah{T)Z(rOsKzXfYx*Z-e4I?pd~zCHb7`EZ@mE5VqQpL#$L!~>whBZ zYZcpOZgQ_JOHXhTs*VO#a1*QF$q&ZBiWj13HVvaaUz&{D~2{YD*KZN=fGB$Qt=3j)m zYV>>F7oq;UrVT-WD#t=mLv_-o@U8WqRou-QcL42Q4>y>FHh#q}Owo(%r?-BYxTIOA z)o^6k3uJ!?^@P9{^TuR*ZA3^i*lD$7S=_99&jfpEM2IpK5M&P!WYJ$$T=4p@ zb_9)hb0Hnh^5~#z)er&8X|z5}(bIK#bg@s0SAryOalw!}0dojrN;OD2m_V_#bVq}{ zDV=$zhx_AfxO^|dTVV!u51I0|7dvQ(R_=dSaTk}$=rUX2Fv5~pM=7M?YAc$G_v>q$ z7Fw>Lw8D?*8Peqr@bkW^xHfu4-BUc-qT#1w8tnIL05g+fVm!tW$ji}$QQ*4pkU+S_ z)l%_8LD!~cLBi>au$6yofX}F~teL%#2`1aU@Lx8->++Mte+ATQ$&$$IM+?d{#R#0$ zX==$7Su{Uc^X=cqC>;K0F z=$K7Xq)VgY^X~li@}WWV*|TP~(rQtESZj)ATVq4pqMC{I@O*QI#2L5C^bOC_{3T+D zUCR+o{u~!v=G&Eli1hmXLe%-|{dvasML3}^o2k-HjVY=`FM&XAYlFWC#hc;#EkM-b zJeMUJbWgY~+YNNurGcgsuQzL0~8KOZ+Y3f z$PWEPx>T1@@cMGxr|39NhjO9l@YXI?Kug>>H3ln^0HbPI6Pfrt(Ks9C`y!pXzJP5dGB^OhOG&CPcA{-@zQu%qMz0`ZebDQlMN1-r^ z%W{(SxL^9+-o-a=!G0$YP7m)}m_{PbRQctZ*2SzOF$u1*scda!_QH%S_lxWpbg0>x ztOA5wy6)w-hf%okJ$|q)8ia3Z=m3H*OzjI8A1Wn7!uBGi-6`SU3~zvcFG9o+rCZuF zOJ!QUuzCt~e^2X@wYy8AROdEMb5ZwIxBKp%tB2o7lor?Q2?@e`21VaA{+5rw2y}$E zW_0CecV*Q1vpey!%ag3vXm<6Yyi+Qc+QxZED*n~0QcEw@LAtVcD>|Ro_9OL~(%fca zY~bk~5<9pYUIb`M&8v|tv4TSiQM2NfKQu{59JR6uM%;M+{ng#l704_uPm9G7Ay{Yo z_Cd2}G`jFCKU4tkDsuUO0Q|}eCmD#?9kbZqCOWXW-b`pAQ8)=6&k<-7;AP2&t$M|F zX~9Lgu=J~be-l0px5Z}}%ni{ex>4(KKTE22aup>sV|hyg*!1sW}Z>gF4+ zt>J2qplmR+8;6lH20qg=q{(u@FTu2aZt^E+1#38sEx`{a!9_J%!-;^{_QLnf%v3C9 zI-oe{f_=mcGNBz0??|U_FC6tB{Mb1*0&`&Urx}X))r4Z5IZX0$Jc!}+aTFDHh1P^h zqxq*Km5P!BLyiH;0mw-tE$!$lvuSgF7UN~#`eAdwOsQ^x4E&BHJ+vb1U%MPXfP;Qk z(8df^+h!_n4S@?D(3>ccbX)NT3`~MN{Dd!l(g2$HepDGDI-;yAx4U1}h)UQ}OaMt% zi^d)5h$6-BW&@NMS?_j7;SDmwqG=AHei^v-jesUB%HWQB(;O1L4Jwvv@d>u zMDXJ!iR@i^$%)5xO)b#@Vv9(+Fa~Z(nYFEhlqOG*`0HOrq3-&JE}!0XMu5urfH{43 zVwzpWD1ht;sq0PRV$DmacM|;oMvoCdLK^EpTtniBMW(cxL9xt!ezpaXdv4r0($r`S zrbGPlxK~DoqKcpHg6}31@Z%V3hcT>3>7V2j@yBRu`{8^3so`S>i>M?W29s&0A|=m> zR*<<_1N&=cltiH%s%w0#iSS%J^d`;m*ltu6c0xVJcaLS*Qu#7#wa#}KZd!=IdkEs# zUx1Q6vb(sNW+(DKqNTa{E%w>8c;{`>wxhY|EcI|>O<@M^v%BVE9$-P$9-@sz`ChI} z0uVxQ7sVJxOTgGv*q0Z{_)LovY@nP&!#c)A>~doDe6n#%M`N3bh5z})cq#$zXL?~= z3C*iX-nj>nHo8(q3Hse=U`4uOIL`xT15jMrVnc90fd9!Dh<=(PzWVKf*+ayvmC?d);h6k zB<}7zp{_dglrDonW8;or=bq^!f*7V8^O40`uU_vy-^d3Rgw?*+wclF%=TqMAs-sh0 z;a{jP`!KZx+k4O04!Nfqz`1yO@IXvM01~t%GC-^^M$b$+A;H$iGR(9>{K}}L zj~`Yj#e1$D>Z&LX5v#H{#F~<@n+H!Q!2>D2W?+`TXqKK(w**H4lc#l=LAH*Sk{Wk? zf@6a)PzKeYqO2iBGK1o2+}y4Uo{VzIH+v|6tva?=V^)=-rZImCMW&$-4Bg~~#@wXh zuD%KIgXq8+cfx#vfdQ1}v~I}Ha+0uWZ7BwW*UM-9Kjht0lptN0ChAJtwr$(CZQHhO zRNA&}qtdpmN?VhE_vxPQ(`S0lT+dakh|5^9Rz&Rme$R`FC6LHMPMGLy>|13+zAR4H zxs9}aySw^8qMALvOCHSVfyBk8hXv>L2RCV@%;S#7Hs^M6K%2owt|PsdQ@(tN-a|zJ zl?>#_Q4rhg7vPx9Ttq&;q!}y#S$8@5(nT`u-cja=48yU_|L}U$*9*Lnxx7}Yei<4k)Y1UeN z$~Xn^khF*L#f4x@<^-UBkQhHtaKU23IgI7RS#)n_h^!Hg+DB>`8r?~0K|9?BrW-Q( z6~#N2==3!TgxA6?cTDK&zf=!frH8X9ohi=}zWyliAy+YFKt~sXa%VsrLQfg%Yhavn zohDV@nlhKN--NSZ;R<7Z?zTxO8|yb>Q8ar+FKV`{*3F}!m~$E*Iag`klGHT>u7y=S z9EjihH8k)V7b8$pH8*_XX>w$NRA)~HjyAZs;yU-u=65OSE}d(-4K*)N4(GG#0koc0 z2B0v(XO}RMpTaE1WTE(o|dqR1Gr zCd-?La3st{EE;RuDBW!<4I>J2IuwX94P&$i+hjyago#Pl&?i@aL|{T7Iv7Jt6LHeL zoZ4^%3fk2q5W{Lrk|eDxy)fSy$veQm{LI1FmBESirGFo;W`pH5`BlfRr8=Fc4N}Q6R=`8+;>(-qb|n%?=ffvQOIydGkb#B zo0!>40-08&jLBBRhUf{1maN+hP08MB`p-QUo;#RP#*6Zvn6d9>uo{ROhAo6UV(6>8 zOG}#JcwekV@lZ#D#bG-}pG|}GfWaA$(J<;MN|g6Ww;cIC+LP0rn*!{$q~eEQ=ijlu zA%)Fh=b))IhaW1;Lj0?&p90etBa`0Q)rYFw{2y6t_3d%3?ZmjXl@pN@)VOueylG%= zm8)$Aas_A2MYnmzR9_S>duO1ZqV!Evp9EZQQ(*dgzuy;wst$~p5mkN%iw%N={fWsR ziFatVD%#ld+UlzKvnOK{R)KyHNjbS7o8yBlNHsG=$76l-QCJT*NxlKW{98~7idi+G zeVSrsb(BvqY6P;ay%^jQ{&xmoHWWUIfotx)gwTu4891@?MQx!n^uZ_7ljqQW0;{o3 z^54eK?vmv7ekFMoR2@F-hbO`}E7~Jr;Y|sn?K|Jupn2VcL%L){X~g0J+;&A0J70UdO;l4}E1EMO1e8>cTW&wb zpy+w{MAI~Z2N;BtmsvpQsNqSAam|R4PvjgtTNOVdccQFGQ%D1Gvavvq*o=o{iX2`Z zH8j4AcCj_Y5kpQBLof+-oho`+y5;qVRPf~l+13yn@*2Z5C>PQK@C&>_JLH&=j`|(B zrRdzfLi$R;mT@MVra?smx9~S1E^K7^W#qW6-o}w~QtqP5$WS7;maVmYSp6y)FaYAY zDdp;AjMq#=i#Gl4wXi8K3(49YRcCx!_hIrkc@kb3r8IEO4Lb^If@3#EI6^+(xf0KK zHT3DOZn;hSmSLNrH)!{1Z??z!4bz>VOPFRZq3_a z@HQ;MIMe*>`T#OKS~Vj=dx`armoz{c z_$$svmn^_Pv~V|4(lG9pTc|x34wmTF7U1yc>QXRjOnf(O;IXux zC8-&a5|9qOSa5#}jMbCIwgE+kT6Ygr-FC=m7zhI&ZSWC= zrCfvqKZ#IZKeI((G2*Z>uh|QiMM{w}R!^#oJvgtomm1#UOfQPl#P&)njnD;IOl7cF zqFFe~pJlF=&oKISA?e;OJoyf|GHUxnx; zNA?o|HdAg5JYRFKuY{I%7iRrJAjr!lIWWOpn24ss((K$IAyR=&Q){clpr5bC4xSCl z#WbgWA!LH$EjiF13l?HvrXK%hn~*56l3szZWkwf0Ol3nqd{TsFw+^?ejyr{kF&Z*Rc5vgadTng`1S{40a#kBZ=%i=oEg>^# zsE7>8A@Dt%M_$v4?UM`b@6xkqmFp+5^TSp`3pm~#py{i#F5qG#c3ydo_h%)HmUykX zHj`cozLp+g=%8+aLyZnMa~zlhDHm=uF5*raloL>_FoK_MeKaqEdUqt4Cap4abfye} zw49io90=e+E-{!MP*#8JC#Q)T4KcY^GFaQtR@dF)X&vf@{i79V%37YqvFF01OIVdM z7U1%_U(BY{&CaK;xK!E%gVWTMw2vO9Jfz-)GWU2=xK7FN zfF}3`Vy9@5fneKlHfCh`D8kAh>fXS~`)5tb-$-YZv>IMRBGigI+r&83-0eNPf{NH$ zJOG3Xce2FX+6XJWZge&$qJ)r_gi|UxQ?!9jNOl=Ag9URqL#3T2{BOTlnQtg*%ueK_ zb=(UffTX-}!$vBDMB1pdp+FJoc@U389Q0YpH0AgQp(3)f7iWP#g6=8<; z+fe%JY%`wA zDaIbCY_?mC@%D1-UR_ry$opm~K~IxeJ~sV|n<|-`R+~-)#2syzWagq*=EL1K^Lp*o zHpUmi&S^e@op3)IbBAv}8?T~HfzWKpgZ)5w18fTE_@IcEeFR=lEx|wy`0+yt)xme%5#^%W?7UxjZTr$Kx`WXz#Npt1 z5hiLuudYG7XcN?7GG4O%{b1v=YnKlXq6l3Lw8zcf8FvOm*pJ4pbKE4p?K;6U{r z{5GG9pgn}`-K=t$*2rexAD6YUrp3B5clk&;|ApHtVc7>Nqq$mm7f~T9PfNip3CTh# zMW`lT@THDePT^bt<S4+C|0K)e2z`5!f<{g%zB;?=iftaTM@O5;4+6*K}*YF*dP_% zVr$8HA%~G8AfTnqbWp1?oiwfR3kC;$t3j4_1S*sCKE;R7Htx_uUX!?>TnoO{y3eEZ z&7d346<{k?5#QlZNk-clHaGV=Ak^`Lw@nFff(UmIRqc(0ZUWXD?GG0?BUvb3@o`KB zNEX{FFZm@l8wWCe+>8TKNFG~-VfeOwjS~BMY00Ah5iM4|>M17&^yxei5bN{a%&JkI z%=K+=;TLpgt_t7XvsnMSmPG=tTZq4yT%Rm>+X{qxBO3&89I*oUPGV3gJuS`%nrR{G z-JBzLICwp`JK`7arukeP-Kz84(dhY4(!? z<6WY)CIX<#)llfuls%t`hi8hgLY+jAk>3U0itA#P$Mpe$Sk;`O()WRB`aoPL;p0zb zO~TR@#E3{yzr!U^hzt$e5gE=L1*HX)Nk~ttIjv3G{67gRJpb7j=pBWpG&&c%ac#jC~BYi()=Ay%GH$;;0qCwsbN zC9;;82(KG9M~WMS8EOZ|CE_siuIGixnnvYQVoT&$GMO7kJUZiZCx?EL0c2fd6u?Tb z=t~;#`V=z@;pcgKR#Bwav~z7PI%~qc9LrLDW=cn=$PB#~`%^oZ@q)Nn#}$<>Oy^n- z#*3Yf(9|$Ewr@Ht0@xhP!SYsfy#$;FpV=OXiLJ@Rre(-piP((WvWxgHUCgkk_`hdZ zZ><==sqxlXs=>W{hLgGa1m(hod%Al!*+<1yT$E>)DDFb9~ zn@HahyX}a5>KX3h@c^o_J%5xyu7OQPwfss`u)v&?Gd1Fv4Y_9J@<&k1ycbyUXiAn;>EP+ki69T|GLq|CxYI>|>;^2{~1Z82-{S ztwL^PNRRCW+HTWdz@nXNun<%MI`dvcrnWawjr7Bze|<+>&8I>gu0`d8jr=av1b{lgyW$bOG!`Nb0hC)En1lg=`~1JRqqG*u9+@GrjM9o7Faq*b7iK3fc#tW# z!&`GuQ~F(FP`>(G@hRV%y6a%u^-k7*h*azCE_j_yv^)x_UXQ2E>(r-}b#wV4HCJ#{ ze>O;&UtP7jhu-Yky7r$BazKt>>Ksq77k(|G&6K)rR^-#jE{bJ84CSPjxnR!74iUEka?L#J=8v!k{2ExNV0tWu ze-LARbf9yBUFE)4Vw_P-{QM$fkdG0o%!YVAU)k~L`ov6{Y4e7)ZLxyk2Gh z3n;Dsen&vE)NdMO$tD+Ukca~G&DCRY4LuP8Q=6Fi=3Tv5xZvLWT?O%|)kf$iCG>d7 zbDRSLnXlPM2Fz+Acc9Hw=C2}5q8<;GN)L=o(7K3vI!H%(+K;hMnv3fIBNRAHSiu}I zUB@}DNE<#U#KjSBWjovb6h+As_*~5$1lr-Ot@o%pqLM`W4&$=74*~lHykUwdt-|y9 zaXM3y2{fYUXEO7Zr=2CgR)q2tYLA_!pJsIYi6}+Wiyz!2J(W@|&$w7m6W#0#(NhT% ztIOWcLqYPH{vM6=qc!Iqpb=mU#4mF=Pb>b#hSuR9U;D~@v^=vp4DI(biA^tX6`Oa* z?WUUF!y_!dm7iX{BB_^&_;`VTO>mqECx`{-i^Hxk zF;MPY#R5B6bxErG9H~AC1v?tl!R`3gCx3Bar?EAP#EMnAT-0>ER@N~;q;>5eFv;BD z$K_I}KAP>hUZPZlP4eQW>T69Ac>qG)zq-PSl_wR&`I;hI#lTM-CeYQzUf*q)9jT5M zn$TLiUG~7c?8_f)z2Z&zh-e~vr)v~(V#!X<^M8tVeP7h}N8lb-XlxSZq(q?8H+b#Dj z2IL?!7{TicV8@yF&yf>cMj*?d4aFORT*QXi-i()rO32R#!u9t_@jYR+S}$cPVtd+8 zcR)s3Q@Y@2R48Aa`AiNK7Tgg?k%9J%rk-tw4s}GG@aiWwizX+p6~k~*!3xGEV%qdM zsYefGL`BKK9|0~PDbe9)FeQK4#SVqr6PHxBEEdLvfxxluT?ww%Y^0+rk`f8nEs zF+>2w0xh;}IDaJhCl4K|$frqK*0W z-}v!PmTKRJ9P(h{$SU1GW{GK@#O(&tzVmO$RbZvBo!bDqP&yrnDx{n&H)|N4>I7&h4LA0J4 zvj5Dm2R&&mn6^-u4`q5VwG09w?3{*FNsX?q3PuPd%D(loTk4^jL8gM#`gnZNf9&0y zvR&jccj4siZx!qXNQrp^=!S+}91s3X={dNUeG%1iV?)EW43oGI{Zz=(Ri@{1-yo=t z*zRxLQ}J5f{H@JkZR)*H>HGG2{e`vKcQ^U^4Hk2M<%xN*f>RyXhO`ZUyN!&~l(prt zoJ7Iibe1yQ%>tTx+^%B^oA4T8rN)rMXHW<{+H;JXZBcx}&@@yY!|=3<4Od3;WPC~D zHL}X3K@rzY!s|S;8bxp*YPQBSn!`4_O{^7PaM3Xw?NcM>{cFh>d3%}XE2;L~GfP^K zUBjmW4Ea+LtP8hSN029ox?+1t2eW7`Sp}l22j;6+>{IKj`i%uQ8A_@1c?4J&lLSV> zpLZ2{xamW7bQQzKRaHKbFLTu~aGX=443ot2eaD%B~qnQ)e+l>#iU-_PHO zk|9Q-N^Uo(Se4tphjQUb4K2rIGE8>%-`#=79_Uq>6)D@xJIGVj?dTskxd#xZAt6|`o-k`GnUN$ zKSQ(vwzhW8PWTKA?EhT+PkrY9icbGu(P#c2fbIW(F=b|Y`v11I{GWUGzmF+1vazuI z=a{mV9g+m9?{jUL*n9qlORqRM1;nK`bCo~(l%xXlA9mRB@F8h>&6j7d9;b><8!$#& z)R-p&h`&x99p}o~*V-wS47Fx$DU> zk~K~FFI9rnHWHL1Tb>6$<4m6~@5lG=zcaquI@+|iDqjP4balJB+dEe`tF9LpN=Ij8 z7Y}D7{@u~GjiNjEWqDQEngiZC*N=h`uz0h&IrkP1(D;BVZy3=mOleJe9WPg%fJ@sE z{TD|YP71LUIx2rEYq}bWqhh4(5>QsZ9y8aDECvE(V=c=fkmmQG5yw9%<(%bUWCk>2 zjx(`02`wrw2SQxGiSeaFluw+ze|5Ai{&BSPvf;Xa9PRGfA4l6QsYLzKxJdzkcwTUs zj@?9Q1UgA-2DG|Lb`o7HyRVf&=RQmGPTsl8Uebh8u zR|Hi*a_}r|g1u%boyNGKs-ZYsar&3QdIAVj@%Kc8y`w7DJu8)2(=?QuPn(S7sOGg> zckN>hwA?lBDmlo`#@HzsD`Qt70ojD$Kc(f3>Od&ni6@SVY0Gh+)*3o3d5`~;mg5*g z1CeU1U(3h9RKqXN4LM+*Fb-+gd&n8BSNHvtmXBabHwxeWgp^&40>~0vh(7-$gY|1BgKc^79UNRjCR8h;EryfBl9OCYRZm> zAL;;og&Kw!NHU0oQ||Q$f1}GtqC#3bo%FO#3EyjqJ4;~`fnZD_BEA)%G&&@z$Ap!< z4q0eXDfazC$(4Xnc^Qf1+8=&g$Odtt!6&O~?l>!>5Poonwzk~gT|tk=%@P($;F?c zWe(n1ZkxsVP(?H3`kmaBObMdb4ll(EP1-qpn?aAiSNdr3jL!XOi{8ld zq7F<4eR+NyZRr1{qwVuw9c@nAoB!ZwkNj^P?dgM`9jN2oQH;R1H0I48NBd#hH1yvc z?azN4ZO60n|IX14IIH<_v_b!qqwV@{A?3y&N83LCVM}pGk6dReqF$HW$S*&dh%Q;y z?H@;b@yF4ob@S;@)B+*1M#JvKQ6yOoM0yWI8b!e#^^rq^&mR#*S~vhHbp{#bA!kQ1 zePTz(UO>ZkQtuz<0vW}Xw`V7crpN43iB2hNh-J)>@#(*veg^{q!`e5N2sbFaQ6SPY zhv1u(a!`oP~iZTM}!82~{BJE`~YDP^GlWlH(e>t9mJID?qt*LzBADQ~rhN!oW5 zC?wO6`9|bMYnt&M_{!_$5@M|9hsi0G3qOWH^jpws?vn8rQ2E`{Ai;lew6B_cCjW7? zrT@***7dDVh6~%2-qc+Bsr%?T*$*~QE=QXrt zr%UKeiG4ho3rBlRdt5Bft+TS}d~>7=N1Qc){R=gzLC*6~zg2r=+R^S!QP+z~@Kl*r z63gDx8LHKk9tWd|eY?^TE*B=!N3u}<1ElTE;PJIDT<=dy2F;cQ@i+qckyWI zQhN;L>*aC#bo|%Qsj){%E*F=t5C(#m=%zj;B~Cngkf9Z!_;0{2@eQr%Vv!8rR9TJO zzjT%h1G8@nJWg4f=09i=K6uhWnI|{!jnF;!iPLN~w)F2Nz5FH40cfDqzEAvZa<1rlqDsoyzs?e;{ouA2LrKt5u?!r=xULH(ciCb?6^R+qm~t3jL=E zL~h1hRpfa_BF~hfvPelP5*_Pj$`i>mKjm1>WfWD9`Z5K^u+(F-S-^6<5b=?uCu1Mx zm0{ZRAp=48CgO~^R`hzqE|J{&Zke>(EjD#cne8o+uXsL1M+fx~XZ9$gYP?@~iZ29) zA{?HmaE#1TV+MMR%av>=EbYzp%I%ZpGHWqye!a_S5s4Ekwj-r*+h+G8V&-RPHco7= zcG8VL0)lwUcbxRn<6bXzB{kP;MJoHkKrE^GXW7<5=F2roBlk(>sRZ;BA@Iw^tdDE% zo6XaN%jJM?I<3nW_F>GrG?|4b6zgz&rzPMmcGT62K&VBky0Wz3O7Htl&zk#kpILEC zO@`SR^t`?0@<7tIT zIh@IEg%^Mt+CRT0Nyb@}D4l{RNod|y?Jl36-fvgzvyXsm$8rot52iT3jc(z+J5Dcg zgCo-W1MX~TeuK3peR=+CpCxqO1kxj@SP%un<=FAX<|1(X+f4)(_HTm)3l|xLT z5If%~VV!i66}RIdl{aK$3>GD-Y>hOv$86q0q+zT|&(t^`l?Q_#;DP%aPSm1>Fcs|!~s_$1s5sPzV5aLi`NnS$fvrM-@4XF~FS#XWh)AuN{ z@xTC$Cph;Ts%TAipIJ8X!2S_<`1v7@ai5P0$_Oqtw)CK>?YkURRObwy1qfE(|&{uYYF1~WT;d2Tr*bU0Kb3;cO%Zqd7l>z6;^Y#Ttf9Ro01CJn11 zZY=kg%=@aGe>f@^g6?Fcl>3#ZtoUJbiKbu5Dkc1el9bXIk03f?WyV=xneUl`0i(JZ zX-0#wny6_leQm%>qDKe{p)U^%SV-~jrB~|V{D6)>rS(=~ z6nMz@_2#dt zV30gSQiU~ek1DWjJ*Eh=B=giF?uI}7;7PYWU$*&x1%EuRN<~5zCtS{S0r>M6=$4d! zFa^n|e?fH8WO4-k=7IqlX%Pf;w^-<@ZfIOhrWg|JJ^dT7s0`6FbS!UwKUyijwo0~x zH2V~%XoHw6P4u_P(n)`CrEzEt>})Ut!_PJ`X`?Zyj5-DSl>3cLUvMV0Xi6LeivjzT z$qD`UyaN8kwJ2S5*rqVtOXUK_vf4vel4wT~r=E zXktrJvG%?V=x=snJM;P0foQx?tF*+eOM4tMSE&%_hE=JB`YAiAsI8&p^aP*uW!Uuu zsis&?kw7ktDUU7_px0Ygj7$#Cf`Ddp_iW73#s|PGpGYOL5q?oq!{9I7FpUaa+44H_ zC7=hjT*McsCNY)jQNsFN5ETyhfM{cDBECeDMm@PG9cIN6d%a@FP`Y058kxQGL&D@k zveb~Es%Q;~pWr5X~NG!oX_Z|1krhO7xt8%Y^eU{{$@Cd-EEP~wi88_n#;)u9S0)r=QMMXuBK zz}tcNX~-)1WL_o2!Gi+T%WkG3k0c%nAsHyy#v&{f_wuj9GcHj9*_F3-H~v1?QGcXj zYKunP(h+<4iGXQPgYz7CV=f$gz^<_MjgHbPXo;(_h;8Bwe)H}&RnQ}ShlGr3Z`N?z z^1yYf#MpWIUxo2*mc(&cdErFM*=UK8P_cZq0bYe&{c-45*CI!ci;5AMvfodcTRmKd z(ZD3@!eiIG|h8c9jb1Khumu-xp)J9Qc%FPO##HdRi}ZJq2Xr z1Ot}7yU*AHFU1KGx3X)|xJ7!~7bAQUaBvJOh+fvSDpc5?OOVyav!iMix8q929f~)3 zcj!I&;E|+iNH5WICiRXrP%_-~4fa{AuPmt7Ur3WGaz-=^dlxpr!nm~8nm-ut0 zy)QSY_CqokqY^<)B6qATwbH66@we(OpKy&tRdymg{#ibX&*2>TCizadD8oG!Vobi% zW@0~UerRDx!@1>q9gp>Mrj4^rTJFw=uTI7kf;I&70g;(Rh-z9#m2Dw zAAjzJ2}s62B1DSjmAX5jkeU|4$BW+dve+K+<9PrF(khKQ(0NykXE$3`54bV&JXvA< z7_m9J%z+$?@I#D)+jT*ZEO^2rh+kWgsKpM;6WB^g1RBnB{wR?Wn`;S}%(e?&79RA} zwCV4y?nPjFXF?Nz2@6*L4Gcx%-8v8}3i_3{;KyJ@@ALYC;ZYHe{^sE(_xw7$O9;%D z^K!9}v?dNTf8lkMG~`-}g9F_7E&je2Ye;e$-V`)|1S00U&i3Zw#(A~s;H;Hn!`pk5 za4l)xjbL=F+YCL&kR|Fuyxvr?DZC9kXF| zGY4hZ(My#+eWS4f)kWQKyq$;2j>Wpw+QoJzV-GxMbvIE8j2>#SPf>DqvK$d1?&?Nc zmy4)|E(1wzmTCW>zs@x&d%_Sja)sdOrT>i5fF<)v-#LH-d_4H0w7&SaVzp^Z*VD!tBtOp_9^@luQ=9RT zMH(-w$0NlSrtV@EH9IcRr^um;B*Kg6GCz9;%3lenY2Wp2(v}FZy( z_)6sWO1ezMoR~bYE^G_|yA^9-t#1a#NdNpsj>CSi!!4U|X5ICN*jO9Y47XcHN%15w zw@+0ZHOoJ5;CgM>O{7n#gwcAzK|y~|@9+wM53Io$|EiY2^*bbON5K;UJ4!-Ltx0Je z#oZwNH0B-SVM$Iau3R|oNKUx(5fmfH{t4Jgc>H}3 zNeVj9J37<0JdgPX?mR~rUi$J9WZmrO6@E4yuBgZKnr@xy;Q$4qoEz7)U?7e&`EyW z&{Iu8V8da5;G(W}k1!G}Dctp~JD%y+%B#Vrx-GjFhVy~9o8#w;dFvlDoBD};!d(x; zY4ehyg(}uYi`fQ5&Gv8qh*_Nh?Ka~8RYV}xCG6N%&c+apaFiG^-r)EZNtCyEypCV* zhM2qz;2%bEz(kAq9&(+^@W}kIZxTv7j)QVN)lE9AtCVzB@Fo`l0_PqVF-R;5760$C zYB=?JMWA#)c-I8H=_hI0)R3rfmOApkrhqDj!SnlGo-^F|w0MIzv5K&=J(x24g&d03 zPXsB-9r5CS| zwMS~q?al#kxQ_tq1t4)oPyTviRB-5x(gB6k-UD!L&KQza839^?`DR+)?5(&u`$b{p zlSFT&V);H_?bu8P>Y)Xj!PZ>24wOwAzaa@06o>#S|bna&PwWo$+x&%!4e3#^v z`Rv5tHwH`%y~L04Tj(^dy*!<*9=IN$-Yfw_X2jWCcY@^0zx^(!KrbXSZ@PQcYV~QN zZ80|~L|5MjHUL~JIA4ED0tgS`st6)+j`gf6QL3=I-SRxgJ@{!qO544Mp#y0 z(YnaZl_YeWgVsFpsW0@lD{4BZvD9?9Het=EFB+&)4jQb&HV&}0ymi+w_veBk6LP&Q(fibyps6VV%tVfp`|Hu0}9Gef)pi!RL7DjAyR>D?r4}0c%w+L zCI!ceP#d(8>KBv|30cS_aF%$C|L+sYzWP5&gl3W$A)-sL5N9OQW%hW-e)_loGpqo! zBUh^sbR*w&7Uu}pVofiBD-m|7Z1m3w-Xwje$W7RAS0y;W*w{8&5VUqTT>g^&ak>PY zq6g@2nr!3`-5}EeO!rXMxHOJMqU?&jk0GI;_fYU|!uSVgG`TZzc_HwAu$Jtt-|fd# zef$>aFX$t9sN`xeF%gauwqR3Nevd33tu8uy-cgUCWW1hEwQJyi$n5c!5y|PFh?}Bq zN%VrBx_^qe-4ke)OJ8ARJvG}4lIrBTM~=hA=KH=WDgKt)4W#5qVVu|Y`d3hapGmFrpdV!)APS&byU{*Ry*Bjx_%ZgQ&C>;L)R-;n;^exL1 zZ?ywYpssmkioI`0XNePV?cCPR_@I0JoGF)#;$vkINm)h3-i}3%?zw^W&6aUvlfG#m zuTTT{CC{#oF;)U+-_M8HVt~n=X;S(6!lAe|Apj(hMsfd*@vKyCo$@>JpNo33_<9?# zatkd-Dg`Io)S#v5V8|ws)RDHavJ(4!e~|Bl?b(LUB#~4%zKtFI^@F zRdu2L5Z|`|c9|Qe2M6a{2mIWlE&z}#*b^H0>N%v7L;Fks%i|IcqDq`zpoWe&TG+rd zQjYf_c|xWq*WBYP6kl%oU?oS^p)3yl`9-$sSxav_usha@3M+QiawZ%8q%M$&ER7cL zOIhYVO&t9zWB)xv2HE>pSg)INOFdiANhI`eNhz=Y$k~-jvi}q>*0vUCaJNN(x37M0s6Vd)Xth|dqEs$C#1T= zsVn)&LE=Z3QM6;^PJ@hH{j_02ca{5N@?6enj4z;ZH8~BObW6M44HQTDJY%>UjXg}~ zPdx6h#jZ{KoGhK4pfZ7}&L&v8C=Srog;UOaco}Np;zlS^d_x8Faa%z_?B<3^;GBG7 z-B`W`!UZ{sK`a*_7_mmy+oCE#kwfxHUxy&@2}DtKE=YhppondeqVb4SF^qQ@JH#K7LI`&whQwOw{14b214g2}p#-^bzt>*jPxUFZ zIdb86B6weR8R6lQ=`vIBo9^>#!-i`rfzowAk){jqI5~^vfGgbdI3co|3J~6?`i0** zqebKt$s2;G5s_BQA6ZM|=mHi};=L`Xv*a^2_)CsU@^ppr>aPOSyf=}1%FQb+;JC+8 zM)C;aFp_e3Y@sm;aCm2RUrVBwH;1y8Ne^ro1_1ZeO17e#%#G7n@w+pXJi=h2tjy_> z%3STF!iYv%rAYl6KKqp{gFe^rCH^MbyY2Vx|2ggrB|BM9uZ(H)tnUY4`@>TIY;g&= zvOj&yKZny0L`q+8D(T3jC`HKrowa$!ECvzh!oIn(X_dAaW$>)*hAR%dN#&qc?B<@Y zfbY6hK^1+50$kM`Wuw`YNWv5*r8iBG0u4QlX2v%mgXFh?5p82*4xvJnHeO;Vury*! zMetH%vWn$E0~0=&zGcVD>|{feYqDCHUne2G%UwI1t~O_KwX4ElaA`dSR{{blo&h>aD=c>V@oask+u9uu}i^ju~99J_glv zPfAld&sOP-OH8NvhFh>L)n8?;B;ZETYk~vwDd>ovDGbNSVMEWVHYp>SxTRQUTVb)~ zO?A6ivXRI-Eh|I)Z$`#Rob<+l%tpeq>hY>JO0SRE+Lfd5LL*M<$S6epnBd_xn%1H} ze>J|_FUu6F>m8ZD&@aKa@6+Fs789L8wpqQ*VtN{vCq>u@wFf& znE9iwk4lcbZ|L3=ZM}f>w6b#S+rmrn_If$7Jp(8dDTm@E%o>VLub&3&23%PFv!NXrU3tBEqvRk26`)Ma%aZ+$iv?9@SWKb zmuuvumNzdz*bRmt%yRPwp`feZx4MQOFXoFRvl* zmWq0(8kD6{vOt%`^>s2v_dRnWJM(lmsU6?qveuJUctO*X80Ayld|}CyY%25IVxy0f)w@s z!7!^)m2sLTrTI!b6LW`H+Ppi$Knq6fz)8hKLH@39i~G4Q^k+pV$rG2pTR&SY$PM*G z`#|8V#J#TVn374FDQ@J9CUM+mq}J^(!6>s;l8%LzocS?PohVu_Vf2i~@$`P|#Xuwj ze{}|FQV@u5C#8l5c(f-7Z(un@I1n*E6d69R2&EKKE?A`qa;`vHammzp1;U8gi)n>q zC-73l$}eA^q35zniqt4k{9J*;Y@#ubsLgB;-yA%xGK(?sqmmY7I;4Mj>9yS5DKI;c z*N*yLG;hy_z9ft~hCv|~wj(jSZ6QvnVWP0B6@qO$atS%R_Fc8q)m{)R6rbg=4;E?_7J6C5lJmx2YmUcgnB$XOa8os@DZbLSv)SI`v+xT zK54S5(z*Lb_tb9aZ*_^D`a!8H-C^q~nnaH6@P$PoUPHuW-; z%3C+e6`z>243KvI&OU2c94<%-VD3|O(BnK4)|S~`NDl0_7+QNQdQT|ho_`1o0YyX6 z;LSA-dSz(VMK8Q6nsD`8^L1RqoUKmpDu>c=$Gw4>+g_O84slpQcB0cF{u?9LW+CW~ z$GguF@BQ)-DQ=|BV^q5Z>X)dx4i%6hdt1l^rM2`@5@JBlJqFl$K!QU1bf9?--y%Jb z5?cp~w)h!??1YB8(H8o5AhNRIGibGwM9lEeHttU><%f}89_q)-q#3P;$(6CmReAeR z5TK63E7rZPl3jfveBB%PEeM>aMDs*yuhNuO6@`l0p=Zy_FT}T_!o_bOzKEIC-JAOq zOFe}*hDYCDy*E3uP~l5Kl$gSQK=x&&^F~_gEq=sk-DC6QatSTa@}r+4Dm!oa&v|u4 zm6nPOCW;CTCJEZqT$yz2Zhf+Xc8PQC+hhF6ULx_$ z!%Aae-PkpG6m!)QO0ej;jma!%=F}+-BVqB$r-OtPmCy*(wGqwD?2v9-^)bx!$VZeD z5-AU_9^+jF`{_pswx=s#gamvMKdEajE6G|YYEb|ds|;&g=x8~1MgWxd(=5kYc-olDWRTY|KIc1pSm8hTdRH8A?zI8{M-yf@jGD4!1Y|X`< z>Etfu>VwU{Q{f8rcDWX-VxnxQ+;o0agLHp~OIhTQ>1qZE4p8&$ zMilh^dEC+G$bKQg?y{6)R|^d38cSq(XfQ<-7&#&1F!rX2P|@yDS)o_o6)cc@){o3_ zD*ZIz4P8_P5Z4MXH>Rg<3f~EhpYcwQZ8@rrKQ!1{i#>v@sU zmPt2=Z~+ZA(nDf(!Jwgq}{6rW27K z+nsx9$7;od$nS@R%`jmG`bgQTgV>c8o^U*ldOafbGwbc{k?=C84Q0!e#`3SB`d2YqFVyG}; zJyb>G;MIQR|6uQ( zqBLs~Htn=++qP}nwry5c+O}zaS{*>rcclNyY;vmuhyT2yQso-y$DuIu*KUTp-0ij{P(E3%~e)pOJ5 z9naj2K4r4t{G( zD*+($HJ@duhll$Sm$}k>4a2BI4zNX$sc79zT@uJIE)zd6b~xXaoEoL&&Z;t=+)*~H zX}zlp$?|Od;mM%(xUXI;7Y$PmD(rcYy2iVnOii8e$!KPwIE7E9q`^S^-E7Z_WE6on z9$3Suuz*DJRipDG)cO=GG=<9=NQsj)&>+XOQy?M&ssL3;d1cLUvDp|b?Wh8?0@o-8 zH8Bq~0u)i(P~|2^pR3&v;%zAG@z_1}vMo^7l`~ux#Fujacfzd5ffZ-uD_&wa;=4ksFFe zSdL>qasss|w)-0F%yB=E<+IJ2aK$n|bSO)BGDaxUfkHB)s>ALcNw>P`u&`!~2V&8* z8c~R101;x~csc)(0Ra;t0;lVKfrTT1B)~!`PYR+eGV1U^X8T7?Ir~B?j1Qu~+elN} z&Qf}vrezPq@Pw|TZQ7A_6ukB(tOp(;+1ai+(cjhXwin0&N-_#6>#1=Z-+?-4qS@(w z74E}^zy@QhGt*i2kxrH_wJM)gV`c4u%Ru)GEYh~l&DC$rR@=~9?}zOtU#05v&wNDX z>z#LB#dP#fPK9o8TfLuc|7@O|Ow3*wV)A!m7Gs~Bp9HM2xyX!ljK;48Z3TcWJXs9Y zZ$>tsR~wN>|c=1ahT)oS<{UnUr;ja?2U;6V*4 zrQm^-bd<=UTka15t{*gp9vIf0alUBBZlobqbr5QF`u-F7^J8ZhyW1Q7>-~x;1VSqE zwEi@pf_7tqHV69k&|Vf=9~C77s{7S77|@HIHqOY4jc?Gl<*HLdf9~XKd&d+TR26G& zr)4Q=HR?`yKBaV)hRKzy!**A;1}5hVa%7Dw^wq@C)G$f7aLcwVYP zfu`Ci!$#O|u2si2sunaaB?i3D6(FS4;SJcP2G9;BL3AjGWgKMT6!1<(gMyHQ@D&Cs zDOxO(YckuVGnFBLUw`FL*s@)&hvvX)d5Si|z^!0p#)tOsio7{^$omFhs(P2-oTsWw z=}>5X2PQf$<^g55uVh18n%i57Et*(alm_(N`Oa}%ntfeU_K@D@=F_e2f)K`l-l?33 zm#%VaINs<}A{!kBO_@NCQloP(^4T6*JkD#tzcC}rjv~*14pLN8k9*Ft^&7eRP5PcT&+w;!{n8G%<(QQdfqX~T3f7ELwbJLa z&q88-xDMn5^sz<^`u@p-_w8uq0Ct}UBqj>_-YaUjCSIb&!71OKpB2iB-eeAG2!)w0 zfyN+2h@J-|MGP!9s@cHMp+n3os5T(E%VEv)FeLsdOTWKR5chlIf(Rj1M-{Ti`rSG& zw=Oha7ihOukGo9oa#ByK6iq+TTWka6I;0fiL!Y0CBf9csN;>Vg4rhuZ2r7X#+(4lq z8PkgXRi&-_T$Y&YAVJfN`TFlIVm<2VHU$1#c~H^Sfl&FMp;BOkuc#Trhd4K zi3^9nZ|8k26J!XLm`0Y8=nk*%icg{S$;G`_(50We;)u5cqA^FmLv>w^@0>q;T#^QMcq-0oAbs%}UJ}@J1`&D_%`3 z?Xa-SRbLtSnfG>x+|n32jLJ54q`ts4iLWUJ6G)~NC)NNpY4E${hx-bIN5bKvSdOS+gQ~piHD- z=3GU8_LuXiNE4X7+0pfBKl>~>H_+5J5~I??K6%rsExOn&stbf?o>wdnO+3MtrDT9g z>D0n-!r)oJtH*jE1pHP0Wbkl+rGzt$rn&wMj!u+IH!=K=>@02t1$zE|QJ(>B&*9De z47$^8tjpWCWJh}G3)fLCAV&W>EodI=YO^q{GX*seB#p{^7hP-Bm6OXC5uR|FhRsER zqXd84M$NZQ+Xndy{yL?%=rZr)>WZfMOSnnaGn{jKms=T!+m)RV?mc+TSwNUN+erR& zot%$S*pGE%?V(5N`RtgltuY?kH0@=!_zKT6($9rD>@PqFIB5XaG;3_C*VSx&eNl>U zm?MLs^%lt$S@;!u-;t#&Ia?WY@HRzB0WU~i(O2*lVAfekis}>aAdIm-K0eKYr_hpl ze>P_fA$pN6B|#Okj~7l;??h)`86HtXGG*m$kz1U2-oG~I<~g>`B&?IGtDEi96D~mF zK2w}gua|XHbW>pkbPH8xbW>?@(t(bD9krqG8cY@SJ;xKe3ka-VD-v?d7UJA|?A`OO zJ_K5}u8GzJUKhX>;@?xJnWO*#Kn#!5J3kK!IOluM-)5g!SLU@A^#Rx!2I7v7BI0i)+sP3gt0ZVFoDepLJ5QrMj3(_4FG{)0C**W z-TcS?8UNM)zwDoX$UgRVE~a*0J`fWJ)89JK{~uCdO29y`?Be8V?4n@k^w;DtvM{jx zclOU;bN7F@e;65(R!sVZD0Eo;~%{sD7hc zy%xQ+bY1?muawNRFo9Z@g0B)mS~)>Vl6{Lq_-u;*ck|o(w?Z_(?J7EU_dh!rr&h8r zw^VLEJgV{feS6)Lk^P+pG9BQ_juQquOX{F{;!CF>JqSw4DYp<8OtNv zh0rxq8Xxv_^vJ|0HmdI;Ya_3qr8Z+4hE69ddC806n#OC26dFgeB_E(RQ4tFSL#sLQ zrp+*EL<=0IMxnzSDD7dcHX+BVCV(Xz8!>HBXIj~-LS*A~+7T27FbGA+nheUXe!Pfs zmsZAj4gZQL&^-I-eq!B9{=MAc%_i0B&c^hb6AhDVF*4eK@CwW^pn2{ep*MQv^=1Ez z+BKci0skK(3hw`NM1iqC`XBoTwVBRwZ@#_I*#gp?h8J4AWddx(^*)+^pRk69aeb(XcEU}EJak}C+WCmH;itQ z5INvY;Y+I9BKzxZN3X7E-)Paagx7c4+0H-s)hBzH_TL8!t!&Ki6Kwiv(n~*Tf z74{ZA0y&7h9|ieu{YUG6(SHt7;Qyijh*BAwBxt~b7hm;Ru2(3PHjK%c3{iFL1t~KW zyqw+zeN^F-Q?qa$lQ@KVB`q0zx3)i-iYT9}@WW%1H&*aAMqpGxS|ev%PtRc?7HMoHh_90&iY|tOp7R z^1CPo6G1Y;x2!`tfN>ejbU9i*@B%|7Vpf>@>*Mh`Ltz$opX|T&AM5{v{&Uxd4b&nP z5W9=V*!3nHZDbsxrK5lleELQYyo=c*mqaR~-w(p76W?;d6Dwi?2k_B)Isr-bCo z4zM^@j)YuN+GX#?{H198YSr%Qi_MKM{U?W#DMV#F7)pkQwE(dnr)?xtkAmOM6r_L? zbKV5!=q60p%=vmBM$lL0RKH>r@6C?+ql0#V@lo$v%j)GO8N`(HN!j1}PYd?c3{j%4 zzL-Cz^nsSfdZfa(KUr~(W$u^$sLy~VxbF{-C#c(t24&=Nz^^F=M$6O)Da1!kn zWjH9MI?SyE1%5}6PT`qLP~mQ$GxX*08J5CP3fy9<^1sL9Ycej+B+dPmAYV@5s0KkR zj5)p(FaexDr}kG!!BL}bcJ7C>(Zbch?=rH;E6HSb{1|`2R~yJ#c)#S|^$OaEbGUXCm4NN0@x z(tkew=s!7s>pvN=|I~l79yXnBH|5PE9=O}qb@i*4xF60}_e@%}tGDgw76Sg)8+djQ~h|&IT-n?Y{50Cf&IFje6 zo?$JmZX!mwWgH@@P2gV1o$7z;KehJH!XE-(`j61VrrDSNgH3erNsj%u{sZ450o(N> z#Nb-i#~XS2`zl#;BwY!;`2w|!q+Ome8&Ex0oE7$bhLzS>x2mnVYGiRqeK%@X_T(yY z4ctMxSj!*%=l(DKXYfn^DgA-3_a~$P{~sX*At;P&EWc)ck>3l_PS&2L(01#r`NJAj zdTz7`T8;d}|3SYs-gx+BF6J@HUXs~~{)!W)D_N53_h}<1*r|N$Y%9@wiC8T<&BGvUarL9=*9$<1v|dT{NcEvbJkQu z%i7$lxz>;G*>LGz-V^O?LlgJBKe3~*eTG!D!?7n)8x{fDW9c^Tf=me_wPz;czs!@V zB9ZAy>yeC9|5h1K9{nBc&Nje+2a$Icev{f8Nj;IoYn)Bd{ELK{*OP*oH3Y4ddOWQ~ zpV53ElnZE;h32qSON&~DAB1(Z;AmyM-*^wou0hNT4&y8BO=ET>{@%_ZK3RF=L$c9dVU7 zqf}cjAqwM89FKgx;N|Z)xxyxEJAM)AbcU&Uqkpj`m_G=RL;3*qBkqG%;?OtclO*1h zbv$a!-Uq7Lnu;Xy8wh8F8i7&OKy(Zv@n(qTXiPl}x9&+O*5UgSfR&<*aIEBlg0Vt3 z;sL071CuHiCMB3l;OuBbXQ;Rf#z&Cos0aGrl0C}mFyQHMiGrBb_w%7gLFje3<}^Ey zQV3{=gd4<*hE_30$fkmdO+r#$7cv1NtXmRiOnR|#saMiDU&*?Ug&qYDV?_;svQnIw zr@J-ez^Q&o>$V%fwS|A}?p+JRy}nu~HlcRM%WB3FQOgMt2nVGiKbA{NTMMQZ<^Timc*xD$XOb zhXa^adq=9BLExid{@dz7-7;6!S;6d?xxPEvtS7u5eoX0=vzs1kay`?=a!S95Xao z_wfy~P}$Quq3BMPkc{AD94A@C&VM3i_WL)Ysny_QK+6%D|?E#dnDQSuyA5K@Azq4;zb_M+dkiM`U-Kb+(7bj z3GlApXz74zUxw$|ffQt#y-{||dgcy;A{_xwk_IOW=T5_s?~4NH_x#svu3T8SqetV3K9dXi;eYEUWgm@0 zrr(ZthcC$fSx#=n?Qzc#yX=rwmF$7bpofsvTLP#W8wY zc9@krFnFjg#dMuCjKfW8{Ch(w*Hxz$obB(#L8G+ zH*G$2IJ`szDnhoJ9F53^nIb@k&rEZBGYN zIoX|kY zXf=dU+Yo82hu&PrOSd7j{Q<>&kZvp+f z6sU^b%!rRvu!*)&8R_`SD{&3`^eBW0gf)w7P7&Erh` z)82GtYkMZ8<8jQQVb-2-L~YEb-v)5zb{--QxIj43fDv(3V5WtkIzv1z((m(yaDl$4 znb$wv-zdSqc0PK~{ssz5?^g6%3WBdY`)6BBcX znIH5zyU&H`20pJ9b8j6aLWs`Uz}v#3q?M25h`$r60%fi?q7=v z4=x(l)ejt0w)^Xb_s={>4o>l8U>hRJ$#NT64y!$hknC%nLn@7F5!eXslU4z(0~y*e ztSK0&(LCvn$Q`01y2|{a8?@%vq+^>VA`~sR33SS$X&r-`&)QrkL6;^D%w+hyZfGTfs8f5>X0Wh;1X41-~?7MQ|>{U4W`^6KH?r643_B z9l`Sj4l*O)_M8!{Gyy>b0tA@zeGd=d%fjoymR=S$2D5vG>A-!sn?Lc8KMK+3AB$PX zeR4QIez)&!a#)$I@xO?JM{9fY-r0kEoE}+%SZ$VRHkTJ8e&qdOTabdTn-hW%dZ;bn@KCelifBcAZ}PH*h+!zdXI)!JTtkkm{bB@+o$e3ERP=4Ak|hQRlN zOI540jg_!?yQ(Qf61u%H8ietvrT-U6*9|fs4s!_AgBf^y!0XNL`I=#PcgaF#t+O!6 zJS<6TC5kE z4$)~Gp2&Of5Vm*?&W_{#$Y=_-yCd>EgCEcuH_6T00^qObH;0KoZy`bquEG(aTqf5- zc+(7dmf6vqW%+xRgK~gXahcW{nfhoEA_{g`%IK^KfV)xw1A~@98Tm46ZUam)tgl|F-BMYKj>oRa8YT{}e8>3x3NC)K}8_9$N< zzDjrAhGg$Ns?Bv9K;g&32L7087j=?cCUqT}_RWb`JEdU#Am>N|@9rn_O;^}pPClQJ-pYqdE& zS&tv1TMxKB`Y6#p&L$3E9*1aMUwaZvjpc!mBiKMAYn@=ks zONv2M4GLmsFo2lwBq)ntdfv%e&!LMPxth`H_R~--z@o2bfoE&On(+pAPvEF-RycGg zH$sNSH=}#yI&$%UZ)tU!tqVy;rNz@XHO7b-yEc@b$e0MSy$* zBT^73vH2ZQub(|a6p2n^vG@<98Ugw(BV!|XURg@b0TtQ^(X+#O3}SUeqsNTOQEoee z+#iQG!YSt>v2M;gAs8IYaG}>z0IP3{t(=<{VzKEHzt@MphV{3Ru#Al!T>vq(HB@? z9xqY9Mu{!-Muv^etnJP8fURz(ICc@nU}Z)#L~;ui%v7KImZ}@mB+;QDH-1{)hp;_;YtD#NM24^E=m&=gxMZJ+#V-$ z-B(PU=Bp|mP@;39l=T_C66UAW8amT3AEbL5S?%9oLTEpr9|oi5Ca;@b!Lr z4q=W6J;^YM!1f@bmH?}n-ikEiKuTsPJDbcPTSqT_D4PXoy^5`+4Iu+CXOwXmj`0H7 z$J3tBTqiV(CR8_3^xbe_s^SHQHT^4;B)FF}y3s>Sw)02MN9cpoi7l}>(3f^ph^;|6 z`Hi~8gsc|?5+Q1j0307^HDOuEW|eq6ebw*h1Iemvvy7*cWEEND13~2}wa?r%e(A~G zyW=%p=YHNjo_EI0{{6STNf7OuHD7IU587DfS6QWBK+l%O7 z2)hb(jGh7>s5otw-xXklbd@o3RT0cLs;unYSieN@8zU0Jg0DKPjv~z*c|O-dnA&>@ z_U5%cFhAyq(UWE$M@FOcM6^Jt_anZEtWGSgN~8yiD|qnfW^L}>gQm9!XhJK!elJ8P zxqiyJeL_;RL|GqihV?tz*f+FQQc7HSs9S#WOP{8?KSouf zp|IQb$GxOD+E^av4!Mx;e2$x+BlHH>cXS=bS9^W7 zT&8{Mtcxdov(T-~NPyDeDe+54Lf04%S03FI0al;C7m9yNndWjiCu7g*pyh(}GtW3? z&)7{^T~)W*w|A0yA|31hJgTeg(OoS=&>01LX-i(rMVf)lj&Vmc_v*kZ*4fp%E^v7`lpzcYqb1_&FRix0`ow6@jeG$?iTEBgBEC3au{+8=Rz z6lhi4(C9__{cZ{K^rgSoq;S#mRV-9}iP6=4x|C-(1>8^nk)4C39nM?x{y73B=DW)D zlwWA3D(9!!k8mSC7(I3vJ#=_IY*;S~@RDQn@}^|> zEz@v@dm}RjcrES9Yn6FzoPv+gV)>~CBBZK{ldBD;KUZX6>%(R!Fb{QA1co;xz12{X z)v(24PP!4CB-X=Kr^5#GHz5Q93`Y4EtL9xr{WnPKWcY-Sgd_ql@9m2pWR&qR5#^D z3ydI;HWKaD=={Q>_vL~71~c5P!4LS`VY_GD;+@{7*V-^X2mYe$74-b=hcZ|5QuNd8 z)XodFT{0lgQ95 z0%CL4wOg$aP#-5+UX1D-Y}(4#Ph=+i8lD-nU!|HF-$XO)+^B0L=Lt!XaD}EW%M% zydx~YV1HAt`C|SuXf9pMn2e$`!ZOSO%^DtMEX@Hk4?wOS z`8R0MKdFApk3=LYSDud!RA6w|W2q%`3COgX*6~0xQuo;P+gC#)GG7n~$z0`?U)o2I z^`%wqgGNDS+vGj%ohw!Dge2aIgAm4+JDUT~wOGYr6w4ot*LdP<2Ya?y+*09gyqphxr2X`B< ztS~W5$%5ec-Y@)cZ)0!%%#swc<5b)g5Q0B~NO-H~%7pEW(bDcNP&)3L3J9cLV zK)rv`3MhoeK50I)3H(?_a4>>CRHb(Bv8C|%(QAEjJxw|Uhqg`0(78+SjYMyv*-4%| zPk%|+RFz6Cq`k|MW4l;--(mcqLQ8&tzYZpJp%L~FLTA7twl?xZ!g zEOPvmY|p0EI%%8MY3}J6@Ut&aerwLGB9CH2CK`Z1nfrCtA$NhZ<^~XMgzMVKJ#>_Q zlgDViBK=VGQ!zvawulbZKU-os4~8SMItNsJ1VG+MOD|{mEv6OFR=YUc)hEWAz2SnR zAr+oInsMb3#CDw+LsM%g-l2#5=SLn|fdSjJtaC}#mElHED`k#m)2C}Bgo;CJgn52A z4Iw9)$p^scivL2Jr_fCS!S#>Hqa&l(q@yeAL`O|R^atT}!RbB;^I@eyT1?X&FL82)N<3icz=DG6Ze}xB9tcd+-4sk*Fg%UZ z6$S7Ar4d@KuQlr9Ml0o&t9Av7;wv@gS61XVU2d&we}|R$^oqy)v+oLU2CvCbLqwcS zWy9YW+pdn~vY3L;=wW!sHAAj6FMmK9$_`nnkpj^nYpDil9KCzBL5gAup_QOHBu$N9t%9QIUbznXtSS__%289K7!DCxE3c@&CRW4Qu}=V z>JE(mTihpqs4c}R!*U!$e?jaOXek~xRz*RH7l(t-5Y9Ls^XOR;K+STeiDP_RmlN;+ z`Dz7mufI2NzrjFo^kK@G!F19Vj69hz?S(Ses6N zfbj5lK!OTxQ_08|fZoI%PJ1u%I{o;t+tRuN-1>vZI7W@`qtKe72!3s!HJ`$C6)o_5 zT6~qeJb?u-`X^`Zl6la8{NVz`C=fl`>On485k|z>X>60NZ}YfZ zz})od6kUQtlNem5Jy|7VuJcP>8ik_%^rLH;yw02pGiKK{URfkkVZ|N!;b)k^bI=Cd z5C)=w;UL!^;5Y-OEf?Aj|S z-1tou>adQN*fEz3aU?GW%85^6z7|xC+uF9y**hwuQwyOdXVriDQZ`hIU&G625tz5w zCY#2pb60^TpP@II5>n;;0J}r0txf{GrgjTt=bWq@cV+<*$F3@dCdtLS;7TQW7-l*u zGo0G*rk|kk^J$qDA(uNN9Eg``%8H(kS zpfMlX#*$)5!=bs!r{vt+^2dc6L7)uSQExCqT!CQs14WJ(A|B!IF=8cf31HDHX-%Vr`lpPu^>a$&yo3-wYVssb`4>ovVgqBcEerY8$-T1~cjI!nFNf=m6C z!4~jnBE8^%M`Hf%vjpnct;>hg#d@(Yd*c&wv-{;4bz{%J!$3cHeaOQBdNTM0IbXKl zb`#U26w}Vvr4px=OFGBMu!&sEZg-<*ZA{3pnS6#XR;NjQx#m* zpL-dsC$j??v38x3Q#q52M9)pA3WX#6EyIN)+Ki#EaliI)w>hd4_nJg5YP0v7W$xuA zAeKND^K#&I#~BgCx?0B;^qwU=?9D(mf>>KN-CGNnE8h9^Y3FS-A!!3LSRpEXP&idI zjLgKQ4hJK7DBZ6C8+Mq8DOre!Rc}Vh!=R1OA_}`!Y|w9L=L_QpSOqh!9H=I#h*O7f zWNoiL9`TGe{QXfKjlyvGH%vm&FM{o1L$pCil;a)F@=nI88EfMoAwM>egAnT=G1lCd z=8&wkgmu(XiDw|bY5ExMAtO_$9qc2Gc!D;sg!USG4w1kARZj z!sE_=<3e-kFg4}BK_6Z{1qbD@*X9v;3r^0K2tT1M?BSs%}8dF5bqeYetQNdjzZX{w_nu_^H>J zzmAx>;?%#y?b%_-i=QImLHBz=<(2bMJFDDdeEmgQQeAZz{1$pWf!2Ce-yhk9aXE=@ zK0a0=Tb%KO_UJ{1KLJ{OWR{oa_I!M*7RU9Z(vm&9WPh_ruUAd4w-&#)a_`cn`n){$ zdx-Bg%HYc-L~V8cGTZW*5uanNfuD_y2R_@1Z>`;PHNN85w{;sr?3dd+)J|fE-(J8i zXLlh%1MKSDxs0z)IERrBHzDg|sO^+XFwpl=NaamvyilN>8&GF^rLpLur6RFfMC}36b?GQ!; zpJCR_X_6WxQRDp5)>dG!o*Xoe)nJ#P;Iqzw;@5jK zxgmWo}K0b~|GcJfBd%&s)}+ zHUQ8OPi!@H6^+0q#_ZQ73MfT<0hBThJoc2iEC4`N3+)xW$F#C+tN4g#*2|;}yRt0EIUx#&qX%uhWl9!@v-cqiBz>qmVy~*gDaT{Dk}DI8%M4!K48|Hrl_6MPN_{e z(@I;3-k{23ix!komck1N92Y`;0gVze5nom#qtPeWQF zQ{>%>HB9w8ObSv1d3~i8tQ)FZ#O0WI+R9>eNXKnrpRTeKrE7>CD^G1QtB?OD6azqu zR;0{-#Fv@>g)fU)+PIiH(Tmv_x|oWX8rz$g(*HX?%}6gtz(}v+>0nAPZ)BzHV*4-R z)?(`qKCSW(d>Z^Od>S+i5gNe&KvWl0`agEg@~_VS$xd_r0lNR^RAyVj(%-*H4^#exg|qKR&VwvF$-zF>ijvE zEG!G_)7M@&%Tw!R@J-_y&)?=y{&#kI^>22%{omMW|1Wm>oI$kAaclrDcIf|!oo4-u zo!&A%h*|R7-NLm`oU31Y^S!d}qVBJCf3ismxUx05=f%e4n~h5}CA|NWoUrmIIbnOT zh@m?1!)jI6?SD>A;JVU?hQ0VoPU!nba>9#%G1otm6KKAY6TrdH&WC{LiO$4cscm%k z21;G!vH!5s!2dlv{qP^y=@JOXtehTIT;mOMUT!Y>6~ljLr}zIK*lB$5KkPL4+&Aby z>@*NbC9i`Y=)bem;KK=Ujx^;%dP*^NlBPq2SYil4_B-~sB(1t-mgy0(1PG|6-bIW$ z3?>K}B`}#Qa5?OOY=5)UOC+z6A(U4BW^in#<3vT<@dq^eA#_sZ_&87mDJ&|Eb&%S~ z&N|{2Q<4UTwwt^_$3|4xKA4b=g?weya9nM`d6bdPltubdPgk0T0CIG@O$crVMo2ts z)MHh$P6RA6GdtYYpvNN_!HR{4MF4UlrIjpokYR!BbFL)J``8i$@P+5&usfp=w&Wxr z^)-UOg&YH}P11}4PTAoVKSiybOo_0wHK!+$7_%PhH*WJO1c#i0NV+9VqhydOck}Lb zIc&96PgT@b!zVOM)w3L+#8~vZBv+hZ{gG13qzVBO>B=CC# zNjnt_a!ELpZCY3z*8z-q1H|o?Y{C%6BDvT) z5;(BRe{73!B=49p+61VIAPztyh!9u_a&Or35~yw>DgI<(&y@g+j9IB)06sRK2A54F zdjG8Rhz?elKzF{r*5Nm?Hlb7-C&H%E5ew0cnA0 z)Hn`JPBjQJ6G)3)mKVo&4%Q_#$S?Ck*|xCNQFwoP3tXnUdQzF#odkDOfd-lv3yP;K zF$Au-pu`o0Ukf8dUr{8&-P#>00~cPP0PT8?k*MN(CuKhRGsPave)s?0#vme?>XeC*~?L-onOFZA{o(?^t z71?`~I?~0ga9lhGWZ{wJ(5qv~apuW!l#yjGEmIwCyMhYG<@y}O$+9>Yw&gfHZTfD6 z`xwPZvQ&`ePLbuXG93^Qj7Ag0wGynv^|`8IL$5T#g{@||Ls;Xs`b+I-9)A4i7{OwNzddb<&}!l+_w142$Z%l${Bci zs+Q7&#`>0}w%h2fNaKb^O!-5C0=3Z98$A-<>E3H1;m0Fd9QUgLQ{xg6yrz&SOSqyK zh}{GzU_4UV=|tg>yVEm>Xr@Z;8m@7LxV`SX20vap2u>#6*nx<}Vfh}coZ90U0*qC~ zwP^Al_pF3Gk=$t_+JjM)G8gyEm{flZ+9N}SV#K?kr6xw6L}E(j=1d$PrKa0w|AkJY z^UM4bomTrorz823?3FJE-&vq-mF8p5(yb*IHYd`SD$8H(#E|%Pry(9s4rGicGm5Pt z=84Fksl=zey3=_497{BQ{>R6=Jp1~^p;%W=ZjK#aCA54>v0Gop(##!YTz+|zV@*@g z{n^VuAMmx4W*yzicc6Y$(%T}`m ziZtVBI{Zc=^LBjvVAfK-`S3+5(;cHa`C3*cOPE%8BvH?&KehU%)?#x%*ms#m=r%U& z%UqO_MWtxHSIPQ~NPWmu{`WWm{qvYhvid&!rMx5xm*-K^mhflLOv&q93}vXZl=fp2 zA-mCZ8)~B6*%6i$nFvM~#OW2e=B=S$v6o!pUKkc*+W?1ecl`8|!}No`KO$>zbtJt1 zz!byh^vU6^^c8IR9T<+JY87tG1fo+npusT!j5oj<4&HTW&u<21D=9w1U1XF@yf_vx z<)27n3m`InD9325E`GjKHzy4Bz%#AukLu1SbqhRxsJVnnWzegD!}Ef(Pe+Og<1Z9} z&luX-Pj#(nie59hq|(Z>JeB?*Ug|&j{R}AI!0{|vGY3Uy87Ly4Oqm|7pK}EXW-Qg2 z6t%3%Jp%krLAWa>dKDoz4bjvJ(D%A!uHeb=6SOb14f`4-DHrehE8q>s0PU zoW<}ZjrZ+Fd!w|EhQ<@j2y53`p7SE$Wu4Q#?U=Z41t#h*JzTv8Qfvji5TOIfWwrPc2`@czvS zD4-D-7IjU{X|U&2yoojv$;4N2WO^Ff-4neji^V9-J>z<;s5hcz{({*y!y}8!#>f8P zkyEjU>K3B?i?5@!0XfIwf3SCty`nUVny%-tZQHhO+jH2qZQHhO+qTCXwr%u$_gcI6 z*_}>zPR`f!3o5CkDwV3cuE)48OqbcQ4s}Ewipb9xq!D7y;e#Rbb9#O^4KZ}o{$d21 zwKnyzz|Edtay(LaciWDL<3zzBH9Eew(U^2<^XT-9wxAT$3?v}uEPwU}2#!&TwnBf1 z6E#+*RmEar?;+ytm)Z41i~3NLttJI9g-}w+((o|Kj1}>M@ML4rUxk?+FF&WW&~F(* z9mXXE$nsO9`Vv5|d%w^ClG#Ej!4byVA*Iq(eaFvov<(m~hR=1~jc+*JY~5uvFH_pX zIy8(nYEIy0lx<4(k1IxxUrRa=b;r?=@{!ZilJ)Ji9y8%5r^BMTlzAl8IEVwa6gEm5 zGOak=0KcpF)b18d6{Pb<-~KRD8JAy;0a= z8@f+{5NQ}0)NFS$g2P;Ki1Gk=R~&Ucz2-hH)xbFD2EFTGE)kShjS~-i;_c zC?BHKR)R1n$^pd07L0EU^lkeB40Ue_mVmlrAe5Ss;Ubi8)MG3Y8K8cJ#tW{fqKds> z3Q#VF4BcVYY!oM|JfJmoSKT=%O6-_2-w`(JlMrkI2uB(_BKtlrHzKYECt?c3a}j=b zIMp8choBf$9cYG)hw6M#r$GTZ9!z*C1O;4DiVS^mc4l6D`PbMI$L7IjjLFV{9WiM34UW`RlR62! zb6dj3+m|5t(Ws+AQm(Dt;eHwKj8&8V=v5ndKZS_=7*!^`&2kF^;i&W=yOu4q=$-AM zHT;Mzib0oj>oqcB6$n5YEe!wIo*IsdqVqSu zUf!eD996C^F&xwCfsH;7O>HYB}?@%2-*GkU_z;9QYOlgamgC>ut{B}2Gp9C>l zH)353>jlt_8y@va#~@olH;MyJ4=1l%8xV`UKULe^->Z#WQ<)Qf`~!c#F%Uq=7Yin* zs<|p-ItF`e!iC5U;+{Mm1;Th?g4H5GIs`gHEC*)dap+Jk?*kZVNRdV1E4*Q-2!va} z!GC%Yf5G9t{&6t@lx#xEE%G_Onm2M@H0_3cRs|v0OKkJcK81G}^Fv#sfG^AaMaTT* z9;$m=k7s=Ip37Uvp7{8=AD!&69K=mHftQ`B6_KcBP!oWNd*xFeCdT;OQ>FrY=ss3coN%)3G zHZkrM4o}J9T$WjG^jkgXYBZ9vodP++cA#gjn2o2ob_4l&fXyGq$g}X|ZaH&+2$L=P*H*o`F(cBm#i z>&oUIUB+% zNaraE{kp$%GB;U~-Qgvn5Z0>uyqq2D4FC|?p^v)6rlgmMUAS8YS?W~m5MMU8ZMbi6 zIc>U|ZAw!vFl=0z)L1q3R_r>gA){S|KW)Yl{6CoEEddfB5`ZHF!#8 zWU$eIcjVBwcZO3la%R&N?H=G28c4|`>$H|M5Tmp#wWuX*RLBu^EftCuGH!`_R`$h? zSO~z~s#K3a$D3{G6K260~oH+#{nuvjUV^b}OFZr@? zN!tBDS~QJia&TpgdP_R7xF8F@+y4=ZA)-FImb{PDhjzn9$rXfd1L!c}6({Ul)TU|lBLEjf z4eqW`$M~}>my=nsSe)I%|7eLq(V^Z*!lAK0;hgm=LW&JbxZG!vF6SvT$4J>065=S6 zrekRUTn9XlA{+|R!wV&W_E(-=O#gzWf&f^5T8qz4V#TAZ zVgtXb{E4ZdMpdW2W(BI!qBup}`XT&+?ksp&Y?G{Le^Sd9Z-w=Ak2Hn~E2O@dG>9fO zGBXXAJI{V?ryQpHpxhHSdT`&jMsz;B0*P-b>jx1G7!}arQ=0lX`(nJL)CRDV z)r8?#cq~qxxYCct&f|aN7CHJF`kF)Qanw#;eu@ra}OMi(f zkIVC7js{#4{*|{WK8)}W{xZz99#*igKNtDfA)8Lj4$c14!R)$;V^U>2;%WGE94aTn zk9N!1n(TH~F3@|4mcdL10o%*>`Ugvq@C%ue^;p%luemze#u--u`*a;-$-zk0*(m~a zFhR|}G;0yNlU*{5F{*(>3@ggP!S0+ybI2VML&3wf;n*by)YLLKS0s>t@@w$5!2#(uns&|DU9%cH)SYpow!%jKBPBqFk zzx-XNrV#i1480;Bqzf#{SX>pL(usYbwS%3fJ(Mu08&i$M<+XT^X)4uhz~=e!SDs>;!vd9F#;K;58v3aekZnHa9@4 zZhEz&XeKgl2_*tTLoI%X25SO_Z9wr#W;YB z=sw!`lLf;DfuQ;Gyx>0@0BXaItuMlDphhNVN?C`FPVmQiDIj1w1`)RNZxEn?bMGq5 z2NcrD|h}F0KL&<%bl<013U-6WvIoXNun0-qDgLuM1 zUNyqN=6Xo+_*F#vTw#YH7DR}$iZ*2!gxe@(0jTr>sv^SYVmc$`81*tZ@rjR&Ui9|F z^dp$)`^M}_qr{i!my=oGWHmvFhfs7xAf*0xvL9SI9~w!hE`g|T6#LL?An2KK`tB5BNf zprk1b;qQi4M6I%G5HP0+Pn^%YoG!sp=cikEh#^?*7&9NVf6FSO&3r%j9#>YiS{p`x zqwtD~6x+2ykN1$Y!(tZk+v9+F-wN#xNm>oXD@obBKiss=2+qhSK_R~kH?e2g>dru9 zajGQh-uuC3h~zBin=-nq;1za}oLA^4Wia0Ek1Jjn(=x|HF*jz@3Wz~iOZ{RpY_Ib^ z{>6mfUg7q<`uF9Q>#EXW%NDDx_|7pA1Avt`znmsBo{1A|c|Uc9qIk5J%loITx`mF)Kum(knBQU~7`X<0)1>!Hk5Zeu-*}n>%FmD@mAqN`e7zRY{lof>1v2x*F z>;XvOx5?~qag-Yirz7_n64yFe@^Gk8?-g4hM~hsTH%qoiXFYOg-fS*qlVw~SuwY~M zcC;(_#Xe(}LbD4IJA*%hSMWJq?jHh{z~gQ}LQriVejQMrFMSc3F9g}}Ql2qWEuUFk zUl^=!V5Dvyn%!Fy1@`wPRfoON;|vA%&g6GgQyIO%w}&B3%?ipj7nXrC`W4@*6_);Z zHT~V3s(e7R7J3gMi-&N*4TZ?Z@#BYg#mpO{@+U&H5XSm9WwhD0q!zu0^ur#gg$JyX z&x$hP+s3-p*w0$PUJeZnxEdGo+u)H z2T}&6w9gY9N^B?%@xRf3q*epeIlVHlu&fzXTZTOr6|d*!Gn4o7fAw76{s@wdMaRHm zU=06L5vVeY&D`;F)9qFw_EL%>9VdF>^VuFD!;4J{DsY;V?`kMt4nrmwzKLE~ZKB;G zUH?hsrN3c<3>=wVfp!^*=f%`k3Fv`HEDmN(bdE1H?zxYQHKNB9%SBWBY#78P76(4SYF3m*Q94Q z-FIlvN>Iy#n`M62tM{|xaN?D4;E4!^=DOO79>JgV{hW);R=;3yGLz-wvnc=USq`}H z_=)_Re zw}*@dvsWi1rLPrgib0i?nSlx@Y}rU%V^QljrG{!sSA=@vjj=|0XR3j*j(JFOu`Sh5 z{3eHL3bTeFt=dBsH4-H_uV|PYwRAJ9iE+A8bO;~oeEWMQ4_YeY{_^S6ozZ@?p{lAY z4ItV$Z%COON}fD}kZaxdI|Parxx{N~YSM6~%TTW{0NR$i54Y+D!kGnYGmMi?N6ROc z8bn<6V3;_MHd?D$nbgS`TLz96n=G!JBBnfRi4+v!8VPx(9Fohn`Xpk`F-oc1M%O0< z2h={Z)C_8bif9WyyLUi*IqJTwJBi?6R{}7M7I}q^$tC@fjx2y&Lw!V=$1-iHN`gAD zIlC@`!+iXuuW2$DPl@ROK$h=>9^ zxU#OWvaSSKv?$W80mW$Y1f_wN6Rt|)?gglNLmqaB7OcU;wQ13AdDi z?Yx6x5vY|`7zQgWl#}w+RRZ|=Hy_Hqq3C}!x2$tz__Zx5umPe(_R}djFAT&XgGFEE= zAMZfqe5BTF{x0IgD^FbEr~ia#_k@dez*G{+D!GojhaEU2C>CJ<9UMg}dR878_Hvq1 z%0%>N!##bsiVRgQc*IC#mfKaC7Iec&$Q1BJVVKOjFzh!R#V)|fpErU3s}zVUT=reQ za92*ott#d?W;ngcs+rB36Nl?5ZBTixAkBH^WzOc^CuAUrgtu=0GH8H0i5PlBYJyOB zF$z7(Y?l&?s!u?kqfU2NulEu{l&d&(ZUlJYyM*Ny03NgH;zX-2Z0<7m#$IRXmDhx& z5wt2B1On(Bwg3^!F7WhGztg}eun=f<76P){NxWSfeUVk5(CfGkM9H6Lq@e2 z{ez*rU2#g+G8^!g=-DZ)rjD%aMohx>n^%BR#jKhJJ=J2FC;{q^*L3xZs7u4=Cr&=V zzLgO*G=x;RsNAnPN$gLA8*jm27C}Ks^?V0cfR39k;FM8cytl*~FQs1UIYKJMTHqJb z(}OdC+ECC`&6+XN%lStpGw0tDDAH5}u{UeA)q@Fq@F_xD@M20lSCGR>Y+C-eonpHv zA>w1B)qte2f_ze+5m&Oqgb}R)WAZq}p-Og>Uasl$Y^}?_Xh?MXV~+TJc*pe{6wAD;C)Kbl}7?B@);zR$Hayf%dH{ObVrVw;^6F8QwNPA&g3knbH{ z&$uV6k;{IpS-N4dTyFa_p?~0NpRQ_8OwCx(-)w>*-kt*Cth=sc#L%POHY%Z@A-6b3 z@%?UkL3Fx5e3~C%Rg8Dz^h3wOfr|j>H(VU?EvFh5H;l7*sM#vTmut>o*&Ylf{}_D=Y{S4s}#06EbQa-s@Gzo zKZGRD_MY*>%IOumhWBuV{?J<&s_%@m$*;PZPDxtp*^G2iGSb-)ECIaCceR*2NLule zrfn6raZAFM*ni1?dU~6_@3vZUCHJ7!<1Mpa$>^A%ya6_PfLrBoE{sirm1=Kz$<^Ml z08_qYWM(qowDzl7$zuId`H}>ithRgd?=jp))Z-EU?wXJOm2)}J# z4-(jKmT2D$Lh}*v%%d7wyhqQ3l95;vfa*k#V$rk_8!S5_n1~KlC;@8NKM7PYP~CcN zgvmS_;*T>O@GRci>^S&~&=}uOzoY5NcV47+Fok{Dg~apT|HC(w?uANno6W<~)6P3t zBhh8Cl@?HcQ^TCg(_+)P=c?%WXGl}*l#L$pOuI3tF<`R{0bCi%PAYX@-Qb-Q?mv%8hnj@Ju-%YMx;>AN+Xt0pF}yN2yrB<#pX)wjUw6;u|#Ko z*a*?>6UM0ihKP+ZaF37&D`)64U^nJClnq*Vos{u%$=-pG1;T&@Q*gVC7It}ypHrk0 zPeWEkX~E)l!!78`u$*4LQr<$iHK*5&eaolk-3c6V>jU^F_K9-c8Vj_bx1bVMl?RbR zqa8&A`VhH^d`<{XAOi-}fQ)~O#CK<19JZM~W-}5gGb`9OWbmcyKwWr=oOZ?|D&p?i zJ{YM#-!B&z32eKdl6=sM$8>{k8P?Ugnk6vCm%&93Mt^vV7W7GcG@2#*&d)jePj(f; zg^EekD{CXg-+91_T`a?!PN0(~<)sXZNh%&NM*3-LN^}#H%Z3~m7mXRF11+Q+4II7% z?~EozH~ts-(20Xjj@z5znXN|j{?$;EvjnP(sq7pel z6E>O80Klf+XX;iQf$+B(a-s4J;f5x$d z&|omlqpvii9%we`Em??5_NXZ^cP?-XMSUauM$!8Pmz5CrzpYwX|Bub(|C-eP-$Y{O ze~ZMd!2gldB1Gv!|4Srx9|yhq-yZ*;qV(U*=YLhT|B6n9{!1ig|Bvoe=>M;3|94d_ z!{5kR-@uVh>0hn0yuPV1FE5O-jnO~nm683QbN7F*Y8mMn*#3J}t6}@Ms`WXkJr%px z5AnwH)8|h(s0w7SQ-f~s(*uSSS%;(^k2kOSx@qmH$O%lUvWvnW8yrEXEQvj@sh!cm z{bOBAJG-j&bU1!**2$yWyZO7U@#y?H(4&!?x96*B#>+y9m9l*yWWN!zzKNtf$>}1R zspqywLJayeEuxT=_~~!)S()3o`}8*=4W)W!bW?aE*+jT zzH#y>AgVJ=R?RK)%G*n`;ksS6MZ0UYM+ROROVqQBniK$t7erU+*o~D&AQPo#L944| zr!W_z1^YQ|8L#N{43&#O8D?Oks2h!kkn=|M;t`;z82(?YNE^Yb>2j zpwg*3ttbkTnE2yljryg}ex9V++bg5przUW!9|pR)wn&Q&t6w^|S3T51bZ@TL$w78D z$4)(28NCV!$R-6(;dbFo>%k~JNO~_c^fk#omK!>w{(Ds`|L>~S9P@;6=y$!l-2d0A zHs_FV=?$RKhvKNDDHgE7Lw&6q<9oe)~_5*#cDycA{y`&v+SI7bZ; z^9gZXUCVXOFDHg%m>y~14F$X<6tL_q+_|LTcH{-R;*Xaq`d?(Jx0>Kw^b8QVS186S zq#W>=q-M9-&45lu(*tD+j*7p?3Kmr`*MNumvCcRX((ho1<3bglk3esYf?ALe1JqaX zee>A`+!&=91fDWO%YF)2+8N`cXR1z2!qH_v)NS76lkg2W1QB(M7)43Lm+j==X|r0Y zDV-@RFNIC28mMI1L5MPHw}~%Jzt}bM#|6mzg@zKLTd5UG`v-)ejQZ}FmB#V72T0l% z@NtOQmu#3>oYa5)^_s@)6O${Bos4OfFm`nEpqjQMA(*vkhP?6ngpw_7t~s-_P|m6D zWeLI&C~sjm;@q;JRl7k{62kn1q7vc#*b#i);MZtD30G$&7~-bkflT;7|IS=B5Bm$O z@EhNt8_7SWi82DH!i)c+9*6@d2fjOCb_Gy3nHX}ixZ{L}NlY)(&kG%uPkF{F7`b!a zc1#VSjjK6ZQ)B;$uZAz)!UlIPCzTH3Y~|!2m}L!S1GY&9#v9}@xV$%)sY9G+95IGP zomCBhzzF#Jx{wE(KMT{cGH7%8y1YeUt1bV|^aiM8W#za${{IRR@BJGjM*0tsco{S8 zM;Azpe{=~zTl`6aA8m9xuy4A0)$Hu>ZBl~JSs`^Y_WM5F2ga3Cbt$zCI61o4&={*+UbZ2 zo>NHW)m@Vhgx!MV)9)&5Odv~0gZqZW)R8B43|0hUUxN2Qfn`qbfJm5b_adNkSlpJc zHXbAgAz)W>E?9sxtEDncB(@nUEYTFjZB(hQHnwY4`Q$3F4}}#qcBExb>(Yw9f0_yK z{b;Ubdi-|68Qg-dj&}r{$vM%UFEdH`>vJcW9w6da$VN z(24JtR)X~N_Ntpzt=Boh=xZJV>mH41>{OfVbaN|I?acpqKELiP8j>+5qP=fl51VwnE~i8cR%#2Pt1@75njgQ7IKJKHvYHwW7HYt>F{ zYi(v))mqv9X0-?ZOIC}naE=Qu3wYxtAhYrL5ODtfd7i4HmdyehXuIE;=>|I0V#5Eu9N-bmF%IK2A&Rf+sVIhZESjm^xUpS@ zYAKWHMfd44UnlqbT8g>)+RMyl6mi|bsQ-v6?eb_m(zK~!|6`O|zB5T>p`1jeY^^1{ zfvg?UTS4t1vO~YHR@KEQWQ_vPbvjPdp+dMiymsX^{WnG`&pTUS*FM#vijEv#8y|_n z>ES#@cc@RGysRP@XVF56HjYl*YG1%@9Hs<))u&Iw?z?qfCvA%FkY83EGW4B&oYwP1EWDSuw zIYsan)(g2)A{>!GUZ`wZ{R_XFT|=iOpZQ4RAAdNTuM8eTQ@KAT9&>hD1SSznN?K2R z$Cbsc)<=*xA5?8k0hYl`#Gytm=7M9C3Hty-O|HqRX^uM<8yr09wsfwTAE@ORV4Hg0OZn4gn=_T}$|_L&nd?kXIM+ zD#Cl|pr`b$LPw>cyvlQ022qh8xiyfq%ffq*w>oe$&kEa~-lMLN9l08K{G)^J38b>G zBI@Zmtpe8h+5>k#e{J>D7;gCpDE!GQeGq6g{x$7%w6O9|>L3jSb|9UTF|*&c!k?G~ z%t5EYl+WNsZl4mgR5EDX z4pDM}%vF=F;`M%nec(_9DJ|q%DAcTL{%;NGn*-0buEd-Es9c z_((-E^r(JFCKq9uG;B8ZawEo|a_$U&LgA1+hY+=jk_-3Uk&Gm@Qw(!VY2VXEhdyss zO8{-c@r5X{bD2#h! z(J=9m*cd(N82jr6sL%{d1xXmvpPKzG(5JRW5xrwAx8Do{LrhD*%}a*a4uK3cJoLw$ zh&bfgvt5pRh|x$bUL_RdK`rKpiqVJCAUIY|t0Rh}k8hzB{2Y*q1kz{4}BBRnxCT0qAss6V+E8su?nw<7G;H1o5ksH zD*HJucxW0ZO{gstU?%DGOIO*$e}jSQ+uxrI>_Kl??Ga5)!bpp7emU}2;PEU}5Tr3y42q~n$ZG8IryIs6D@l|eLDVc$nkxUszX~CQ(1=GH$I4aMrq{pMvXQMdC*F8@P{`7W$_noH0z)M+zV6iFg;z zf|Z9awAUXH_s<(3U4RN3E3a1e16li6>jO-_fCaiAB(q9f71^UrknV_tnVXW*9+q9- z3@gC9Q+#+nM&=7}AiLsMHY4Z(QzI7U6g0$FmDA$R;Jr|AU@}Z?w?5yVfl%1_*D6M4 z@esy4tSi1-BO(lqFk-}f%3IE<0Gb|)?gpXyqnp?@BDy0oWKk>#d3Qbq*Q018vKjOQ zM~4!|FCW@|6r&zOKzx=FV#o-b__Pz=+oVo9^wm0nL~4SZZ-?tb)`wuWEU? zJ^qVxa^bWTV*o6KsqA34N7VBS-kXZ}0Z)s+WsD)0|1l#UxMfe?}YHIQSt1kUfglW>lns z^X;f;x2A-9INw$Qr@TA!OuX6=nOtts+@(W%PDgj>`0}Rpu$76uezM(VLweQIMzx_v zs%!`XZdAiK!P}BJ-^C7>MsHR-cja*24A=0U`orLgz?1s`^EqWx*4z}uz=~o(d(n$1 zim7K(1otUP?V}ajqAXFYrKrKm(jIq2I~-)%1LYi1H~k=6C!8{r4N9NJtmEVzQc|<> zl77FuHV%6Q;nM^=p#nw9=}((oq*y>G#vOL|mS}h#@(ruKKllai)#btCMb2t|3erOv zXM7%`(xG7)si|M?5I0bl^Yaf_rlPBm2|3DYv)OAn8s64}4P1@LmoSm|GhU}X3G=|FO_lgd?%kKAp(-lEU6O8)|>K3Z{kAOtu~JdUIqZcpPIh!gYT$PwagK&pb@9eqfNk`1x*I6{eFNPDOwG+S1#qr^&kx;{G2yacTb{YmD(6oAE z^fAgJcYXcO?RqjW5yOm%x?B$`<8HqK2B2iOJx~^1%$Dk<`n7Lz~ zm^Hx>4i$8tVI9Yv7L-gp%7f1|)+KXh*JQ~EdSbC?DBKF5iPK85ED}jxxZf6!q=*w_ z3e`dD1T$lXjRaN#$^Qc-K)N8<(ilFd>Hhf=Bi>Dq^)OFAfCXk!SDK0PAs~BV<;N>1{WvcN)BiSMB|@-doCLsvXOu zL?CF$&wR%Thc~b47Ns+lP-ii2(5v^O1m{3!#s=zZ2eXciM?{>DW_c=dJtXAtKi7+tbpIic-U3+TgUm5yfNxkJdnQehJm_O|r`Kn^O~Nn<6X zc8-GE{BmfrXUP;NS<%f{^BtatX`X8lK>?N$Yf&t=+8o#2DmoG`(|CQ@7GTq2LI+ew z7xH$`8pvCJVHMQg_Rvz}AR0XPGTtxGl#~ORj0|=?vP!w0(UUbJGJE7FG^m}SNlQFU z`^RX8L%7^*12YjtsU{(*)2)3fg*wKl#2kBlC-n2n|BSSP{ZB}Spt+~aNL{cFii|3i zXL|qB;_PFtee}#iGOe|Mxe8sUaydPXQKs--PCi9ot~vZU$FCJ*=)5KEFtn9F;<>Oh zO1)wr!#H*nXjDC3IK_k9Zy3Js7VB-mb#eFD%lEERC9nHqQX zEn+zd&mUT+|=jzM{q6v?Cu`vJhh?F!~LQ3dCA z?gT}O$C*2ugsNmtIT$`|-g9eh&7d_>q5kKZm8@-R zBnE9A9&Ry}OG?m&aE81SKfNkBUKe)`{$mw`Ik-1LGC)wVs6}QxWun;W)Fm_*@co3H zSOAN>B0u9TJGU0aYawiimB7JkKF+UFO|>P^9n`zYAOw{!aRT~BB0+ODWgw8794T-h zWl3T3e}{6@zr)ey9J3eRU;M+^_SLq=I4{6nu2oqm^;dUvu5BnXA|2=J`gkb7ale|f^u5H!F=&vxp_>;34fUeh4ZhjAQ zp>lfmj#=q}=|=l_ZjxZ3U~tQh)g6a~2Kgl>S#(925*L?s!bp{5jP^!!+Bw9j|B{!{ zQke0-ID9=B1FCmG3t#1Y2*Fz_E@lqjN80#3DS93-FSG-5EVb3)WsbH{)KF(RPZO#D zW?_(kA1mrnZOw(`v!sm)ILHA|h>WyzO5P!Tz|fdJVy)a!QCM(QKwP#^*YPWl^D_@8 z2`FI%Db|DIzW?rx>y%9`Kd&63Y42uG$7?yHz6S^3D#d#>#_u~WW{lKIy7AbNlz83_ zBrX1orLBJ6iREWD|8BO)XBpFo{p+>8)-b-oi?uU64LWF9L>>nGdh^S^v%QBSgulJd z5v#AQGQ}8-!Owb@+B1@qwIdf$%Hq>UQtcG^ndUXiH(U#Y@1UBxi;>1VEGPXm+lE|+ z#D;vY9^|J4@4^H>Ho7%Z4q)!@&ezNUE(li&CdAG> z{N0_+jTTEfR5_0{TFAN{vT)S5x@&U*WQ%p@mgWgNPnofxS7LC!Zl>j#LcF`z5=kOs zW3Js*EYJ`RDBF_nbct7>Euz7S&5HflOdYg z?9x$f0$$LxHD+-ubkT7#?4-E?4dOt>?qf78tQyihAj)SSh&tCIHhK~>C2VQ9#VUp+ zp<%g?J4lUBF=dXn?&gH@DxP)&>^yV>y7@9oSHg%(G(VKadx>UC#qxwgakvU>lSZZ2 zm%38njx7Vo@5Rfbo7N1U_X(}M?3WlUFkvE#3RgaTz0(Slpa7MwXx~K!XA)Mx>2E!+ z&h~yC!I_@hz}O@IhVYSE$%x=e6gX%;xSZt*-+ScaSg$yHtB>>c2C8ire-sNtXtXYJ1Y!z zfHejV5y>`gSSBx3<*|d=Q2*L7vlI$5uHCOS& z6|+a;f*qBf<^wsS^Q?p$KdK{TF@)k)1-s=}4dsU_CJ4nk7i>6>$YMOggVgvHQ|@2y zymMJMRx4-^qC7v+u$69l93B?ezC3j?EDyW-B>-p1HBq4dO0J(ac}UM8&0^~RL}`B^ z>k?Xx$^6Cfa>|4ZaK72Q#~fgspR)ya{i^7?73XPjwN(E?Z8Yl!OoLM(WXDX&j(KnA z@Un zkGly(P?GkXO|!b)hJkOx_n2cV-(2h%yS9q!V;9BxTdA@&Vd}jeu2ZYHcVE@YOoeucG*YqO8IRCxcwu5KO=XY*c|+k9w@lKjQ-M z3EL8>1*D>&Z9K;NsTEq!Yoqzb{{ZS`QYy>MdTHO5 zVGSr+u_@4{6u{r8*)dbZ6$Kf|&RS)CY)F>p$(~8i)WVyEnGaz|5V|V1FD<%{LWDl4 z2NnpET8{I`U+*i^VY ziklPz9X;Ba%)1ZTC-?%t&0|1nev?4h*cCk zr$v+oem77DrG6D1DLa;{wyrmp=dHOoMYfiNpXY2-t?8`FlPiu13ggNQu~j@2H+ALrjhy`dBSf+Rbx*B_?ulbbx8 z>z*0Tk0fOhx%h`z2DdYdAHElp90Kp5X|>_4AJO6dT>O>LEJ2&vuis&e zH;<3;h3#D+l_A=K=p8*^0bbg;0-MIZ z7TBR~S2uj1&%}_9XfPR+KS!a?Q}4=`nzNQPZ3!4@aH6QQ=Ck=ulo<*(6v&IS3Upp- z?5Vr1I9dgGa~P%@{1FhThd??8Qf?j$x@ekHm|voj4>c1}y7Na7h{y$bC+#TTg!C-5hbHnBXA%R;a2&1-x;^%?hr=j&~d z>++C`LEa12YeXS@f@4D*a}M;D=qbjzOzkIidUOs(@wkvOx~HH}YfF&4?+Q8yINje0 zKkX~o2f*3-2}L9OD6h}<1zjZTf&l46le(Ob=51gGaeQqtkZS#LBaMp+q~KR}{Fu4UEHJoYWlG%x63HkHiCc?NGJ?LOVnKqaCu03M%1cD^Q~l@Ku3kRnUm6)Up=r3?LXxK4!p+7$<>* zxhK0Wf_z_TV6D#Lq2Y84mwLSfM?Y2Z>9-Sp?Pof2rc zPvn;nzv->{D}yqjrfH7UVv{|pQG@d-&XaV7)%NOb)r(xZg=N$e@`qJ#d;1l~sTPzaT z*O&l;96krsu;d0ZOYp%ot*i-`FwU%N^ z4Cs0IGqr9KPOzh+HWj8mFV-1%!%tO3AuTfN=#$9Wli6hKYZMYcV zaW7?5BmZkQL)o;V-|!|)%ZxleD#G2`t$ZGaZi_`fbZ0Qt_nL?qSdy z@F1LWTG|7(Ov`|_eapQ`LS*F%aU1e-mYRS{3M*zGvq|jpi<


G?TMUljuY`fY{~ZDXSg0BPM4K78pN@Qe>KDL{nqvbSL6i{|9;J6eh^B zuIaLE+g-M8+qP}nwry8+xw>q2*|u#?uf6u*oZ0)Fxu3kstBiayF5=IK|9w9M;MGM> zA~tqESS!tN(AF9+6w_ohMry6m(>opH+dFvfcLoch>Zl1{4OAYNANv_GKNx?ISaeIgJcB>sTr!)-M>yg<*APA?8ovM2scsja38|s9Vi6t z?pmbHsWG*@eOqp0G3$^M&q{gI{)Rkb{5*!qP(rC}gfAU)CYT*b;VqJXBuF~vK1KO7ao>;SceX3NO@t`Nhx1Uez7{IsN-T%&3lX6>UMf>`po#_;d0z@Ft z*txLjJfE>9YnEVZtr6=|NQJ94p8fjllm|co1a%ohb9dL>^B!1Mw@CL0Wo-;dU+DSz z3RU-NF%&R!^-*{V+g2L^fzB zhpP7z3oo=xpt0n6GPqR^RaCMRQl8g~w$H>$NL40IN`GDFJYvq^>LPjv;etS5j;hb9{B|5 zXEhoVOV|%UK(yH!rhQgeK2er^^BV(Bp-jk=MjSIn1bLTGy6xr_eL6`ME>~s(5f{Gf zJDJBCFCn0CHXL3I><4rspKW2TPE7k$`lRt`JB*V`cbn1PCG2xbbVy*YnpN-c5NZ5N zvEC$C24f|5HhhjXHz>vNOVIffqBmFzi2Qfq51xZB;ZEO?eZ?1(NzQR|Mc72+&^NUb z4~-hJXu|QFjCc2NLfekVyD5b)-hO4=;}arHr-QL4ZRSMWCmMl%;OfxBKr;;79x|~A z@j?w&V=I(}RkG2(Xwd)LuAAspjbn-ARb48D1-eMA!oDp0@!O?j>wJNuDgg1d?pNjiTE zi0XpDMRL?Ff`#+I5OY#5CjRpy{vfHD zG=H!RCX;*G!YQUO54-QP3LkmbHtz4mY$mQY#)&%zIGt6gU4ySN(%BM*p;g3oj z=RarSHzI`&Kuf{k17BlQ0BTC?!pw(t$`_EehBS9CP7O#sT#zK3Mw)@*93x=ruVfFB z5}c%&Mvp)dDMi&DJC_bGq0ul0<-0dT-qXGiAu2&dpcgP)3E26wQ#`X1aCDgxB(O7e{{cS_Xt34*A*Wg7yI;f zcBL>=M)fL9vN^RT*fqnJR~P&H(K3G@Zb8Mn9Z}P+MQ%Oq(%@%IcYFPgcU!kj<A4X!7nuv?Kg-*Cx^Oe_G%*{_%j``?=lg>o;|Af2&> zD=d6hY|Q~9$b6&ZT;YrAI=D#tcHiB6B(7P5wgav|YY43YXjTNL_m7xuFR5+oSiA)) zG+Y)JkJRg6IGd7|w|n)%SPM)Rkvquk`aaS;4{sYhNf@Kpm*^p9&KV?E_x-{{sH(bi zOt8D=r(mHQP^A(X-B5Y*F6qvp%8=3+Y!u~`mJcN8I1O85u3w*>1-6V1K z^4Qb=u&5E~qTMwr>+{8rEEkefZPAGn(hE>@j=*`FHrV(HU1qbHxQE6Akd5Iy0d^Ab z@P0NC%V(;($F7`L55c!IqXN+qO7Ee@v(u`a&eL5kkHNh)!GuDZTc~#alUtzk7TFz9 z+JMnGH1JOdC3uY2#qAqZ&8q$JsiwCM?K^Rrn% zMFHz`>L#Jcik{Vp0p~#X>?D)=cgjCqyjw+0lN`0cxume$YUVvkZcpBz@q}<<%6MtJ zdfakQy^)hE9;)t;Zb3&VB>X*Z+#v1+@3I>;qur_U~`H1!I$( zk7=8psb|=fV_B?j*x>WH_9*-4+e?qWo50S>$_De7m>o*j&L2-t05e-?mV9b?hG_aY zMB49MaIb)#9dS`uBKJ{$Q|HnE1A&TwWc!xR_RK1za51-k)jCMeV;^S0R;ZWGE6K<$ zw^GF4`jJ%ID#9ym3wVlC8OJ3iS};{(N!wXTy6B{&G{4MY=0UtKhLMLB26aWZPQRas z>Ze`zb~w3-Xg@vLpC6UxqriMHIR|dAOA;o@5m(1e<$qH#g7Vpuk1psC?lz=bw7Ep zh+&3Y)F&c}1e2ydpN=mL6Sl(~U#dVJnh-H-3^uGaBxD8DCU$$+Xq$B(Akcx~hOT_t zF^X-^cG)^CtsOM9V3@(%iv<_rlbL+(n4-|llw3=Cm9ZgC=%Y;(_3)b8VZ4Wwt<-en z8?UstT_JU?!o)85gw$$nn@=H$c9s-?w0HcjEb(*5+{Q2yQHaM~ESJP6W-DU*W3Kr#3b>wFN-V zOO)7>+Trl5;E3uj!2tf}porNg%5$_3ryZB`Zus{r5YAYdn0+TCiOelGOHg5XQM?x6$a zEKio|ArC`d97HG@Q2Jf=Uy>?nV#Y&izqMqkGAtIQHI2)AFvaKzt2F{L3u;10x|O#T zkjtRHt}^z6aryyHfX+1o(FZPHvJ>(BOA27{s?bv%QBD_)@+&fNZDl|#5h6WwqskU( zgj}SkAAmnCM@gMWT&=hxcAkq498g`)>owV|th~OCm#c=-jU>&s?1N{o6nY=0^t>OIW?%MoZj?QSHfng;HwE zLkTS;*gkC;7-_Y@*6$?Xu$VE2fIcb~4` zg^+;qf_v>D7Hqn#1P%3Ln|wIvHmT|A62bj_hun{{L>&M zR0y9J$W&1FF#8@fL2Xgd+kFnu3@Uf)2&$2-T#0V-)87t^(J^36&%(3&e*%F^0w2vd|45-`U*DGtm@4JuYjCZ=SkR9BUQ5oL{2tV#=m zdm`A7Z8YB1Untf}ai}=5`lN*`2Y;jM1JKjz5ucX|jPK0CEEWgCQUg3_vd*MB~4Dhkpph|1Um*@oyaBfAJ9<{{$xff8Znj_Kg40 zlmEjyPX7-FIq#qA-&@BSSpO?N;ve7c-_NqNiLIHlIROI`GaLJV z0WM6QB2%Zk2p0gt7!E8o*9suzV2oMu>v5^{G!?h3u@59z32UCL$jrSut5sUo&ECAO z-L7Wi`a?+US+{E0ZQ0Zu%S&ExY}tB|RK!xAe6MG!x*(Fkx0WbXN0LcwRpu zcacJNE(@Yz1t~eL8>+e|1U0?=TRXnC!5nojRl|zU&=bYGYtf~M&qgAPi6)fAk;8@V zs9fW)3Yy(($ilnLTmuQZzM5?jNRu*PG!OBIkC3wxicE)YvE2-Gk99n*c_RI#+ahX6RumhRpNPizGf;`uWRkue#(HM_Y_OW) zlLDoBTc-38)H*tHfnazwA;F^Mg5e+5alp7G6wYuL>(CPwW581O?AZ3`ea)OzL9z)d ztw@SP7=&V64SJ=w9*f|lpKIyQ8XQF~x@~S{>%|Y%k6$zIoY&(o4_5&(iZ?+q(Z2e` z16){+jnu)u0AcJ=Eb_BIJZv-jn|yIhC(atdYt&$X1Wpl*FzCnTe9J7Y%|q&A$z`&Y~-24fWu&f@cCOhjvmw!yBsipaJfYG_Mhn=@dzc&N_O?&lE3hX zhp9i(aa$c8z<)@`Ga&wwj??{-juZba9Vh=+cm(U;ctq~M#v|DO;1O_t;}IbL7anmN z@V9i_>Hn0DGi=_K{w*En{UaUsCQ%&_IPJ1uYpGn2(=Z`tG)mEN=%>Y)^?qPA;5i=| zgOY*cgtR8gHEuIW;DklP($;_VaQiWt)+>DX#}J4`b`j2IKrF=Ngu=yq>mU1BTgEQ zU)B!Y%dA9JsuZ;zV4glH3=6EoJ>2NLfzTWqcc0tI>>S`MJ<{F(8fP6sad^aEF2!O% zw9vjd2INqQ7vqr>5B7hrEeU5hlk)>W=K zW^WiahR+M1zPW`}t|mLdnMA08`oWyyCSMkdGdVJIN#TPXcR^HFv~3d=mcw1vZk#%p zAuBt_yos7^q;9GA;~T3D+*z7uCPX<8#MxoPdZkVboHUJrlOl`@EGS_N=SSN-BhsX> z#8c)b-pzunt$BFW6*pK#wsFaOEub-M%Yl`|?-)Fra+iH6s}wscujRKjt_c>A;dsk- z`GANLQD(z*Vswvr=);McXntmLqc@B)4{pP>Rh$oIA$k0y;VjF>vaR(=cROg8=&rPD z8`rj0$UqxfU{sIEhQYma#{o=D}Ks927g zor9o2BRLB8Y(z2)*exniDP;|E%&F3X9rxqUpuiwlhendYdWDzr1iGf+yrbh#Y{I6! z3f2;rmTFedHmUypWG8Vokg?BH@R`G{>{^C1Fm+3|dEuBK+PJn;hyK%|$J=0|&|o9W z!ZF}WWLe*Md*@Xt_N&2QWU-@XWZB$I`;T<{Nk~ZY#o(mS;G|MA9FSo3#)F8|;x&e3 zxbyv69@V{gtcP3!*y87#3Vf*nwL1yg*W^efvyd64TXh%EnjZXQ0+QK<)eyCBGRw4hlR!Rw62yo%-7X6X zxxHu+h1Ph%vqsHLW+oQB&pG+O`3Oth>D(!aw+n08xV+>f>@?a9JnXAKbl zB262RvwYPa%Iy;F@K*=Cj$%{%R;T5J^7nRz95trL%WM+gj$Gu6pH=O{*)&qi2&E#j zH!86gk5iSeN2~3IpVL=v%XUjyIi7dM4lV07Y}YMnXNQI!t?QecPsbV; zWYy;}J9t4EnVVUsT~gzHo7+AfJf9B-RB66^-CEcG#qthnBYuM!5ZBz~Eo_Jr+a5>Z z0uMqS(3-21#QM%%*1_A^y^H>ci@4(sz38^y9x`VaF>~HUov$$dh0((aMC{`Hdks8D zZtp$m4<6xaD*W}D?HPhO2HP~DN}wwCXXkygJR3K25ze$Z-KygNeAn$h)n{+2L3sXj zE6h|iOMyts_7>;K)Aef;R0gxJ8R#lsfva4Z)43*^j-8!mS4ly4YAm;S@BH~7f6)4n z9_fLHQS{+~5Z<#fa3I3WMr9@4*Um^7)y#7=hC^G}Qd6(zQ)X!$L!m$bRKzF_&W>_-UQfynvh20>uUSsH5JYZz;8(%hcDVS z8@hvoTUfXBhKgdh_)*u@>JMU)oc2{e@!4@yy%jk}qsG?Zyhz3!JT{C*N#;f1Tyad2 zl<>0sIKucOt9=rq-9$(l6cAQzU!vvH=+K>Hp+Db4qlZ;v>M3jXxNpDY`~x-hIA?_@ zNSMFde|5ewu5dj+4f`%AIv-rQ3AzSy~Q%#zMd-n#=% zwe`XPja>*aJ(dr&XU~t)>j@q6RsWT9!-4df;@boyF4UNHarNsk5CEE*j4L+CXA}-C zwniF;M0Uv)?B}0~^ROg~ra9TCff`jqh1-QIMJ4cefyIac`qV4py+=$l?Me=v{!4uT z5{ut$Td**;@9#X9TFeE#3144jUg1|8!Y){^8V9bGD;U$P5am|$OJpf=28kQF0n%Htqe_!DtEGqF6W`+-jsHCAT+MaRMRU-afGTQoq0 zbD;7B0P=4FSAFznBYR^RD`<`mo(i;~X$y2B?aLHdn*bA(3c{z9J}Vgo7N2mT=rExH zC_Gu{0vGUe1741UF`ZjNU6>D<+Tl}?@bQLOaN=iVnVeHQ{J9`kO%)@GZr+~N%tK6< z55OvZO-@aU!^)IJ3L8Mo#X)WD;$EVhR98UZHua>o#VG?5nrb~m{R-GnGrou2fj_}V z#(BZ7$YGk{?rnK2r^yzo?Kqqu+G~Zt;Ruf(Dj#zrMTvL})hOblGEe=@d7mgY_1sNg z4NWxwOsN5V0yNy6fQ7vBKSNtei12^k5oO@K>0rnPv`poPn5O23XtD#@l&R$~a5S01 z)mSc3Y28z3qy$5yNhLAiX*t7Pk}k0VSYfD(E$DXhqC({DOE+HlyS6DIOoQ=J#|txo z7%b1Numd>YRM)}^iw{|t7lZaHFhq&mRvzdOX5ghE05qFUZ#eV)6?vzzz-@r zIDFFLTtKFZbGw26iPd%W)U&Vs={=1>C>@goG+V}xk)tbv0XA98p;6F49}N*09b(P? zo1CC30kZsIHv4r zkkYNV1N)W)GhvIx4RmF!@ds6PCsE17oB?LMd%QIavUD;ZDZJx2x$UsfY!X%-3Ij8! z-3X}Jo&sZ-m{omS3(t;nGOast^PnO28s)^)=Il@7@M*YqzHvPGLS*>G&x9eNjf~s9 zNo=06>Csq5U@=UqF7*k^Nv!HRq04>9!)|`D(#+zs;DY7}5g%pbR5iuLSKvbrZS$e&o zTAT0I`{t+II+2>s-{&|mH3Sl79J!3jjXC}nELoNFXOW~<94bi_Hb-9^P`GCM4%=Eu z@3o(KQ;Y3ZjA7YDQ6IHg@@AQ58Q^JlKiP6jDU3!!DF!0lR`+xmWelOJnU4KeQQIx= z$g``Q@=0xmkhQ-+Y~BoOWm{Ao?F>R^Lk2dm-3zQ}zc#EpAJ%PRz-ygpi2WC8(F)QL zds)|A%t4$XOmN6RZ_g9jO@GzpTP{Yan>qhLY);fsu^V!MwmF?>Dtx zzb&1r6+Mzs!@O~3dwSsH251u*&Y$uPl+h{2pcX&OajEDgm9U{zbvsWpQ<%w;HdgFd;r?(YYoMII zB!<6xBhY(t2F6U(`nGp?zxDhXvUCfKHi)J+T*y$(mSx?<-`t@>2h%(@bkqj7oDR+7 zvVS3wmSqz~bA@j0a?|8@M8~;2IiuL7_N%gPswSQ6d~$3>%^+&D)lV&M)sbZrC4 zX`_njsj=OpYMu&u_8j(b4UZTUv18Tw#UYI8;`}ibYytga?gMzPaiDISH7A;JTbp}U z;`P*5Xx8@NoE*z(Y62F9Ujek8=WcR8DJ_e2Ja-|ilodg(+XFjdAzQ3@li$1Do#*!s zmwUDd&ex#dP3ORB4Bbad!kACD(pbj4l2{#}A)HGxt0r z&i-o~0@eWFslZQkcIa)ptgI>59r}sGck*bdFo!i$wk!OS@+|USk$gT|Z19!WKX7B! zt8 zytOJPXqMlUiWqy%cLXmMhHQ*`N&}t+xvXn-g>1Wk9-R#a!TK~@?u%Z=1%`F4JZkf4`4<^H+#QN|Xf2DCdVQrq^ z9%6z)PYj~-SRxRWMYL@_D2eO<7c^REtaekZf;o>?VjruG16^qMD%+}(udFB z$83F8J5J7=Gv_*oBqG$1yvJkUf z?*cOxc*w2)hNexDis(%VocEr@uMkNxF_^RuGYC1jd{1>m>X+*C0pQad*Bc3mP*Z9I za9|T@lz$TV&ao5I*+5U&e@9&R_F)4my+m^>IukK=bhff!tK3p-5oSwsEqaq7q&%~5 zVUuLD>O|DjS&Kp23AlxDKxOMhTvEys=Jx}?C01&qCaBhE0eK2!Nl<+>q)@L%i2^O5 zsA98&V#8yun3ML`+>Mvtl~i zN6IMa?v@#ZTgCZ_{{i1P5(n0WcE@yOFX;0DWWA6I+_S-0@cTvJEs)eR&vSSvwfj1{ z*>XeEmW(D^u>D}~!~)vU)D4UvW%eHDEpOGs+JCf|%Q65C3R;^68`Zhau`(sDm2**4 z<&)FD3ZACwp&7Pw^(Qx%26WLyUKK*+)wP7hrzj|1o3{k zWseh@&+yi})?Wc9>JS52t>0m4(Y*#bm#EU^Utf3YmwrY~zoyc}*R?`3q&dO01{&B} zK%1qp#p>tHDR+Z6x{sVl}%KM$PkkY1Ke&l7Z3B27<_(tgarPKil_rALpNiH)ShL zeabu)AK7@N8$8T{#|+8$q@KRAn%`KFYqk0UHg-gjoViv}eZDHAUvN5^#70auym;9( zvWS_gWk@Gbh1*o!%*YWC6m=;9UBCUH%>n)N&l-4JW<%F-3M32$wa zM0raLXt~#MJTiBRigGZNlo*hgAOQD9dNe&fEKl+K0JtBfEF$x1#G)JQZcvCI5M@|u zOTZq`qx#|Wuc`+z+5A{t2PEwbh`n-W80QheHi0e1S$QL*=kKbgxX|TMiyEi70N_&1 zmTdXgZ{N-1-p}9yw3gpV^5;mF{e7SuM>}Eh_nwRWF}#D~ap|w1jtWe;2vZ|?BE*yD z5cfk6KLIAyf!=`r(5j|At8ft1@-6;;_ZF_P=wk;nMK#Fzu$WE1f{P7#fo$sjL&Z}r zF5iM0YRK(+gtI19Z3D1GUmvRq{Q9O$;2p~}#wZ=LloMz_jAx9h6zqY@1>%5H^rzUd z8?xX^1`r#fMjNV1bc^t-==<~Iw_)ii=(3@(WxKl$J13ydhqp7o!|C{p`@1=ubB2Z8 z?K$SD5RcLbE$rS~77hscS`Jd!PLUv5wr)6#@T!Z0rJw>qbkZsV%7W_mG5(K)*Rt&0 zj7&_?%$5++LsTkasif05npDsY!z#QP!Z~UmchfCTJS>%W1$8I>EJSFUgwRUlTY`+8 zpbTP3)k?hbG|$qraC$a0K}cH8Lhvyp{AvQA2XjnBR8`!BBSa=6O6uEuQ&AS?TAKS<|A`=cm4V>M&o z48S6oX3ZRvPZQY1<$=rn2+GANW&uLDgfXC9P3rxk%=+TQ%4vLxvHcE!hhk{;c}GV4 zMNp0SxZLhiX(d|9Dq1)ft@+zUu?~C>SFsuD8|#xhSIGmdLiwDLU4EtV=HF~+BNOrk zw`G<1Fqe=DpT?oaa%16KPI{~=$K9w>OOC#zN?HsqwSps*P5sZT&pKKxSyjlB#&8h= z=o0E|yRF15!3TYZ>lZR*nBx@H1?}B?Iy$$s7HtfmOBqi5J$E3T^smU%iySj#&3ll% zet|6(3~FY=KOh@tTE81~O*&(+H6GMn%vnXDqEt5CrZ5NifgP|IvJVOvAaLwxdQ-hf zR+BzHH=5BJDWtA`g%ees(H`5D751-+#RvRa92&D~x8Gg2iLJ&p*BqQHADI+nFtL~o z34Uu4!S(P2(-}2A$#^>5kmzWhYhWn3qv3F)?r`Z>m_AHLarTN0)k?`>1lzXzR6x#& zxq^02Rj4c7WRFA4m)(h5Z`w)HQ^qh=9h(2BZ!dOPLd_}h9H(NMi&H)ubb)1K6KGx7pJiHvOEaPB`ssjv3jN!Z~s0B zy;-Snj3iJ2qkb%?2(>*a6_4J5$Z5n+`_^?;)`w^&X`hP#sy29r=JC zNYn`uJts3Cor#I>Sikxm;4{KiUU$sLqdB5$ z6cjl*)A=da!B|?SUWF8KbdZ}JpKQU1&SZd~t?!54wBNmJ>}UO$^f6}$TqkiiOForU zrAmO)ge;)9pk^fcR8%d^TWG@CGoIr=JUCO-t(_JPA!t@BQm3R%(C<+NHA*aE6jpbH zXpk_!r;xON%{%DJ6=-`T*D1e;b#}ta9x2_5D01zLCCQ zQz(7Y&xA78`)Dc z_keZG2_b~DkNB(?m5p~T_grnKwNY4aDB1bcc!C+cpXN0K%qs zp7wG-udo=n9+&{T={s%PFZgMgY){9#%rA;#+v63VVk1Fi&ovi6HEIgXR@O27v`A4u z^|E)M*MHJGV&23_J%-)!%HJ>m*Yv7j_m?C+CAtc`JSDp7Bnh#I{qh zlE%~yu1zn&O=A^FEf11e(*)L_BX4e18dDFy7gdB+MrOOQt0dYIA@>D5vop>4r-k<_ z&)2*AbN#qio_wP-aVKHAqpAES@5F?8a`q|F|}!5cmZ=m$q9 zL3!ej(F9jeQCOa(mV5PJO^n2eawgPcbfas{lk+2l5V+bRox&Tb$Mx1gwn1v4U!>Pu57jRIEG>91 z7WLwX%F+LmnFEISnW_bC0LBri50FV1@_@p0dvpY+ArPi)GrMO8a?x20LFLt!_#hUr zF0yl%BGL;gv<1|<{F4PH9Dw#iwpV2~yW@$Vl{-w`SR91$zG;%Kq5nEK#AYIs7WuA2 zirzQwkK)1^N((>4VUWP`K!3V*s*hO;vC6LPH&TvG_%!dlj-|BmwwV*XJ%Fr>GDS3G zLAi5mfWLvHY`URZx(Oq8zjbb`Ks*7Hyv6{y$_zZSV-Q>kYGW^_=sZ3)HJyFX)Y-5M zfvV<>FoR;*(joJ?q-t{uf$jlXvPvDcKsR$`1tdZNCbBW9U7#`r-P1kVC@;cx9E^M- z^CESr2(_i9e~&5!m=oblOtb(ijEhk@Mjqj@^T^8*Fnng2;)M#T*gl3)j@@gBfW|72k+><7k+l5YFJ z;c&?=48K%m{(^U?mcU7B=zF^Zp)aZvB`|VPxft*=adp1t91LT9642^4cFg6(9W4nt z76EOKlLDToib`5`-0g2&$Eo6)Y0?LGHfkkTU~;DI=$a9>Pe<@=N)Mh82m*#6rZq#t zO68M6*Wtu)PnVET{?d0=8hY_WE16i^y$>6Zfq@GuR{nW?@>L%pC*}s@oPHY@`yR^| zgeL3{azbst8Bf6Sv(kN%CTfIf;!H`Mb*ux6$H`lh=F?qPV|hnC3FL(EcvHZsvJ^Z; zq{eByX%-1n`{Lb%%EK!S9xt_=KzP!VJ0> zCmC`ZXAo_Mg9Rp0{4}U!ku3 z**n=yPDKC&9Ld3mR@xGNhw~!f&}GKxYw3>b`@it#xx$tk>eb{ZTTv7XLfs>$C~(mz*WB3#LAPbu5e-gln>N~ ztlacd`)ON|?LPmD?jiG@^`SgMz%`QryIdm^=w1!M+RoLCV3r{SOaRo zmk?3|svEEc60I$gIfqs3`>FJlu1~LazW2c7<=`FZWu5sCTc(NbhL>m6<8k9;t{ifU zGY;>(HmMzyN%j1pBP2V6Iz&$NK%KQH)O=(gs(`Ji}LvrS^m?L ziX^xkeKp6D#*6Bh12)3aTXn0z3PniHi{YYh!R};vKm~DFtF-3y$7nE>iD5E*Gn&CF zeC%`yf>yo&5)MHPSuq*bC}lzMElI45x;3TRl+|C+9WivoL_vtLN)^B6JiH&~pb5%@3Lub<81)|+%gvugCH-bSj)d?`% z&)^yzeF~*xbIoeAP&3nKrEB>&F{KAM4{kAUB1T~c@1S*O%o&MAd=$?QqC*m`S0WFk zhMN;rYO9##xa}>G4)~FrpjqR1&k$~pyJy@W^pq_2X+~v%6MD~QA1CLO#=0dZ6+fZA zv5E6E!n)qFLU52{u^%TwMPt^l5yMuQN9fR&?(NXDo8#*#J8C!C$I3OofOX$}{-Cf0 zhp{Sl_rb-i7xs={6e8C=xil~aSV*lmej*$9@qIAPv7w|B)?8;MYZe)eBd#FyyxSZ^h3 z3&v7i7}+b0ivcDLE9JW~VPd7mI)eQ4o!c|zrj^T}YkHG-&bpWArq?^UOB%*-dr=5- z8x8^Ev&f88V$_2D@`Z31hMSF;egIK{S*Vzf=4WA8-BdBlO%r6fyiw6Y??!D^y}U}D zL)1Ur>F7+k+WMXK4iqqBNl+5hOI%__9+OkzWE&G~OHGVg z;8kbrvqOEh(1!%N12zm+3VRh1ijKX3?%Jr+hx{);YMs>aR$DGF}w8K#D zbN;pZC6`(%&l8U0;bM?h=gIJXNbN3Zy_3{-joz16PiuROGR8O^+TJvI!6nF1nE1t?g@6`ls=}KaTT9sMW*#!nP9XmpWhiu zI~-g)@aZVqjuMPbz`6;7sR(@&4v{Z%iBOYt?YEzob3y*;c7eF^o@vG!xwf zdTc$Ta7ojTzgJjU`*fV>Mi7thgHS^3uW}Hd1wb3TyqesRfr6=i7#stj&QP)o!p{*r zZ!?gwgy2jajgXDBkeEGyZ{5PrrrJ=d!Nsz0c~69lnN|E|A?^FsVzhe5^s6MgCbA%Q z4mxKXQq;n8jJ6|nj`jd!z(ipaydnY`&e1jADY(tuUM$GvnK}e)x%#Fl<*{{CtK9|_!+j+VvZb4Jot_8?50pqt$D_f^%T17fz1GwfM^ zNx!D&L`+W*au+&b5&_?A+58PP6wYFfSCT7VyLHd=kulfzS+d6fYdRRk><|}-E>b_& zOBlQ31@I^dIWq+_`&#_S_Jl@Sig;mtw9|lU8;ktmXmF-M;Fb(ox}(Ypu@+xZ`9PgI z($l1>;we4{ocb^5wbh1A```E3Stoqgcur@ybj}}>_!_q5&l^6&=;t6GqaNHvIXcsz z-mSk&yp|lyGOXLwi45{jufl^XsW#mUvA}$UZx7!4Is4BW}XlY}M6QEmCY&PN*Ng?-H z?|Z&rV7Jg1C^IJ}7?4By=u&!JGWzJU`)e?7L(1795ZE^ECz8pIfJR1RBJ6_6RGA-o z1hqvPb?@w@wCE(V)`mB+!n@(##1tB?0p7D}>-*qd+n1F`pvi?>HIGtMUFHNILw)@pw7G-yCFrc`w3Y#!OmU<~GQ=k7Tsi4LdR!P5!5K7*W#GSrs z{Zi%qSv)<+mW%CxyviptH$O8UDC$Q#RT>aF9=tPb8GM*o)kb2nGV~@bLYWS>Jj2g9*@(}NEa6pVZ3yze(RLk8(pyq*ng z9+5)mKypP`7wR`W$|x`2CaSBNeHYN}DC|7-*5}D~fMnTUEWu=3X~fEKJZHGRhWIK_ z#2jbx{%Tqs3h9*hlQ#vIZXtDjT4OiR(I%=pvuu|F8(4yP>8p2ZjQPOIx+6pFDv_t7 zH=6;2Jm2g7&ZNa8)=gm*l}%!Em3zCAsenb4u(QQhGGQI>TsqV#i;Z`1H|K}JD5i_| z5qaqP4ET}fy`Sjmky3|MtgQ=N5}fp$*oM#UK-btq2pHlm6j?%IYrZ*nt(lvUIC61q zi@71A&I=r{z+>Aq+dPQ7YAgM z!K8no=vS%q4xd7dbow=nYhfmUwb8h4@HqK$JxBI;%b?Vn^7GoYb@)PbC^GZlr7Udd{-};+M z+hQtHo6F#-v)}%eowAq<@KIrqmhJ`ql^m5gQZLcM<(WDmjlxil8_B$ zSz+7BR6$#+EFs&;OhH@DDgriB8N$}yNXbi~>T#Fm2*#Ky}9?2JZINV_Wt_6Mr@^%WN>Yyc?9SHKJvIC4)r+XCHXmkn=5E$2q?=onu_xcTo6zweKU{MwSmqEH=GX zoCvcoh0(0f3o;ucUEC|z78Q+TOUo#KR8AF(Fi>+R?iK>6h9>>i_=-mb{ez**-f0m-}Pp( z;V{NmqxBQn@-HdefMd(S`4#q6U@MrY9LJ})tObU+*5DC=H$u1xEgDjy%q*Ux^z z$(NEgDMmTKlI}DXHc-QEMOyMpi9)mpHFb9NLI|$pt=@$`B?HDs_kM>DFU)Huay>eQ z#nd+}`xv@olCB<~t|l zpxS2&}l!fu|(7h@3cwEZTt18q~eJr~+5RQtCqqb}lKboY^GK4M9I8mr%qx}=>B z1N0ASj*}=Dy=&Z#b~K*00Uv^yKpf71P!I1?ibNt>$(I>Yfx$?xAA^UtV?u5+QIZq* zH9djtl~1%*Wr4loTkShHZSsmP-relU9PB+`STY|41g+5*67d5-W0pLFj|K9oOJP3a z!ppRW^u3^W{THms3Cq2-zx^VDXeOsJ>*`7}lreAaWt^VulXn2`d4fYkG3rDU&60b( zibc9F6bnB=@jVK4Ohhu>;VzzTl9n2|YYxT64~iXLA)T%tA1@uJRHUa~l;RrR2`P9G zPqLvVcxF@>Ajr{q8NJ6IzU)NF6|eQD+4|G5 z-E-}y{EK3X?rxnLieG{%h&e_*ZufVeQvljX@bQ*k5ar&K-Wwzy;KqyzMONpQzJ(qA zZ9LE(@pQ0m913psjam+%CD?ONZCgc9tV%yP97ww;X|Yj5qQ2H1M;)+%5>4uynhlI| zr1$dGI-q^UG%|Dmh780;NO05vIQ;>MQ^5A3P(T>AkUXLV^Su zkmzc0GH5V5Oye-SFBXSH!}wS(GCq&XRH(R>OhYM{kjOqGl_@wRM-a)+-BArFVY8pZ z#7MIsinCO>7Q4Gse~#lU^+H1BROt_=I30ykGgCTfMHbb;Pty*B$?a3Bw1d4C4GpyC zMr!LjGU=2#2hO;6Va|#;q)aQ8kvLt!#_~sIrUHlCmw&Bt4G?IuO`y%b7B-UiaxJXR zyl$!vfg`Ox91fK&z6vC0?g zdi8vOXj!gr*I^P%1+(mv#}`g8aGjece99TL13W;=ClG zC7mTxJSKzg;@4UL3(SF)G{&Q1I$=vHnY7euxt@!ZMCW1|qFL!KUz!}7;ooosPg`dN zP3xQIWOQ3`T|Hg5)ajiG@o~w)z6qysZ^1q?T+SS0-v8LH%;J$ctu)3-Ta$EVU^Q=`?c`P`d%ArgAA8ECX%i22C^Bm6ybBD(Dnx#6nv@^qo zjcye>^s=&b__O{pGLxdjA2JlYRR~f_iINg*TkJyj)7#$-?=P-JXx}T4F|2q zRcgzvRhy4bsyx2lZwJycUzs5PE6%6%uQ;D1y+sI5S!vt0U0G?{ zwr$(CZQC|0ZD;PR?^~;Tb?@HmoQt!s&Q**V@r#H#V~m&)^Bw=^eVACN+q{ug%Lk9r zM%Mj(&&?+pdlE-?YBK-A)zX4c=+O1KPJ~;<{1P%)5u>{9_f2nnKO(2&{gtKa%$S&_ z=hx>ZgQPjE^0?=z^>))n+Evf~*7@-Nt@DA2lNkDk&L@(;=Ve1*{a5#3u_&x=`Z;Eb z;g~l$e}n>wqw}~_j-Tp~VX&4Ens#X4N%9zL*GR-#VX_zjQwBd4K7Az^#MpRDn@DVvk(qlNX~l z%+-E^O}h@@BgDhe1p>xVo;#Hf1}a0G8t<}3*q!KAF1u&bohxf28cXfjN!AHo0|P@h z>iLkyI1s)=X(X{;n{3Rm)%$ZKW(MSG7y|FJyADk`2Uo%X8U@tx&YI+W<3%nKX$iK$ z(WZ+89yjfyMgpmJKK`DFlksM$<xvbY= z!3J(}m*;-^EGCL(Xw0Psg-qwI&%^$SIV#@knkEc{=>Zb`p@UxmV8Vfap5$HsdIro} zyUO)Qa0RI5`*1_)uG+k&^#~Np12*=Qun5 zr6&hKcQl;>4o$~IH}Vw1!wyL`SwkfXpf!0E?3Xamc`>|cn4k0ofbr_L_oegUMk?FMzSCm0 z&`|naR9=gmQZrh~s)HVG%>Bao{{^pR@-MvF@Bb%WZO^Z}@ei*S`NgZfV9*Br&8t29 z#jB0|A9*$5fAVUge|WW*TKi>$2^_p5SSOQXpp)cCkAPde6)3sU2_M-c(_X=1yRtas zo3r*S-|mXoZM|lZa0~7VNagS9gU#bTyDQ?f1OnElgC#-0!3ug73D&KvTno&hSS*Ym zXCB@2tE-$72jTgIn4tzaA_6|(4ztraiu3i6yF(}0%s2GC=at68J$CL2h6Ld&`)8tg z1}$u!jbMkLOWlCebPc@d@*XJD12%O!Ja{r0zzmE`n+iemv#l9Wuj zh<(^C!)aP%zbp+va@jEFhFB;6_W2C`@%hYeeffMIHVt3g{_^>_Th(oJNFk&j&C10L zVM|bUQnRGz2$8gPh66Dqjy6;`qUUS^ONn#MX#vlq-??{a`#Ke9K?%`sK{Z$)W96!W z$xTX`mAU4A@Sm&|bhgR1rXuTg$PQlcV~S{zWmvBCaxE4^8_Zf>QQA znh(}tpbC7#70Zk9@FRsCKGAx{tF=y-G`A`)i=Y{m@ zmrB`5s-)Gy0ruZ{wWEqg_&>bb&_8*#nyUJ_#?wE%8ts4ZYL!M`yc$l1NXYT9I_upE zz~q>yD2@Rn$|98z24W{Z3K*xjM9TLN$epEWBs2s0HZ_OnJe;1U9qqd3HhhzbR~8^b zjeG8UUE9`pT3y`~ha^i*~%Bbp>0$wE23R!X35IjSWf2iY;NA6xnBA#ce5c z5h@Dz@8Lw9U73hyb7Luk>9GF-tZmrdY(yD_-gC69{rpw2$Z>x>v#Z~vQSr-)dSPJK zzHQCI>WfN0IeGAFz53hd1M@Qr2s2e3>*(8;&qp)8>r?OX`ut1uZrRi}k)clceE$4< z;r`szsiQ+F3NMR02Nsf>@Tw*;F-kPNk1i-!{*l)j$+--Z$z%?mq4ad_6w6a?Di~l$ zqquWzoHE{1$Y1MHA234xVd&`q5Thi=Z4m*6JH$$67n61^8Ta{!;Wug_5z90+mX9iq zC=O+^{QDM5+4;CB-4f%7Q*Top+iU+qj^Nz!Vo;%Sn$~xXNXlksxVU%chcn2D(x(q5 z$a!jm1bb6a)Y7hMWEZFoS%urOR)aK}BuDLl<=?oE717^rN(3`Pss^6|I5Ca5o>Sfm$l~O$(@egDi4SoHL$I3`1Nh9IrX!j z&U1#=3eW2GmZ7949?%Wy(5s{-n>rd?xF}}C#N%C2)mGhB_w45W_G5zHlWDb zvt^TP^&{fivvc;;o$mJaFRFPKPk6$2KF;*mVlOtq6Ei2W&KmgU-__J#-fwVdrmcNp zqk#|0Xlvu9AJ4Mh;9lDz@7>(wTc*7Czof*ZIO9*=dxm62EIjg|4Dn97*wsTdm&cfZ zdp4G&t5-C}nw5KePrZVx5)T_K@ZVId4GL!w@Bw2x3*uY{lbR>?6XVRs8VVazYfdCz zFWY1QQ25kr;W~o91D;WLdU&L5fUo*FNst}uVnPCJR2{r5PVkaZmsZ%!9F~<lP>b4iNB=74uu^>kG9P<}FhQid%ImI`z0LgTU6^R|fI^IAy%vL5+5!B{? zeBye(N^UDx8|a(0G_X=&|Fk`LP_*CoNJa>LM<~2=P8EHwHLTdZ)gII_6dEqW=v>8+ z?SNVT9-R%!u<6?vGTj>jVzD-rqcnouI3bo48g8JJO)1;FYU1nFvksiwR+r-qWy)3#BCidr#J16VSRycpWw4CQFs4t%Wv13VI228VZHnn^lS` z6{$hgjnc$=wDBF%+(gwI$XDUWX+4O6IF@m_$u9+#@^>_^p{H}!#7H-;{4u47|9-G~ z8+v`WAr4f%KW5F4XiQ}^`%U?02!e_CC^~m>s^_+0nwh+Gjv4oCKt8y5Ii+<7l{#;l zXzlz?it8!Pt$*xCvnoArs9bMPTP>nZ-mW(r6AOrDqj@kj8thJb!hq)r+=j@v7hs0( zIus`=SjZ(5$vMDaRE_7k3cJFy{a8oN&5Jr4<7Xo)hMEzH`*dV0gk@;EwDtlk$;}D# z(~I0qB=Q5fn7U?zq|l4Uk_3a;)?4Ff8)Su7S9HK6vvcy6(seWma{<}6tvk_&hPL>o zt_C$ZYUA512io*Pe7rVjcGnhS(Xeu{G=@w^V`;J7uWEfK;=*G2#Bv2{IpxNSHQ)Q9 z=2u%dZAA3jyzZ^G7YxFYJexUY_*sdU>!^p>!RVL!~Ag&Op@ zLa_DhjZl5NfHF8;d|2xTq#PhyA7ql%?~#K5z*;mz?=f}kCre-J3@rD;;!NRkWSGX5 z9`OVav_f$PCWYVTVfNI}-Bk$#ia?u(d%;ar-%=#Jxp>Z_<)aQ`i@JZfXsP_jb~{8i zx7h2E%M4WcRTkLkDN?$8&2eLW+g3e573dL7TK;CxsVMdGMtT5tVx%Fw@uZm>U9^j| zV+`iF=1cgIt(U^G8!srfnHZ-@2M3I#fGMtwqhLs(fz|s07u1bz7j>76!yEaO2Epik zCD=9|%=j(awfmf7-QO5v{X*?KP{ZC*lALIhyHT@72#mhwZCwn<0-;v4G|?G~TQgxAiKuHE6eK4s=0M8pgGpO<`);k> zkvx&$)aQ#H9Cj!3qu=TTI9*2woC5-V6kR$JL4NKT`R|HlX`Wy2o?cucLj^kcDs!C!K;DiWqL0|cX2H}K- zpcTKHt}5TH%K`0xbG?*8Jr)M;4j4=3IKquN2HuxzvWP(SmlE)31A&JmeAZB3c?yi? z8jEheDZyhhpW$vu!)IZ7{BR0RyNAalN!u0afR$M9!}9RlkvNynlnRUW^CD0tJ`;)% ztRa3JeQgjf+kYg(q%v_oo(Yr8T$RPjuv#pWK!2Jp~O+h6d9bMCS{3x{JfK818 zYI9;3)J%_=hdFj6D)xY-T}Z2GT?M$H`sQ;-RtN1sjI+E(m4a;x_z&Wj6{CcV(a=;JbxMxJaSTwJ^g~G=SXsG}JT33TzV464z_+ z88tE`BI>eJHSQK;_v>%?_=wd+*1>wFE|=SL!4ZFKlR#wQaE{pJ5J8xC)V(gN2y1ta$-LZ8p0Gq6c z=xyG6z*2IFND_CW_PU?16;-81f%)bEz?8mRlJOPAtaFPG)0cn;<|g+ zTU~*s2Nao~=n;)YJY;`i+uMrpDxe+7i^_;UUaINMyu)@v#2s_*#6=Y_w%SLvE|^fa%n^@r}O(IN-RbW z&}BAg$k2%Pf`%+{2n1_CDFC(Q(=Ul|_GzsvB< zHJtgjmBp^;)(Ho>vlc;qAX4dR9%^5k6DLO#G&}6g_W|~o)HI5-{yfh##Ttmf`sVe( zt!-*yk*Ur`cp@68t9CpiyUmxuXitX9RFU^&!(JTG9&X+C zW#q34pXW~|Yxv`2*KFt#Zph=^=9TJSQE=kadiJt#PB37Eacs)gMFvF4A+cvnZCu+W z8SB#sDjO~^@@ymGk3WHjznPCL#b#o0t;(JbCM{BIEk0D*yaEsOGFs= z(~3~v6rztNX<&YNveE2@^mMvzY|6+!p8W&TAE_qcsFOeg9sFBm? zp)0^RhCkYJVxc6%s72<{H4l$2u41QKjy}q&Nw(JyT`3#Zsx_gmrkIUj%jA!=K+$GN0hbo zj2PQVw>O0F_6U+xMvB!_lJ(HvKhCsQOrTdx0T~X6pHl5cP(uD3LpY_< zIfHz>RnQgd!=i2};H$#_n&AQe$?(3`f&I4(5BV#@>-x&@;&6H%|73V&_$L#u=wBIL z1o!>inK`)*$WUoyy(a(p!9w^A(WEMh12lO)b=kqWd1dNB6~jL=ygHRzbXc)09<$@? zszE2|iHwMe(*yWAm-%GsFaT@Cu?Ak8`5X9yws>&5Ph>Y z_oHzJB^lL)4E7#S`o^Xf>8F$g@2)o2*Ao}FuMz5R7Hu0A7Yn*0Rx~PBRu{@`Y3CWP zFL!5b|8wV*X|Y(EmuYe3l$GVPkcSKi>n7j*Mq!QY3l*YkM-nKb_zK=$l8APGmQC1CfJOPkQNOj@r4la#%~DgeO9$h5AECnO;cY zTnGF_1#_NYQpqnC$4BCx^MeakPDb!dR0rgz8mH>fKq7|)^9nA)M_y>hL zIZgZblxY#=lf)RCBSYseEGgxlDbS&!%6{g+D>-xA^Y30-nYWM+j4*zIU5vKuMsW6( z#chBN4B4AWxa?l}ouQdEi5WC0J#&1en8^W{v3#sGeSL7gc{)m+=FxXde(okBw$5{T zFM3R)mubJ99?#ahwziq-uAQHv2(5_j_Rz1K*}8Qew^_xLUlH+M@(d!MdWa=1V|-xL z^t@aq=*-I`5Q#$F#|6WqLB$XS1l(<39(=el^@W8Zlbjp#WK1oi6hksjI?V6-tub=1 z`T^@$SoSUI7b4T)Z(bkUl=?)Pi^`?gEf{-Rcw*Y=5FZ@_U(;8>kD$ z*~2jf$@%(X@dRETrKM%9MMwN0KXhWcs{#Uir_@a|VoDc72 z0X6oJ!q*G@j>U+U!k($Tefa+Q^A>6IDl-iCMK%PBawVt|`fjtn{GuCXc;Qec8&dkK zV&6r5MB9JimB?uXmxt`5Mva2b)oqb($|{99e6}u(uEE?zqB`I*4b(T@myx)NWqOc| z-Cd{{4Wkp4zyYp=RxuV%<5eA${?wV)fw|0(bz2{7{%h4X+mv?(?^)`_${Ec6QHsi30t-Sjw3-vo__^MVNBBF1`gDT?0 zg*MTTa1?@mJU)5t!8m4x9CCC?(uw>#Mg_#Nr=(?z;g!>eQY@>tH)+A7ro{P_)>R0e zi9VVe?QgCMvRGN$8dWxomi9qztn1S+@*oBg1S|N;jQ2_+!PoJ|u#7O(4V0w-Nzy&o zo*tisRB?$nVirEX%gd(y2I3{S%_EwL6MISA1Hmxqhv|WnxHHgh1B7!F8D@wZq8qS2 z7Oip(#%SzU>a%h_Q^Jbsdj$dne*or#DF}wyQLz4W?w_%97}9r-!3Os{abEw4Z_a`3 zI93n}PTgM#J{{of?@aU1u=pw%GdpLq!=KywThqgL;M>c1KP%a_8m=?x#dQg(c1A<` z3lO$K10F35+srV2w`Tk~9m-&yu! z;{e}Ovn)`4tR{H9x>f3P+EO@fa#t>5Ii~stsH&RU2iDy5Rt=E2KG-70l6x?bLL)+x zB8}IsHitQObt-V8T{o0WN&O59w{e)%TG|f{S8zHaVJ%4Px3Z0BG1JK^3$}Rd+UR9* zN3yU6ngX@9YUHQiGf?$}&%v50%&Th0E|&l`OY0&ugk{qnfosMx`?#b>5%x-q`PyUR zJrX^M3!AmlOL$nw1g=6DUin2Vk574_!afkwmZE3jaKVLjRR^hgyc-j4e!B6Tz=mkQQ6mMGI`Pyn%cuE$Q@;R`jzyximYtm#-(fQ z#`-_@5EjejSP*6n#D-H;P;`=!Z{i(Bn|xjI+Z+tdlviZuP`Hv*ey*!uiUN`H$W;2n zo!B}=2`@4FInTeWwyub9G7j9Pom0@7vFf*XAk};b#2d8A6gXlPTKLrQE^=-p%h#PqqWgQNGuK2 z-a~G!^K??IxRol(%@aJ|aXFGNn+Wxy^xHh$wL2{JwAAY=Bj(Q;FZ@W$A9?OMX`?Cq z!E|JswzXZu2A9;?-aOt|e#qkf6X42NKWDdXUp=9z>HF0Y3y=ysI4RT~IN0~^zt)wO zwMVx4Zk%rwU;vDz?y`^GhMH8yf)D`ksZXAUEydh;-kRvx1PGE~4(s%%lGg#*XU=E6 z`gX-bRM8Dl->EX>GN%xwqSx=$Ju|M8iY;kn#W7R?YA(c2Da!2Th{>?=9w;+Vg?OA>;zl3H_{q$EUFIl5KT%xfk<+zuHw4b8^=o>0F> zjf!>{#v=7}O3tdFC~6!pFGJ@4MD8!}^ldfAIUT*$KSO)uZHp3YE4r+p9k1@jOOHn) zd$O!kbm~;ljBa%$ikVVo;Ftv?m=@x&@Y5yBKSxH{QdCgjDB#)|8k(tHRhW<06&0C> zF^+NEKN=&ku(FwxlRPdD?;EZY5??KnI-Bdx1nmZZhTy&OnkmA=Au6SG63fD+Y?;L8 z<6x#Qb||Vepc%g(cQ`F21zmMOHNeHGzOXPphf6)Sd(h@B7+A`q;s9WNRQH*v1^H2IE5tE9IX+tkFy7Et^ z*%T|5)|0#^Ogd}=(k_v^T;jMErX`naDkeMutcR|6*F>rEC`1dvSkWa?@qu^4!ak_K zO0?~aYE#+Mlv*uI%qWLwm3R1(;?}c{j7eeOu-gV+={XA#C4mYqVyG#IBRmeAmYH!_ z0jG7`3~S=o%AZ5sfr~mxmBBeYw}I=ALf2}qR`{RBPkD0Wa#RRp zo+XE+T}YtI$>K>)_uKGkraXwIIx>kk&})M)^35o8?t(@bNzYwaO2{DJ-XLdZThU_^ zU5jl`6JPMqg>;}^QNCT5RQD8<)INKjsX}mZn)FlI)*ORfNlr-X>7yItX{Jpfk53pX zl179QuHjCz4#{B!#9Yz2?3a2t6O7{Jc~o&4t^od&bOMPEV;3GAM*zgqUC;qY}nke^u-3dIhX~p^y`cW0=b6psWOXzT@y-xK>;1MkdxCjTErd{;0qTs z2n4ml;Je$F%{Q5}heZql<$R*;p?LQ@@sWz{kjYd9_y;+a&KBFwTll5&`Hp`1Z*O^{ z=gN|PWk9mFSZ*d_ap>Tm#+hCz)0DPsfN7oPF}9ULwO zM@Bv>)pG33P;pb(uLRPt?gk44QA=Dxa^Kb@&ZdgMt9ZN1y!xdWtCa2a4{;2Wf4zmEbTtSf!`e0l|N#TOQQ3tLI%&#@x5 zH+VwJn%f#rl&*l2hVu;aqOmC*Gu_4s4rCyv6AXB&FHmBE#koDl4~jlWphx>XU*di! z`sSky`Ybf|k;4pbC4=}|C@c+n#I%Q40dpOT(q~Hu)N+*~4Kvwhr+&N@iq+l2`l&Uy zIjb|;%Q*kxL(QCM(D`%S?#)kO6yJZ$y`Lw}FLWFl*c?DLz2|uwk564A_ny!)xX5cg zTnz`K0GFW;FeQz%i1svPW)tT4Rw%N;n$w0Y_NhynMDS47<_cikZSRst`fJsP3FRt8 zXpbI$nw*}`N3%~K^QW2*_cv30 znr#8KT6hDtU10CVNu%TksF{~v7iAVKmV_alr$3&^zH!()socXt04>+HGv^Nb5CKC! z^<0@!v4-q8t84;HE?t)VCJDlhK$-{;ucu)+Gpsw+a*)1fDd|!RDvBuGoa$w4k9^q5 zZ&=|fc5X_C09iNQIq<)Zo;W18fiK}TZ_|gd98@xwqQVo7JHl6QpGJ|*WR^dQ(g>tV zwpg)J3ncUJ^M-k1{oS-mLI17tgc1r|Vvwt~-dBQ3_;kn*9$dxkbV#p4epIer9LN{E z0_{6zD7DawE$mjAC0M7rXceK_E}@)_7olEBZqi|B;3y7gvq`zGwKC||&$IrUvt}(U zk?UhgxYXtS&>Yo=G6RH*_-GAcC@_XG?>pn-`c$$(`RBP`GhR1NB_=XD?GrX{1j>cD zaYH&;^P(c}Nd|IotF83+?WUgA_r`(RJScmwid#+Za9OLA4 z^QjIdnlL^a)WnB*yPf!a8S%+@rs6nQ&bPl;3pcQqo-vTM#ecikzAxJw(1Ypd7|aeu zJ7xl=-LV=`ZrybW)Rt@(ldkLb+g!3;dNO$e8_J=yL(8Ssu9PBFx9yg5bO4Y{wgaH2 z@(xz~DSFo~4q*R$0d@ih8dnU0y>p#okAk%i;H%K&y;QP}Ml>3oNZ5kc-)G8WA_Ayr@oN6{Z zah;o2EoaZpNxYkRk-yH~o^|Lj^=gp=4agRoD0<9hR~@1gg10rTv&TiZk}w&up%Z+Q z8`+6=m_)!Thz0bs(8DJ&i$Y`N2t`}YuTbe@!(Z5*wQ%+1)kU!bB^G)GE9kF`g@`z; z{qv+x&lpluxRUlh^2b|ud~&e5&SXO1*PJ1%a%wKePn zPt)1~0M0K8#<908x2_B#&O-+l#;!;TZ73V4|~CMgZGonA~RIZ`_C zD-&U`&kxdlBcZi0$q+b2zY2TPk#Vc0mMn*sIGxXK^>R8jo}A`J+2aW}KG#H;F-+`< z+%%aP{DN7*2|jLrRL@{vh@35=r7t^{u^c;^yha9^l)1kkQ0s^AQ~3BGy(8h4BFAywKGE z^;=Ra8J5-Q9T$(KncTR)O|EttkzEMLsbq0EaMgMw)V6Zs%N0aR9waJ6`EW@!;0}-{ zGa7)Zo&f?-*E3^g3l6Z$1$tLRfw09`wm{_We4ak@?Xj@DpUNUs&K|3y{FEVSubl`+ z%1pj0CcNugH*F@T2#@mm@!_b>GOm=#gzeU_W6wOpAe-QQbCe5;5$lL<>=?}i6YK+ z-SwApQ%+opu4+3|C!Rmdsxyqu+0RYc@y+Od;#$&e_gZf!?N#QXP?o(GI?64)3R?1iLNt(*>AUG=V5$6x{kOFjK@MT;0ZXaj@bSR$*KQ3n^brPTuMQw>T9N7$o z?BF5e&&H;sT0Ia*1B)m%Ww-;$gW_tPgYjcn5THkN;-=d~qkAbX&M^ksiTz_}ROIKo zu?9tb% zP|C3y^AEXQ$@?N@Fgijh~Al zA%=u^rhm_YB#c2m*U8ZW?F_a3hwhQ;FP*{+SiQ@7n3kQqFi&MXc)d%PuKO2zwzEJx zd|!Vb+SHJuzJrOG)QO$3x}Ad&&A2%SI&r$5J?5ye3c|}r$eeu>k7#<|ef%|0@9&o_ zU?(l#@tu1nEjS#+5H_=P+fK>6ADXdaN@9l<)=Zq{jb12Xf;0#bcHrMfja35Fvfn+-tRYq$zpQ2v=Ut-G5jiW)qwKJXdCpNzjC zJIKdZ2HGMWO(C1~r^=RK}%W%BV(lo+huAY?x zwK_{`SX!7CDR?vm=59&)fV3_NEj-2z8uV8m&kAeI+u@ao#)GPF{+2mN*67Q$h zCg%n$XyqIkQApiJ9Zl|~2OwtC)AwAh<(p8NNe%t-Sc*5l7$0;SgjxGlb+dzsx+TeZ?S#%PG=L0porZBY6UeMQwrd$%{Ni+Yb zC|9PaE*{hDGBTTw=Z&JnG%H*$ue%$yzQ*T=wW6sjq|udJ%?n#H*WF1b;yv>MeMxAYSBqm66gVH1;XR2{Ep;3d#!hJ_lNi=D!7S_0gf1X~=LFv?v9 z7Rak)=W?%$9Ah}ZH&XFx6qb~!YD{O*^MmtW+Z+*-s%X{%;+ihgepS<;Ycjo$z~7I* zf;|i0T;w*?`k2ps9x`+`Qrz?8g7trpks{{oOjwI~&7~ z2s{>ZE5_O~kU%o?+6Hq40qAM()U1X0G&s$ii_yQH3ejhrkC%?{ed85Jl^a}FInIi&qu~9Ih%h0n6ir_NC z5yxbB`-Qhs9K`GxD9f^ioe4M8ciKnb*pT^n<=`I3J};&RN_)P4{IN!(VR0)>?UYlT zQ;3AbO9X310kX*0$=mj;Ukg#HfM%CtyhzLKft)`yb((V@+|ZWexl@W>+@+bLVuW#C zzW2_-gM|aoZR26=3K(gp>a8X;e-|8cdpm1jtL8k1u=2BH`;$nREW(6&=iub+pocwv z^jD{i49Ia%FZ%pU-)HzcS@HAnhP#)CAE{w+5cpb4RaDw36&-p~!fPH*H;tI1Ciq4- zIv010(d!B1y0JTkFG*t^8}14acnP^F)alLK{q_0t$;S?ra?|ZXz{2#JrB6rIYlJcA z72^JWHF1xA6c*mR&J12h({!(!pNvL})xjXIDQYoTEKRkAdaVf{b z6U8@mTuVFic995XW4pJ5XYWg_x>wd+`mvwjJiODn$Vu`d+!W+E?))Fu;DCD!`SLTR zX`%*ePig$7nt{|>pkvij3Ma5@c4p4XBzBHS2vE*wCb*x0z=^7WZ%jV?-Q~E(v~#IX zPN_Rgh(eDQeH<(!6{4}`)`w4&kFa302?yOLAjhlR{p$_-#ACHhl6ct$bd(cyleaLT z3dxiUSvIj`>6nb=3qfa&Vd2VNwye~>xnMcdClmK zU)AMUYDS=Y8}E1}!%akN7J?#m3{xh&!i%wJvKWK#_V-bg{ElD(@lo#}Vgh4H)19IL zbx-zYwBK#9`5mU@KoDc69w`tn%^D23`Q%j2ziPPiy&4G=2D}k_r6K2(t% zU}%&=8osg7MQh?}}cT zLLmW>hx&x4*Uy2vXV2nxJ8Ot;cFyeB@Kx|Zw0ctbn|z8TxJt?BO4>58BkrGf&y6db z>Dsx#@7U7`bfbaC$Jc#^Do6&T=Zq65t8y&A)iG<9us4YCh6pB@fA9)w|3EO!p2AktkX>5_2H-om5+ zVih;Y>yvJye{&fSL6Pm27=}u&3lQxL7gso9F1f#CJ_#R(T!?rP8XcQk)MG4+ISj<5dw|nd1_lvh?RZa^i(LP-0 z)2F4xvz9uKhq$c5hJrG-{cr?T`%JiKK_a7lpS_iIr8PwEhx>H0wAa5Uu5 zWtC~UUW9EzYL;7W`?hM?F^^Im`~w}%oIv2Esp1jH=s{*7L}-^;o3m7IrlJB zAh=BRV@9Ir?hZkzmKk`eK#gEV8MITC4b{T3fYzHkTCIuZnydi(7Db^1PykleL^ z$^+y=KDv@2DA=S-EZ-xMM$68GC;_{a>2yqMVDpdNQ7hmk)i_G~7pJ!+TjlHdp1 zhsLqpRMQe1L$bjSC)!flU5u) zPXQP^VNfkVl~Y+hub&ZfTsvfYcXhA_zCX8riKYlGEJ8#Aw83hNLk%f?C#TuMm8*UBqz{1 z%|A7<7zbET9`1D8hK6YNA2q;n$M6RKi>n zqZtz3zU1>N93E5@2XZCYsI((9zn%HN=`ASeEg|y=DkM5F=VF(w^C__nBASc`VzBo!=_G$N!tayP=GJ>77 zG#10N(?;RVRf2Hak$?A2N@zDdq%Ix)o!0=0Zk}keex`&{0D6Z~1_AeyV2rT!eiNJY zMfiL!x3;|i9o0qeohFH8Cc|9ZrEa-2j)j4DIJLdz1C7>(?k@i0n3gc6>|7il=Dgjs z_*-8S9D1}>-h;3at2}l4s%ci!{0Wlq&Ow?B(;{ldHtwhz|1S#FfPIRphIP)wSe+d3 z#|M#4eTjHdm$yfrGu5$yMf#)}Zq6PE) z#1D2-+EieECSphoxc0B%k_^UPtfNtH@LiU^rDWh>vko+ARj5SykiW| zdaYR)O#xuPr7C^&>r~3Ya+#(~vtN{kV2``X!9rEaz6Dd?ehY3nS3%n_WRm4FWhtT3 zmIhv^a(xa}ae?jc4<|Nerz9xzY1T^`b=F+sJ!=^Q*W1)HjO3!6WH0jB-irbAondf~ z2KmPBZ>UWB73I-)nXDCPkI7PY=>hcTw%L@p{{FN^a}kTK2OxG&{ogDYRbY`5M6hHe%gF2 zIl@0k)o7x$4g-+;eYd>nR=w=ZTnDT@RZ-m3gH*~4{mrDzrpxN0vXLX;9yx3FhRQxm zlq=&AR~AO$OP*cboI_mDn~?zUcJg>GZC-iNh|ZVGnCL9Lp)XXY?gVYGEfJnsrA!g0 z+6+-Tp3p z)tON5P}wCI0GSVq__HKYd3oN2C0%(fef1P7Roc*njnmwT3oiW^0S{2xYXko|`reFG z9L>tTGd&K8Db3+eW*rX2wjaS#xm~t%Pdr#Xp0R0SOxrLZi zU?oxvr6$gT)aFNnv3!nQnsHuo``zh7_8OCp>XKtYS-{k#koz)e(pe>C(!nyN4ACT) zjN$bM_87}L_8Q!VxezqkBIHw*4C(x`c4>XxKqLUjMJD1v(ubpY?Assr-nwQ3PY^`` zLLvmH8okDH^ZR8Cf=?m7H>i1tNPGY0(pgExSwe!$(kU?EmD$3TOiYKt0 z=%78M=YB-4k56b?fFB&=?*AhM@MkIe|G6>Zzg2#){M*V8&WRb=ZhQ!#86^z9(5OVF zH>QiOK&icRbW#?O^&jOc;j_1sI&vkzYzKvJ#`w#Czrj1mu*dVg_XDU$gBn0F09r3` z|f{}QS2w^du-z|P9SR?onWlk*FaFt@T*u-4Ht z__Luv`-c*s{l`8j(EYXb7fbPFpB8eq7g4a+vHvqE@-<0E&-RDCkpJ5Fd+@KVuR-Cj zgZY|KRQxl;`cJUn@0oux2lRiv^xwz&M|Az~NCgI_e?IGrRQLzB@bBRS29|#dC;WTs z`9I+VMmlD;{|!zULHr9&;2f{sg72oqA4&57fNMwe13_@#mX9wFAZA0#xc~SAC%6UX z*J{BbP}&CnC!BDwGIw!d*s)TgQk7`bw&8lK<5p3bST-c{`~Bwa?K-UWrbK3?cwZbZ zbc&*XHYs0zwu5DIx_xYl=iKwXUTN&I@$~dOPQCK!eBDnn$>5()V(vyfds|e$gU8wQ4ua`Leg0#*F_y&zF#wX!W;vWYqksD^!1?#@X5fhnbvQ@VxZble1S`=EXYdc;F zlR=Ui7^}XPoz6@WE5P@@ZKS@_J3=nzTR$rYJ^e%?S0MzGbPDVCObS1EP2>bZM<``C zO3)l#l8t5=gT}DFnt=pjK{}vsJt4HA_$Ld)&VD)bp@;IMaR&ND-w88$M8hVY>#xh7 zm|2@0)pAgt)iE}pP%JV|z7$*(FTXb(@2J1C^gtD0;oRSSgcEQ2W%>PTl2-h^6dN0n{aPJIN z9iS~v$DR?Vph}>3U9TGcNxdv=cF0_uW`8qaHAWGjVS^4jB#4ANE*rA+mUH?kZFcJ(InB;U7pvMpCnq}TvVb(zFoK^XiMnMtp} z+SuyN!Vq3zJiz=<_Go4Adf@1XDDV29GZkj66D?oly1c6hEnzT8I;PeYUZn9KZiX+dT%?)-QU#kDaX8wr$(CZQJIGjTPHk zv2Cr`wrzHv{p_>P-RE@ot-jUw-5fJDtCGsU#(XorzmMW6co@)63LF(|>b}_l>?MTP zL*iQc=IU$!XNF|hE@_Ze1-$u)?|~7Be+`Tf{nvq!Mk%=9P)N)Uvnl`_je2^^`Ap=V z@MGs>Fjhc^Yf;V^W77^`$bEw(9}YlnjJ%8BVEjdv5j}EQ_-q&@@7&i(p?SZVOzmGI zqGpRu^};YEKGMw{6q0eju?fO|C}I%dg)i94d(ve#RZ%`Dsjh@isGF!|)sHOSR}wHZeO}#ZfRZ*FlGf1w?|5) zGI=thUCg-I$&Y5@=2C%Bm*~Y;*jzxY2D+ne6cTSx2TSJBP7eQyl zPsaDnJfsDflm3+^OJfV3Z@`Ge0^@vxK0fOpG|$01C3ZPG4LZw!^9FUqSBH|HlmVPa zyAT{Mf22xAw!c#SpZg*-|3_^C=l@}w(5(f0_hq$>JI%oIpKU_-U;83M|I2-my#Ky0 z68&#&!rlLcHlh9htxZ_{?;du__jC*6Pe^*};9k}f9TXhvz-R(|zvxbZ zXxB8NZ+Z@vZSZtN$!gN#O5N)54lN|K(iDLX0}P74x}EcP!xS#}T0z8j-H1~TGIWh7ZlMA`Io zdw6)m(F8Kh1j}K0&dS)~C-rb4%W15BO!2E7C9Hqru+je`OGHgJ2?W526PxNR*we;q(v@uKwq0eFv1SBpg~VTLlQ;8 zw{8I&T}z(0YPujG>k6{f*K2xbCNMkkM)r4^uy^iF@^_h_DR8kc`JZJ1Z^gogbv0^5 zju|o>1K~+!wVB#oGjswkpVstV4)himiyJHLW{q#!WWm32*yLL!-yHTE;l0FUPBZjU zVrfYTL#LY4!$lymZP4 z)_686p2qL)hUrfKnI^FPD@~vw`0(|3c`4bb$!W5DNgW>Ec$A<~dw;a`@p`J=+~DCY zU8?!BH603)gYc{_H7-Uxw3GJs&v}tEP!@|jI_UDVyLK;Mg)Jn&m>=>!%{uDjK2pKD zIscp&c|brfEAd+7MfXPBlKpF5Bm?2a4f+)2@UM9ht?zjei484!Hcsq+a@eom9CmDE zjL+_&dj5&aU0&;gY0^lFmhX{%Z8O?dcOD1qMhb{TqBu%+_6)4A)sw#)cn{Xrp6(FB zB(=6?B9a*ckjH9ZN<1hwUP3SjLX+Qm(jIT+UQ8w@9M4)Df^^Wf-P#(cfS1~nyRLBD zMt(gHtX;u5h!Rb86YS`e&~4~p#GS4pHjR+*Z{=>1CEMY>lvvXI6&BRP^agI%FHhV2idva<2|b_@lLxRXzZflpb4J@Eq$1V-D47H0tT=EeOOOZVK{); zulRkOiSDiP%TqLw?{Io-FkxZiq57)AF)Re>Buah83{W3Wq#u$+Fw@g1RjiL(wR`UB za>nYtB~n0NkB{F`VNtM^8kThKiTJ+#afy$cHbwujA99-_3tyaY2;WS_aZ^;2M1@x9 z$755y+Wj5d_W0afWA81{G2#yb_A!v*)H2CDPsp{BK8j?F$?wUS)P=dgHA*&`hQ@T) z9GWTQXC{abpHB1N+nCCFw6$2D(C;<1=+P2fp5lhrYD&LnQMb#cS0Ni8HKSf@H!Gjc zHpW+tQ1FJq8T+c3wfZRnUEMP8*Z3`zi^?0uXZi~OVd-Ch7a8X_V&hNny16ep7Ivxo zhKgnvtCb}Qu`otNUx^b$v^|79e7UGC&+D#Y#yktz+AdM^B_XNHgek)|D09#}Y;^s< zCCaWye>2-eLKeA*6y9tHcA=W%1+wr}d{rW-4$L8YOrUxWRBu>) zTytar5^bDO>rHqa*2-x2$q0u)wit%)-tgW4vLNMbLuj(CPV&qLt0(6R+OOZR1As_e z!$(^kI=;yE&D z7+=KQF*WNCT$3cW4q4@@k@-I57q9 zy)E^aaK3B1E)`M+mTU@WiB;^<7t(oH4GG(C-rW{79-q9p5Zui{&=py(*O{_bnD1-s ze)&^Rp!{eaEJ*XZm7}b2HewIsfzv^-?QIL|`|u}%EQXuiB2H|UlH)y#rm~aOKF_gUz%1EobUQr>*%b!jEHsNaQ4GHob0ETW7GhL)>M$;N@9fuqH&Em2sf1Y-(`!&rD^R5Vh3;niSOv zXK;epu;RmTf?Bc8E~2hxr8&eUNy<5tlnT1EzH4gZkv~A!m(Bzo1H&?rl{XLPDWNcq zJ)>}^&6LQ7+^9Ai!D-cTIHyDtZQlL~igt;Dod))u9=ty!JbYSg18rMA?Pjs10ECsp5vmNRK zJjLRG*I}RStIK9mpkE#v>8gK5pHx#6Js(I@NEZ^nB%`Jv@->_WXgj$^8f~)WSXq)* z*Daz;@O!fdx-l2yj!Ahml^aODh2E^+VMA^T`AGb=e!eTLZR|i_V?vTcPjYR}TCtv> zU`}8kRSBIA8wA-oLVzmh6R5c8>ooqn0Zcu~bI#h2qEheNo%|f)L%hd>Ulq^8?Zjgh* ze6q}x<_uP8zZB)|bukYm9QybB!4yY^JJlve0X7WgA$;fcftX|23PKORb}lhMov-HM zKqIjeglmHaX?A5h39L&|TJEV#bRIn_-$982A{8GO7*X5xWHLzS6pw;g7!kodj?qL1 z{Y24KRpG&k7N{l`t}zVM@sDVnirhufi#Z^Sq6%_%JkOF3XR+ZloGo)v&Bo_uGT(}* zncNXS=GK$pfO65tWP53gP0$-X&>N|Qw4R5Oz`V6)l%x96(8jN!)Hk8Lj^;jw@$8l3 zd`3WpqFyMX(`A+$OjRWP%!btewwm%Qn!}1Pzc|Gj`I*bPWx1$!iT`}0THzr4o z6DX&Sm@UTMI<=7lK=u|KG?M?7QX*>~n>jD-o8-MEPU}OI5EiM$_pVI3l*2U8$8bqQ zPU)cypMl~EGy3k!YYlBkOySg;-vp2zy@%o(q-*4(l>=H7c6Q!JsN)bQ(=jTjes^Hz zM(GR46n4CspTZqQraNKd6_jhx;apZj)&(yDTI`0|2oySJi7TGnQ2Z7;kAQgwQ8z<= z-Q<$4n6IEL?Dh*YR0>fywDbOmTy6Y5TcRDIn6KK&$U_di$8E+a2S@Vzea8h`!aM1s zUd(&-1)qYBnC}D4DxH`wTGN*N6bPP(uM^Ank8GE{zdum%a=ZVeM4oPJfZz#tnv8N) zJ6{tY=5$iZh0l6yfaOJQf~+?bwF!+>kuV^sPEjb`vbUGtm#x#Sk94;C`DYLjd2H9W znUgx@u<|GL8|7Lc0s0&;5kE|3LJe@qzKrwOu91?DdQS;a&VDse-Y2D&-!u-5M|F=CZ3-UDWUNrgDkc z3qEgf8FYq(d#_owQ>Y>VHr7EC;}LSc#-7k~S&KQ9{ZM66tDc%%C{yNinbie~#FcX-quZA`%tl{+^QUeey24SUzzhVNVZr~UM6=}J!3CJ4V zXW4D99Up}B5T{3);OmLD1_2zBIq}g;&+5;!IY&d0>T5XlMX)(C=G_mvt zvQ}+6FvJ{*=0Seh#O#ZTk2Ps|;fzu+FnAs@k*mk-7gvnLXX+D4*y8>Xj@hqPh(F^b zxFu$bwQ?jJrC_mIizaHdut_CorD~T|R*TQ<68K{xep#1-HI}?>ByL;mJ07=wu}UN5 z$mF7xvhNF3lyHtO_=mr?ZWo91mBrDH6vYMnH`s@j;a4HdZoLH_RYClSNW$4of9a7 zjZ|`ZjZ2wg@fVWf$agSOVfe}2w5~4)aXX$VfO}g9O6Ss@YS+GJNWo7q;4=y$>KjX3 z7WuZa{s+Z>H-Ak8%dnjWJ=j%Wpl6usy&~dm;aoxi$h_JOpuXqU*Kgf6TQ>$!Z`Gd; z=LTw@8Cwj5zKo&=HKxgW8{kWgK7l!8v2;Y6-VDZiNTBG60QWTvyMo0NqJ8FCsGa>+ z7(m;o(0)d5n6T&}L7c)Ze7yHHL9cg>=*0ZCkYs^}xCIPdaycx0NGf$W6-;!rU%)~V zSmRe5(3012;GEYn(6rZa<~fhEZ?F(*R=Q%pBM(jli_-zvpz4a@8{d^m-5z_4u-~BA znSMY9`AJ-ua1K~nFMx^*#eCk5_PTg3YSM);;g>IAVA4pOgv?m}SwgifB|7w;NC)`O zW3G3rhc7a_7eTNWNfNFDtH3hm)+*h3Egxt{X7;5otL2*=jF+KSuD(#76wLm27njpIEYOmeu z5_e^kv5FImfGCdxc6gYEpTI8~+nIDG`f0zhol>=pBnD64VLb;7W4&vbAD6TmgBI%i z+@kxT>qv{?{cXeDO`#I_l_bep@vCRk@=Z_vNKR<5#Sbxa7X=Sxc3Q|9mv`lGFB2wP ztQ9T1{hSDXF=kTl>>C_eaf8GGOHi#~{|H-B5;-YJI$WJ-AaV7Y$*j@0y9&NkV9oL=M*NidU8*CuTwsiuj+){KToRTh8{ zaWzw;5Ll$$;5~18r{v(Pd&Pbv++)e*K7u96yE*cQUnZOh?9)^vE>- zJR95b5B)})b-Xve1j1>Z-s5UC(l?@aAVl9JDHpgjSbJ^MAwc_|$)wtv!i57lSGdJ; z2Y9(N>CFr|Do?|A;|5>ua70pJ@hq*K0jf5unBu>Bdg1bVEW^~{>;*e2Pp-j91&?@d zN)YphLZ#MrEoxQ72Mx8hDlxRkNV907oNOc4sO`?&vg$*0hHV@a_H8~eN1q47#@AlW zT$(;Lh>RKpdq9jvsbuIZKRTh>f1z;r99N`qV~-_qW1q%xVbD;GM91ouTs6@ROV z9A!k&MQC_gK5Mw0eH>YjH|A7HnaRZKf{q%vi-X(~a*6PhB#Mp@jkhoVb}=*1E}4a=xS;x zUK(PGCGu^PZKh^`oPMDZcampQ=-i)Z1E9-7pS9T8c7QySYX#W%hVH{~m$m>5y;_H; z66k|^CSH*W0x*2>!%3aaGVA-gUX{d9qNSs|E%!>O>dsOdhk1q%% ztkP$C@^ayOZ%1>7U?LYP8+D-VbjjD)zu7wbEt6`^?%#|;(p}#QwCncuek3nxua22g z{)Wq?sJ*5`L0_GG{Dte!JRD;@r1WBD&iu7;=Dl^T4Bz{B``#d_`Tl-SE zTYGYWTl*145B7Zq+_C2*Ot=iz-m15}liY(~D-M70tsT9JAjYv73wvsmN$h0Pxo`rC zGca6(y93Cs&o^j;8Tix-_{^_zSJbaJKDflx;3hPoiNNYXDis@*v&(ySAua%|27xz) zkk7{pyLBajx0-2r?@OuW9L*W#hA#Z4rgkfbR??L^jtxZwn2+Dno$zu*I?OO1#J>0kx6L! z62_wsVahq_gf`^#JGIFZ>V>B{F)d=|H&Y8hvOnyzvl}FI3w48#xZrAPh{D_bXJz^D zTd64zLV~cvn)%_XZ`(}92^E*mLe^KTsk@dgj$qkd2>h#c05dJ-GG-1BDMI3rWIK!b z5Hq7JuKLxgjCrob%j`2I$zO-_mwGEq5xhf0Ot#k(iApXYt|`nK8o&Z> zp67l3)!^4BK5YVgiCQR%VeMQwcEMPU+y+e9-KeFe!rep;&g3gNBk774XFs30_DkX( zY{Hd5&9b-DI^G|;u#Y{CQy2_RBS$_8hR8wegDh-j%+FY`*B`kiG_GxPeA=ZXHaIW1 z)(g3mVRHh*MK<9@o@=?{2f~LWHXHIcP4vnw7o|XnO>i|F-38*(t8HNqO`irtdkVra zLkVh_orsau1Wgi>4m+a1%aWK z{PUZ5HVv!b+8Ku}d+LL0YY`GNNy$|;*cPEx^T{DM0pp)meeP`D^ag0x?^;(4DA&3j z=l2ra#2F+rw)K{RyuzfIf$i%Qco1I|N?CDIoXg}7u+r+GA%igW>QtWhit_Ch5h%sF ze{*UhiP=M48Mlv|Omx-VblvXGx9YXgGI(&X#_T(*Q?8;^!Ymfm+$3+AOI>W~*vM9r zS_MKO9H+PoR+Cb+6hc%%?@@~2Tj~aA2+*IAA%d7Ds0hMO=(3POC~wK>*~AmZbyC7ohO^|M}o?YNQG!Y!$!Y~f} zzVRhM`c$C;%8%6n%-3ETcu7b%VFPJ#LpGy|(2#7lF{?IBbyxyH-op0bqyFr&dV@ z6nGcL-Umv|4iUOgoKI>N$~+yFhnOhpISke|0KGOijqxLPcojG^c+-`@STNnFY4A4$ z$aT*THI_?6hq0d(GJ?~(sUi@qR-{%B44$PA$zw3=a}Sl$-S5tC8ofDp@t;Ug*B@XQ zY>EZ75sbX3lgls|a?D8$jV2s?T(fU~pu1R`Ab7bZUHu$81a3o-HBp)V`86GrI|p(s zeQB4w0N4o+B%u;+wJ4z?gmP&go@dT{3Ct-}CWOgma_kbvr0h~)C^QZ1Aw-5c0?(@- z$}U$Q>bsmkFrjJs(}>dQ*i3zvs7qyWnvWRc)eR;ER=j0#C?#P51v7ULK?qf{KudLD zqKr=G)wxve)tOxI)p>;3htn8I*2G(yCd?*d*Z*SLb=tX)1xI?MtG`c^E7BHoM z6@Ml`Dc9aWn`BgYl8U}!#PmZrVnVu3lDuxE;t(S8^zEdACec`uu4)^cy~{eh zqlmyKc_)kBV}@Q&G($f`h3Nvo15@@cdq5*$+pbQ5{lRKgFZwrFrK>9xATGSlnH>lMIAy_l2jQRNt-l^m;tJNY$s zFc%k~MzeV}&i$0>DKEOIlPFznw9Ru(QDFreqQvX4;J}Z*uPbPC%Y%#$*-T6k)X|_KRNhF;zV8NFA zGZ$M;`~xm*hXK!Bhhm1${JK>{P`i~Aid@OkR)l6ZNHvHnBJ6lV@-lSKfUK6de8!`+Gu3UrC1E6*H@w_t6Re2!8m zJhUJx29}FPmlI$A3KYuK?M-);rjnALd;pis(3u-tq4xf`2)S=uwc&KIUV^( zn+@IsgS!wg5vDdq`7WDTIe$OoO^kkXhjzxN*~nPO-1+hZ|DH_F><+*7=5!9LZ>l~k zJ3`agC-;*aYM|>}@Pd-|ccB4;S3}XoH>pSLESByX^3`5`1Xf6U8AVa>6Zxc&Esn5- zs7N{tT%Lb`*zBO*$my@HToG1DHqCLzbf*c?r=DO{zwhAhbYf$ByW*N0^Lr3 z?L1V{CrB3JYT*AIr4te&>$i`?vjhd*N>>nq&}B9~PNmX-tfT4DUj0G1c5II)h{foYQcjx!+BS#+_&pbfvpvF@=Jh$~UjIyptU```5I4{ICJqP+% zLo3K7V1vxP$ASmo-VTS@Q5LOWKl1eahkN)AVG^1xFxi}*4{cwi9oVHP*z}TzSm~EF%R@_7Yz8htyC(~oH+`?m zU(@V&-4Mdt*~~{L&OnOXcnkkt+b%=iC9O1KmhLi$S-!ck$fE9r!=myvBkq31@O6M29&Sqy5og zjwSlENde14yPC~m6k~Pp59DuTCH?{`H^uwC%xIe7m;KVArcTSQxpEo0XU+>W1bklHD zA#S^0SebD?u0h&&Y!*v=F#3dO`Wv-xFw)LpW6(HijMRLGy!&Ib3K}L7FHPx z;M_8+&P&E*DUBCdVi0c_b@*0mTx`GQSaV_gi`>jJ=4ELn((EDNmwg3SQ_?aMn^19; z7rJR|$Z$JV`h%;h{CFaa3TM$9YbzxkZ@_LU#7cpV1r684KjzcJ-b95K;FkSoH`h5G z#9U%=QlPp4pOCZ96U2Y|ve?c)#sW9Snq7BZVg;-CvG!CcZQ!jiC(-sEzZqg;XPij! zo#RjZHVU$`{CR}L4#(TQ`(vp=H+2n;ZzWa2&Z^JdVbFex2s^PPf!Fm&+*^IoVpKuN z$1dZsGa7@w(Yrad$s{qzUb%3J*V1b)TSnJnWvv?K!)$droC=3!IXUk{rk%&3sABOp zdmSo*N=N*Btn@$zYp_;X#A>GACX%nU+j$ zA;pJU1#<#&+(5bR{FNFL##hav)?|F9RR0%b0+0Lj&YaMHPJe2xK_xhAFTzdfE`_v+K1@NYyquNeN|F3)*eH6;v#KA|QTp zH$Uy^C%ql;cS_n={NID7a%*S>qt4h{ZT3iais-q_n>)L^ONt&{Fl3=|C(i>CDhlic zs|zmdWH-zx`d;|#f9RZB&gwQ+ez5J8F<*A?0x$jw+iMoX_HG%EnKlX(5kqH#BZVrj z!yYq0eghU-fKQxRM0yYXcB9H$PyyZB$&BgUFEi}aw|WHV{82-v#az^<;0B3Q1>ar6 zmB2b!=M_Uz*C_K7`m`uUV5GZToZw=zNWEfwlWL8ILcLCpvnj)4ZE!sa8se^loX+vE z*P@JfbYOU)2}A&(ujlddh0MfxJ1`>ITb=*pZL~h+l3{U*GavV?Yh=SYmst5)241w` z-A+v60u*2NpwdaTtvY;(3b$GM2y1qUTg942LCJyDfzN*JJs&hy>Ehyg_u}>4i5JY4 zsVHDNx#`}dg3J9P6gv}_XB+Yw!<#v7sfc4{nN z&IWOVgl!KSc$g!pT`mepPzY96(MRMny=@H-`Om&HpQ7$I4BP1{SJsUIqM8<3iKcb% zt%x7$&nH`wBHVsw)$-R#QrPm#mNNz(Y47P^k-8^MU<~uQl@a-(mz};e%@9BtXI$l= zVRZypab&RBr;DJIZZ`L7T$TOw)I?9qu6-CU?;+BP9a_!m?XBF6+txQ44k#J>GkeO_ z{1$Ah_I2Jvhl{6VFto zn$h}zZ(dsv+v#jXkGB!GJa~b!A;cX7QfWqqUUJ7c~=>h|&KL9Q^%=7m4o4p2mqEyK&SdpA;cN%ru)<676(E;xaK zr!v`X$bUM+Mf|ytLTH~U{ZbVgw#i&5raz)f;tTSy2ETanl=}V{4B}m}`v>{m6({tU zA9hGIR%jwsAdcocT zeR)Imq#U&<&k+tHf#n-51hW>+T$U_cTQQJ02TiQ=)BH#33#+;il$@6+V^uX#7G`BO z8ZHN@UgM}{dPZ25f+W10T!T)VM!4QqFMTPIZ@{e9gQRwx9(^qe2fsE4cGOvCg3U_O zl;5z{AL!o4;bq;!BBav{`8;C#_5>}ZLr6L}^cfW#Eixw2t~&NcP_M??z4M&+J#uEe zfc31TdFD~(`L_Jr6ui7zx;~a zVxN!V_sy`>zuq5f!al6_@&Ax%!P*>6Cz!M1(7bh4YaNA6W%(_sO4P$wlOEeAMVKM! zFO~|ez8R0T3K~wd1DeVj<{H^xBAL~vNp4m&{etY2=2;p~qll4kY@2hC_3Q2u5%*^f z_zkujVr?^WyF5Ip)&WJR)05Y%9++YhdrIN69T_2&SEDGR>n}v|mY!@p#pE@XS+ebt zQLJ<4z0|~6{H0JOOW7nfTMzkCW_stOo{&=9lGiUY{fb25FKJ z!wsT(-Q&DYCA*0wyBN2v%CIG3%qATb)((U7k&)q<^nRTp(cUyRMHeU*m~^N2Y;#Md zms;6B_O)w=B>$9+c6;zHqdRURx1>r;%krUjkX*mPvPuFz-&38X%(j)_n=bT(o)Deu zE=7`8Go@H&OaS0_q7E)gwxjDKh6on|CDD=tzSD+hh{28q@$|s=Blzu5ET|SUZF~x- zZ}rV8fLFv(vM$zI3z%k*at!ffdrVyb(PyIYmrIUw2MJEeDD}b8(kKj$@aHQFG}-c* zjOJ;_o+(7}JlcwX;?33Aiw7uMM^ zhO`_dAf+@p6|&zCp!&W8Z|$E0sNSEnKxr$!(W4>?>g~Is4FCJMN3G4pj8Gi{W!Q8D-9Ye^`sP%_fVXs{6w?yrF7XS*la#ncdmxOM8o+(N)5P)qGPxAe?T$($vwz zG1qnjUWi_$VEVhmNTe3HvSE+pC@NZ$um>Rh$GMW%4l51Ao0?i8Ai2hx`eT+3#9XgT z9#{`FRAShP!$K;#18vFI^M0(K=C~9}S$)l@c5SD6Lf)9sc6Ych`=_TxONE?G`mj2= z|2QuBdCHLaW(z?8P-5rKm(DoQmc zuAE@>P!JSeCT`T%!%U!3F6Bjoq9Z@1KCCopGO$ej1@8dq`^ZYeG#S;YI9I1}qlc;E z=|6ochb41gO+vX{l~*t|)|I}dC2cb&)fJ<~vKGUcY{mAROh9`2A}!;_8DwZ*rB#3` z@7_V`*(7*<1(DHqb6(qe%f3cnI7Eza0ziupEQ@5DJNlJfur&cHE&!u?8dSiCFPb zOeml2)u)vX$wKSa5Rlxtq4s3dEOG*XRApv{wdJ~z^!u@j{UMwHDK&exCOk>%iNHdV z;^KL7L+G|7qv;wQ8=`6SZ?28T4Vib92_7oJ^nO#$%I0StZjQx_&iY=}E7Wb3%7gW~8 z{uC=B1DS^^%^t{-uBrM)sUeL7Y{*+B&Dm7y52;E6{-bE%hWHl(h_i_!$FGDDtP`>D z^F;Sy6MgOK_K<1XAjF%(QEMUAl_@19@+5g`FJV`J;%UuMKA=)ptp|3RAgbreri>mp z18x#{!yEsXl(9oeX&O^#@@H_jxZX|_s_Zn@=adw3FPXP6dWOP@6-`<=iTxBL(f>3G9*QbkFKLzOSUl<;Z;; zt0Rj1>Ug=(0|Wzbnqw6GpQMsZ^z{FkN{U)oJDWJtiCTY`hr%XCcE%=j|E1?-U}FB? z8SdP1GYJ0l5JGAv5P4Ooi`Pp|Uo7|YsWn|v1pI`Stc^~VgLk+W0dO$-z@)XnXJN?t zIl{jU^0qT`c1qU)EDQB0GDRFfr%zTDV1V__TCD(KszA82vO?r$vvE)9^a7k5z2K7| zC|h*04-E66(GtCnq*Q+v)m!EcSh;Ti!2m!UYNGv5|H!|}$p6-Z{+(3*ORi#~|GU}z z|3|L+|K5Xsx1x;r%nbDZ(R}{jk3;`uRs9u*{)?w#{ufX6-IucbTSWR7WA!iY>YK6p zXEypBq{DlP% z|Ggz$v;BsyeExye)Ax7jp@srVHPypyhZs4;gMt|K$|n`^=Oc%Uo_B9?s_-xoqflY% zBb@gSAygEXv=^VwHy+jCHZ7%&FQ|69Gx#-b(5k7OLA&tkt#4Gw-6bZr*PO1Gu>Pgh z+x$bT*ZS7#o4>XCx2dl8x)0ZG)w}MRRJ1d27QO4au!EzsNk=cAW(7LE><(U)#4XbQ zgsy11O2Z!Z%^LGy+ZK9Ju>xoBYkCXr2tZA5{s~>RWlhNaY0%^`^uzM$t9g**ss2Yx z%6sT+t23g&@(o?(1`JwsbY(G>IjL8-6Qvu6*$2d)2%eyFS}M z!YkVL!o&C&5DV=#Cm*SUe+6bA(8vr3*B!mG{MPDIKWsU4Tyq~H1n|jm9AThIHC{J= zqhfJ>$E4AF)KexS-!W;x;C&-dY>%a;UF{^|ARfAVtrm1gcn8)=O|4saV%j2)jXp`= zZy0KzzojdtDF?f4bc4*=()N(*(2T$dn=u5z;d+a@xtk{GC0Fhw?h8)urm+6E9 z4jw>iHc<{a57@^Y24OUsw_Z61u&P%Mgk!-xi)%4XaiV_8c06By)ufzq*?pqaxy!ND zexc=m)ui$Ns7d{B#i{sLamC-v_*Z=%G4NN;1K#Wa!rO9(o)GrE1{uuQ;KUML?Ws@T zhZ8I>Ne;xNESYdO=mYV6fccb&3C;y+#^=330!m9)3!(&izqxuAmE{57Yj5-h2X8p+ zlw&KI{J*$*H1or4rAKPT*9dMi4GhI3Nj`RFert=;y>0jy(!-Y6X)dzxkj{sDh}_6B z>uVF@>6pXZYL4T5IR9eGsj4{Nvh}UnUVu!&J}Uz3o&jRv1~p}kjD3FdU%9{B0$FTwYYW-WfV*Hk_u7>1=@Stl7O^l@D2yZ_dA^tF@{I{<#OiV_-ns;`LkmrImkb^&(O70?yL`184iSm^8-; z!XVg&nS}rY;m>=CJ+2(^t$s0M0oI||^kE#iDk)_&a4_XyoL%tGr91*{T&*$KO9%V&H|ToE@m zgi9H!bRlOfCr92CYse1}+++~EekQ~7Qwy5fgqgY_8#vrQstJ%Nfnsd5JemC3m}jLR z7pM2i!{oT@^v_QBfJ#tYUv4|3sd>-zSp)kj91 z-sS&^)t8ujWA)A8hhI-UIJrir{g%d7p@&O{ZUz5GDP9T#E7A<9@Ugw>YFi%bLOYEL6 zo2GAG8TiZlvr2zs^|)->-&lQESLI(=eP?aV-&j5HoDMKUCd|KK^%qcBbsDHMQ!+;t zR^1CLLHBceaGl%4x?54sErjID$Oj_Jwhz72W8>u)9v}Ano2s-8jI;Jdy^r?Z0{Y9d8#-wDsh3GqV zP2;0u_pt805$a_SL(Wu&mOX`*#niHogWnxZ5c5p%6x;f)NyDDhM};t_xk72;R{Be9 zX&&q-NLvq-C?vH}1c&7Z>lz8}1WOy35|vp`@1tTW>gW8Vqh6Dj@fM6ffq&h;+@Pa7 zc8yrY6UEjvDljW{TNy%*Qd~SDml-bfcRS1B+d{8nM&hn=H)@H0T z&2LIDWB%TO$B8D~GYwWIv3?P8&`i&F#kXCr;$^M9{o++%67Buo;N``$eqDFVW_~=CtmY)>ubgyQa-0%zFZYl( zpP2_f6J*m-RA}}7Eb8_3{xt<}o{O-@0eG(Y>{rN4PWbd*5O%Ig`KNj}I{;Cm^Pg4F zAeNgf(rm!`DvY=<`T`9DNk?EG6B_sm;4&`oV&|<@w~C7m%il{4qfdQTrm({U2j5!# zDQ)PtRxd#4W%~*E>FM`+$zp@i(+Fg1r!beHtSMa;MaK?8vm-Q^WZ)~+D{|w+Q}FZk z{lGw#JxZ8F;sXwkXtCIs(@LXJ;L0M%%o2{(c@-K?V7Tp?O{13K{MA6u;uG%TcahL( zu=51+X)J#f<95a|caF$@ub3naRQ$tjesu*?1OPUC0qZ!{ssZPpj{t?EIZ#*KKgT!{ z`RWyEBX?kbz#Lq+$t;-$@h};U9l7a^Uk}gRs%Ti<7HRFSD*CKkID|X|O3|s6pGq$# z{B>3MB(%M?^{Vj}GZxxnf6NVwEfJka#e?y`MmO@gvWRU%01b-)$Usob<`m1H3f^sP zHM;X3oKK#>x0pSR%hY6S%-A$fT(%EO@xn;VO<~TS#WQXetg@WHqAX|(9oQVzT~5LR zJ~hD0V@$rOAiOGAWOlEuYuhcFsg1t@ifmcDt`R@8D$(5M>Vn#=@dsA^sUnqh3(Hvu zl{(wyn^0SeLFPd8xvCi%eiXN`4y%dn^fy(1sFPM=LKK8x@KLA-Qk7ydJi0mxzNmCW zRgqC!4Dmd1<*dghBa9)EohV%w!zqNKZgQF%5ZXT>G-M`O2gKuXP0(eA_kVUtAP^pJ zAwb|&TVQF5UjdP9YI=#F=+fwhJR^?S7{7fke?P;8%<5kusEiMX2t@z194NbZ7@GTb@pv0Na@CGOcD>}sRs<;DZchSws?<+{E&4XKb!;Lgzl)Ni6L zYC1&z?p@5i=)VjLU5zuY1Qj~8VQ?z|Ew74PaZ%!9kn?s*x!wss^@9P=f225zgA($I z)evah-8H)}hfU{Nl%PXOKs#V*9KH_K-4{bFd1$u{O(6!uMuTCrpyY>fGFDBJB(}RS zW1Ur81aU%@q3-&`Jc3wSpdoqSf-9WC#7mmM?jdLf@iAz=aTZty1D}Kt2VExd%A*5@ zKZ$r}`1h;!aRv7Tc1BAC%5F7JCJnLDgmf}gV2YeI?q`gT-?~(lxKt~f+g>x2(t!4fj7!b zWcEbwrN6Pci4_upj6L*&Md8`yKR@I7SOKuDc)L3dQU>EzfB=-(ZyylO zkg_(`o;^QIH0` zoK2U#)rG$lnmAc43=8d1vM%8!oOT?a%DevlGSKp15Fx`%_lsErW?fx!V(Xk9pUADKsWm!vEo32;Nl()`;~Dz% zp9C;n{i>u@j}m-_mK0M+RnVcRC5oDjme^ot-_A{Pg<408J}4Tp6O%4!ZwWYEc0i9= zG+7_bjR$&n{bTtZ+d1cejxGx1bz~hoQkb+J^bJtu0*Nn^wVTaxz8JZGvHVug_|c}H zEq7v?vVyDQCA>nEi9p5{$m*_f6m<{bapa#gvfVn)`~5ex<9q7-dEGeKYbJVar_ik8 z?%+70r6~v{&~beg{n(eC!5)j?&dp5D<^GUtPy4vrKe6hfBOwbrYX=fU@=V+AHO-|3 z?ksx?0g4Xbs|XEZG@-pt>D?Q2EtWm|8UjA&)<^B@sKVE2s&S$PRo zbUkB~B<;64=t@K7AzMEX=kdc?4(2hnV^xtZX6>Z3G*W9Vda3fq!R>GdUVKXzS`ClS zbo`^!^xNp(+4PM9cRpgN_rv2L2a$#hTzFxs$f)88Wu+O^Dr0)$3(?M!qD$H3?@B71qU z%Qsg6jh8R@LXJrF5FE)A89{GuO+igM>Z4fBnC8iv^8SGzTk~WzW|1ni@-G&w3 z`2Kf#@mbaL*u7nnWwweu1j_OAHi7P-66@4;g~$U4oDk>rs^tlwJYSJgNLN<-A`h>{ zOoYxxrZ`D>^eCV*X4WmU)bUk#{Ied{9DLGblqaaY1IAZ zMaKNYY86Q%cEajK#JpA>ET^lPilq=4Vabq;yXCakWf*qNjyla%&N1yn*B%S1yV%3F+yc5+Dh0%)^tDz3*a_Sm&q zr%?QUc|9%NppnomFEp=VvFf(!yqK)UXH$Op!OQ!OxJ0_e7$X*t2XcV!&a8gvly7` z-GH5P)STP#QiHof0uzyRN>Jm0MdOo08_bBPx`ju^@a8^LP@42~AdVR2TRPXt#-mCi zJR~v^9BR)I48(ADbzuQiAd{1RE8FPDzfR0w;jmJ^krpzfCS&?4gY12Ignwjl+<3|vwlT6tqfNvC*Va{M=-d+j!%d|s_c|(Fwx6k6AuI* ztL_PzaPH(}vM;^SgH~*DXb2+{ae`(w-gUO{2>s2gT{_8^u>~Z@h365D-J%(+_!brU$rvo4r#Pj1mBe@{5`o zA1ET{*nFS>{ql9L<8yN%ponARpzZ$W6MIo8B#TQG41Ate=mBw4CC16m&!JMlrRl@^ zwwJ~C*E4#bjL)|O`@`m~&lku}Kk8)d6US4vn)Iv;%N-&ZVO^1tzB}(1t(O2SpXn2I zzdceM0I|S3`8g#X_8wfi!159nE!1FWB{TWEj`!p3#j?>%BRGM$9ySO;X_+4VC>FR( zLDPNfrR_b1aVZ-sFi0S;Sxp@;?^{H{Mfs3sw-Fv>XP6yUa_m+nEf7%s^5;BE;6@x- zcNCG{y7|2?P-HlhOLJ(@&@j#IAGq?N18-F~Ki)TYJ)S$g#HuRx&N$w6J@3~jUX$~y z5u4+_9=f|(W)N&cJt>*7x6%me{-t?#Fdp2%>X`sVQ$qM zqJGjnL3@Et(?jn93}Yj10J<}!H1Y$#ZELVsXYl^X)kri_>^~2oEw3Orhtg909qXQ z&(1JxSb359T(hs|GMuV^lKku9`IH#+c+Lm`iyQjJJp?$iu*p794Q%6ywaAXg>Zt2o zBqSNMM5J%ufNV{efY=XU!oud^MqgTo+^J~;JX#tKIw@#_xpM;L#2_f@`B3)V2a;w!3aXEAQA`b5yYrAmHDONS_hKmT|<=Dj);Dplwh_*%DmDRK9d@$9|9U{gpbG<`y+z zqv^77Bu88gQiLhLiu36~QGh@^_=X)!?hI!F3W~oUW5B`;KLn_K{b>|NFxTs_<>M+oPDXeUIP>^(>~OAH3-=|&kpY}KA{X72n{Wi+~VdKXei z+>VocWU1vO4i570i<*^B1H$t>eo~0tH<3Cih zRD{1;%A)I}pa~c_^?|Rpg|v5d<|XKDiTX9x zQ?`Rfx^Zs{ST+`t5T!(CHet=X?5*wKomLm>J<)K0qMWPsHLpt$RGpT&KRf|DHi&DAQMZUFHo#v0a9Xn|ZmU)YD@)Nr9 z*x@S7<^bYYt}J)_SkrUs4_k~3P2)#dLb>9d-Q*AGc>di~+7HbpH7P|w+UCN*E)Sk+(p%4h8&EF^}-8?Ps`7zPrD8ED&p#sZ%tFT_*Yh-$P7nPtG;MwkDVx zS9;^&BPxkTh;5cpk7DEp*)H6oHQjDB^e==!ReQN57>uc%7+W3JB z`n2u>Me1uaK`CwqRbql2RkUQ>I$go|vI0c+oal0dV|{8VYP`jlAm6w$=~fBRTn-10+^@7N1KN8# zjDWb}9!VkpNz8aAp%m{mxn(rMN5Ehk)^DYmh2**AkGCdwl*d)}+r%3sI%()mu|@GR zzHzk#85&v)Ik`>*P&@OK-%jM?#m7OC@TeivV=){1LpBKRKiKiaSF?7WvfK$V#Gc59 z>!?To76FdY>+J(6lX7|wkve%wSFLRd&=6jC=g&uNFs|7>$=*+jDqG{D^iuU|owNmJ?~?@`>_e8bn27(M{x_15;QEBNhidnC_qB0 z16_!t`DeKULpy6na=fV@zk`5)%5U8=^WKFQ1%y5 zgcO0Y;ecZoe_rZvmFF_N#n*rt!EbnhSqTe(n63<2rlHgn+w<(l9NtI(WF_O4Bpq^F z21ypI(Z-UoJh{#fdB-UB!_+a|2j-V<<_p7=>T9rOgmvNR4bqcd#l+s8c zHsCWVb8szyT2(_9I%nrC{WV+1yPa&DSGNnXw~$_mIOzC>F%{Bf6brOgwn#wK>)gOm z)Y=D0O13`wg5IA~w@0h=L_Ab^DJ}KnwWsoOxrc@tUr0fxnjio zWhw{%4813-o?E)bwhb4W%2oee6jLZtCQYmibUcK1*+oh3iJAe(!RM!YuGfj(ux{1; z9Mz!Z1X0?LnFrfHgE+{IW6AK&(8?N$(HLF+^n0HRe4tJCmy%+=c1e1iLx^AQPU%im>JSNWh@off*ORUN_WxX31|| zqyf)f6{>R7tALp%n`$iU^bNGK&6OQG6it{ehMIF1bp6UpDm+C~=MVdKO64R(4=c{A1ZO zw;po@&URwqxF@}09C-%BKJ}%kmZydc0*&;xxKA@nbu2v+y0Yx zg4?dk@!(<@xJ~Eh2zzT=s_mh}ltU-y%)}OyI7>j(qi4M7>0^A6%Lcby%By!YOzjNJ z&N>UXebeCjs^`zBA0BhWA~rhXQ8H3I4>MkdLp{To_nd#$sq*cyDrwI{9WVqKg2yb- z=+e}CFiuK%{De1AP;NFrth0EY53IU6vIIX)`)kl<{-UgV# zLv=ij?|vLc%E>mo4M>G)NJN>IJcJe>k_zAPM~}47nTxc}a?h_g*=vi->OxUk*TL`; zF^R5f+}KEfTH)?GAK}(HPlL-d_hDs zFs(R-{wCwiZ&}i?QC82{oQ>m3%UVCTPK`Uvtp{q4DNe?zrzS+Vqi*pl0Nr@Pa z|7aZ?++OpGz+SGJaN|AM(uosh&PcPM+K)?)_<=4!#dCf@C`1QK$Y<;prMtQ{U0`}# z-YK(a*9ZVly%yFX2ANlyA?5R@@3y!1i>a+rb{V>I(~RE0HgOfYx1n;gp3{&cO?%MV z>Rw7yPyxyfvU2+QYx+7uBgn7#Js9c9;FARM=s{7*S_Z zrYiv$kY=q#oZt4e0r9vV7YrOyhB=&-K{37>oUl1=n3!X%CV*m&-Jb*1<@7tbtal~5 zH3Z2UwLzvfXFSF~(+{I-(wKyTh-q@gAM9W^NuQ3IJAg4e$p!ekO}!qU`c^giJE~d4 z8d-2I3?gSR{rfPe$K@QDUW3DYUg@t#7-=TKcuZrl`n`Z8th)lmvk%T<%-xjbVoT?* zpZ5`(H@glhe41y#v=)z15v{O?wM1SYxQpK5TXCMnw#GO4e#SgR|0;*DHu|%%h&BLc({-I(r2UdKCjY_W;SyS zn*PDRJr{S_S?t^=Y3Hb0(Vl5vi}rpQz~7cJD*;>~`ApnSi%_cs{bT&yZXHC~ z_mt75MNgJrTxtMu5jPp-DJ-E|8K(=13Uh*R8_Jrk=q=IjT+28clT7BZbjIcxL(|mY z9IPTxh^k+x^<@YrVdYSj$R<19Y7|1}P+Z~>z%__Ww}A*bpo5(<@O&XnVEq*AVJa3` z352}?20YMzkzklL*Fks5Sl3l6PgHW;%5FT%lG;xN|M|&XSMOnQD`GS+A2tJiBhaxK zHa2&1k!soXxQy*Ss!bH1K;Fqvw4`<;BlfTlVK9kzl$}E_ep9QxPapiOLip6!>pkGf zcuIxsok$&AXN4|-wZ42&Rju**jJw;%IhA!T=5_oeh6HS!d~MT8VYy*l5m?g-rb)8t zeirs{2=l3zc{$F~rxi&R-fIEW@P*eS|HIq2@b?>|Q3KUlI^mraVg4&!zZ_f3y>Vfi z*mjFj+cV$zEV!mQo2X|p@0y*3_(=(6TR0@oUcZKp4xOjk@WydHVpXx zOSAW{Vfs%gR6xMiO_PD{TWJ11vvBnzKrqP(EaCY zY5(41U|{4RU}EH?<6!>QZ#kIh7}(gfzx`Ho8z-e-cE$vZ|L(f}^WOiq>gB&Hcllcb z^G~<;TcA}kw=%ae{l|MU)BnBt_m81hQ*<)6`^SFKtN-ife>Potq8QEl}WjMU(GZpLzl#W_^q?Eh%=#Id{m7ud5R9N zul@6o8}b?;o%SK2B0Br>rfN$ZFHrRW+~DzL|I5Mu>-7Gg8+;Z9RyrnD&i{Y#|Lej3 zJ7@lT9r}L}_t{zhM{j>}2G*AV=DUcAtJecaVCj14&leWb{p~`+eNky9EsE;`hNBK+ z+)st>3M>x(Vom_**?k+R5_}rK03fdAl%TZBrO2Uu-a+a|D$A zY@Cd3oE*RX@P8{F`v01I|NV{pKkVE8t8wYCZ_oDc^-HY(*~I_b#l-xN0s9~Qc~-{% z*`NRW-v9lC`R9_z&dkL2pBI&L)o*=%1o0pG{E>dJR~JAqptuWLTQ`_a1V0dm2%lnN zVGs!yO4P&W$71Pa7N$beIH12UoyM2E?c%BqjCNLT1bM{D%EnXuZS5+Dg&DOM7}eCSYA%q#m}y_^30Ni_HfeaUPFl(i0W(@NmTRfDlMF*=Wo&}kSI+Oq?ST6p&S zX_+LsEHfmA>_mlwccy+w8+K@3361B-zgX{GkjKNQEbWRNzwQ_jsfrgP5|83=$GZw!k=yw+r)^`_^()8ahCTfj1bwT7}bDTK@NAV%z zDD!5J2tG?JTSjT*0eBc1wILi?qBHkb(k43lm11LAO*2SWDPU;PrE#ud*8Aw}Is|PD zAQ@8`U~yvRB!F}d&Jp3n6TmxfEIkdX?`mqmyCJ%~m6?&N)x@x!dyuN*;}1g1m6>0? zq0&r4PlRL04LsX*6bEo><?koR0@iH|9HG6CtxHHl*N0cwNfP9^FCul3v-OfOz@*reEG!HU5LUxV-QyD2l7gcdr!6N3$W+qLlt%Vrn+IRm zB?#aIO0VNc5pY*%?J^7k-t+^xWf=A5{aeOl&16rfsY>XR%fas^Cw1d@$__98CG2RR&x4(* zJ~n~GnDNM{eOE-mFX0#n!Yih)^eI*m7%=Ox+fXS?m7Nz#Hg+%U(gWG1Cv-cr=KD~A zPfpFkc}!9x{zx)FD_J$Cx04IWwCxseK8erMOWGor!u`)SCT8%zZA?34)F}UGV~BHsjy6XHvUCQxYe_#4(V90}LJ8 zm4ye=Htcr%$Up&>hh@x(HslLWZDae%FpO1lp2QC(98>D>Z*@$+FlGtA>zE?y)>k9Qdp3-^LcSUoei|E-P*_Fr{O0aDTwkjS}{l`=5H_13$f(VB&k zF}rv(OP(4?R?){igT`=ZF;?CxHo>JD=_V9^ zPl<8G=c=K(v!J-iC17(UhQ}|;zjNRwitxtRwf$z@-{$O~oI@D2VsOu!G3ey0mG{*gFJ{@8jf;B%VSXrZrwHr5^ofmieN79~@an+h_vMu}W)E9YmdUU2 z+J2)khXG8kF{7oa{O-s?2U?noAnGSR)ZXrSOM>(SKYDbjYqBIs{p9gxfl^BYL6#%_ zM91&IN*NX{3TthPH|Ro2&Y2YG!Ylej@7SP>L9CkVm7aWC= z6#u<9|Gi>VoIWpESO`^v{D++v{{)L3Mp-BszqB84prQ|;qM0goyZk*f^R)W&?ue5@YA>8YABd{XYwt z+F;>x#yWm#8_vVl%-aNqVR5Hr+0X3-D&{}D1m?d6=1b&-{iuW_=N0E*ib9I!WZZf7 zd-3iKQ$&u&MT+Jk+zE`g6UesfoevD~9Zr_A&m%2$xi1vd^zn?Duor`MH&zQ+_gI!2 z68K8;jF;ps%aXtqBr%D=7fXtXDeguX zQw|>GY1Ay}|BBD5!+5B(c+2n;Gj~p(b-JOFu%yBZk-#o}>+B}Ujz&&!Acezm*ETZ- zBWq5nEv}qGyikV@_%38x;n@|CRR;=kE`%WNMGx%t6*Z?+B&n5pUQq7MQ!4q53eLHL zXax(7yO0ek&U%#%A+>37U8-wxG=?K$SFtNLMmn#fGesf08!0Z=jG%R#r?xqDn5X{9 zm2Y>ACvN(S)r0-3h4AFAJ{a%I%F48V-E6B}_mkzfN7%_PM1V(wmPtA8+IQ(?x_+JU zE$k&wMxS+-B4YVAko6p2P?pJEx#eQyBY1>f-t#aK|AYV?rX zl57$CUB}e!*Wumye1j@Yx$SLSKA)>Ph6u9!;1@p(a8*;T+ z3{)!jQlqSF46Zd+*5;BRjwHbWFy5Rz=82k#cyj;`xZuG$&8=(YprigQM%ga2q4si` z)$V1-i1W<7s=4;Os~Wdi#m$wQ4}m(Uc2RcFhs@M`KEOcHUH;?G=H?cQU*S zqeGO5Gx8UfB--6~cVKI#$Ddc2F7W);iokiV<8ltk215G!X;`>0cKN0=rp@sviJv;AHVjSM1>4-PrT*(G_mww>h> zhAXF0IAC{-<}8buDexJJu6@;NBAAj(Dt{lab)s*RR;QcgOAiQe-FP!DY8N#gJTR_{ zcl>0s?RTIxP5@4fbIT^Q;X4cUYtZH1+>sg!t6C8UbdHMf2^HBQAN@5+1>;lh=Lh@FS8`Nd}vQhI&8r zQFw(`Uqt4qXJBL+q#>shoY3Nx55Wv-g7K4cSjR&EOh&J^Lmxq8wDm2&U~qPG*z z4~CeSBV&8C5mB5aEl6}$+nX1I_K{e_!b|G})l{9eHM5ylX?AzXavqL=IAuI5@1xkI z*98M|@z#>jnhQ}LaymHoWS{N0pf(k+Z)qas&So~bLKe=zVq#dpw6p8g-|_OaDV<1v zwJy{5i-$T`??P1>aL6A*O$c$029K^q2felw$q6N)(ZDOe=XM9XRc|GRxzjr#%M(3H zKC6u9r|i^`xRe8hZ31hktgdQyKJY~M#FirpXB3sJgXj2Dz%zf*!W)aWxX=L6F!ro; zDLACa0WKoLdiK(s#))UR6?d&!8hlAVX`9cn3NO_Ut}>k&7jGl~>q#*mEzM7f79K^J zOB(S9H5noONA1!Pp*C=*W-%^rWn+F_rNSeugp-nLMlY7Fvg#3h)n6H=Cl7XgOztn+ z&qOzZV29!?$e@$ivu=atBKx+bbWH6bZWDac&dp6>o-f;uP7+sUTqHH)+BMUFVaPI*guz!ONub1Gu=k~_aC*z6V>uHtMgggBoU!kIt!#{c2YW$!ww zjc-OSoM{WlHM3`JZ=a(UpE}GS#>j|1rO@Y&Vr_LfhKIb7 zOC5ps6hG;!Z8l7c`SY1K?+i4F+2-hqK}zG{#Onds&V<9Y=w^xX?Pa<9vFUAQX7ed# zN_H!Y9)4?l%XLvXsqUr5)M+nQv2LLBWVFGoF%p!fmU+5w>|itE6sOvLaTF0_)rp5n zNoLPVtK8Rsput{10zQa~cMW+4X;*tEku2a`u9BfJYS6{SP;Gl3-ot08Yhp?7t;I*| z@y_mRB+EBNew(Vh;}!k$tI_?$-y)+lQrJP|tG*I#0Z)2UmJgFaeV`#4;>aID(3~M};XCT42o1NyL}4ENFLbs~XNSuuQzJy)f<=*e-f) zppgm!GV>v{315gNxH3gQL`g%qjm`@bYtKHf?><*K-BAbcs64{)IIY`%UyWa}u8?{- zUw_wM*)RHKy^Zi3TTfKnszC;>PUX$Su3``xR#~6wfa%ZBw}B&u50BpBZ~u)F zp*!%Wvn5tuJ1_6uvdH&SYl%G{1y<0q*?Dpn*(>?ISNjIh+!mSjX5zLjCMYI=7wR?Y zOz8H^<8tV#o)KWF9jwGANBW>eRm{?4;a<Ucd2)S5Tsv3i%%r$ow-7zz6Jl8T; zLnN+4`SvUQp#?;D@=RtJK8Or+dA<MT@!dq3?t`Xkp0z5e3x@8IR8V5@?`lQ}SBuX?i6@839H5x@%(tFgWxYV}{wFY@ni zJU@M~uF5+_*GPl)Xf#UA$~A12@dYb2f(S{8+6!YfgcxHhZ&VH$XkhNy^u zy-^Gm)-ZeSm)Im$#R$3&v13Gf7>5VsG>%(_s;E3s;}uP$2qpL zZrW~JkRDW07uXiOKWbm7N*z^cSxcC+jhJ&QL|T}rvRFFRDonHo-1WfBf|)h8RjGP3 z{`6Mb{9&e$&-Sy@R74@WiQ~j_^^lUlPRR_(s97d9&L4(?u@u;ZXyXtk+9LN)+PaT2 zu|R96;Pk2~I7?te(IYKu{N4R%UY59wv0v4m%$i zkm4p0Al&*oFltX+v!TKt52`w9h->fCVYb$fpG+dU#F@OP8|u<8gC4r((5^d?%S8or zmOWZEVtcAjy`V+*L^)qT=xPhE8z6Wi=aZa%kFR5oMnkme_xeH2w!y8;YQ_zp!IDLV zv%SW`j5Dp7&3TJ{_8V@lnVOAdGfZ+l;yGKt-AD!HD4}fo(D=KzPq)+Puf6CW5Q6!w z^ZTsK3QHbx&~#F#mVLs%5#q3jiMAXY_~7*U zghO_88N2g#Un;j%;E)0`)8ovbM{*4I0lDZNiFV?l)yKl0MPmLOKnl?`2%gMqJfS%@ zI8aYrWLeFhAfCR}r(bd>Q3=tS zE^yJdC*!(=U*oV!Ph^~P-!hsQ0|bDlR8Ld9BAEIDwuIe4$E~_Kw3n?npcyep(FGwW z>9Z3B2|@~g1JB7LpN@AyZTzo;Xb0R#|9j|>@eRNjdZmaI1S328{Q)rdWo z=%Sjv_SajdHVvOI(!sE~>^l(p+s)&JAQSd)C!(Ly^gj5I%Nw1!0yDd>|(&{3727SET?C`-05lu332QZ4%*`#5LxRz;QjGA)v|eP#=Y zG;P#c;s!Xl@JysuPA=XlKi(ch?47K!W5(W|E}XGHgPM=G^uAkXl>>~7z>4G$h#IKq)A z_)4v`bu?-HjZ+p%&WcF*RsSc!)-BJc9acU4CT{_U{^sU=6%((b!=WvEH@}cGN8*)h zLbHkB3(Rz%vn>P>0`k|pza%N|MkTY3HaL5G!hTDf@=r$X6drR*NmO&aGKyPd@|TUX z-vfeTEc-De>38MtIVu^)5~z!2Tx^NQnoI>yb@NvW4v=}$%aJ!GFqQJcBTnN%=?Wy_ zL}?99+6Txon+K-D`RB*TMF^r4)wVauf=-4`;8-w1MURX5P<9Hk;#nsbL=Z$OTV~kV z{NiO=mx~58$cEfy(J@B`5@@!|(y{vm20@f&_YD;kYq!N>F0YOe z{o%$z&@&n6jOJGy)vX940gbWR>fJ#7+e=$K9VJsbGG|4`SxvDiUmCM!ryhmCuRVxs zelyltZ$X=(Auk|Kw%nnTy>PXJ+{kG;%AAZ)LQ24vn}%H4fwZGpqaW6DZKx1w5r{%+ zzE?oarL3R4}H)}7aG`99;?3l7{z)B}KJj;f0XCFXaJ zLUGIIW=-=%G#EU}_8Tz`tXEwQZ|OdkAPLO|%&wI^$&BW)WWw+MUm*@0?3#7p*%Bvm zlY8Xj%5Gsls`n@2>#}z2)aG7)YJC$Yv!`G#5GW6FiGo5pt(M&c}G7mtk{TsSz_ zo_jRFGMT!A`85*W1l~bI>TzWm{f#RsmcMG2#lh+X_TH*VeU0d#W_P&^9cq+24>{deA{EgqgFKU3wK|Rq{QKMUFFnODzOB@4Tum7{l-30pb9;3*|V! zhgO&n&baL+z2xbkP9_;L%&C|F%$XP7kO+_F=<6UpS-zFhJj>!5>n#PjEQc;)YyU$| zRaY=bUV)wR($8f<6ynOwANw;0sK5i&`J6QdW7?{4)_g?j3gtP+g62f5oT4Cc3O{kjwJMWpa!CkuRaW>+@f5rLv zWHtsJzc;I6j!h6d#ZQW{m^?XDZyC?IKBTdU+-{uUBh79SbS(dMtQ6CVnLH^alsXu^KUqA4ZbpZ{BvP;{!kLCD4^^bR!u4wSZ@DwUd!h zhoEcK9^e?#m=uqqG`bsn9C(5kFaHqGdthF0Cg-!ffFk?dd%5UyZ$5Mk*D|P~t{Bm- z7WuA61-&e|$I5y`_;WvHMKjz<$)Q;2s02{YXLTCUAbv%>?jB`&u`b!SUo%7Tm;OOn zCgXq7u{am%&d2EPBoGWs5SmG#OL`;J(A{e}(8KQ>ZZ)df4aW=&^zgbujwE2rYfqn6 z(TcrMgdVH}4za;=>s?FGD#lI7`$lTDDULxcd(GUlz>C(~#MLo0U$Qs%VR1!FTND$P zQDwn7^$i^3;2Zct3Rh2fVvXiVxokV*1-A@-`%C8)g9*A%6?jUM$){u&(#`3rzj)__ z1Mq9|3p1-z{~*|P660RZzE6ec3OV#LB)S{95@es&(*H}^%<(@` z&i@6AvoQQmSe%Kafklx)E|iUtg$aC?ABry+G*TbPFtrzhppm~8;5$_h2?I*_zuXGW z|JaKEVBY^k-2YS&30OEe+5X=L&ix-@-VA?7MBzJ0?r+B2zp~?4{;_BOG-JL$SHafl zJA06TfnL$c!P(I1-*(55fRUAvjb7<{F3~IgyAxw-%*zXFY-98nXfyv~Apf^$n}eC1 z_5Y5x)os6%;k=Kkk5fR0Logq`zS*|q`h`usRgYNb*Vl=aZ(c@)Jyq z&<;R^9{wUzv4wkUd0Qu!$IGt0hlf|oW|`?!GJVt9*u%u3M2Ail-ex)bSbcrS)M=xv z*Qf}o>Z_cm3Sn|5NmBe}hkfv&w&&}ww}TH6+O8EX9c#P=hXLDax~N}ZqB>~-87#;O6rD{@@H); zx9r)$>EFVT!>6(SqdT=( zkXPa?vS$L}snB&5eL0!U^7s}h zj5iw<)M(t8)=B_j4Y3cN*IliOeh8nr*gQSv`n^5FOG5^K3k!2?TM#CLMF8llUg?Hu+9izd6n;c zcqbu&W^Tx$2@1pDtIN*#f-xr5@A#(2k-R`+Uo5dIz74>!k5j%4Z|BH-b*scrTL4JE z_@%3fL!ZJ8CvC8z$u73lW(lK77nUVQXDCa@-IV$u{I6l(WwJn;p;>7aZxBK9@~mQ5 zf`Nl!1hOgn$eIF<268g@oVOW-+ML6@XBdQOr^kDWtkeVVVbv#Z^^bEBUwd)^3?xKR z!6Rx|7>Aq#J2@d~#w)+cwziaU06nsw%RG4FZcYL>z`v7ipCKqpl`CBusx$A zZ*mb2{139NPW?@`Y5tOJ&~LJ>UFi33WSck*^NJ7X7lyH}41lbN88;zes59&>dN^_* zc^?XXiT54CgDwlXDp}o3+QT{xVxKww9Ib6Qk`axl*lvK*;IOCxD^B7jbg^~0#7CmC z3lX!*3M%=zKjMVw71Fnvkx?E%R77BpeI& zbgWex-&-tz{XZiT%8p!2DW#FF^wXf}HiaPRD$w?L5Z7`C(ZAb@66yuKgf}Tk7zIE# z`Js_w5_-y-b0m-u?LzI5fDp+4a_uz(0*Aj`dnJ-l56+OUoJLy{2h#{v>2Z)CAa95z z9+Kf7!EnJaVx*z?#Vyd?EQ(}Be}m!7Qpbg0zk}fh>ztMmoTFpzqT5)U{G6nQI(uJY ztb!;G4*5zXS@ntLTNXwje>G9J6WEoLJY!rU8jcmS_DBegVWe=X@n}Ml89~@=a6H%n z*jrRoBFU(>6|aie?*$KLcfhA=X#Osinfy;!n;aHbLRk8Y!aD=bf|#Co{W3flho_j` z7_B!|dQyf(Bl-6p{$lt07uFwOCn?xtfihX3Rt_7sb9_;7(iBE6iV$wFfVdGH>OXT# zNaI4{kLeqD*Yh$qW})SmJYc06Mul%xfJU%Q`OmD_*v{T201V!q?pzE8!d z8SSce=a8Tw%)KD_J(>e%VN_=%`710aI9KgIsW_Gq$R!0}u>UXe-YK~9K2gJsZQHhO z+qUga(y?vZPRAYFNk<*qw(XOzXJ*fwy{Beh?W$9CuGYF)RsUM6mfqjjbXdI878-VV``Y~3aonNslv+Nwl(M?b$9$6Mz zl{`5PGYO6|vMip3G{-Z=_R-HohqZ7>@|f{l@+=XACTm*kmRzm91sw7 zCL_qSl8wd`xC_Jmo|OZHtVhv?utkqo<=7TH8224bA502YgD+#%d&!oAKrS2^TA1lHIMECBi>Rd0kjfL}wo;f#YWmSc;E# zRFj)jcpj2nSKz$;sq1ja$dIjYKqMNSQJtO4A&|8 z!mdE`8MYL)zD^!xAi6`uG?FB3>rV*CxdZIC4eFmO2rLiYq5b2l#ByI8U7HdZ0*qC~ zwW{(SPCUfik<4i#+JaG(a_3L%*wiEE>6xKIG2)%o)aw^-FVRW7Ka2!ped)a}=hl{6 z-0ENK*kZ9}O%VZNtQyhE0=3>tymD^{4)i0Z$XP#IG+S~+cnp@#m)E0V~xY?PVT&2Hcw|agI4Lv_lq*Z@=IJr4Lf8T$2 z*w*9q^?68OBYBGI8P?L`BVvRbUK34f0}n{-Y1dImWc%#M`|DhL7yX_Vamx*UzBw~E zc*Z__>a>t1PjU1EqnitW`2LR=PJU-qk_Xt#lbPt#O0cspYY%M2l=zPr4*Eds(7S%6 zw-W7}Z0;Muo8fewybit;OQ#(lOY=$;(z4T-Jr2yn`*SnBrJQgxso)HWQgErcbKvF* z?$*~kd+4zx)lq~QI(1=hKr>1przy|W#J?w$#858i9FKj!1^TpQ_fp6p(h@;OK_%`C zK8S|%ft(1?!5oUx>Gn4scfV6y%86JM;ZjlX5^2L*n)29kyk~UYNQ+0Y~IB0Hwih0uJ1}65EP~ zC5+anx+VbW1MK!;u4k(F(Ox0OTL=zLmocrP+I2fd|Jk#--N)PkuX+pmIjj%~Q1>D- z*NyA#amvqx(#I^yi6-1Z5KS1p%?s^M!VMK;UKmucOznQ%r^8{; zw~saD6#Z5{P@x~tDeZc64CZggSJwm+pNy}l8Y)JvA zNf)3xFaWgV$UfMrM9( z72w|pm6w3+ZzOYd4`1o#%w=+t`oC*DDXGz9RCje3z?aWYwn8XvJ;IKo?_%d#w#$0TBy##W|iVpRUN%5FYMNv(6&s4D65 z`1lwH?I)O2B36bfpvrXV=Pj=rY4LjcpouX31igKiPM< z2!?>8a~MYc*0~vqM7ipG*wNH1~q1HzEnerFv00Q0o$6ZSB=YOh?hIf{xCPMxNPFbYi(FFnmsZh5B3H@t5# zFnbfIk=zIEhkQD2^OF(NK1j27dq=(f!>Ra_lQHsqAwisy8nBU7x$AHimg=mmmF{gP z6F=u$#+~Q)PkNU($uaFk`~nFq-NYib7HO-Fse3B)sK3siy%A*CC2FPY-qfn_-yH4; zkRZ-aLzNaJKv^14Z=^I_>@)*K4Ca0!@dd+587nM4S%x7}Y|6btg-&vRY=T1>-58mV z1z<{T_e7dGOvQ3&B<3|E)uY2F4T9|%P|JeZgt?N_Cj&Dy8U36A09dD3Bg(LUip37r zUQ{5RAlR5B%wnB}m{5EGX2(8Qr-?Xm3Do-?`wf4$Qd>Zd+J8Y-{+bRPD{H5@El>9@)M5R8h7p9QhS!z&q{< za<0ijq^X7Tk4*c4#4Mj`J>Q}EOlE5O5nHWhe>T5{1kSKAB0d97ps`Q?Z74?>KCMJ3kv8hf{y9A36??eszJdvGSxQgi7c9yS5) z17oRq+H??3HJ@ek0wNu5;Gf`q?&4p`CxZ@KN_(?kl0UwaB8kFAmUHCEZx;%J@!;a# zzDF?^GIDfB#%KSAK?jy00J`<%q6?~8m@P-xBD!T@s^J1Y1jOGng^GbpFZ)J;GxfDb zW_U*P`+?D`t}$4-iGp*2Q0+L4D9TcDv{^Ex5z}H$E9bRcNTYRFL3@6~ znntqYHU-d%bwve73cn^rJ_M~9(X6#ow;QHoIj!h}D5-&Uc^$J-y^?EC_0fo%5iV4M zsARzvTCg8=PHqY=A?26PgDE-oE8MaMQR#}%IK!h|-<$HG{`q?s_%7J3o8MldBqiZ( zZWw88gkI$WJ{kea#S>B-m1g!w*Dr$m`wz>-ypJefJORDx-)gB9_ajtlGP!{kGbFa$ z3inrAwbgQbeoY?BcfUR|hCq;Ph#gXuELRkvzP^umj52)U0;jQ863(DK;_^E`Ny@uP zP4{Y2pr#AGi}UN!IeZ*~NP%Ly_ufgy&2y{!BX?bXB~>?%sbqbPJgJ7|&^OM|+M?yx=Jq zpY@OnH}G_j4QhiC*V~8%JL002MG6&tS0A)OpxokT-g*6fG&zG(Igz8NLwG0Xs%;>u z%X%4(YE+TB(Ma)>c7{&l&j0p)BX*?2c{an@$SeZb#Hw!VH3CeZiU1Ez+>R`sE@tD^ zZ#qOH#5yi}gFM1D0}XH5^O9nPbgO$znHjARGeuN3ZT%c2)Hjn4%&fKPtg zHBCIcmGo4I-#@F5GM&k>oyH6>i+f1(gE3dvUf2q1IMl8gSdMOW`HDA!{Avc5qu<#$ z+bv77-sKFFB->rfPV^j;EIp^ZJ|#v6c(32h#@8F#jjj1*`Y*P)$S%Xb-!8xM6y4nM zu6Si)jOmd6`px{L>B&G;;OhUH938#~Dl|?I*lb#^? zb58ZE*=Pv+uc8|jr5r1T9IZwSKa~l5=z^_Dw>%TINX>^#Ec6BvxJ5<#Ok(HC6T9;7 zJ8whjj>g7AYusSu%uIHbws6mKI-6EP8l9#;$sQe3uACTbIj>(FD-E= zlUM@%$R#B(T6XB~Q<6Chw}R1V6dkPXGXqw;#AV*JP}bV)69ZOVg?ca1FYMS7Jl&6Kaw6+}^Z>YmvYQzWJ1vWvHF z&+brojLrQ6R(<3E7*d6|Z&(=@3{yl!~^lEn@;+hfSe!e9xy8LmO4Lw!S`@C^;wU zu4b;&LfSoq`gJ>1UbtQ=9dniz3LI7HG;*w#5$ai=(v6$GiR?~YAWbhaX{VA(>&<5~ ztK+XI>Q%Pg(xWw8*?F z^Yt`1^VWZPLKwc%e+Iytj1Zd!jdI=W$F-KZ0aClOwW@EsLj(%mw01B~-I8`^ZItX? z$^vF~XDlh}oj^?AcJrP;5Yt;7cU~u2>Q29h9{u%H5PFP9BVQhT+jUpiZ*^>VW^>H2 zl#iRxZH&wwk1lmZYjISDZ>c+np&I$Ndqc?N8Tq8KGc+;_!+tOU&l3An=NTEJ7t75)OA(CKpp$z>cQ` zYY03vk*BD{{|e~Qe@B?=ZMwrA#kVxAv6R3lRYp6tV+% z7H#~GyZ-jsNk&4~I0RBiMG7PQBQw}+5WM-?j68xy9Jniwgw5CE~5@gC&2j^dpd!XkcJc{A{;~gnh{- zw^qilK(G5$&C2C6wOMrAimxVDypPyzxWvQbGSL8JLW5bVKH&`f=CZ;ExIW=2?sr&< z^)xt@B+H7eFXix)q4lxwdU8k>aFt-!~x)Bk{A-T&8L>%M%evA6qcrhcW@(}2pmne1Tuk(V3xKQa;=?IH)%eigD zr`1!;Q|<>|a4D9A^mqwdGydNMS&lF=`3r6Vg=WA2C$N2sjCS*X1iW(;^qjnTP8i1&EQv4%N;u=Ga>{*(9J8 zeGIb?sqXl{Rh6E~Q3oB=N`jeHk3T32wbrI#iRR3%sISGhC%LDPKnz6B7<@~7wh+d= z1GIPxDQVf7yYI|Wmge`+ z8CTp3Z--*cYV@w_X$x=S=!9$Pz>C23Foa%=4s72OjWU6aN-QWPT%pUOb4Yb@zv;jy@QvmT6&@xciV*2 z)@S|Nw~4)5e2zCors-tE(>5x&!odeAKf@WCp&8?DR^Feoy>&9ORp-!Cb_xX|?@?Mn z0uR!zn#4?uj?P8wQ`e+A{6_KkyR_-(tYx|$FMU|)aVG3RTwiT9|m0W z4NQ{w*dY>`zfmQq!+%->1EM8@f(3gbiym)wn%UWq4spngwqTzEkk6>4uE;dTv|m@s z8?LwtN~-y_NbP%fk3t}=4o`WoD#eU$5jY4IUPryogN}Ow;VuO^JF!0 z+RZs4TgO))zCSJPpan-jm?nPwfuSz*ldt5RH7+n>+3f4iEK4%)xo_~BV*Dnl*f!!j zJ6wDZ4mOY4x?ZsUV~}E?OKyus>UMu}xAsTx=lOdEm^}eUa|>lOSn5o{vgy_l^om;0 zA*pSVIH%11p^5@P_>b5RbtMHUpG_z!?!=KJ0b5h(Jy8YA7D(DBu9S+!6L#TNAVj;f za1l~fgCAJwCl!NFaSdWt)S3obcLz;MPjY}V^aQ#ybe0n_S=WWF5TNeWbR<%t@o;^Bj^0+ z0~N7tyrk)q-M;o_Ki#qUbr55}$lMw(A%S#VL5<3s#z?L|32><{adwwuJR)BU?23{i zlHFsLU2Y?0GZKPlDNWQ+GU7W@2tgt8}x=xg>Cfl~re>MX;$jE^d44;oLu zB4{ROiz-|i*+R;_3c8L};`#+)G99l6rUTu`ST1HbBz&aUni+}Y)F~9BPW~;o0CeyV z64`(!EjN&LQxtI@BLG&AZX$vadOHr7HnI0$pEz`rYkGi2IQ?4TsJjpxgE%Ok)}pwo zp23K0DIS|E3NDQsa${oc0PJH5Ef@IJzS*P<#pCFlFgp1xl3|MJvhP zA5Cb5I4?RlG_q4|NW`qC4!&2uE)L+v^w?0JYIE|N$K1{>P<>^h$YwzDp?x)|uXKU| z>nuPnEVVEoffag(Kd~d} zKaxz78eRkcBpKU0r5a0R{QA7+On!DP6 zcRfsB)a`89)YrFd^1MG=J{k1sUhdk|{lQ*wzb=07>f-A9DB5{#DY0C(H;NE3Nj1Qf zRHQT$$o_k(Epn3o()YDRxuW}wEw$aVQS0{T{$Tz7+|1YedqoaEzo&b3n|}M2W*od2 zrmPAlFN*%$d-bd2`V0{7kwGM^pykV=@sXE=zvdgdl4*3Kn5})={By9{s=NljNbZ$& z=NBtaB8Q149Lkvuj_#z=>9`EK)?>uZx9Qvo2|B!-W$90w+&|fbypd?3w-Aa_hi0-G z&AeL>m|ggV!T7FT{CJK3_*V>uXXbaPBKOxq;sTxVc4##{8u{NanD{>!OkLj|)6c(S zFq(fbnAd+`Fv!GXHk<~3Fc@OIPD*FQ|H5EezA%`@zhN-Cf5%|R|12anALHrSIPj#Y7r6!pL$mqj zj~?$r{Qh?irik}14krBX91Pa(|KMQEzc?6T1IFL~#lf8Yjf0{82M2@yGY2;t9j8`Q z+Kb=B-G3gQ1JSe(cwu#jQ=*W6v^mEYa-C%AfRR?Cn_YQcRlA{K`X?+$MMQE09 zwUpXugzq^t=Fd#dihmRjbw#BSfwD^(e-sZ{AZQB<>&$+1B|m#p(*?Ls0<|2cMsNXT zHM67%-<8@vd;SLqlL(w|8349hiJC`jjV2bIkqE?rm|Z>7Lq-6%A+Z=g9>o|ZfG4(` zirtflxFRP3VWb=Q7Q6$pJ;E>u{$rCz^c}rwBstv1*^HN6dca|2*l8@Z00eUkG2w|Q zneirG0~Wm4al64*wLJIdsGP|VRr^kmGDG3>iFcpRLTn;x297flhX~Jz!x)hZ77fqW zLL$!BLgHI5v(DE-;>_1V;<=cza44w42CyFvyJoqSocaM%GUEe~Zr;9{RGwuc!lOR` zysBMGt2GxV^_==%wh$7r$`)<|;WZCtr3ds65=6jI401vMN1~5Af?8TIqQyx~#<&R- z-~$e@hS8bE!EnI^0aJSzCJGN3;&cFN2oeA^!(VyEz-j15{u4f)LEau)WVeP;DXvzkjaFywX?J0%wPMkftsZPVz==a zo4mA;D@7W{9n%8`>yr(HLbev7mEpk=N{3dcwp|-Nf7qh1+gZFVzxtIoHoBke#}pA` zMwsS{3;Tn+%uMC0%r(U93?JvQ-7xi^S6lq*{pO+kw}nKRLQ{9n4?EcL&l-Plf#Eqn zx}q1FuZ2Xj{tq8#1*i0aK&KXC28{Iax&U@s;$E2~lbYpoK>72%p^%o7-{0a!CDqz4 zu>=;jBz#g>>~1WCtFZaCD!jB;+cy?BG;=7KLRH3sp=4-S3lRHpT7PBgQSjTDf)#LL z&JJ@-?jp92A8Z|B2E3%PbgCrs-mjaLeAh1fcGmf3SHIgXi*WW0v;3EF3@Ms^GxqN( zqC{PNGk;9!eJzdENQF&*vf^;dNdXpeWPSX!E#2~1q4@C{AzSS)yTcgCXscz@5B3ba zq%Pb0-N2doER54YrWp89j$6TFXJhC`je5K!$>z0tdm48Ihd#sJ>rg^fBU%ne8V*Oj zUdOe^20sW^wwJUVI*wvgoX4^)m9*tu1&47BhjB|#a()VqhoFFdOv8}rttz7ikz|*Y zX&Wr)nC}~3APffr`yUXd2J2by3xxStcOni_~kb1%i>_vm*;S| z>$_I&VwYzSIa8wwl83$C9|*R?d{(Rlkv> zdrpc#G7p}@7}aP(Gs%HSW@9}b70cG2a&>Y1So~e4ANDTjQgJDf{5@Ch3xp~C2M9y< z-$0n{KOhVq)p-N%E0DSor<@Gg90$aaOMY@Dh9&*2#480Nt|oNom)*fV9n!Lh#=oyk zF$i%RTF9`cz9E@1Aq@fV4+wK!`%e(2@GlSs*gw9IEcd03TU#2Vx9$gV)uMu@_q<}x z0B&S~9bqtwNn_VBnpNvZaiK#PqNKU^a;Ij8R>Iw2B5_f*v0eJ!%*C~Nb923{xuU+lo&F^H z4+k@0aP<5)4u)Mr=;i!m=XUaSlkZ~g!rGK18o?_#bU4{b;YnOFx($XgRhzEr{{`5Da zd^P^Kfrw(6;yy)y=?b@JzlKS}9S?dtCB27&PQX4&$`+`MDZ;bSP?&eKP~*;+H>$!+ zzz^P}^?V4gFb+4Hng~Tx%akP2w!6oA@c7yulh$JLw+3BPEc8(+hdI*1)OT>u>MJdH zqkTqeoxD%LIaxn^H8rG9z?R%&cZ;IPOt2iinJBTQ_lPQITT)Pe0_%4sPALe@GY;II z9OFP?qdjZW$LbR7963J#292@IwdY&dzAzh>ro>@O)*C|?n)lnFatOL$s+-rFIb1^7 z-}IPs>&u8?fv9mJxH$O51|E{9pyloRy8Yt%{n|%ZZnM%TQ`P4@0G|o8VZMmS-L-d$ zN*{?>u=7AZLMx0;k!M(>q$wf9Qm24^1MOJRzAOa)J9glhTaV(ch8K?oMrc~`F`>Ea zQOJE$onF!JRaaNpoPs`~_%m9N+uQ4z+o4G~*Ouvw2sQ3QiXY zI3DFwyd3*@=cbcVDk0fMCe$p_hs!8(({tZ;c!3Pm#k{*{pY^KyDbK+#(l%uJDC_qo z@^|(dVFJ6*ZZVyX2IbWl6c$v^>0bk#Li-ujHNLJy9DVKv>f6-R3YDs~?Zy#9G*By6-X{0P07(t?Dpg2e;xtGZ}>aIv-BEiJCrx<^on&$ox+<-xQ{v^2?BdYpwl z8br=X&l$7BNQlpnQ4R7O`PwZzNbsIeJ%Ux36pdugVQ>lf8CEm~Q*VXtKSH}qhAwOy z=utWvmE_8B$T{D;=`fYrq=3jan0qzAx3(+X4U~$fHPMon2pb$VN2zaSK^XpZTEDMX z91}i9b8}dsek9J{xPe{cWBuO4)Xp{eyKC$E(ted>rFi@FG8yPgz|@8ZDP9$*z{NI!=)X{4F<%e9CzLA{*8YKSjoBFyC6! zTw>2)FQ+lZU0aD|Hs|4_U{D<4B&3|_Moa`m*`4?u=_%=Y^s6s7Egt)60lm~5SH0p- z=|R3>4X@spqkT`X>mYG4PF!&1$_)7vK}K>2X3<#!)fyPTRiT7^AKoAyeI zs%hBb>+f0d;8{SZH!3exq;d{{Xc`>MV2FUQIhw!)tOQZ89Lc<~j7{P+XI$G$z%k>7xy0uqk%&#h`wJ#J^@-0_5ZL2N zacT+%QCXkx5ZtcCVE`8S_gA}{akc)dK&-6MZ_g(a0!!Pza!b(Ma@-?fusL#D9})bx zE*?~-TT!7+p@h0wH|s~g~#j#16>F;c&TRR|B|Yf!yYkWlQGUoo>d!$TW$k_Mei zNkQr;WSChV-FRwvb7Ez#yybzztEV`}F_0lgpZGT|3bK>Q z`h%{5r5($@+45t}-AJXkY3V)I4jukFjnsmBBS;sJY+FdZ#?A^2k4Cl~oEf}cziePlu8@Wie5e;VuD6t%O_W7EU@Udllnw>q>VMph#)d>G| zlId@*wwhVG4`jKkgsj}Ve|2PA0O_wduiwmg9QXsZWHajW0z~u?UqwI^|6^4LN$MH= z>+Chh`}Ok;oB+Y3>G(=)>(5KuT-d?e&hh};>Sjbphcv2vzbH*6OumoJP*>k%x#g1H=PKk4fY-W(wT!NIbk~QvreVAZTVE_?nnO|hLuNg;4kWR17jD|>uUn9=L zLR)EtmrkIe{2(xUNj!fbs{o=HZ8TixnfrjtGK@N8;ZKKD4tA%oXg|laZ)=2|OQ-;- zLcz(~Lt;4<>fm9P9~MEVsLE`k7-EKJ9v&3~tQ+s^3u+o6saLMRmRX%XZJr7T3=_}1 zF^hiu1V3qCxVbxKWEkmMErmJv!Y4NHa*W&APKXj%ilONy78IOU$>>gx%Mz7=-@+D^ zI|o?4YdTIU-M|>pK$*Kb6y)jQ13@1M^1p-uLZYG1#WAW||Cr#4BiMw|NZ&&Rny3K1 zD)PI^@6(Z~v?yL4RKod%MsFfC=_ZOLYM#&_i^I@A%cI1@9;=B1A75x#8yTxFoTU#u zl)_Ud5qq;iT*n23Vn~7I4Y)8FjJj{!L>hSz3|R0yb*|fg>^{CD0I|q1h)=yqpDa&c zlL~|ynM}Hm(&=5Y_p@*Q9Ru7>D6 z^nJi~5}X3`1|0JdcqyZS8hLQ4>{DfCg?I6^uh=V>RgYkH%IL$0(@>D=w4}lN29kpNBo^-(DWm zP?0T@H!s0^V!*^x*0h!}--^b^!13s4+p=z`4GNt$b*m#nMKC1Xs`Fb|JqK?=I9a!J zp@2FvQ*O>ZYdldfut@;2U>Tn7u;Z)=xdE-9h*ULsf(v=i3vdp4q3SSdX1kZtdcABH zehst>Ekcja^A6Mkd56G+H`Gif+R!u)i<1xvA#G3BklarrX*CS8bnHR1^w*?XmQy z{{b+JfoIhmVN$OCN02iU=zi(EYrz`w$HzxfZ^)<6)SrCu4^3PecY$&XQsU@U)wY9&1!OZH2GF97c*DS8$CL8&iTsN$08%w(eGDE z`AiEFsJ$upDiEag6Qm>`H#me(tNA+Decx+U$N23R7gxm_b*@({?%Uf#??3Dw^K$q) z-F(W;J~Kckqr4bKEwGYjy{|=i?aV(FzADU*TuVm63K<`0e~OnRU&Twwui|Cq>+;Qw zRKR9ev_CoqVa@bNV9m+o{((w{47@RIS!NV_(8no?WfDL+#5Fo|EQHFga z(udg9;Kw~t~PyT+Ot2$evChHR2eG8;gURv`4c(YDVjKBc?wHliH2-S8=7rY$2y zx-Hy9Xs2ZU*1e?F{QZ-Ggre9-fx=JYXWri;=bbOB@ZTb5-Ujm8MVO|A*t69%rHJ63b6Mp3E0%HSIuoX=bV`A0; z47q2RIiS!ma!fnT zBDuhzP_!$*T}wPt-z%8ZJua|g0>OOO!t(rnAhG|-oQb}rkGehnGjqQ2^ADwZTw7z! z&PtyCH<>dm5FDbM5Wrc``?H{dHjGGhZlV!ECL`FmC5%OKv2`S|f2IHE284;iU78pZ zpc;Y%08L*UU^&S3F3Wd74YSb!XDdf;1lYv%GQ+&cVT}|y?1Erp$Bl2PA#`yA|B^YI zIG2;j1aUQUaS~3_LD@sBQ9<&Bcn&PxSjyHSO*0LDIfW#j_Ip>Y1Z@4@}!|!mBMPu62b&ixRYH*)en)~ z;F;zspB9C-3}oX@g`sUBOmaPnY&(-}JnNZYrsI_Mk3dp*C^-%qjt_<+sY+0CI8bsq zYL1xK95jUdp&_D@OZ2Q6sF>H1e}v9oxwAVcIX)_mr&#ZH6hp7Yl^UZ~!6?^=1sg2r zF!yCQ*jVt9`jC&tWFRY~HY z4iZxs`q@ZuD^g~xoG8L}aud;1Q8Cv2JL)C)SMHq6GjaLN#V#kqo=0*%ll(av<#l&a zX|-6V)r!xgKv4syyk(o4YB4QptZ{L6ld%4l6isRPNDvk{U?*LJ6EWerDc+FiKkJv{ zK`c?@U#WBSUru4*V8F>4HN5x%ldZ)mFf&sn?n2wvJ`JBYHkY}a*Lhz;9G3r% z+soH|!LneJ-VVl0>lIMrwO5I+R*$czN40Rdy!&xs*123kE}t^_@OppX>RF{nPw)Er z6(o0R>gk+yl`ZDbNS_C>cIKZd69_9?@9Nk?9|1c4pA z{7znN&GYZLv5xK)*`{breaH24{o*~^%y9#H6Q0Pz&3kO@#rE`F=BUTR?emnIfauU+wR)7cYG zVC&sJSO4Zw8M9&CU4wLr*jXVZLswms%xge>1kx+-oUrnKH5Z@Z&cRac%ZK~&Z) z2%`0qsEdUqdmgMHOw$-O2ZP0bbDZaX$8|+^LX4l zfHhU&XsOgqt1yW?vI8=Wm4>W?-sSU4~5N5q%pxKtgM7`suPrU25wIi za=fcqMv=67#d|0G`J;85*ST zX3(y*%_T0xlmYm1*XsUjp}bMIDwSHlX7m(uRjwty*F}vFYkMs^ zAd1&IZIfRe;Jm9N^3SP0^b7L7ccA5yd0D{qij#)7MA$-&Phf}O<92ikq$M|C`gL1+ zw#dfrGB~=7C4!l21t4s$!|`in!sIlgF4v@I7NwjCw|!@ah$k=-2BNM<7+W%DdiM69 z>rj-*M1j64bqO8YaYg;_F>+yX8%)xYaaS%9{xEVfR06$9CNi1J?2jaB9*u6cX>Dom zU;n{kIK6~}n!#L=N2fDcqiCHZe#OPc5U_WIXs0cF$x!m^JCy3CcAQDDr18_;n2q$> zWIMFM*e}w&Cs1U_3&HE1J77aeVOVX0FSWIgBR;mb#A*2a8qxq#;Rz|1zIhWmQF&C? zAkCu;43@R^gCQZpiQ1)>ccJf`Idp^@mofijW@G#=bGmCn9%J0zN{_HPtTWLQ3~3yB zbn{3O)OJ-hV(#1N=CQ0v@txQFh)>D&V?$ie2;Vc9ti4KBLMw1py3qaAR!%k;dL8?M zcOayoOsbCG-dm}w(Z~w%Jd5Ho&S;@kAmdgj8leKca_N)^tBM?vYqT#fO={FHc%JY< zSu9cn;=SRDXNL;AMQ9bshv-PiK9YtFN?H>SUb+J_4>7}k=mCflMO5{^HmyV^b;$S{ zX&%@vKsN-Zw*cj^ub}#O^k9aXSz}kmdkSO=g2@(_7B>lB@i^m=p5rh|`48&@4{7x^ zhE8I9#YJU=l#tz1*_W&hnxNj8CN96L0{CsI#|vU5n8k$4Z~h^Px+IJFwA;UhKez$6 zUMK+6MVFuYHUkY>a@BZ2N)ezCy*M&Q4Jl6;zyYG^Fv6FyV(*E1R>(}8-tLJws*I)1wZjX1H{sZdAg|#%13t3`b zhw&GqZ_YEk0X4Y$0N)6SEuolFt`E7fn!alFhuVI={aj#3;;m?IDv3{u`)eqVs9n=r z+PvhFKO)t}TF~Bm&HKD-!?FRok&NwBf$X%AB|7=a@*{|`mggPM%oTe?4L{;-trIJk zzAr(n(H1^+4Zcpz?B|c81^|Ten8q3~{{Eatf;ISaZ{%%yN2A5k+*rI#E`k(swMA&6S@p#BC5>JU5;kx@BwFd)Y=r8VjK z8QH9U9*(ql`qaW=zNw}%0t`yIf_OWf%3`KMW}p$*I_ImU;E9=di_E3x_IzM)97>z| ztIO|fMJOp()4pPQ;PmF~4hCk1Lf$^t7Ag?rLv-faN1v#XBj9^}O2xyG(VT~h52@Bz zHO6VV(HZtl85+NBKC!TpllS7Ni#1z)T3#x5CQ}j4H-Vw?@ZyZ5eF3WMV?IvD`$rNx z6ytaUpdL|c#4gk7P+%cJo|q$Z+84M6^(Wod4XsBDpluoVO1t}y`;!fi%KGfCpF7oW zrJg^m>3{20r}yRBghMCM5R1znk;hu6BG7o~!k~ig%`> zr|xk9D%lxrCjME?YxZc7E!*(2nJ>5cUgJ8Cs=g}AS{e>bSN20pW9k7w11K#Y8NQ47S4A~m8w|J#Z$u;#%i2bY^bJlI}zhevQ_Ml9^gtvGz|q;Lo_|T zCF*K=l<}TQnW;GxAz7&e%=^%u?{JN%o*Tf@g$5oqoK%f^Zm+ zhG5vdx@8_n?WOnb2R1{~*s=JQIAckO`KdF&S1()i8e1`fz_b$vFvK4>_MfxHuh;QES>FD$+VAgKBO?nlE93tg zZ~ZULoA}Mwf*HQw7u{OV2|$k%8L8WI1uUzW0(7d8K1BA(cdzO)8K*+@%}=xz-a38u zuK_bv{p?P!`iN&P*1yhKYscC9c1Pq@USwocR%FKaeq%i2uzb^|vvo_S>}h=QJ>a#5i?jVxx$~)1 z_AA{gf&VAnI+y&FZteUl-CB+B(#`#KB@%M^>hP~Ck@gQK$L6igjqTE8+G(Ba^3`tB zUPfa4NQN|#LnmEN`Iz-p-bGyMnNGN-6@8UeWYWH1Xjc*HmnrfqGH++P^*~))e%~XdiZXo zoi|YYNas9*bfqSO6m63VvvYoe%e&v(h6IvBrhvTE|sY-`*6ru|X82061iNOMGcU*-r>as!?iP-1$fLNw&_l%RrVZXnJi4z{AqCCt5>s$lpgNV-x3bJ8I~ z;$5N|FVV2WFiFqsa9e_&jHCt0=N}aS$Osje8|on=fZCGSlQ8b%iV?u)Uqpc17zH*Z z5Q5ZJ@qY-|1Kk_B4*X}jHPp)K+gG|(=-<<=YOxX+FJcA3U;AbMk#2Pz8v9qeRsDfR zpZ9!t6iOP70}_WQ%c#XTjsq46PooDoy4Wr8uXO73iimEU3zT@IoS(}~@W8!M%^7t`JQD<) z5E~?54f3yIa6fB$gjR2va6hXd47y?Z9Oa}c>S&NUFiH>NSpHctkbqqyvn>fhw2r0bP`nsvy%HZ8)VOQ<>;RG^QsX_4;_Ko`zOQEeDXx5<6mbXdk$bEw`4 zeD~jf5-c0gu-lWf+iUROZ@D%HM6|GSqUYANllabdCEi;~S3jJ)lk)YLhmzs>C*A7* zPr5b!E8Pn9C*AtLdC>UF>E8|--G-!NkYys4j*+7=k)lh(zQG%QF>m61v&OW$Ey&0+ zIAON`KbW`uznM3^znHhl|H8b1{KdS<26C`4i~q&EwSO^hzbC%Zt#9I!N!tmO*o-Rl=llZaY&z%?q?PY5k;4dU_^zJ<`VOR<&@T?t~h_vt*`$z-HHwQm2RbTmM7w< zLxb~T@x5n2o}*&`hdO5=iiFUGK>_2E)N~URCol4iqrcdpg@0I`Y18<~m zK~`}JtDOymnWlk#>@F$hqo(8e-tzlWzdXsOy?fgl|BvEoyPR!vwbY*eoAdGRaMh9{ zc83uN-_l%NhzMt3L-b$Fn z+?Mz-Ndv6^FmKL(n73yz4&(0#<-}DU*hdRvxM_=UCXH&AuLs~qPZ7~xduJ*6r$6p< zTNgcWzL+=sFXrv&ZFsq%D0e-cz#OTxZ=SKO*Y*Sxf!-CwLSr|IDK#eVrj7d)dpeB3%S~>$p^s`vhHMSZH}Zn?^z7t1<~H$ zQ*K~?>Etl-S3GP85oIN^navc=6@rSO1 zY2h`M5==EL(k`1k}!&xPf z&Ut>3mm91`UPejYD`lmQhXFD&jh38jfcszM~`%btxEQXV+jf zrYF3N#>6h)7n!~U?3`M}UX&t`l|i`?1?O5K$!U8p;*Hqba;tptGYm`DO*$qEj*58c z23ZCKxMm9QDOJ9C_3judsV&cTd2l#eX#m&dE!o5B(jGekEZId$Z@4?>`@4gj0Bwwv zp#nrVT?8!gm@FIon_(hJYI{n}Q8_LXukfX49b%{x1wwCykB9GZzb(EXh*pxw79(Cb zru=80E4VTLk6$S8;dD=DCg=*W)YHLM?jt@aSPveU?WuEjt1obqxqF zBOF=F`f!y54y^g~Ypq9R7l%MI3YkOl4Ctv~1}^JYl8waVqcMgv$|S!F*FyySGzM<^ zS(;xy+@Y#v195TAiWwZ4fDDgtXWlg^CW$NLLiY{Dt1IcZ!NP0uOLg~Yd>;c?m=(F% z40ug`-U-;(%2*fQ$M;WzlJ0_dR4_^$zQG<8 z_|m)PoaszlmqTwCLa&+9IPXhDVl^}{JQ2a_jbr>gdvv(%A0sJ!xzUowz_`@d`>F1@ z-yOw7849GLD}ZOlhh z?WY=XIFJB%QQK)t-QmQ%`p?coLiOZ5WwCnWXz&_I3qU-(89Ma##RF@SLqB@y*&rB1 zimBF}o!P{Lwfh7^VpjTabowdi5EY~l^p^zbi{zj=>)CsEXtPI=&8CjD(9$~t=RCdR zIKjhZ9r-%ut{by5LG0NOZ0a9E_&K#l0Rxo@9m@U+ut|5ld(bI^6wC5g<*s}5A89XE z?w9k}-cpar9*5h9#3t9DK<0wj_YC%gI@VhQS?FbOZ4ts$erM|dZWP1WYA(;`?eczg zcX4!kTm;pi2xF4UkE$b?;S>0scj{aAV^*-v39w&Xm#Z?y=7Vk&H88Z=j6=zc1LJ)y zFJwaS%(uUhXfofYJ>nA3qn7g~X7Bc7x|~>6h$G!~$C^O>S^sM$O-m|#5g6pTe{zyB z8eT52VWTSAdwsd4KCcY#`pJZ??0fVeQ<=@f^Q9PO=mp%Y(?o?-rSfHLpk?PE(MU66 zH`ED_X-pOLJU>=;yLxSXIp0)({1)htUF+c z~KT>y^ zw5iFBbGK4Mv0U3u&bC}?z#rY($xMH4(h%kYFPW_`vFtcD&@iEJeL4*iIL8|#d1c<9 zVf*(IV4>qg33e(+6${w5;_^ORP{S`=<+9+L>eAqQQ3eYZ5$S1=v|5QjLsgg0booX$ zX6Ga62IMmrSj2;16yY`3MhBa;BuW4fDa}h+nI6QO#C+#@QlH{Z8i-uBgBt!R@UY=$ zOIn#4E~dE79*l;DWvGEQb(v|2)wVlMebx4Sh^eH6oZ@4^A8*_Y8x!0g~TU)(h5jZNV-`T`>8*dJUx$3kC&q|0)QC$X0pFFB70BIM!HnV7)wnO0>jzit_4xqE zh!>Lr-$#7&6p-7^3}eK>BUk+LB%vcB!HaLSQ3O%REeq)3k{@;M#wCL`(pdk&K3u=t zlyHq1b)rvz?BMM%imT0eWb+1$JR+4p(aL!gdz-~Bru-H+MWd8NrCqdsTkDVy6@Drr zzP;KPc*rs;9s*&yc|x9%-co|?h**$6Z6`1JoU)sjn1$^eA0GKZAuKvrka9>=jO7@2 zN;i*5BLTgd-XI6#e#(XZh)h!ksEs2*zTwc6o7W~>pE7t)c@oZjeNoD=k$F5Ez440D zdg^sH+LWmcEOZ4~J3i z>#mWPr8VU#j-8o@XQ{hGp-CL=iuOWEG$AdXs-Bq`7&CZQb%E%CKL$3-0GOcpiYVt7 z_WE6z!=tk*ime#B(z9!IJF**DUpe9&?{|EWVo zy_8BF{y~*5Na-T~P->srk*mcr(qcZk+yWUk&0Q*>2rzk$2}1Qr9ZTx8Rh+(*d>}#2 zNfCg;#C)c7h)K=T)IuV_oX{fyEu5b=vyk8L8&gTns!KjUsQLm{J-)3G8O7Y%EI>S( zRr^+-$()5EAD87X;{sGJ@2rMj5Bk`1ce{X7X;o&lu3p}P^{^Mz*_pUg4t&0ob!UQ% z)uJ!>j&?3R3t!2B@D_4ae}3La3b>|U&A_j)>$++d9@ajZuMFxRZ|jOmP2%k#kZK z3Rf3%E~6(`1q1&DLtY$oA+13U*6DxHnL|g->0gIwG2sm}_6=~X9ZOH{7aB`| zLpFe)TRkn%;mvJ*PDeGorWH;uJbEYul;%51E;81$YKHLcb@vqiv0YCIXg|k-D34ng z(mJJIhSdkc2)l#=8v7G{;FOJ! zSPCHl486cFiolIoUxI@A8bm_PgtJHWdRoDeo!fhe)?#>Mwuf4=$jaXut4vM7({H{b z0M%UI(U}FVM`awX)^X_gw?qL@5~>q&PS#N{H1GX&SK9_wN=QILlzsJU5jGU)Q5t3R zkCIqSA#=?4z~0c4cQ}LZKNBMWXF8P*=E0><-aNYuYGZw2#-84uNaOtZx-*N7du-+% z**bbGNBE0d*GaPWQ>hJ)$Znf(!Oe)LortsOy_zrPxdO<`9k~(~5;SDLL)DR?96qYh z4>Rg8AEj2QFF`i(g{l843cVhOrV37=y1!|lMl7=Vfe_n~)F0oa5?E@fJsL}g&E!)n zAz#|9QJ9#ZKuKLO>@u|c6MF+Ujape2?khf?J;+GDJ0>W7SyDXI6jB*7hUIM#p7RuS z>4wqLrl34{`;|NEp@!WSXz?4vY6bRgKSH}nLW{IO(}UPD^Ud#vFvW7eL*t8@0g~Ho zttg$%EnjYu?8GDhK}{2cY_&f_#G&)Ud?KATKI+P|Fj=zm^Q>u7Gx+M6CF%)3I*1Ct-b1J(@+<`}U*S zqPz0xu7R&c#g_|%A3;)rs3(>+9>(aC$0)8s(&b09i4m_FF=~iW=H4L=ITr1yc_ytk zgO5w!w!WrC*Bz&9i7U0Po2(CA4Z5oRd}R6;O2l`1h?{T<=E=3OLl6Z2)Xddy|I6Sk z#$|dqlo6xId4MnqJj7)i5AdEVEPJPlKE@u)oJndumaQ zDYmG1S3Qpq!O9aK!PU-8@G6&=dW#JW5a0*?N&1Wj)|(plEX~Y<&!=^UDY8=)Hu4sF zqnOk@qzYbhu?G_K9t4e*Z2bU{t8H{D{O0~1c#loY8;n~w_gq(d{^hTX^e$q%`5Y!!AQPRL*FRBY|67 z|G0OoD!lfh-@mcf)uk%kU6nzU{%OM6lG2>Ujf!@JAg6lgj9lg4rG|Cgia7H7y!uG* zt_i@q?*|A2%XduBPY&GQM`ns073T_Ne3Yq~;HF${`gtpM+{jSV>IkeH{qlrPVGwGp zaijM1;TP$GhM0i57X7N}4s2t7(6Np=Kw1X`B8dSQlMZ&_V5*b+tRjaEB(n~TZajWO zyxT-k27_ufi&XGNep?k>K^ajwCBDtth|}(AVDjOR!oJ3P4?s-#XLL;~^yRe8m(Vs_ zzsxs$yE*1E$y#3}avB+E#{n07uO$`C2e#KT7Kc_+79xqsfsxr6p_M`8)iYehXiPaA zI^6;|zrPhBAH4&rpZ6o8y^i1OvFaicv3xv<^cS3)i9tSL6QaWK^n6n={uxl@#6FwT zCWJ`-%Y0yWi?oU5XRxiIveJdO8-PYa*l}_@TDxTa62iB`_6{^|&DnV!)n}`iq8ZKr9-Ja*SyK z=2`WMs7f%T(HUtp&Ctqn$PlOq$u2zcaBwsBmP6+|&rt+ivFHShO+jA}e`Cxj1s09g z#rSxg>UFZkVJ)^qSTM09Vs9aoBA}OM*`ZnQX`}?yB6og6@rOG`D8^geTdUE1Z!)31 zg=*qeCS|Ps7=?l)ZxPgX>~p1)@Db%q{~06N4z45;O(H*TQZ3eE0^WE@gIPZx>j(Id zpiW1Z_lZ^wpTFIbGQ3$IfeLy9eb_<=B<0K^LlmeV?d~Luo&gapX zCBTkz*pnX;C&oQ#5s$zLLlwDM2d7NCS4$)qS#5#=+fj z6jI^ViBi`D$=U)f=ac6W+TT-*RlAA6o?h(L7e7|HYtT?Su`qy@)o~Iv{E%^ ztF);CqtR4mKmd8EIG>^VMbTi#p8IBto(oM2`*Ex7P)D<7E?&oAsitT^`F|%K=s2^C z?F=gXnF@oZV$q}s27?t50LSE&U3#pr90~;zo07%7%CPw_t z{`a?$1D@p5&A7&8NTJ?c(HV$m)I@;QGi==YTgjg&tNZhO1X}LJ4uItj!&TgB0gWn` zlCzDZl=+vCr|)4WAq3w@DbK3a$pA?{+5K6(_#Ol8aI>C~r^kKv!$JaJ;=cQ!*8{&b zym>7cg!uTc`SbdqRpapoPd~W4tl{5KVZ7Nzz$2gPJ8*2zu=xlQ;hn(nyhr@pAmp76 zt9J8v4xFx_XSYip(B8`U`nqRp-jO|iBmH^HZv`+5K@7;Vefbny1p)w>+1G@q0dbIn zYGs$A(K%GysYVRXX<&^LT%Z!I0IH5(kW$i6JTyeFCO)gIK@k)+g?{6S7T$w3RrEFrZfcXk^;T!By8Vtb*FiZ6LFF~L|K5lNcG^}yHq`siuRSQFg+ zOkmVPWgQW=9zVN=oH1|aS<>+OOmv^D+Tqq7^j&n?R0{`>4?D-3-8PIjb%ablz8VV8 zE!8qsh#T(1wahc86|kC;5n^5Ms^!a=$7=v&*CzP8K-3Ae)r1hsMC2r4wZh2NaR1~j z$Z`*^vqXqXwkb5YRsKafGN{$<%)xda_%EM;afVqH!(d=ud+sg3+%OFBkGsp;pq1%& zWW8XI7h*mzu&0_H+&KbQUaeAtg<>#uV0;@@LLQ2X{#i<@vMNpUC5{p0ep)%rwG3-D z!^F0)a|A|dFjU~iAZxB(_@Gb5Oq69SzOKO?ECvch;#UH=7DV`T>IK z5v7|lPl=m@X=^J=|7O>%HVPOM{I+zJB`cJ;Be4Q@1o&vdxr;!lARtI=mjd=FvHSJd zK8+r6mD)8@v##7Ji$26YRQ9+IO{Ifs{I0EcdE69+nn)GvtAs)0?VrYwJ!mMqb<1_! zydlSZ?@TlkDv?^KZL>s8!jJgUpk2EP{4}U-lIp0xRRgg)Uf9tSeorkkd|1&?pffHy z*pHbG4FON+5v2o9prsxuDuAm!ceRKpea;qC3{aX}s~^>W7zq;&QWO_-B23 zMC@q>NF%QHfYNDb>sz%{j+an9B4!LQ(qQevXFBW&;-Oj2g=M0bvwJC=X0*YSg=N4x z#zGyClmLNC@K2CK)2AEnCXS0OF=;T%%SaMsev4B@VVh9%L1i53he1t2X#PnSH%~~? znM3j03q^Q}0)Ps-nNPaagh&<`vS+&f5d3Q6;Z#f%qG>R~W^=?VgkB}0!wL)`u8USA zU)}js$~^@!#w0j14$tt;dkWo`Br)9{kB4m9p=-Ub*BH;XKZLmAGWodI zzl%o7%J4!KQneW5RMno+*_ju>X9p!oT%|};Va@gZjLd7tsLQ4H7)MYGKnIw;7A0Wa~a|3O@UZu zi|1pa`Y*JRs#sV8SaTe?(`gw_oh_2Je3@uG9)vOV;~;kM3v$RyX+mZ zSXzwk?)=Qj_|g1LUvy8{Nd(AQBA5mk1POy3C3rj|NgHuG#Pf+N&DDPsB^abKuI^q2 z$Xs|#wgd;kLDbS7_qiCVIZIjn(yl3oZ9j)dFLnnS5yjEwu-e8>v}JZOS1XvKTuj%o z4ecc- zXmQW9^D*3TYvHSOAA{blr)P>LST=GjAGN>8VC`CZUSDzZCX?Kt2*+4)37X_&y5Dj}j_Yv!cvZqDO(PG%^*>j7K0 zI*}EaRMl$FNk#223R{ncyO|JlP^&p&7DCYV*r*plebovEIYMk&wh)z z!Got+Q7QEq_LQcaAr{K!$L;px(6Ffsv$>s3Bz?}7?WXz-tFk%3W&S-Vaoo{m3v}`t z0lwSY2co{5Bg4s!O&jFPbSQ7PXW+{+mzZKK6O=Z&L{i#^eDXyUi^F~aXo2j6upZ0fR z8hPoGB7tTGk~$`-1_MhK5Z#G*jbb#Gwd6~UjjNJpNzSH^*tz+ zW|-62)cBHTAaE%M>bt(jt=}njFfp7>1OP#WjuavYoSXFg{PSvhUwE5a`m?!R8~ zK>6zxo20*9abhD7$YPfsS_`a_LMb38|9w+z;v~0HPbk-AB87aX4wbjSH!RFpk^G#Jhes-J?Z#V@GagA3X``z)Y zDbQLE`PC6Lb0vMY3VC+3`%g;ZnUXP!W@L-;Q?kN8-!3<%Lp?oD*pC1 z@Q#~gp4CcmK~KMK>MVdhRF~`9Pkw1`|7YJJ&)V0lZcktzaIKtW+Y=$4J=t2yb(I^K z#tC>XHKuz6DodpUz>iP(GF@uasU|1yU|&82tM*30nC@$t6Xdu-g7v^IB~@}0g5bsq zxsyj?pu#=>L2wVX1+s@LL^p+6+A%=|H6^l)-a0l&Jj%5|FDi3ncMtJ2B_s%>Bu2I( zDYh`fz5+0%GD#xjDoJE@GU%%Qbrw`I2^iDI(KvKxi=@yAz(wzT8y(rW=U#;BZGgXDJB$Fw^TP?*21Wr^MoRY4 zGNwDKjQyc4ggNZGx8(ZWttr3P+{Z^>uM1X2feK07m?Or9THBcz9v+(_ zAsv{ZAN^w(bL6@7Di+CLvld2L^9+t6k93ppnCiYNY1%E1n4-R%ELRub66XbHI1I%s z+@PEyy57-(iA)zF#wL9`<9jT01!o5TD!p~Wl2G9yG0sci&O%F2R z%{|oIpuua}>g`Nw*>yn*ugg$6D`a^OKnpyU#tJ;RF2AEy{hl8NN?4;nM3Q!pc;G0= zP{mEz+741ksSp=-=fPDH&V}>o?VQ}xu5r+=$Y64!fYuFogGFnxG4#9ckAgrIj!+}% zc#7`k@!tL3sOj}qyVcO3*eN?DVm(_af`i;_W~gA!H}2O&(x_rB@0Bb2fPg*T87Ip< zar2_GsG_O7FGx*NsD;LdhR5dmqqTk8Yx~mHMZAC?xx?MXSfv^Mh6d+%XkJagR^|KJ zu>8Sne-VqmW}cY1UGj1t))s2*s`WZ#sE>XkKeu&XO@Iv-i$<|(x4xSzvk)e~Np)4% z=0$>$zeKOqwzm3|sAEmMU?@>Aj}sfS!HGEbt#E|o8iZ2VVVLaTqwU4v0#pq}!$w!6 z7QBXKr9eHXF~hD>ZJYrR>mTre5|fws?pt zu0WG>E5`NtOLA^*=VNol9h) zmt5^Ykvzux2&zE5ANUknA495VaE`FrsWEa+-MGVX?C$oRUvZQ2<#lGiCCm#wgwbuT zqOES9nBxojL*PtCB*jf7mhbp++?1-p1g@F~2P82tqczm?6s|HCwsb4)SS7f&Zs3(r zD0N}m@agXKgcvZ~Jf$3%U`D4GzymhtMKrF^-4&Wp;7!c+cvhDA&^Z-0DQ&Ub@j(|9 zUcP~mrFoF)Y=~Z?I-Zk37dy*dgMOB;Mxt4P4%VXrEx!iBY!Za}n)(#ie?b`HR@qh2 zK&fTCD1O(^q;is8;rlL^Ymi>c(I#+mXP{usQVkj$y4lx~_Px(z&%gvs_8wL2sHuv9Na_rhUM$uH3D2 z;B#VUln{qsJyOIrDdp%{Yz>t?SE$z2;S!Q5r3tmv5Z$E5H|5GjRh@g_8Ni;9NTtBAZt)ZZ$ zY(s`jfR(~bjeXnv?;XK`seMt+cJU{iNK7*2KEf;jR-8bZ3*g<$TrL3lWcafp6-GZH zn~Z_imtcJ=enIZ~?m1~?1ZL0A+c2CF;^}9>=(KRgkDa@W1jZU_A?xS{8D1pBWh<{CO`DwLeXaPt!Wb-24{Y zUliYws?TY(wU4G=E|POdMrijUJs5rwkKv?>7!Wc?1|x`2nlrnIAyO9p@Qebbit5uQ zS!<{KLgrcPjZ&uqN{p-o$yxFOfxW^Li^A(S@!Vh?jS5I4T5kp!FJo&aJxty-8!ZiM zKKFyQ9u!Z5Z6l0@c4#W%SG`aMNRN59-4NS{Yxo4S|GAuw@g_4 zNitJv?J12M>%2IS@uzH;^GUIacbdUF_Nsh*Ryl*>{8bUAICpS1`E5ASoeO_3Gwp$T zb8{d`kGfmzAd!r*AWR@iCXAOvaR!RXffUaI(n_xtUnt1In~g5%yfC_J3@F9 zFHxG82+LvG?rc-vS;sN*_2;1aAP2pM3V{Fe;^~PWW_`jW_BL6dALz9Y;eC;-sB~!U z>aSA(0=%aqwWgEuBV{7jsEpLY8%@w3HR+o&aE9kvMb@>CsERA+QyD;f($U%9(u@)K z73a07I-sY?h%cm8Awp;^F@urVB;7v~1v4aPY~zYaY(N?SQ%)EQII^gGPMb2cCrv{Q^19gKR)G^iJ)vr2F z5fYRZW3eUX6kF%$jLNx&}%ano3;pD!=X zo{HAjM7sloO4#37w!*HWZi?P+mX*7HFC=E)(e`O$5K(`D_g ztIn*0X6)2)V3BxVtwx><3uZG|x7+5qx)Zryr{5B$%fr&!T}1$%fCeg4VfG#M{Y7Ki zJBIO%UF|VJSwPL%`=^~?t;ERt8l}EjsVNUDY_}SUM3tTZ>p=v_lcK`$B0gjwEhM@Z z{2aqMJx(z;a6pTJpQ^cH|1xL z>-U3hm%_K?cA10jUX!aqlCu+cdrLIxC@iw=xjfLhZC3P8nlNVll)6)=d8jOyBSQ>j$Vdh?csQV}62cjZYb1v|S3f0PkTun8 zu8a^|Hn^{E5kQ)lnvydUOfk-v5ae5H7r^#691+KPQk$l>FS%G#T7zdGTI#n zf_alNi@YbU{;o_&JTz~FSj&SR1d?Lb2SeuweHgm#csR4acbgjbSwIOMXyw1Ve`&J5 z_mz)*_74qHgBfWzC18_k$cv3GIwT4-Bt%Vhsz70c$u~Rz;ndMZ(%bQbT0_+fp1vgI zX}=NZmY(&{uJ9wfbbp3ZOdWZy-O%W(-b|*913-yO0mjf4RNiy{ppb`EkGM{4=ENVkx+z3{a=k z%J&Uy4R_pscYXKLYugI&DB@^Y_92jeM+Id{j}Zs7`<6V!%FGP0;o=mUq1a#}5ohCKK?wn$}j} zWzlals?TM_!;`8HAP7DHw|u`*CU1tQ2;%#*XXG<~&1V7C+}{#RrLsETYJUIGZRrPO zb#Est@{1dRs~}r7R)WXJ#U4EJB#dw%G1%uF1rmhG%nduSz(ZI%NvPt=4*<^j%Fc?Q zBmDkr_K$PV2k(JW#$~dZHdG>_I~Dl%0(uXd%Da*}qb6q8)8iOZ0&Y?CPk{k6+7oJ% z;0?AcHrzH&E-PZ{tOJUsv0~3z6^7bLrUjdL#I4)s=mMP#)ivyb_0X}2G|kuK6fkk1 zCg3BWNXx`n*(CTM_yg@799#JKF~v{A1&GqBx?QbXtk>BDR10oBsQKW`71ro2msE?^ zq|3d@tvZ`x{k6#aKy3chYPRFg<}Mib8HVKVV$Z7ZyaHD~nm|#A;I^ z@1}x#Agd=t0yZ}Dapq)ie!&j2g1rzefmr18WQC4R4)QWs$QW(OY#bJ_$D_Wzz-3;I zVf%-;naw*B&-lqOPa3w1fP?H5Cb9NsRwUIi`8mNhT)ph95NXRUhIX;$8*t)+uf16C zi)`8i(1;}<7zp5(izD*Mlp_jxNMaUrk`XQhmm{PzfL$3GV)c{q3)MIz%0cI%J-C&C z++4=09SEN=(khQI@Kk{K6lJ6msxf#-3Y?~bKgV1#rv}wV#Pq{V0}9Fe zO?PGSr4$U|Xe9;WX(D0HwYkokM-7Y7XIF`8yQCaD;6iX#0_uk+#iht;k8%ofb63_` zoyM9R`h3lh^qaCr|F@maKlRQ3c{StzHJ`%rzno9`(=}E4t82=@1T^=jZ2DC&1u{(O z#VDc+W{=bdG{(UEs+wMk&;dv79Qjs2g@Z!S0RSwb0sVLHjDhYy-`PKHQwF*}PyV}D z$M9$ViFD*kKnMo!<~?zl)%?N+yJX4w^He0XDq zQSHdro$Rur;`X-lg~ntu`1SMhh({G}k0!2F8``C8mgKWdhaaEy?%iD6ou6e}FAK$1 zN)CnagQlnkr;-X3r(4*jW?L`G@awuhTP26Otmw(<;C}?W^N5&b7xeY>uhPle%V`87 z^Y3FSSG0owc@bn~nWsVY$C}jlk}EU+s~7MP3-Vf=N%mYIJO#Qg z3zoRQAoFLy*Jeuhg{4c=n5!C7rU^lcH7iZpdK_^^dKQ;guGljzOcc~Pxh%F&$0mEYxqtk+i5d4gly3$A|M+!}|M+$Dd>Vjr zaC~dqKyz31vH+tNF&o!_BzOL4I-&^IsKXhXOgPeOy|o2`IFeBsFx+RapQ|^gm&{q(Ii>@fX4aWUc_r z#`d(JPQ_0@f>FVC4v=)9^})Y=>&@@Xn^+?S7w89p-Ktmdjjm9~V78Ef+7WVUlK@Wl zWpgFQ6=h)D@&mb}8|%vWi?0(9Ksd)bphY2rkPW8bk$XQPJnJ@-sgg3vXFP9JCkmeD zDbn0RAstbRjvW9mjf{&KuwWzaM3-4t`T0swej#L1QTJVD1(Y}~W{b4K^qoyTca)#Z zPkJB$rkP5ixVKLb+NkH2NpTdPtB+8!6ePVN{rtBW+5Y0ztUhoYE4AXG;H8VMd*fU+(BPZFXXgnbea0+|7P ze2}I=zJphG3a~m%!ycR=UlsMXCN_ol&lp#ThU3M|y%Iv>7|HBvT$+&Ij38__*&gix>@6xPkz`cci`PW#_k)MB zJK<9_G}DV^ro=enbJft?nNVEi$Y60Lgr(2Py))n}h-ry8uEK+HxQ1Dc(fU%Pr(~Ek zlG68CE%iQpU^f6ciol!*7stWhjG=iZ(jZR5dyAUb@<9I-1>z@17DMbwZ&rWIjzjaDi{?#ds{`XF44p9tylA5Qs z4Vz$ul(QEms0r0iOMPovfllzWM3EwG;Mt5w&rUsmmqHyVVTNs}M(Z%xS*if?%t43kx95R~7FJFS+}m~%RqQtM z{T201Vg!5XzaGP=86B#27l@!CjC~;ay_$n&VU*`2`KwGQIM*FMN_I7bGD(3LEKvB& zcR@)v^KV*?e=nv?B`u1;yZp15;zkOrO2z z*J27occ$IlLg4;zpkcHaTj{q9CXx&$=3N4Ufp}8c29m|-TqiYr`0HAvkcAx9VCLAZ z{$iG-;Oc_}tvd=-(kZB1`ovl*>JcskN^6U`xF`}!ag(BSEl_@Ls9*Z2^TR?M#p>8qy_eGt*gxaN)iYvtvbhvFHv#JJS zD!h~AI0iy<>e^FVle*MmuWv^DF+Nm(*>x?h-LLvAF?m- z*AX*h%%2_VEm=a`RFS8hY30{wB)8*_{(9NEG)zZUYHh)E)DHgD4o20<<*VbWrs0pA zZYw&v+7-=btM!MY&K|WN4XsMoNySg=m+zJT{Jgu>Q8gkjJp@(VdZ*r9!hHvZWdUkZRujp)VmmW{c>dplJJ((iy zkuJK@^6_%>TV59#5YBbZt8;yg+$%AnyH_kIlf)|ieloaTLDrReyWWksC+9zW9c_tf zO2P(TyA_DR4}u@2y&05eU4QX)tzUc{f_L}H3Rx8#X_^izewwDWNSIaYq2svUS#8-L+kzNN8eZ?8FIcKDqI|1T0$-i_D*r24piu^CpQ$dgk+yayxD5aemj z4n=i3*lj8ZkolxHbucTK?Iu2dsx(8%{uAm8>8nnn^tsci1@=}!S-4wce(B$D{eTWk ztjq^(CIN_W#CMr2(mY{|DBbsySTLZ=+~k)|E~PRMh;>hseL}$}emQwhnvY35dXvMJ zy%+aHgm}%+{e;hUcH}@S*A$Z`o^A-fR&w(&79__YXTJ5}N_G?vFrD%)HY2OVuM6e8 z+zt@yOCd9&R1MsZa^FVWqsY({_H5(NLE<*Py_H%apXn8)cyZF%bvrL9R)6@jx;y-%$U zbEo}=*hBThY`w3EILqkO)TGRgqOWiwfXCO~S#3iYfF>lB2kXm|X7-zfg9%eOd>xar zqOvEIN+&pBPPA9L*YBgJ_HKvrqTPMFw1d9p7qg|dRRy5i9gyksCU;r37Em>h0O#*~ zUi@b{rGh`PTxDS&WSfPXwUcFovm;9jr@+^}E8BkmzShm46LpbZ@A%*}1b6D}AoGhZ zEPD8clQ~^KtxsBPf^UWOQpZXl;Yo=N@&9o5PEn#n-I`_Ewr$(CZQHhO+qN@L+BQzw zwolsX{O_&q>Kfgn>%AZM81WE09%8N7vF10YH&{%d=c^< zlz|UETWDVJr_6jTH*77r_HpvGM;Fh;GSQe1L5jt#;{_NnP#ZmJB4}QTHE)3#Ck?2k zm+O-DCae*sNqd+t9c!t^>8vhS2x==t9jO0BOW5gzcCxB^L^KXJ!f11lT2l?DWga0R z41y24mTY^r*tqY{j0+&e&}%4f zblPvl{}E&8(H|63J@jMY(ai~f?jex*`c^pvQ77G$!ueMg>h!)OVEF>2`RtZTE+{Qx zxO1Ou->A4UN_3ipCY$rBqe+DZ#-DPfD6}I|SE>K?c^_{X(CN7gX_T7jl*MjDSr@Kk zR)FY6PU3GhO#@>R;h rWEIbCsm=!!1cd@^n*?UmNeb%wWIf}tw$d(0B2DFe7JT* z-!uw6Wf3`!rKqnkI>v#QQbKA0Pt7RuK-m_dp_xX-XxG8hX+WB=GjP(NUzE>m9W+)=?9gx&C^mb)iH7A0l9+wbtxKkL3h%GD9=i+cl4& zvEr{SV4R}p=rMNsY_KfWfDh~yooGRB+)58SG)40~&Mn&E5WQ13#%#RzXzUwelPFR_VbI7_A-wxM%xLSKU7_QQNybfitDyXp$foEm zie(}a!(zs1vOH#8tP$8FDs5#z*wgJHCRfV@!jcIZ$hKC|3jAP3*G|knewejsq-4Lj zlEXUrblS9YWc(B&xS1vq#k1D8saNNwr)E&P=f0A=?kyzpb;m5hH@#Eu$DDb1VHG;zXyGQa zIjjHjXN$N2C69P~dQXY>g(nLEUh&jdSKS0T&W^6mSMAy^sweiZDDYZ^pu!*6LTtBE zg5MwAz)t4RWQ7Xyvh9SQ`$e|w*Z99|Il9Co1n`Ht@Sq_fx~Yav<+iSoH>)Br2kq8W zny<}1mIn^7m~jCeMLo{zQ}(Y~v$O#R4DLesA=BzXL5x{Z5PZ-ez3*v;(YxEyK_!X;++oj``{zVKcaqHv{5J3hBF%gbSB@$%(~1 zZc77;Z&0Tjw_I!XHe)t@DjRq%Hu_jLE7Rz62|zsT>C6_qiURxnh_-*w^Ok+*40B3n zWS?|V+Fe{Q&wMc+3E7MyRG`Rbk>W|#&dCUg8fhjbNOg11d1vb-M*#l7g9GfF0|Jw+ zbM?Hxwh1z2gKDldj75Dnm^$CN4uGLxYVei&>oEKget8FmGwJmOJIxz-r78E%+GEF_ zyWbpW#PrM08y`TH5op3Bhbq|hN$A7h_kZ78h4caTGeC5HWkjrCSJIs??dC6t`G6SC$Ty^xI2`Y~bsnig zv-BB?A71G7NA2I)UqT!hO77xCT=$}p*PFsz1Oqx~KF{v#3!TqZ^0!OkS6hv1kzJa? zS0z>19dSX^cfs7fgpJNf$opmQ+3l$wkfY^mFUwUr23f-gg}$Y^8Q-&mP*-^mUFEn+ zipsBVV!Y{#p3#HRJQH1_s2CK2$$dZ6#V=#4aCs%v)r^Y*0%=r}s`x)$s4{Q-IT#Ul z#JtE>^#7=+N$<_ib8NM*JNKner5DRD5MOmtMkSBo>$JdAuTcHBT4T|rdoR5-X|^pH z$5=G?S!sdJYRC%$0p<)y!FISdevYa=pno>PnM=c!%RzH1>Q8ESHa9=9g{~PFZ@4te z$nLw;Pvolx`}rFk={&F!iIa~b^8dh;&i=jf$~T3Ol0HCf5kU2A*h>xKabW152#jfY z-mfPw4?Md%G60jM%n-O?iWp1ceAWnaLxNa55=NNcGF3tLC`^Gxde;MU zQE+8jqrxpd_cd*v@YFq(*q!DSeS<_gvpGbyUHK527n@5lA&2;xg)`oG07C{%?7A{2 zloBIazTSzL7St8okK3ZzN5|?0jez@`${W^tj--49-jEJQw-Nb;mDHjgVnrlI*iyCa zsw4;S$9tw^CabzZ71K)2bjhK5kD%#BHL&MI$`WCa?cX^c#>56Fv7?e$v4z()=J}x@ zdR5D=J$Wq)y5n=#CrRcVp~$Gi@=(eNjRgJ~4K+#!%1T$G?0e@@1bM$*YP z(MoV;1?Ejrpf}8Phz39x!)H&sA*r>%z%o&YpJxe^m8F5Fy>zO(*F|l+wicXHaz1S3 zJ(fe8s@0RXvEv(1e~;vHYMcjxmqdO8DBN}T6LgL~>!@*4Y+VA?H{k-JqK#j~@z#1k zCI-fbV*J8WCKLfGYln2-=;XwMkWY|}2 zB?TT~Aptm#4bhCgUZGBF_W^89+b8^%mSn@RvN|m1H-VGwh8nn%Jnnzni(PB0iO5`kxmCJWgFT1r@$eGcYcZ7f zUx9&OyJxZWaaqG1MtW@^hp0I^jGaCQIEyvd8#W3%G@vvU$_Dzk7kv$C3X%+5im-pd zu8a{y!7lKm>g+)kN$cve4g`qM&HZMEcM8p(%TpvKhV}xzPRiUy17S{EqnzjVKQ_W} z$-EgoJ@n6#=~Ug}(Mlx5L=hh2^--)bOsSyJtO*_MFb*gT z>-403xw)! z;Och2iEKkFI7{U(%nb2njtjs~D4;-n?=|En99nUO^T>=i!YYD>32w_?LRUB%*IlT_ z+Pnkm$dG^=flXf*296!;YxBsfrkb>PEaseDxc0QD>3aIi4t+Ls_K@@splr) z7WOOXHVr5Q_^?nz(}nX7(rTFiH4L@ewQ2>Pw9SmnUXPaV+F4`$OcR$q!1!6u0`97_e$Q1~R9X0B=(G~uO$`j= z#f^V>Whj={@T%N~dY!JuSG;(^SVHAY>g1F=G#^e7rHHtGpyyrrEn(zN;RYbT1~ijh8@RIhRiTV^>w~8g`*=+ zl@w4$^_y&mm)s9MIlyDx^CPFR`3B&(({;XETX?xllX&Cx_crM9pa7cXHQ~Z$0c&z; zW8M;q<17a@mP`On0tM_=JCWTQhr>?edZ3FssCEM;LL+!x!U{1rmcV6qkC;yITW`TN zZVWslh#hF}uw)1Rel33Y7|wqoC=En{XcuHTTns<^%(5!1zx0Q^lZo&LVZJ|F0&b7# z^8s37RhAin9?lWkAmJgEW|@HBJ$?>BMRyL-K~ zYMAr$WSd>r`2i+j@Ddp+2`?pSWq{1=orA+i5qvk(Rx@mhdg2NYZ%pRg=6W6TYG80=!dm1BPYSlrRClhs%opMB#+toQlz+6mNxLl5FCdGp# zf{uf%jJaQ?HCIbmM&uXm#jX!G*|IEVVnNmirK)B1MJ+#Ua;XQy&wLwQHfccaCf zm?OK-?B!+5d#NoAd&9Ju?w`rS)8TI>CcWQM!hNm9eicd&@$0ho{U?mab`YrNVMfob z5tP8|<8FLPQ;EY)xPJEO29zmCt$W}Ug?)8GX2uRuD?h!0Ko-d${i1mXlZ$X&E4{Kco`_q)Z?-{0N0X|^$HN;u$qk-LU$ zi^#%UJ8kHe+Ygra78Xq%ybe}-PPs4&NaoCx zH4~JfP&>vTNRkle6$@Ut0@j?6?E&L3r8J681`Pyn5Fvr07fpy3N55+bUBsj@r~gDx41{RCNfaXOM<)hFUadgOw!^(DEIKs&&^smB-L$ z;C-QpkfA|+1QIz=NYBaES?wZW0rR)A2#;}c@XjttINzC`cs*V#r}$Z8N|zBBF}|Ir zK`_7z5vw3St)(-g9Jr!4`q-u{F55;Z=U(d3x0&tEy{ElI{f_#Ypnr#Bp(u;U!+2(3 z+RogU{9L_+z}#aQXDx^^d;Dw7H}|bi4NQ^Mwg^&9mk3q|qPU|W*$R&b-EgFvlTH=2 zZ{|x@K!O6%IME>VzG;JRN%xa(1nZ{HG2UT>^8kQ~@FAj95sEdKk_8=U#-0PY+yag6 zWku->__ESj&C=AT(O-twbW0Is#K;39U6S86({*QXR+21DaX4&8e>DE8L-@U}{Yq!{Md zbmF(Tk{aizSttD#R|zr8^~j^AD=Me;AMwhH*;q^;}kmk;zv3P|bmv z^uTySq`|adv>myvPWh=ZR~k2_&5sp3gGkO7cmR0tX}FuQ8S}9!AmH6ZUT6XLT%r)~ zMP4<+du9yE#h;jIMwvKr+bQ!S5Q2;(@AT`@eHy=DW=w_8gu|Ck1K_qTW&oIQq9jBU z#?~kU{?!DSLW^;r!J{lKgbqGV+T{ew+N?02O8b=ra{)FSD+xke>v7fT(T)(5jmnT| z#i?$2%OJYbG)W0%)HqDY+^>gxt$5s9eu1%*qBrdGVe`&;_FIq$&);_w;dh6fq|m&%#LA{oF`NG{AJ29k4fm^%!f6yl!vF2YAQ|% zFL0Fo*FyTjN8TGrxNKg*bwH<#XYKCQ1osD5YlLW6lttnw>}Y=eXpmjj$0>p9rqw=v z!YYlpG2MsYfJnH#bZxN4V&Hkh)?WPE!)qw0y6jl9P@r#FHX+2fG+{?506aEQ>LC}n zes2!08a#f>qAp%OKpKjcT1{!Xf*0eC%+RfZ$7)tSQpRxA;_j>J`zTXC8@v#pL}Yz4 z$@tAVOHuX=*W-fimCBj1=vl@&^w+ro#XJ(NYYM3zv6$2(uTS~+y{wEEmD`8%+0AuJ z#_&6f*ejvfX5jAD{ahvK%@OSjze=Ot_Uie-T)Z_@aJPPS4BWRs{1OX?s7UCqg?>%s z5fEjBlZ|L+O@Q6?yd{CODa1}Q_Cu6LJ~8|9+h^4XPkX2CI7{I4^LZcombDm{w$#vQ z_1J;^Qh?QN%^Gg5_SzRg$~&ij-MQyhl6dfMoO~~wmiR#M?eH&OhmRpM3vM5A zziIao$1=b^bR~dK<3|LW6Xn-QmKqyPAZgGY3~2_GR#jZ?eOOS(*VcmM(>V+beR>A~VSpcn<*Ly|Fxs{A;L>iG8rln? zwfy>X)aUOl$unTi;lrYFr}vajd1pu;eQB_vgNo^;c1>lx5{L4zjxlf7eCkOZQe{}8 z;`WeBBcU7%lcDZ_3eWN{Xp`*-OWD=c>lkO2w`KOf)1Rm`?XV?_`htob2tqd+UfBxI z;bu*73^@nEpG46Jr{jzjJEY9@O};isp=IA&+UYEPRifid zrS*sZO$`seia{*=rj0ojsvc$DdFnxuu6oK;Sglti>eZSq%fvnsH1MDQ{VY%-quY3i z5TeayqasYeQxHJUHj)phA<4d?EFfRg4^n2_U|x7tqd3!!O{mMtK<)R{pCj)EfTEWJ z{rHIx-v`$FGl;BCJb-CIOV}eBWlH4YQE&Aqlubd;Qi6{`M82hvyy>tMTLD(Gu^5;? zcuKp~i&_S{5K_gL^cay3_ii(m4+h`%T1F@i&%4*bSt_NREN z6Xnp2R!}Sw>xI$`7~YFiHNz}LpGJQbj0VbRi4|S8K6P?JWmm+x!T0S?-%b9|5kg%=ArpIV@rsty9yzXtZ|FBvdNe_2O@# zy%e%y-HM+e41y&cJ%4503^sGZ+4Bc=KDF9gpeYX=hjAA10GWi91*dHq49i?gGF2DS zkUg~vsa*_SGx;O1TffJ6YAfYooFG3Z2R+RxeH|;&{B%d*)K`pj!JpjwO_yy^6A1f$ z58|9)6J^hB3>F)h2?EsTWDM-KCxTGAIA=gfiU~n~ZcHmfDuO{|ai1^fE!7Ze1~O%n zhaPI+CBW%b7%@6ru!>H=^2s6m4q}7(aedj5dthE|V7bhpv%tsOE&(H_{Vl8&6Fxhn z#hsQ2N;31u$LhWxbS@pyYRJL_Pcb;}Jnp`4Y%uw#!PGTz?kA2aZ8=Pg1Yd1n#jFky@{gY{%OSnku679b<5VmSUbB`0WSZJU! z1Cw{-Naw<7fg1?Z9%EutR3d^#!SWc1DMTDXfxym#Hfdi984zG75UH@Wa$tAN_r7?5 zeDrdkXoW47$pN7w*=r}Hs;(fUBSufRBhUzf%W1oY;9{L2Ay_OA4lIbp1^D&Xl}N8w z^PhU;9vAPweSr06!7$j}1ZCJW{4*V1P+P~;YQwkXv;1t_)1Z=xHrr=w5^j$0;BtyqM@t+lye zN4)7I;k;8(%Egm1+NG^x68CBtiOp`&ztfbEiWgk^GC&lbRb=%mNLBka{-$l+tpam< zAoi$#hIN968fqSs_1&(HA(8$aUU@g;Sg?as(V2A1qew2#By38EH1RSdWH_goUbdqU@l&BuEO@?#0OTns!u zWX8UT&d=fgegc1gcy&(62S?57dbOlAstsViy~#q}dfiq1|(~fwy93=WyH&9DpY!1!-s|afwAvfaDs6z3uAW*|uXI zKR6SYsjq`AE|b6%q|&1T45_Y~L6{7Jdl1}}&J~B_vHr6I*z5XiP%WNXpl@n}n^$^~ zsXmNDKUM@-^FE&09^~Y!BCBU+*g5*?)JC~Xuimst~>)OXR z>A*gHYf^k${G?iE(1jJ=9A@Z1s}*O`E~%+cY-qbWDnWJLa|1GYkiSq&iC}|=vx*** z5u{Z<$6mZ=;CL+uj&2sTh(@DK{XEF-em)XLiBM2cg$blw*DbII5wzP7j+mQaw*~cw z-1aX%?l~AOY>Z2A@};0|c}HTkRJ@6Tt`;Vuj9!YSpmqSFE1OcSOUO=qxtYpoNwQi< zZq51_-4Q@fjrqyaSqb=#EM<&ZKD_Q3WSkJ(%(+Uw&5@i(k1)O8LMUJP>Q_iGg&uh@ zLYM-IP`oku9RfJgY%EXc96^k;!^pH&{=}Bopq!5!8_-f7T{xK;-}M;-jah}`AXFK9 zrXz{RDTl)(N(o`^{k0bra?-_gN@ioI#imaeT!YVSsp~(zr09;ZCfBVPE`0Q&7CTv2 z2-<7D5;GL-evdP^1^mP|OVF}*{FBQkIDE*-L< z3SS1gEnYN7%OU_FI0%~CFw7w$GfJq|E)F5HXF%ZSfQf|8#QC2J)a`SC0`(eL!Atn$hx!4JgAFrQuxKx($%x< z?u4?H;8snee5y6(G0l*tP!Imp6iycW!LB}!3#~H8Py4-_7Psp3uKro9!;0}{EIAo@ zah<8P{Bof0fmWK5bpZbP(huh5RPy>;M0hs{O`m^67MDFbIFn95texDP>a2yi^CF5U zu=Qx8mSTp2h!Xe{0RWEh6rMy#RnE$3zdwbHD$E#%$FpAh1QFE3BHEG{OOT)w+JVUM z57+NfZHf(Oo;&J7R-^NetA?s0I6Ym1!Uw-u*uAOgY;e?e5uK=#90V_1A4q^8ZXBx+FY zC^~tClMLJY#3qjIrb@vLcN}m?{2g+_`r6<~pENb?m#P1h+1h4sJ|MN!O>4{062{}3 zYP*r)rVXQAVIh)J4XS%AQ0X@er>!`ldESi8iwEl+MZzqFsx#Y~P;q6hT%e<8=4IPr zhY#8(uqQ*dvSyKoWEJ}7o}nQECEoQ`I*_-ujBA%Yu}wey0C_^f-vGbAkr7(Tb48A2 z>gZ=7y?j80B>qOLCoWU6?Uoq-ShDBXd>NVKx^qul9e(uLa@7%+wm{=u-n!K)DKhxP?$|1I$13Zb&I{yFrEL znaKRimzVk8P^e{3ge46`80&H^8t*{kXl@O5JQ0OqbNnkp%TU~JAsUYm+V3~R>cPbb zC#q4@2(cCCBl46Z$HVT3CIsv*XNcBpT}(7&i;t~1enKc9uQF*&1Wsf|vr99MW}_cR zoESlm*%9%0ppkG~kmqRgNd=8%aXullF@$1MhB|C+hTP>{E-Uc7ys5=TEI5jKo~3z? z8>_E(DCcj*%1Turg2PwCoF6bklpX(4jTIJnf`%PBma=T-pSe2%iz{hYHq{*q=s`!e zHrK|nj-|s2TL_{pwlu`Mcd9Zyz)DLi7(m1!jNrB02t!LEEDdqtxOopW+kX#`cU1lp z95Nv4eUQxw0*7=JJVkWvFBccEBWZ2wfVFyEi^cDI)8R8`3!0M=+-|+56piY#6qiZXnrNWm`?h#f}0sqi_P9 zqitw=9VpB9oMPuX4|&)}m<(?aWOj!^$q$b&6mmTfXE3qCFe%lQ1YrV|jxeG&_|TxT zijd(7lNCpQ+g231_<=L(z{PfONfCOu#}dy6HHwB>6(qv10oexlN;UIZRCv;-Oj9#$ zI&fpRWR-HnNVE?sVv~Tx1+w0d7B@J*z0DbxU@>yB+GpdYElun&FkR|eaYdfT2i-n4 z4+7QrEsXoH;KdBZHU?ny1C|eT<(eL0!5@-OAN!mx@?>9ePad$!UQ;qSu=lBA9YA%v zvE1(94G+qtagHv*GKbTxo^IfG?pd}nzj7B(edP%CFqHgv{nSURz{c9v7vicHhFN7r zjXJ?LVU|goX~G{5vivfE7PY8t6%3?PeRoxjW2>9KrgEr{^FUxvPe>GZDIAJ z*xW;OKyHPd$M~zU!ivGe)9sP8rZ(uiAEw?>zT`Juh)Ivvbga8`01xlUI|DFXUG^S} zS)lCJA1+9@1L#R*Wt~Php?~vs_!h|5*oE5~J{0CX^1zHHBpF)pgVR`^^E%90!e4(k z#{GTKKsPSQ7=_vY{Oxj3l{qYFe4%ge{(R_Lb8ThRb6SICc!-LIx`NAsinG_ziB(mn z-ghuBta68Ptp77dT7kT7FX0*KTQ+}bzsZFY;D6pKuI+irSW5_QZRfefN^QH7m(9)^ z9?K?my}#9!`)tSaSaR*tqU0T~hqA9qkWo{8qgU$Q5YffklB(j|M0H{Aye?d-|@lBZd%MtneD+6Vmf~ zgb1>_>owv8=CF_*?H@$uO%j`1qFguHD-4pEXsM|zt2m^Ga>ha@oWVQD)1-T1G636k z0Bg#2(n7028C!xh8=%0NOFK66NNDP*HP$`?fHl&dMEqkKJ)@zg>Q5sEhWJ+l z2W7MtobiJAqSg67+`(|5bn4Eb!wyengcyvzL>Ssk!NN4h*%dJQrt{4so@Xk&TwH%3 z($88r;p8&}T)NTNaI@=4H}BJCKwLU>)G#9kuJ0_hd&GRSqkMd`^&65pcluw$m%0$w z8_j4Tmp2FQ!Ep~>SA%^@g?W%#-(SG(J+b~x?+g)ni9la~_4*y#z7QC9W{4IlM`$ivKbh{S1d(D3X0Il z=wb|qAZW>}+PX{atv6;Odfd*3tpO-z$JxqpOV|K3z(W0%v_K7g)&sqWa~)arhV){F z9cKGBA@CzZ*ks`3K%(Bl5CK|hP)yZ`t&~!WyuKwZF4#E|*^cKdIZM3QYak@_BDLk} z8f}Zc1n}E=7$ZEK;id|=LHTDC&j@F4SZuW(Jr@2;53zwofL-O8U!{qv zs#>{w%=4>U$xaImG%P!47pui;*$&wf>H!1V!)HDI5j7+ zi1OP!p=%|K&eSLX_HJ#QpuV#6z^`WqON+0l@s-m9Rd-<+m}{DI?g)1g(phw3r0bs!=N&V%2Md{*buk@M|EIm2@K`Mx zm>YpKN$S;}>(E#W4$H#0mloO*nCx$r{E;Mou6ywW9S80uv9-W}GcIA&%wV`gVrv=A zW}!TFA zAn>99(r7BzT?LNZk-(VX+nxfv9ayeA=#$X02;hQ}2w{Sf*$%Nu5z%0MR9?rcB?*Mu zX!!w&jK5Tw*dT{yP-LTZ3|*75hGY@y$kj2J%tb4P!z>K<~-1MP+HR zUTPO8)AR?d^3pDE-jZR^3Mq!cml+H3IF(uG5EJdfD$8D6y;A#|z8|2jVz~ML6-;IN zpJ3|$UeC(H`v0wGWnxhHZ)6tmzx1q#DTX#+#u-BxXbh}j42aVIZDc*9ht2(ey_Ns? zQ2z(P`9B%i|AEBX+qsz9xi}LrGqL>tg^?9z(q|xG;$UF?uMMfFFc2^@as2moRa6)W z{zJw7$5E^Ft6gRKuhoCcX;oC1|FinP_*oVLCRVopy6}I4&vO2M;<7g&|g`kW$;9q?0Lj<-hA`=5`}N zrRHIW@F-0CU}k6U?sl5Fs>|20si&J)-*z!ye4Kp!?DJ*kT&PE{-iE)FeLOL7ZT_@T z&SzSjMD0t#SB)U8pCl#uvcn<#@R#qa`|IAYIL&`EN8je((yz0P{_n2dc8-0$o&D0` z7`eRs^3`tBo@N5P7^bYsui8L=Y1`~Mxw8y7TDlIyq*n$vH@%BMfeg$&i&#JLEfO%*=o zMb=F|&r=IcX+$^f#TEmT?4|~HAj0##(loU${mw0onBcy<1kwxc22^XVqJMwA}s0CM5{p#^jL~8*^tqA=#Ah5tw6GvnDV~Z^D_E zTIO=hu(gJcd(LZw03ijAF$^%7=GwhxG)xWR(&B(6))~{dZq28F@mkFQ(L(kRw@kC> zH82o#Q)5rkWLu(daD`OPM+?3=IL%>YA8Y~YH-dEG8!y2v z-xoka&HLyZf*=un>5(hQqn_jBs%+n40WOXd_dr7_wxY1NYbH8 zVUY#-|!(g1?F_X#0h}%OCW`k1?98S1d`#(-r7bkE5WwcWz33;iP z8%;w1IlBE81UCaCB%TfG$r@cJ0v4J7r<0YX0Wu<(ec^vPS*IZPCLnCdN&bhEHR#qP z;{bTs9o}$y)QjI9W|bseYZTx(r2c zXS#!4EAh#wSvW4g`LsL}4pT&~STsD%0$@1u%&DIP#5zgTunU4g!q;NT!r7n-dcXlV z?3$HUa^fdUsdxWCI|UYNQv?>R2>${D;#KK5|Cf`sqJEq^ltiqepW8z4z>!Jg2~9P z0k9I}?vTYDFvDze*u~0`8v!;sv%;_-dQ2k?4!h{@o#T#kdKg_o-2ctV3gKEwCKJNd z#>GiE>lTU*VwVbnKg4Tf^VUqJ5ov*W$OIm3PCXC;Gf<0Njt55|7u%vbcy9HgvPogL zHTT-|0;p_l{jfBFDJjl`G9?rr1_XcczdBh%{@cm=S~e|&(e!^hS*>8Ff9eCd1;&;F z=t|y63Zf6qhWvQBC^};11v$4EF=Av+GzN0h5)H_t8dtBL1Ipc;goSlnPZ=eSNvOBo zVF|1oNcE+$*u9zyS7PaFReNi%bx*BtXjV}$g{zK*K*`du<|7Q_bdF@{Q|Q~6fPR_R zbK{(oy9iw~=i5aXL0=gx`>F{%Z+qs$9@-_wXT9FG_5B?(2)7Oxl_SP6r0Du7SaXZS zi8}h?{+LoH+L~+8iWh<8h4E%aF|hWoOK2!yg|qC6dgE-Wf-u!Rb$dB8s(U> zWQ7Or{(g9af@2?;NJbbI-zpO8TR`&9%(AizS&S&#N?qD$+CDm@hlEm|Ce%SBb-}_H zO!e^T885*$EZLPsVu9=8IV=u^EEYZOhZu*27+V!h0NX;6?@DuYUXkFqn+!%0KYT!v z%gb{3$#9%Rgd|%HN(v83DkH-I3DIcYi%2V7V@QQR-QD6*J4(QM8EXKa^ZHmBTfR#1 zO_1g*DIU}yUCBs1HhP+h86*@V z$z2|~`c0Y$Dw)lvIlG$;y~)ku#zwbSQ}5?0diHS)=#}r#vA@LMtmS8eDtYtz`B|$+GcRY$PpzI;Gi?LX+Dmw~Tp+A$Wwc`lX~90t zJl}7}@2x@c_viSI9lN_#$3YwV>2GQO+os;eesOo0T;5DLU=G5!vT%BwwFos>xyG=ur;wK%VcVhw=tMokfIs%AMS?;|;hv9>? zD|dj&u$hhch7Ns!dP2fJM~5A#fh)1C$xtrTVXwxWv20X#o{S%|OULybIVBufwmK7j zqL!^lr2TI_@Hj8u?EJUsoY@n)yr=CcHB1} z;UoE`Ex(41oR3%5scVCGTW(21RfnPD}GNMb_eao1bbG^82uMz z#wpyB5~@x+8`j=`SG<2M^8KuTPCT9f4m@7q7^V-9n1A)YmjDh*YSyS@9?z)?~nYnl9NHykM>h@`nxpQzoOm8xm zG2-zt3X(GIGhh@ZS(7*b0fX8Zi6-20G$@#bg0h9u^r3)oQUM|sp1>&edZyPw0KukL z0*hrFE{m`NtnHZzw`!wu;Lcbg4r%Tc6R|Fk_|59Dq;DBAute{~G0npUAR%$IWFs}t zWFYUk3xKhBDinY?{X@mqY5TiZ;spGpV}*QU75ab${%OXQ72jPZeY3`$s%itvaHusp z-IB7`#Q^=#4ZcFt+G%D&Eriw#CwMJLJT)=yRGe5^aJlXlauDCvZx41LT#Yh9Y!|oM z%XmxD&>hV$VpJKE=8) z9j8$;)CXBHhJk2*6&S|{t(M&n6$y4dacIRAWR6}J$W$raov2G|>8LfSt)Inn-Kga7J>LtJ_3!Nm|p}nS% zdIzm8rHQSzI}Q&wreI`kS8(WZaYBorremBHH5kiXjJ# zS!ue%=?x_^HBKbtJ9e!e(Q5clw2sj%RHoO|w8`Ee`Z1 zC_Y)s;>e%VN1gM3s==fvj{paxVSi0q_0dTj5}17%#XHoB=va$khIYx6$2JVv;HT0Y zIVQuIbJf8A@>?ahu4Y6Jc3{K!d%jzy(!$PN8XDX$%jSLuiICS$X8J7R4LJIXJOSEem2}ndF>Vd}O)q~|>=*BAPZ_slJe)`+~CwY`g zwk^UO-{CJZv<9#2N?@>^bSnV2QV%*yvOQpuyiAIFp;;4ez{A81Af-*wdibt&-W|w1 zQ(#F}%r`tnB1-$iDyxy_z4SH#^}DCkCwwRC{zsJ4i37lP$PIIlP>4I>+HuUT@OX?r zNZ}coy~Ycly@Nqqj-?){sArDUGC%#mJEU$pjB#%-a>1`dDaTl7O*taS3*TYCml@AA zMpwEri^y1B`5)2*r@|d`!9|AmGG~H&__=$iDU7`utF5FwsM)v8Wf7%r+85>{BI{yF zhBr8u79d2MOS(^L8;!D^rXXx+oI`ubHi$!er6F^-sDguH4PSY@ww^YXkKvt1Q(g8v zB+l9EYicu5RTbYdg@*3o}+)W;0>`SZz8R!!)A?PWFWpXqvxGE~>L* zp{Qzp{w-Sf3s_MFSnrto@vZ|WHbt*p;VVF|mb%3+()`lU_|!bEN-^T4lOjr5MR|S; z;Nz|F7R`&Xw0tzZ3-qINI2HjZN$_m##91aS?5BLy(C+(MF^w&oLM-Bt!m&ZqD!}`p2xLgu(wi_BZqcEoacb;( z4{0l6N>!ms0p!VG5qUbof1w=g;VF6q|N8WPuz$hViF5|o>E%|~&3+J=)sGGXPGtTL z=@1IYFU5ra^`S~COOPP0FPWz+AsEK@Y-P^z=ngH;5bQDH9v2QDfd@(w9VW@Mjt&*N z_xeHnXomMLPG|RzMc=MN-=<{Z7I0FtW@H8^8b5npv{tM(%q#xz8Dw@1vLejZM!2SS zLxDI%UfW7)_x3zaR&!rC)i>~I=fg9w98xWGZZkd1Pd%W}tH#f7;yR|mTP-B^l8lhA zyYocgQV$9XWHv$|?&a^Y{xl3V_RAw7Q8Bc+|jpzB_t2=HvtXk4x<$A1H z&ZL-5PTpk)oJE+xv7V4*z%evyb~&EPH;-&&V7rLHG_T7buaJHQFrJ*l#=tVGlD#@teCoDJp+HzKGqwUIfe(J9Hr%b=blo74J<0~Y<@_i}Q zSA+5>3(YCgvGco92G@c*+}oBX{MQ8Ny~f0e8if+O7iI`k|Cv$Yf{8X}^5&;Ilmb_# z%}9N%Q-6){cq*MozWhu{-TZ*0ej8EUhO2gZpUnNhrTU3D3RAXtTW!wBIC8_Bqu3`} z9Jk;KD-+x!53W}*% zGOKJ=XKJQ%CZfLaHp9N3%_WAAks&`5(>^Y$i?yvr$?D9<_A58Mn;pW{?9B@~f(K-G zbv3Lt)MMu`A@#*0Pxiq3*Jve39d0hE!%eJ=s_@d}>w@?YU93-i#fQ@_+ocvwLn&t% z4A?KL%`||Pqq>Hk$c`o0ROZ%$3<@hGlE}Q{%tLUnZUc$2_ljaWjti?E z+|xn0HgDkjANUvHRNGO{NUt*}TBDnzS65HVj@a2yUa)DIgG<^Nrx?()@B5^D1D=^%P(IAs8=<^5^_nA5*)KC)SF2z)RhH}u$u4X_XNlw zj#pm&w>Noh!8A}2nZH5XKfdMHqfP#lO6J2c0VdoNYB&HHA3qYp14#jFMEYRPu{s4Z~KQ2 z?CIO`Ox3&io-SRbS98&3ew-o<-jzv*g|_X`({u{fCYunYEvIJ?a*CJ8L8vz_mguYs zGf{UuoIjl7V+V9m8{9P|!itwF0R_2`FokCj(K-xZ8AV{hk5LXRE9~(o;)=39;pc;2 zme{$^{nf{+P#eGOF-p_juY$TTWrI$<}Yu3h0dvVHcAU} zQXSL@|B8wXsOKd_OxSrrsqItU#ScHVyrn9Kz#u;bun`}#6VFFGsduz`ilX<-3k!q$ zvPN}0apFN_GeZVGKyB);27SuW6#Rp~n6A7_wmt#|&{z=_QbD3ivLjuwgT3vQz2)#* z!_euNUxC!Jp`N=;oq@o)_;?LDu6K}uQ~liExlYo*9#~T6_KvgF*a~KG zt=ARV`gpv!JfT>X&T?d_L&=oMLyr|z!({n*_w?xU*W9FDlu^qVmDe>sD<`HlV+ynj zQvina3hAByfE^1mVqs>PcDW8PZN8eIF*yj7T>1@3Ltd;qY|>aGyDmOR&z$@?>XCNF zV@p1&xuaks3~RSNO~-xc_uVQX?Fr*!S%z!wS+=!vs*ze2SFNCiFIQ2cQ-KOK z_cbo&axyQDqOSTe`(wFv2$2XChd(q_L&a_}MoGgfa1niI-R~c7B;fEkZ)Uhs5 z-5G}deUEY1k)0SF_4MGX;^@5s)Zmz60^~0iWDlA;O|9vXN`+6hg9GeUgPuK8PfY|} zHl}nhX&J>9E$%X{TowU|J)yMOa`XNizNtM?RDA^QP9Tzn@Dq%7H%Q8PJp|qX6TTWn zt|Th#WC9eElJC-B#;%jQ4sr_ujBWT{U7xnArn*_bq160lzWSju$ zVaJ+Lqko=HZkgdy&g-TNcJ08?r);!60PF_VO6`y`!_AeV)=VbaYWp=Z$#GB@P*mtJ z)pC%pJXF`dqPt(lCpP<6uYb0c+*C`>YkDfx{zPv@2X=qs?up2_6n~y z{Sh^7;xN_5(L2~X?;b7@7^XB)^37$5n~OBBVXrvWbIc7keS8ewfM?Hl5sT$@h2!F0 zO2~OVdI;>nAv0!ifT3B7+wkCL-v(lD+1!pZiiCGMMPr;fg|$;a8;g7{Mh!sN$3TSB zBgoZb6Z*&gm!-Ocp6lcpkQusVHLyqkA*lL{>6KhnzK#}?ncI`??s070Yt;5KwX1mH zU4MNnw|n-@yc$7|7M@-IILj+#81u(D&%>F}J>200HA^fS!IAO4ZOoK7l(7+~wfJEjI~ocx-GdFI8hrU*q{%5T z;SpgPlCFx5;d8oc8K{O=pF5dNN#w)Avpnrb_|VD{%BD^`c13g#p=?QIN;se)%%sB{Or)U9K2Fei_{1cB&XUjHU-#U^;U|RFM zZXl5^#_`x?R54hma#)#pYZGF4I;u@qLE_Oe1ABd;Mit}gMOD>9@HyjGpW;%xm5!`S z&awNovabh~f)J0e_jQSV9CI#vmX;=SYD=smFqYOqr1|h6CellP11h{yvo=18IF#K|YDAlR$IX+~M|8ZgDGemDc(0DU{poqH@uHRIv?<>xOd1$b$-8bLif znc7C8u%8=3>)Dc7trD@{=q9<^*;9BjEO8Z;T*mwX*FL^Ar`B_&?i;<@ZkSC1*q|k6 z|9si}EWxjOH{39=Wo7Fntv`V=31z^YlwpN*VJ030j%S2H$kD;#8Dv&UQ_j6DU5eB` zKw_#+j+~Bn_8%^VJArhiLM+BNS0G%^M#1_6_BBS1RucB3kO6~u`xs!XAVzT?L^=++ z`xA+lN7K?X`B1;>MCJ%46O3@TXEJ?PMCS2?@IA^m@O(hyC6jm|y#?~D3Z1{~%h{0P zb9lqUp={)=E)0fxevOO0t@2c2Q}O6JE>@E8|#9fbpQZb~({=K@W6%V86+(WIp1e zn+2&Z8KZE{Mi?pLsVVmA9yyOJKdt}UaGJU)!Ri1Qffky_HD{!dtsLUof}n>^8*=C0 z#Kiv;cFKE=E_#0u1QI-j;;kF$N>;Xq1wg}>vx2*K4r?aA;bb?R-X5Rjadtk@-iI6BrMWPYf4q9B3p!sIX})K)1$oN9IdjWj+%0C zU~|}MOZJ@rUtCKy3Kkb@Dx^iH!G+FU=oK<14vkK4jpT}N2b^Kf>M&!}BSPEYfq$rS zMTNo)_j}M{hot0OHQvD0fi1eL_K6eu3aA;CFmiLkwjp3qK!ZZfC7c`2fz$yqZfG0a z{PzG|*f}O}Qo2Dg7R!XW6-Be^W*kjvEld6hfv0mAk6l_3gLPs{6e!D(94*rU#k~(nF^)81e!ERv9GNgAydPOMuu(8HKE4R@ToP z+EW0>_fNqHnJ)bI8Gu&93UJlEj-#s{=4R8dLlSE|Q~R!pi*xVQK8*}7*LL`|v#yK= z^u7kHqc@#V<2YvxdWp$2){}eqB9q+rsma(~alq#c?@*8`Hy;3bspOSz;2gCOznJ=i zLIS~O#-g$3M@icrU->!vNU-@7hOE&@>f~r5z7vzBT6>4CGY9|Sty{G3;hVCLra$lW z4#0y21LdYQw=>9-xi5csAdWln?0vJi%iW0P*T;Y~-YE5aO?+=3M9^uH^{Cl*$ILdi z)lxq!U&mI8PVU9R;;b3uOjTol9~Og`7MSZm|&!E zPl9(1U9rT8vNQ(nJ~@f1H{AjGAatVXi90MnPtw0y1kSy$^nOAn0e9CscUF?T0g~Xd zS$dcQt^g-99yFR^r934?J9_M{g10zD)CMiYJI$gA=DF;xLrmXgQ3V2F{C9HIXT94p zk|(Xt{@-Ot=|9U5l3Cr(@QdDlWk1^Va47uCdt&spU?ac2>sYl`_jpTT-!0hPAe>jj zGChcB08IDwXAk}Y@85)7siMD<{*oXAsZh*qC`fHr6^}zITB9ZxB1vFsN-ia=n2-8f z7c0HzY+$4(b~`+&W>T)&Igr}2@rtKh22mP+wWYs2qL-bM_XyT=n@=5#%J%qmYwA^m1N)Y=d-X1j=&?Wecd9Gct*}8q5qQ-E@uCr-Nv(ZX zTJb1yetaYX`$o9f4($Hjf^pyPGcaZn5e2T^Ag8}kZR4ChZ^xQ<;+k;sc64%fjT^bk zON1*bI+b4=XJe1()#9T&EyRp-1TM1X#ZX_x<*<+Bu5}$)2W%a&d|4gvHZKu z6Uq)(Z}w2xX!3UZ&G}OWQ@ZvY^39)fN!I@i4FG=|CK6kVnsbZ-D|@qmu5AW>-SWXk zT!v9cV7xJ@JiTVl;_`)VvcR;3Wdzm*&~ILH^hZ*JI_o~FUh2zZ{){=t_eVl?xi?8r z`hO+m3Lug#ATnVq7+BvNW$a`{JMg?*jcobgWsUjeWuRg2mvf|96K^fYbdM<&rl>VDbMuXGRdmesiCXy80TsK(Aj_yv1sH%@yMJJ zVM-N4JZsaTBX>}dIg2|igj5XxcF(3%pFoWG6gl;SLvN;`0ZR?2d*^mu?owa7Dsa8V`|a2D`bt7-yB_8TPU5JQYfk|txXTDPkDsZPFJ(qP? z;U3c~xN>!jZpdoh8XL|Tt}WM(poo!Pwb^@ts=5Oa)FKt%udf6d0$6UP4Q}6BtW(?m z?;pJ$8|@WP+mnWN#GYf|E)G!{1m76jfPApkdgX^{=*_LX(qOIw?H$E_ptTV>&U{SZ zyaLQZ1Nvogn~q(B@V(kTka#Azs=-ENfhSS>8z7EF%=Mf=>eePB^jh%(t8|w_mN4^f zhP=4Y?|b*5!&hgg=Jri%dNvL10|;lY`yM;G>wYB##(j=ceYt9De==KtQ#4WFM_{ZY zMV_y|=iHkXB>_5d)s1*B`_z;n}{ygO}ZzmULx@8NpiloytA6 z{xM@&N5FfD6Oo{>Ko_SUo5x)+?q(D2SRd=xcdoPg`3B`&O;cf~oJ6lxD&sqTl${%Q zM|u_rbkYHP{GRQHSslQ7YP22BA>hoKZuQ2G2n67D?BS3uZ4jHN9I5;;X@N2QYV-7e z2?*HIx=2bZRp>yx`iz87*@`+_x@av+UJLyab_w#U3H_PD^n)R3oO-;E{Uj?&poqiLa5p5_EM&T4EQKftL)9f^dZNhzYTRc`+ zj!6lXN;2-<9y;)_GU9btnN`h_1v3uS&X|H>EZMRvTCmMS*F&qFQ)ioC5zc#0S}o-Uw(jZyPZ zvwd_`>$-gnRp;cZp^W4!_3C<8igX^cpqZPV)Df?>8XOd%EVqj#mgPvzf=>r77~;G` zK=RHU;=L&~Qrn%=i|rU6B@;r<IGPQX8J?6-A{K)6qE2ZUxQZ3}AWC7rL`4361EK6ys0 z&LU!HJ-1Oi|3S%o;gIqBiz1c2;bo$x5~88&7;!E&W7MJ39<6P>N00)DIRgJm;KIEL?xO6Q0&^c9ZMtCAk9 zSKBkILNJruT`BR6ctW3y$ z+FnjE7dUG>l|84Q#5fPl>hh%?F4l#H6TS*1yW+u9JBWHv!T8sjJqANfEgorBa)8Cq zgTd)^C@9eQ=-rLUKV~J24T+-)A>tlt{11&(DD;s?t$l-#fU(*FEW!sAmP|kD*ZSFj zp8(&pPjIe44#`%OPBg#F+!PQiGa)WE-}EDhYses$cf7_t07*))s%!KBHGy#{G(uW? z)Ih-Q)Ea9~@giyKbC#mbr@S8^fSu+J*#DN0{tqhre};7bKYk;2&i@;%%`-C&>&E~i zGXFwAPovBBi%@I zrW*`FOa#x@$zOgzFaTm1;<5kjVA=kAu>Xy7{|7h!UzA7x19ATUl}FAd1Pt`b&Wxw*Qscwu(g_NBz3iQ^dcLuwDH?BqT8< z!2Tl*5?L4>q`=}1GZm3e7^tT4?LE`3x^3f$wnAH=$`{n{ZKtNTUEz7%_~&=mqGm_W z#{IO{e?D*PR{yuRTc!5T#b)gJ)A{A)v)a={DcygVZJqyOw$*-_ZMPkcz`yjqe;YqO z9V-9$ZtH5>-Yi+t+Rf7M=xl3W*8DQtgP~@zv&)xyjeDa1VYXo_vaTcO&uzIsB)9bg zuATo&dGwv)<-%7)K=Tv4r2eZs%Dh-V|1AEKDWA0~n)}+MTP}|srYtt3&s^$sA{1k3 zq3!BVUZ*`hyV_U`8N9ik#n_)Ra#x?Ox+B~qqZNX}nQF|8Efyl_Ne%9x%Jak4*xHEx z$}Ol2VUuCHYGqmW-^_NE&hZd@qe=bNxOpLvjhN%!rA(u{bnpK==cIXB=Tw zA1nCS8;P2{aZFd2P2e?aK|ln~FpO{*#tnOwS=rPTHA2G|S!PV4+6^D#N7kz6h?cMp zq~#j(KI8L2Kk5k*^|!($f(D4lPb;lI$84 zWOI9ugh&i_0JH;^zACnVMEO8yu{uK$g@ZRK#Yp65;o6IgBf=|@g_A+NEvHz!p;a<< z?|zj9G93EdSDfM&uY|iEe}Tq{U{(f|vc>?(KE~f>38+<9HdzqIPKo^=^}rYY5L^Ki zeen`p5q_W&h+f5i*a3`p<&~@=j(83-nz6%*CqCQLoMDV6XkL;Y*-Is1&RSwfV)O{^ z>`OX#&Mh!S$cqwC8GHVsTnxrYUShwE3vl{K$yB+O_yYu2Ewax7#0ky3;6mBq2AH## zx~$~Ey*!DpLv;X7lkkW^QSFSNM6Lk48ZfkzmSto=x{~_-)NldrM?r6gxp7>;Xd1d= z1o@&5_yPvNP-%Caa1Mqvk=SRbbeD9T-~B4=ZsVUIxD}cJtc*k<4$SPDc>*#3al0W0 zOVeZSczrz6^;+^ga^@x-QbLsrtYdmKawvH*3jREwNrZR$sqyG=LuZ3-7HNHwE2oZR zS&e|GWC%&exRJDX#Hy0Of87!rEfr1j8YXHcBV?WXLpmG+dil_4 zwpNfV-n55*Df*O?tt_rRva_m}*blP}k$|Zl;b_<13$ z@qrc3Pl_xp4MaHZ+==UFFI|jR;IPt(MjxJhBS5NiH*q7DHqds zk*o|qy0WvA-``Lfu5Xe@HYlp8OW({i^m;9BI$mf1O8~?Cni#Z!OJk(z_lf9|GR;b5V?zr>8H_aX zlU--j$&)s?`R0-%uNb9&d5qN7a6Vat^I|0rWLejhZ){AvT7%ihcBo(2xVJW`0w%S= zG>;(3ks|FTqm56gl(l~~mrc?3k%w5Bn7_voke?ss^Bk_*(}i2)sj0UiCNy6*-1>&a1Y#vzcS#O$iY zq|2%jqf8eS?7JSmb^G^2cr+@8!W0k76zQ7!eqPF$OvVS-K@)%$g9$=)MKlFe8f3a;+95=)N726I9 zO4R(H*fwy1X2W54ipc-KwqNnI4iI2HX2ZamUvA6sr3cksi;}#G3P>~vA7PkMY(O=@ zgbKSeI2sgB=fvnqPC+T&TYI6mK|z5{&d zT~1avHagwf-rVWJm0#`AkJcOAk>J!WdcL&fw?(HtuE;iR)LGYmv`2BT zlgmJLv-Id0PAx<_%4s!@0d|h(y7DWHRNRKAlOQe6LN1f^s z@?E?s=3Lt!b6b|oQYe$vIg7fpGr4>tNr>87{kSZea8$`LI+c6UF>=seSQ8hZmyoEt zUVecA>nnpxc{lNls`B{vd8HJ;X%aowP}qw%HZrQi>3EKX@aTfF7n`m8t50kpp3jh9 zlq3ucqbYd(_y%Wd{U56*dmf7bhe+>~7u@Qwf=;4!`x2`!bu-^R@;H`0Z6_^~9^kbE zhH+JI-;latWm~N9#pq;U7yCZ1$8f+2Y~GG2(S|yUTB}PSD06UTsEXc*p=3jFycPZ@ z;%wD+KhCq<*1dc|bdLE~a|)PY4(7M}KnR1$6u{l5Y=H&S90%ksgIt)zd0@6{^zb19loup^cl9vxR0b{&tM4vE-wW3NUao+}3lZORzn|O=xDU z&(ba1!cW*Od@%0@R;9o^X1*uBHJEm{WQRZ`0i{h$1J~=m>a{7yZ4SgM?~lj;l77s@Z$#5DSYKilF2FH+)oRdn8g$(DjFr`e=&Y4CDs)IypQnA=e$gDhMRrQFG!k;)*&Hxoa<}q4{rHCpdTy?K znY9JH;jjs|4gi_uxo>eIk5#9^&iNhvI&IHH5%t(qEw@0_iVs4w5xNqP%h2rCo%MU7 zC`R-u*89QWx7+odrWq8XX#Maj(=O0_4m@T zAhL+4OL_|=2WI4CeYDS>_-35lpL}}mLlN;ap4v?GUHjydqwUbBeeH)Bo4Yw)A@^aQ8sHc`p(p~&bA88sZGC-0H&Gezquhg_G%RQD z+Vu$EFw;KM9-kai_DeYuX<PG47v*myjPM^{OyZ zbqSa@V@lPTA5g>&Mfb_jvujV1gT_1GkAke^LMmk`HPI7wkV5aF`7D~lkoyeQS#lWx zm0*gRb#T!2j*an~RDLOfH>wK)I!8@kK;VfOfq<1OG>yqi?LjCX20uNwysaRqghP75 z;mwQANM&COEK&rCFy^$&mi2p$m*H=%;LzoQJ@*a|i zrMw9Ih5tp#Q8;^vA$w5dX!|cqO?Mt~qVAK|6cnVsvX$_kzF=870G~P(@ zo_!i-O#_HcZyqAyrFg3#vA`9|(?TXaEplTKVoKlNym0@{p$zl}SzUg$m%TH`1zsQe zX(RDNatppDz^jO41yqOuJJfz!4oLL;8A%SDKb{m=K!n`^aXv8xoqeLK5W6{F8`m@e zkuS8Lf5G(t%y@Pdem;Q2IfoOY3PGkO?*2YmMHF0hXRpYI5G|oiIMM{uRhfSb@1b7-S<5AGSxt6P_Q2f&#zgD39+b66N#Oo~* z1gKK@akPDemDgeG{Xc(Kkju4@p}kOfdt9y;=}pE~gbs8`D9PPaict&2VFa4FW*?(H z({i@I$sG3T`k?pEq3;UL84`LjMWZINf4zj4pqcLTN&iX1cjVJE5b`=P%Z!kIKcb#) zUJ?{>e_o)!qLP!5Co<83*`8$;Ki+C4>FH~L@pdClvpc?5Z*wNu)*S7@dCzm7hMKX1 zH52j0XKi?x3(S?=pcHc5&V2&qmOKWQDXUR)k(NlUK(w=x6A5CwyA0rBjV>kZGjyzW1NNxO7Y}!~yJaEu!i^L46%J{!Wz>UxuJ$776zrv6Ng`{=y#U+=Wq684^mdFZ@j4C-R%HpBbfi{eOO1F*NjRbT7thAZyeGwN3ug4)NB)>m*Fsw8>;zK~ z69PKq*@BE@m=qpWkTB-VjemVz7L~E%K~jqMh!(pdB^P6%wXocJUBN_s9Qt)*r{2=1 zfWC%OzAd}Qk1(DYPhEJGMc<{66MkaIOW%y}QuWe>v>fG=$H=~`SRX6*&L^moVe&fF zS>dB7SIViiL=Em?Bc*M(l7Wj4)7yn}C7Dc;9LIFW)2H#v!rxT2y>p7BR48{B=|_l0 zF>m{qFxY%Ltf^7@S218UzZgH9yCZOo>*$D6K^3<^eh_2HDN6b?fF#|K&iR?{F*bW+ zoD^x}-AV(O3>QTT{{$&R#X<`=IDRiOQ2QHZ@N}7NR<(3E8q0&j1w3JY?rg8zL*KX` z{NYsmqvM~tgghP-8PrTi7 zK_pG;=aIG1aIcSR_WRQg(#BRj%~!`r|0gDRp49Pkevj-&Yx;s&-d7|!Hie2=&NtMs$bSz1_P`PoVRZiUEgaH9t+Y-|=lBakOL zCRz#PK^N23?!oD*OEkfc0K$5Oq%sAZREiWV1j|V0{!@ z)Vay$QA$IXfu`n}s*b!-QFN5L0|Uz~Rps_2_&K$njn3qpxj~^f;<{pzqLGy2J=^MG zv{>nS8@vUTpr^Ql-8^JO0-M=5HX_a8mO5?*$u7QI zHZ`(s>XSMtAe0CQNIFgE%^uE+#gOy02Lq*U{NZ^T>5!+9(;Xm*(`P(Jp!^sxL{q2d z3S5jD7|)PoAT!)TQJaPFyq>@tjY;93kDAWOU==y=ratuExb5HH0HnYnhxB~Kw}{_$k)eU{jP zJrRXiyB;Gb*h?y$4aSH-b-M*H&#z8AP41%Wti7k?j)ziwJ{_M(C?T9^-msYQ7f22p z{+l^-0g|;oC$1)ZLcM0V>4kTe$?++c8NGpZUy^EhHQfz-%UM^nM0TqY-=;jI1CKW_ z4A7{?2Q-hf(i@E8-~r+4Si7A5l4FPMB=%Trdtlq>mi{vna5~fI1M~AKEOIJz$Ui>L z`gAa1i1*GtqCQJsBU>OV`duJd(7G*iA~go^d3PWv78Hc^v(;>iWb~Vsd3m1K?Fc!{EGB?|I9}_FnI>LxGUZk-8?&p5Or! z6UmK6eUILi-mcOi_975};sSl9U{$hfcG%{lGeZDu9PIJtU^sr8@%Zsg&d z2#Ks2aZ=pCpn^~_b>Zk1cx-jH0Vax-0}iw)$6yC#+O{8UO28XE&E%xCfU|&zf4RqJ zX5TvsdBJc$5+MiPDqFt`262ro0#_Z3^&XO`HIjbzC{zYeWrl{IYAqPxk-se= zzeF7Gs4loR_DJ*aph7R741iAr^E}h%R8~-(^lOL7pNV9v6+$?gLKD*hRRbQz8kB=P zEs~hXi#ZwyHsUe6TZVJUwYw>KI@9qQ6 z%t_laf^>+0bo>%4cn+kU-;+W;3V?<)sRR{?iC)Nl9Q}LCWu!AhuMO-U*>zM@5@M>f z`F|uI;HT-w{2P$1*q-~z?6X}E0hht_N@mu#)fr$bwA7r=fFU zC{EYnjEO@{C6Q?Gr4kibymnv^24p0H5T}NNmCK?ZB+i+jJ9l zVz&7nngWnkkN+-R`qP5?E&MV*tm*|1b!mA(7QGq|6>M#7Ui+r*1#EfePl-_RVi5 z1eh&OT>+NW{)+`b7+~z>O%EPc@$lUu5l}E^!e?Oa1fTy5J*!&ixjM<}pW$?3z8ob;IUe-ZvsXhJF>jSKGRun^M@nYINV|51TJEt>)=P9rDL z_QHWwNGOR*AP|i&n*$Q25o00@HNdB-7e-UKKgb?$KxeyU;*6q_kpx#1zB>S5*Io>m zQXqRUuxu-k2+yCX3Y@YB#2xk>Us8I26Lmd(h0}Kxtuiohk5P6!9Rb`%b2N{$lx-tC zhqWAEEKJ)Eljew8GXVO>czJ8l5`>-OmzxAe1n{t+p{B)pjoPjM!iCP%Izh|-A z4zbtxIAA{p8G1{A7qA?cD93>z?1o@heYt*4@E={=2)ilQIB22337ky& zkrCmL0A_o33Hy|Q55!1-NOO3_{=i6VNKZHVQBlxh{W2lG8!GBvyQ2r_b3kjK0mHzX zAA4EVI#-zG3d?p8KzRZso$aFBoQMVDnBU7JiZbwbgHRHQG5^+Pr+h37S8kR&9w!cTXxu=uI0NQRrYO~CF{i#%>>sT zbmg&`EbJSZ8i;RjtLy!LD3jz)ECgQx%~+FTM&7UD_mOU0mvgh6eBO0lM`*4sdiHRE z@|WxQ6LZHS-FR$W87VBWZeNeyu4A{iZGGD#zl?w=J>TASly!E5A#OhqYf<3E17ZSG zM*T%RHEd2Cw7S4ICAV%Y$qjawS-@R2D#GYUXk#p+52x|~qEgt5F9*p-VEr6Pb?ie) zJD_^xn!2kgKLD&}7dO=Xv+N5cAFiJl`2Z(L^fAGxGgA}iymCiVqBFz!eaD#6Uk*t7 zP7~2RQJ1k^YvZVG32Q-%hn;BU1qG!c*VWXxy~hhyqpD(1XxAEHj86SavPDEW1mlZ^ z*PEb)HCAw2mGL?HjPFR2O@*H~76ROK&_f=e<^I(^2^es}#8eOvW7bO^Mwvn;C2>;)YLQg9DNOIgjbNEevlHufQ= zqw7(eJ&ZWwhP_c1=V`}P_tTY&k$-EScq|au!#y=I91y|wnh1lnHesHL4nv@Z5K2c~ zY@Rd?x*t`Ka8;oaQD$R-0f%8!Llc%sIdK&UV=YrK1sm7Yl8d_Kh#rZOz%u=#B2}PR zJ|(mI1YQ6snWOFP-M>u-VNV6Skwc~ks^3SyBvH_hq9WiEfDVdY1hkR_W5m%XrkySg zsXHHd+YtuUw@GX20EpS|Gd^c{(qTR4fV^Ql^xVjjLjY3QWFV#|%Rz0BvM&hG>yOk# zJJTw`v1f=d-J{ryCmPfK@hK5X6=Y|jZmaF6$fsj-!!ROpb)F^-CzV4SfQ*|EY5;X| zXil*VgRCu6XGz8oZWtEYTobIUA5JL5L085m9EQ)1&TwO4wMBK-lbY<9o8wmMfhemP z*`F0)>9{w(6m`@JX2p|J2M1KMClXUxaV*41Ng_`|FM6jvGn5*z9g5OvrvA_93gmW! z3@}#B-*5C+)$3uS9|(4jJMV;dGK<1H56c+-uQ1RuTEz>ZLUQ9pBSJo~B3fabZ;^{x zTpyJp#__j?d^QE7sc@tDX+TbhHJC@BI4HTywcc0-Ccj|0JGX_c%EL20-ze91!);3+aV!5xwYFBL=XoBMAA$3Rsm27LVsn?H%X zZRRCQ-t(+o9X0FbdXy+T#ksq~S>L{8bx_qgYH;sIjO=MsG~m$+gfOg5y*|N3dIrp` zcMuOReA5axl3>*6J9(MvGQ??q>k`4L|g`%h=SrQPgMNU2Xi!un+)JO;0zF=!(iKkqWf zLgBPL2D#Ty=}ycRHp+AM=e1q>x74RlGK_aeY6S6Pm{5Hmg!4^W zmy%BqL%A@KNWPRikzC{u0!OQ)(yG*uML36KiyjqXIj6VR^f-4pL*7H`#Q_>H#&r?#=8NTWwGPCe`M?X-U}=rr8Qq4-O7uzvj=9j zoH+SDg6S1sZ@A;-}H;J=g@l`xbF`MT=u05Gd!H$Sr;?U!P1G*4Nd5_zX|`%Jw&(G-3+F2 zAdn0g1mo8CFN7NO5i~s;x*Nv36&*$Dr3(aP(Ll=dB6q^M4+kcgA#9?MJITY0wl<&x zi18Qm#CLQR67WYSDSs$1>IhJx)TKx^P*}~?&?sa;t*-p+jr$^a2Q8;hQ{SLmdP^rb+3#j*ku0CoanM!t%0wY+LA&9+h? zk8S^jv9GGiE4qP3*9MjQ^qM`d^@p0Xy9e;HUH1l!v>ia}cBQ!PgZB-$FX|EfV0VTb z#M<%aeD2b~Vhd!jqcr|Pn~PsCwrjLp$fnAX@{1Rt#j&&*bPL^TvshH`sJSRC*6>sF z&sN2`Ib@nJoHvuxv$krz5}0fvN4BzRTw8S2qclAyMQA;Yk>Uize}tzzVA-?WNWU3 z_eDM+)Hx0cq_u-;{ON>N%{M8SBl*4R=b_tpTjPfez{6tETd+P^+L#hEo)z!BCmMx$ z2H_;wXJOJt+(ouR#k1=eJ4f!@iq+DW%@-@0(!K8Wa)?EM(7!*rcA`Ep$znO|tnYhw z7iPcvQAl%*CgoZ#eki|7_3g|aJTwDoSlaRwHqAel?S7;$Az11I zU=qvh-L&|~ZTYM7N+eMwi2Fzn=6xpeqxGGSrCI>u&C(6PUL zUTlWfu;k?kUhaVvq<-))&>mzj8HQxEC7f*#{YH(h9(Fdx4OHH zCG2A{8w_^inT=&I5$_H0B}ZKp@M^+e<0Cauyu1|to|kM(yAEZVf=zKbxduImI?HSu zI;_o6S_WVrMSi1LXHC@ljTr217cd6X1Yj{I8+q}2j!n+Z)f-7KiPtl5m;aw_Rj~<_Qo1Ws(8ra+REj%R;JUt zpdy^y&M6_d;2RShPL9N##0LdWmJ&C@lIEe}k$HVe7mQ}T0U~!U zB@^c|=Nq?!JGz^oWr(hr>~WLn8y`!9sl$2xVWn78w>?bar8)*lzuk*j$AYUa>cggH zhY$6lDE;9ZvuNVn3pksb8dfVZJdd^KB?#%m9$p47EQF)&x9uTgx0=-cpMZV zqG>1vVrf~^LKBkY8DhmbZ1#52(!b04V?gIlHIE^p*_@68;}9&3F6^lm=0GRaq_M8^ z805ewn`8|3S9vyyn{<>_R85*J=urfg9gbi%75X0tSm-}2+00D9zXCaHJ8K(3;Fl#618@y|uzdjlpV>Kq&zg*4cGiwI zf2pMZR%%Nd8kp+-kGubdl8IS3IobZ+E^laW?P#ZOXwSpLC}eG6ZKq(Pt8e&cp}_Q) zuKFKQx5D2l>pyaDc|JZy5f=wB1qWS+KRd;MJHG%p{}y12{j>3}6>y^{@WTN2{LfzO zf2+G@V*>m;bo#IJ^S`3g0A?1ze&H@oIMj0brpNj7eDC|g+q{#tR+~!a%0*=Z zk@Mn#U3>F~#YXw$#Cd1(Xw-|wkb(A#iqMxY^BqR9wP)b2JFZyTKQ}&}U5yuhu4`&u z5RSQ#SzA}~t*vRES)X5wjV2mbPLA(rhhhuM1{n+iSU2uj6>qB4UwhZCAXZm@mxNBM zyq{bi3ysOCyoNSc@8Kt(o#_Fmy~pq24<2X?cr=*~MuBn!v@dPRjrkANvh5QVG9RfY{lubobG7Q<}m z*&S$U*pwOcGeP`%c!p*DGdM~wHHUd!su}}Q@g4sh?c0HUUmV5bAyMc}u1Cqvn~ph~i#cTBMJ=T2KhxwTV2^GrIY!u5XWSN%m%WvEQ+;i89MxRSUi%&8*Z;p z#4TSC(iA2@C9LDsK*rP3_euA}y5v)!lWNHs&@ieTPDy3()88seCEN0-Y@`R{2x|rK zH7W6{=FL3#jSPKjBRMccu2AvTx?II~i4IqZzl+wu^`0!tCk26$zbrpRa(t%21CE{= zz`=eEIP`IV%fs_=_z98cKE?;0SHx@kfe3V6kG%q2*VY^fJBQoiPauOSk+x;c{aS1p z--it;vv4U<{H)`g@5!4qEliU`BT1tWjNS9T;4@*OypQ3qg%bGEL7lagfd`Uol#jkj zk$V@8)Lq>u`QQ)fJQ1Q!GBs>>HIFws)-*M5!=-QZhm$QUJ4gA}^buaT9@xQFxCj3Dm8CRF3 zNT%i(b3p_RQn)IF_QBI5mUv6U0QWDz&f3MUDll10poCHdkN4Dx5}4MHF#;RYi2_ma zB_WfC-5FFr0%Hn49&&5lM=!j8ob^49P_cH6a?g^ zhCLf#uB_fjoJXX-kMC&3Xl7IDw&guvTL@h|mM?ys94J^=Z zJCwVdS;nzgnY{MDco*B3yB^<$m672|8f1$J`9R;TOl1SH>4?9vX)e;U3Nz|%dpCsx z;%`f*>)&!Q+Bx5?5a~WDeW1S<@_=Zn-l=|7W*iTAdHcP!2M~civ|-eN0f^{9I;#l? zCglxpgsg&REDVPu+RkbY5dVlN`-4s6>q<2zAgt|8_m!t=sHJ)=uCy}LZKzh#a0MuS z_J{jcLZ5@$i`G1pDp0IpjHEnJ(Dgme)`Lwtwr$Z^9kJ)ff+Tc#S&-1016=i(5uMwWoMSC@0 zmSVJgs)Yoox^_g_PlHmgN0-JLVWLCa^`B`>OIq;btxTH8);J464)*N ztlAUk4i1E*!VgfCF5k+l-pX@@9F*E*h7h)>p3Z;u=q?62tiS&SO(Q`D4)_WcVx(Oc zZ8f38MHu+ui2iCUnFc5?sEXRV(s6VLUgmA-2&K_|CixPA&pPa%a8-M~CQ0U}#M4+% zH@+}$FxW~?H^v$0C=$hUY5<~nes+YLYvsM~#5_62vGN?2N=TJPqL%rcgM|{`EVv-d zL7Zb>qNn56@T_z=oUxvfbss~n~CmS72#tN1y5|(OGnga%=-hiH&ez;zrj({O= z*S%~2I3@>9hHG+xdd-wDSa}*Nf6a+uI1Fd2!=c}-6lF-v##J;dMrrTWJv!e1w25ip zrF$<{tMYTQ#N*Kp4k2IDuxZS`wO{g;@yIk)juFZ-lNsf+bRo?+R%Jom?#`8psrC92 z)?>=CtzOR34sp(+zmk_;T{|7wS&XOy8a>am1qhY{`9X9D1k#BQX&AOP3KBTZe!gII zU)+#6eo#;jkHrH1o`D#Q5J!{XPfYS(t{=iW4yD6|UTSHUEI`-wcr+VcQM+sB7Eds< zQt!H8L^Gq2eXB~!{6QjQppc|ESL#Xs{`GiBKBIQ|ggKU1d;0U=K>wD-$&ou^72#&XD?bNG_-pS=3fIycI&@AvOU^d@BT)M09A zsRAg)9-gggAJ4~|QQx$9Ppvn!Egug0L+?u8-pyWYoh=y_jUQg#-pXAY`M6s@j2OVx z6INlxc(3kgYOpA7c(D#cY>4ew9hXdTA^ze}=0B|_|D>(|90mL<5dx#*|JUro{LgIQ|NWWu?`9X) zFMofa|2e__i)I%9GwYuVi9e+;|5@w;T(JI^&KEXd=gXJBBL4ppf|>tq2>x??|7!^5 zVEgYOSk)T88PzLOyL-@-c)fQ=`cLJ{1fe&$HA^=`)?Kd)OGABCg!pdX%Y}k=^g3@~ zc{-G@2%(;9%DhF5%H8~Me`w&@-OIdNX$G%)+me;?No4A0$7Yp{_0q=B+S*pe&Ii|YC{*t)58&6SqvyZMz_dZd>4>rHix0YdTJxP`4( z_WU#IN$VDA#sJM-laW)1A0m;F<8j${fqH}3yQnT5cD~IqwQHQg)C3e?39vmkQu8vNl!*iT}g^Lp{2ki;#QArtY5q0T~=pZXPl<+Lp(&@i(lrgm4 zAl1ph!XV^}gz5U`Y~RStC%K4`&@-kL*pBFr8O9>99Lxg#WZ;f|KrfjWu}-(w#3*Tl z)s{Bq3E&5???oS;emlaIeEYYkIC4kA<`o(ALcGq_w`_!{x|T59ugv*W%`nTLRVW3Z zMl8Xhk6&qRE9p&?uxo1UXcz3g>+3< zkvHYBRAkBiTW=OLbbSypZ+ov|Y4LDJ-_l?VY(T%Z6#B40iZg?lzQc&ue&+)PhG6-> zLhwI@F9imL+v2v+gZRj{W7Pv%iV699fL&Qca45gZ#6KZ8y%HFLzY7pkPXG&FP^PF5 zUXz(EK5=s_hgH|tAb%=&tYf=oj0!7)RHI%}qzjFDA4*^*MSveECi(TLr70 zD=RPdm`d;CU+?$;k;VLDrj-ZPWYkku8{eT0`l~%=qWsWznTJeaU62WeZ3mn_pX8uR zlR}Q#hH1Sm(*URoiO*jL7sh;X*{N`BNVzNt+LOF#T*yKd0L&Xc5Z6p2UeO>KVN(r; zmmMmJQJu1BT|6;ik6m}_1x+72ti@;oVGMb(M!~QWFDh1hvT?)!G!i+8Vu<+2Z)P0S zoyHQYqWjM@#Rl+`vaZT$HgHCDK^vl{Sa0O2*~0=(RFnBGQa!+jV`@+O>v~& zqi|@39dGl0LHPfP!N@n*hR7f_GY0)7oS}4vwAEkKv;D_kW;vq*5;*5{#p%qr7m2Wv#{K3@wI-xLe=U;cSYIlyD*^jeV61fFdc1 zB+oH+jtrE%xVk|ys>11eeR#|OVtA(u5ff_x+jq<8Xh#DfSjJlu>Pu`()8DeRW@=(r zu@16$PXMwrt7%!^!`p%}@8qcIlweV*{e#DG+@yDHaA$9%YG|%n;QZi(QVQbD*`FXR z3Jk)Zfk9Z}?;so`8`F;22+dO;i-)^Y(mLi-O1?bfxd;OABimP-QOFfTZ?v*AEq|4^ zyiikiKeUsku@?u<4}SI2Aj1XD4~DiKjZi190>Bqy!k+Y#{*=Cu#5&I+bbEfow=S7Z zbF=jGl$Z11|Km{cEr|K2rqLEAHhot zY<;-`w!YxPA*CzX%xHCg`Dc6(%9>s@R6~^tXS^?ai{oTXuDjbOiY~#YkDAmh3Z8zq zaKAZ06sFvzOxjda(1$BOwMWc9`y`|NR?>F8Mphc3v^;U^r}`j5tR%Wf}*08VBn(F>wfm zeTs=h1%c2J69fK+z7)RQuMPAZfg@gx)5D$1cu#przQP=8)$RufV>$)+ns1L>_hvOi zc@vB-tt}iMt6_82-`J7$yvOo0k58MNSW~fw!Yvo z09#+wEdx5b4x*6J(VuXk3ELU!YRKePMp?ovsZj}p;Y0cGx|#vIyG(GpI8b^Z2m7rYj-iXVn?*o58b1tK55Ft@cXF^I?Z}6ig+0Fe{;2)nzp!lozB|)mF z-GhIZ2NtJx$BN{6iK4zOdHhH1tL4Bp3RujI&!v0s2Kq!^(sYrHW9~t;@&(XSs1A_VBZ$Q6Zr@PFCej*0IwY$KIgHO5AW(Jl)dn zZ&-XAKlt{`lt-6K7Y`*B-LI_C7%;ria_Zu{A(zP+RM$7E(^`yMzB zC+$#hf~c@TkKmXlQ?soOIZoq`-nZpfsa^_CFHbVYV`xH!dPWJB;t zyPd(;c~I=?-Os?r7t-Jp3R|$GBNO3w>0Zm8v?n({Lj__bXboq!v0$*r2qV^Cs)!5n zSnFM{6{h_J8$@<_G7sm>>?xuVdd>Y0P*Cok@4Zr5%sJ*xQ}yn@V=ks@B>UiosRQx3 ztgN?c4Y-($F&nCu;vp7`2>OsFaLTAxju?@N6o2+$yOt`GRn8bllgfFeUgUo zlZ~_y#~F;>-#aHlSrg*<#c*_Axg*gCI@!d;9=GlV1*SZhy(KnnejMi$+s=cIt_k7j z7rGbvV|zRsBe@0L6zgK1cCH>bE`s&)cFa89F`3aXGCKPWbl`^t3_FIi?pXJ}yS{`= zYt)*0UuwqZ4At>E^{l17Gxn#4|0H$W@sUkTD_mlc36p_@gEw40Ba6_Ku+X%1S#0#K zyg25r98jw7Z$l?Bd>Ahi@d5e zDbB*xj4-C`^}`HyM3y<){o{Fi_E>$WQ|-q3oFu!7-Zn~^7t!rPgX~=)RN}{YP90?_ zfAINX@g)|?vvq?WuHnXV38E68F|5C?4adC4k((FHLoKT9uOW&9x&>wCQe~cKwuWP9 ztn}HPp>T4+1Td4$vXmL7fB|07mm*~%E9=g9sRDSvPNPod%;u(@&o-0KtQbM!U*k#K zP&IMJ2}Y1zn~dv+HSnGrSW`XnUQ67IN2I{8aZ~j5j(;U-!0*rLID$;M$0Ps?)1ni! zCb`&H;r5j7D@c~jz4eWzPN3sKdRMkdBrl=;Wj{8Li5>Nva@KrVg2+U^?pK|C5%JE3 zkhrCV4eDc-((6nQS}Ilj842U@>G30o;P?Fb{q<;fduC=?aUOS{T|oOC0Vrn#oOo5= zCKEO7J|hQ=wh}SZ2&}p%3Y@_>yeYAs2~zx2-FsSQA3g=$od#@`W7H~5MCe%OtXTd% zu~j-hZ97{6Tb7b}W?4II)li)?t)nr~myjO33LRc6ZNVq5C$0s_UbSvsXeZqT6bg%h zb*arF-c2J<*`A8vF8=Hxy6CLLa(7Wt?#{_Af6!a zg_+}0NzkUhKS^D-W*V~{vy&Xm`*@+`9t65LAsxnrKy&q`o)9r*K@zpy_0~-N-$Zv|gNQRnMyu*43^+`bn~HVx}THc=)*Y(B?5T z=EK#urdE5i?F1aVT;GL+rprA^Dd^;ZPu1_l7~pY9OhC(XW5d%+G-wxi|AY1U3U1>a*K?+6J2%5(-g2?lyPcuPN(Fxij}v1?dx9Jd^wU0PJUs?o_vt(-A9wSS_ij#9qXYO9dJ z@A0|_YsrKbY?eB*?uwDoP2^|avG`J{HeDPl>nxlUC%c44CGlCl_{%)>+ zI`-`R3b71f0(wWdOj;!j5hW3TZ7iv1smxk0#kPHvW2Cjv@ObBRko5B~nB~V3`|TVZ zu!P}Bb90t+P-pvjyU0&JbypV|rSUN4GEzgUKIv#3a>>1aaLjt@hmd-t{|OyQqQrUJ zYI0st1jHI&Nu}IdNHP?=XrrX2vpP%a@%1X+ZI|_?$QVVKAp0Eux~;z1NMMy?vD>re zhh%#cPCm+T?|f0b8c$yQHN)=m!Be_|V%!o)_7Lk z-4dDuMcTiol+gH4%arBH?-hcpU8xbDHFdE6FsDMfV@a_<|Hd|o0FZDH5NlX%k)bca z3^n7d)pfk7B_7fK$nDzGTs=PYmm5>URoxi+)&8wE2G|GCZ<#w)6RjQZ_nW?`2HemK z;3#-6d5cBvQ)ScPJu`!m8$T_O>cCBDiiCOE9r3v}QXSBW9pzAJL1sp~-1aQ#V{`G{ z+ehcQb{YSDai~MHjoNAfalWT9vbsS}lsl!`ELE(zW~tlBaH1-;o`VyoM_n9NWu)77 zeE4PAVngRu(sH3%NUHwnJ5m2~35RyayP8PnW-~VGFF=SY2k@fmCXn~+LbE|=iWVv*(pEM65_sH zAnH@tyF%`{yBsX7YY#^xpqVIUN(CuAK&Z$Vgmf!+ws0cZ4ZEbEKbGGo)6S3rIFKM? z7Hq@BpGAmm&^~Y=AEuzmjEO$xA5a~#B<#=tfQ|wRLy`3onMC_vY|s4GuB#UKmPn{u zN;{QHXTy_jKGl-yO43U#Qb$AJ*Tb!C^iWZ2Chpv_E7GI-jaBr`%2L;D9Feo{uvU}i zNTkMAss)Td^?Gz8zqtgo6W5eM;<76*%b^5>_czkJ7ikb=&dia&LB8=%eOQ``b@iNG zKH{DBAaZ?gdzr&a!?ampbqMBi?kRdv9Aq?#C?bn5X9?iOVfW%)p+zExZ34gP^y4ud z8;*t~-U~+WkaBXrk4D*nY^ygazU6+V@rq&3P7cI38wg8yJ-ksJqsSucE zhL}+$wk`%m;a4^|sTO9#R5|$F(vEw>WMm&4<)`m6!WM>tH)Nsbw}tG6A`i25szW&v zhSk4M_>HQeN6{#Y5TnHfDNyIWYF>bAMKre`==WzRc`{Y&I%qJPsRk&KUFjkivp%4~ zmDBRA2XEv{C??BQlze66)G0EN*&m5&qc5CChRwI5u3*MVSn_)FMKVvI)712MLM;^X z>X?93KUW)!bZXzTZPm-X(nC9EPZ8Ihb!}LSGt8^-=whcQ3+FGH=~A}0M#ai34WZ@= zh29J>Ac{HG`Q+M{pkLWMdyh^&T}w{43yvJ;+96(5XFk8pbup&K@G*R=sT3+>jI!p; z+=AWh!MqEFjOuNjr|YY098)d-1A(tWKA_?0st9*Dh6r%`d0pyw(x-u~vxg1X9apl_ zY~0$L$;7sbL0~xuy~jv0;U;A#PNKxs_PQ+OGdamc{)&#*hEKy>*dgBn=g_Fs3WnnM zUiiIBCioQGaNLqyP^7!O4M#_QS@v)a>l0wnkQ2M4;bNfC0oS@nT1tQ$0ej1+sgTT~ z_3$^$+my1^9BNCUlV3%gFyAO+02VbsitmwUh`ZEk`$(V>)}%p@dH zhtsGCtAP+7wPS96nD(EvH!yHJieMDVvqWc%_OvHY1GNe0LaTR=!Gi7$Bs84M4_&mU zivD92K{k6o>$YuoK=tVadFJ-5m@jtM6qMy7SMw#PHsT6$n_mM~j7{O?3d^J?PUpIE z)Vr~4dB~92d5F@zcb}a+>)$QgH7oQz0s~>2ImNqBCNraLbiu8qp1qS6%m`Mi9p@|v z)F3CndwITDi|d7^@=GM}6egcm(XW25Jx@R)&O?q-v*{|o3>9X4=J9aG9S@)>L$5g8M>bqr16}vI z8aO|DC6{YaL{CKV&eQK^0{zi6PXAQ|AdffKme{_>Gg_OFK|}T1K~Uh}8l7OUCqzd& z)MF82!8~{GF3RETl)1n>ody2EpcL`&G5(A&)~RcYU_$kw@UYAhJ=(M;?1+?_Jn=2AWkot)!*e@v$TF*vFkS~+(z0xwBMBHgoBhSS#1gTEJNv}io7H~%@2wy z=Q=~O%}^nlEEKZUNijNagb?OGU3=IuQfb3nBYflGOYb{1U0rY?~hI(s&Aq11_d#c z<#UDE2Xp8{H04enl>gQ-5wuuy#=Z7_YjyOKcUtnhsCkpkA-aC3Fm8Q8tWieO@49jp zQ-K5W((K?n$AtS7JaRe@ToRqZ={Mo8P%^}pZ_t3IQmTBr4omH#1CP) znsJUh;oVMw-1V`T8s#T>{xCZem|Z+?$}+D=(uE|B38F$~|7tkb zOj{<*wHC6r6eaFTLZUCw4NI#bJ}REqM{8`)Y{$H_Oj#M5w>ssn$m}qaHP!u*DpHbEU{Y-IIn<<=i-82k>uWsiqTyXS z_;D3N(10)Wd0`$0Ugf9I)U~a!!T^J*rOxd2mU~GC6aPK5 z%&O(ll7(ofDZQ`=XFL`ZPqO5NUxhc~bt=y39Z|H(h(L}$T#2vnr8LGGZ0xLBjIcu;Xvu)51 z{byp)n(B$lp5ry$nYj#l^nOZe^!#q&P)^Hc+Bi_E-##S6-%~)S{`Y zs3VQ4_XnG|N&RB8ieZVJA=|>$hedzOXUM2YYR9eq(R3`b^i)$29xJ8nDl{J5v7_z# zYd#Dw5<=DGV-^e=bRA(-JEPBqbgszL5u~5NEm#^3NK{Y{yjpv5y^E-c1A4P}Y~qf^ z`g3<&l5{PL^(>QRcr{5IrIO+>_ogMJJ6?FE_`}Vsa}HWm3vBPM{I+wWLd6}JjCf-C zqGzsmz5o?rJ_3js1U1u+5W?b9BM{BlaHvG=q|i>xq`Q$ht6K-~wH^nu8GOn#OukP6 zQD2BnKv{g5;rS+R$}=A2(`9O933qB687LARgWuTP!) zg4nAI;FlKowdVCq+)ggVo z9~vwLX*MS&GB8Ly5V+LQ+K1m;kDlG0?v4&iM@vgXm6Wj#TMjmd4Ptc9yvIsFi*eC8ezt$htA=B7_Mi#k5MZTa^ zYNUWma0E4mU#w%>ghQ|4u;M#+nro8i3`{e`DocmfmCl@ZiLhK^%g=PXChCNMaHh7R z)gQ0CCXCq{U9we1%!&N2G)3K(Y(T8066WD2whB!6#;Yr)fKL30DS&z7M*KZ+oJ}K* zd*;cxB(*dAlDkNXiLF&wYjSmRxpue8L@G`?{TX1^6yg9gkh~gTjg=j`ntfGuiJIU> zU9eRETeBw3cB;9CvzFMQ75fl;KJsue#O zI~Eos^R^?|g2hkDId=8>Ld#h-`bP^5UeS5_`V|H*Yx-~|@f-r_*4W^eddFwvYIO{h zKEsQ`HTTBwVv;yxTeqMTpWj!*4JlD|2g!@iyYe27pLiWW7Lq?1eLt>sRZP0~Q?28) z_H`D_1b2$X+q7Mql}z%l(j`;G4Cs+D@$L%elFws@A)HWepIqYo{VQ)Nk|pdR09-zRutO+`w$p>{XqS(zZf#0YLGk|V$yzOx*Lwo*GP~0rk*NI~1O_*28@1Fj z9u^Qz7sgNbQA0|1Zd*FH(EvgXdorH=TF<>h2lX7`#)k}7OOI5f~m)zNtQ(k`7hJrIhtk_lZ}l=EKXNpLg; zMtEfb@^jceaj|-zn^Qrxpl;F}U5(Yw**mPN*V z&+GeVs-h!Z$41zTj+s2H?qSJUn*Oism^qqqoI8u~9gf_!Q1vrZAl0IxzU?SG9d(UWE_G5ld`yQEd^9@p{6|tYwEyE>67gsnUu=KUGd>)#|fmZxrJM<}rTdoNj_4fM_otn*U2c=MRhXKa(K;4PIwu`rqJn zrGMacuo(fkuK}E4x}f?=J=g( z^yS|}^MB6w|NLL_k8hllnVJ2+Li1AAc*Ce2H_ErsJT^-g&^p*vd!ArOZ79DWP&~He z)`MUbk;j#4s!7f6*E?Jf^Zt?gRn&d1}a{jKX(^&QI^ z#iRY#?*g7`Y3b`96%3xo@@%xtGyXfZaMcbJ#c>XY;mmdCJ5P)2i-F;4uT83&mW1t}CD?Vj%U?;6tYUtlwEo^;}-S!fGecS7CPzP%ST)O~g4TGA7eO?f|X z0lLP!$lv`HC4jE+lh)y#SHaRd^abZ>DxPxXV^itVI9)b}q}gVB5;0bGhE@<*I;Y*6 zbptEGZd*2EH65w^OO@|__kAge<3b@Sp}M?B#?K<|-0n_2gg;M)@Aj~sfv#~qHP-P8 zQ?smM*X(rUcBc(d&oa${9>!SLYK}jy@c?l=)y}OrX`IjB7+}wji^dh^;6|w#OW@5JGD!ne59(XN!RisDlDJ_c`_LfGe2FKim`|oRs5!0450ak% z5#Wl(xe@#24#c;$kl$q%sTiioHpGNhQ zxIR~T7wJ^W!J&$gX&*8K-O>RVBk76gGe!f0+|FzZ(cu)@xe63ogjF)a*2!-I{@v~t zG>9~e5ip5D!#R)?YTgl%uoKWwTYMcQJf~=4?|yx(>eJtQ&NrmLc5fj{jt0EuXo`)|XVK|VB zD~bTK*>$leVbdx*3&0=}Af_Gp!wWGPAlxPcEcCctHo|PrU5Kc^O`F~bcbd2e(@%Zt zF0fGbyG2x;s9_?f&i9g~_ErG^4Q|p)DM>qAf}3d&m!ay0ub0;<5#qqnBD%g`D|Mw82d{GEHH=) z$4WKt4=;rIhZiEG_<4ztxW@%`L@bc)lv|wL3nsb&?v2l`T5KjmYM(sf@&KlpwXY%6wcnw}Ovt-O~mh(@NkMNmg_#e-Ak246ys2!@0z1H^^=-i`!x)DeU$ zGIN19JV~I&Y=O8?zIiCPPnpli28@C1ZIUPeR0%~KgsvwFv>4)Qm&F-W#b{*6!OWH$ z1u+(Uyc*w&svP|FMljGE>IZl7%M#X`#UXu#JPa zL>=dPGeo2!z6?$a3>yX^jciAB>lgqA;m+&AAkgJv+Kv9Ag--BxO1LL|K2xb4b(n*w z{vvx)nn;rz6IFEv zG@3CN?n&p|vm{K3SN?GGUl-viO_Y!GWjSujWmglHP{sklc2+6nCBnTxV!phiQ??}q zUB6v+;9U?$Op7|*%3zz&e9j+ml+gPAivlPTUt;9&;?I*TgQU8Lq>A{=5%H3NiIP1q zz*DdYFJlTh*iH?Eh37zww6w*o}M^+ab<|%-2keo{f+dBFUL^g zX6&=#;lCIvn~rRIOsAiLu5r(vFRashUKX%ao#GrVr+>S~!LQEzVh1Vl`N{F^1$!h| zxAXY6^QvK?K}izmT=OV^@if2p*~KF=o+A&c9ktBomXNQ$dG04g=3dPAVrp9@nDDGH zLvw+HVA;U}%3zDRCrC@+wfN6u>^r<@{?g$cX!-q{SsJE2xA<(p_cKhItIoW_3ek3x zl@23f`IWQ+7R|PjnS_wR>=~KW4n`Y_gxx*^!SG++T3Bk$K2i68hg}{?VX=jt>`5pa zEhE8Wv4gL=>!N6AGTF^- zDRh3DNI(;{DLWP)rI^#w`cC~_a3H5hU7W;GmHvWKfmQvTE!!ptRl?YnwK>fz3H9Nq z6^Hko?e zQ^o34u2%==4}rvyvwP&=YzLU33c!@L z)?ICO%2FbVC0KF$2uJgx(Fxhb8Kmus;M@>@`dd#vE2k|c8TRV937%?KbyVLNY^|rQ zLc~iI5|!OC$E}5sC=;UZzU97`7$wVIN2K0C~T$3a5t+Q0`nE2duq+pu6x~9 zPITNdQUKRT@kM%*29XbcWS;FRg%MDgqJccgz0xZe=IDyjZslGvMi`}kr8US^ zUZJuH?PXPoyE;kUPT*pNr^t@MJx_k<`GdOP;a0}+_*2%hHFB-8Z)vveIh~{8=)<0x z^9NxxD>|HW$S6#h}b^1VLb?ooX>kP+h0 z2wWue2(xMwzJx9jU%bSJaqaXHUfw#!ZAUn-`w)atZ#}>acHCjmjG*|&;PmFMR-c5*yB=7v8*6shkH;{a3QkK+HNoKQJoV7`n9f&%QoO|d zLb?vU4a?>DUizU)X@9Q$Fx_S_*xb5)+IV>we^N(cNajRGvvNw)+}s`{s$!P|c8|+m zQ~C1V80IxP|K9-A;J2 zzm~o~`d~G9Y;T);q#`L#67lOdG*zotprog{unDIgGfb6Rl$P<5l?^3OtAL5v;2>1E zkXU*zV&&`id4JQ>q<$1CMBi{az1R^PFak-!eMli^s)>Mk2gn-h7GSKOih8O z19_ddaZG)BVr2?lOYn%FHzoY^#(%>fAolu|=*knOuIvYvj>roOehmp-8}_&w&I$kv z>)NN6ieEXVtu#*&9ArG~rddECC4VHqqvvtAn zpbML0jE9+rp`osR&hG322WSEbCzH;BozN8EGqGcx#3T1`@TkGCs?a|cZskV`ug^AN zIv#Cenq1m=)P*nB&aNq<>kCe1B-j8|W;-dTfgt1f1>}molx@R>Q63mJ(ZN-;AYPPP z_8BCnkmZYLiKsc#%8s82wMH&KyoKVI-r{iNbXvjdQP7AHaGQ+Jii6Odks69=af!Sl#LL0JC!nQUIy#A)2j7Cf%;!Q0Meu6-SM^Fkb+n3?^Cg3#$%m@b^auaK~7+4lYPPxR1MP!Gx(sK8iVy9V>entVS~ z6kq+gcw>`Tk)R2$I-s%sPH|Le5E)ExQ}H?5oGAKY^J^l!A^LzT>j=a=-KFq>ng=f9 zK3THF%y8(f=ESv<)OK~EK$vEr5e4_y6S&A0^i1kiK=>{G-(&P*tb7l#0#ny)OUaW5bJ`I z8TYLtsip{oPPN!cgZNCuDP^D$1(pN56uow>31yU+3q$9WzR$Yxd+&rY!>8QHP(QK zz~_OaA?u~7koZIyT^}g}cXlBSrY(2h zjt)CYXPRyR{8_e>n_VKO%b7L$AxhX93}yNo;w%yci0;d!uAi8X$;wphTfF2M@V&vi zPU}|;J7iC)Dq$W}OqCM<6%bZChQotuEWPZQHhO+qSJPn_afub*jJr#>77R?3uZpiO8G0$cQ&GBJ<72XZ_Z~ z1>X|f(+gx*D=H;*z_@E%RSN*d$PU@y_6<)BS2Bh9%J3Y+!GM!?jhyNi2{UjSPIB+B z>LET_5pqiYKtZdq2>?Kxr@N*baEn#-W&6!zRNl@ad6_$nM83f~PcM~rHNpr+zL?3Z z@8%b4IORCtG}OFsEQ&l&UdvWe(WzRICBwubK;9??`7^CJ2=O(IJ~0T{?ShNCc1$D4 zhTc=WTtbErU4DoFMaTo`4(b5HFtQ02hiWqeRf$eNF`fdJ8DS(n+=yI@vOQN(uA97#Y2v`_(epI3J2y)(ecP~pN&j505xA<9Nyy?*(Zjbqi* zM43}WLJHI+>>7*H5vrrV2r?JlxlPlkIOv0JIZLjcBB<$OtjJTUMo!@>c8|>?TZ(ls zjPgsydv~?fT}bE@1T3jx%X7C__~h$WbnEs$6`V#2W`(j${89JiS@vEbb%c;w#&--W*nAM(CXyE?%1O%_aFawWsE&=oE`D1H8`!5V~;F60Vz$M!w zuy3#>yM|ehUCHJ}mx;vyf%`LmWT6|9;%XroYgsVfr023S!D_K;E!%WeIV#(Fs%oLR zRTHXt!xcjT01v-6yg9Cv>|#Uqto@e#ChBaY_hwZ|TL9EN?FQZ_p88g+ZK!qt&69!r zO8S7(#?B^17b<>3)0lhDDG(JBSU+n@ z7{x@}(iVIAsdd`>rNLE{f}0rcwxQYZ0}Fj_0+|1ZcC4<$X*Kstt0}i2FPOUP9DE*O zez6T$04_t|t<3f(3U-^7>p#k9{y5t*2(IC3g37mPRrh{*+1_sK^xN+qU15zy)0MdN zp=VexI=@;wGTgn{J`Xc_^T54~V;p{QdB0q+>5@HnDOLGAcr4%1oo%!0@BW^RH-}B5 z9st`Wah3BhG;Eb7Q8wpNAR zo?s}hZbcG1ouw{_dWs1us5D!-dQWvi6FrmmK=SU?i03cS$2Lp$nhsug*X{Nfuaf85 zHNp3$J76F2AakUO2#r#o(ZYn9 zu}NM?0Y%%A;bpLWM+!)oxw>ZrpSV3C;{>z2;E$rq4X<_MtW!(R*=4jh7|ePi^ zO_6z~^V%r994{}_!-Lj9*T^%k$mD$Hw%mXAY}ieot)TgnoVVq{U5i2nRSrmLiTQdf z6QF^v{uh1g_6=<@`w)|C2g3k87RVEBm`9y^m?~YkM0x z{Q9R|=8VAPo$6NdedO%rCTeeU;*WI5Zb4fvQ6anq(rW8ZGar_Zf}xh<0?!o@W;T0! zM|VOG)$QM8V6jgw?=ZjV`IwRI@2TRlkO+96j<3oqBYT@{y|*ym*0B(BH}q<@e?R-~ zm2&6$RFd0|x<4;RpWOSbbxSwFv(BdXNPKp(7JT|202z&dHQy>zv6X6b6Z%uMdiUX`3ti>4Ze;SPKiYP4`)<|m9u;(Ip^pn| zB0~_0b*{?1uoS0d06W&36?Nf``qY~@F2w+DBs%S^nR&~FT$2v?jlbtw4=Io487pCg zBqi!2c_I?Yi7X`)KhL=!66vjCmwphR8CpX7^>Z1L>A-5#TNkyjy&ZK3*JHU~3!?Jwx>p{I6q1KbcMKZe{!1>aI+N4Zu8sZRh{&{BoU~9rX z`jh?>sohcD{d{5N(mD0=wZFyl8kzS+o@BWr1`s==nnK`xzjb;(5{KgqO{ayEJ_Bgi z*T<KzDX^OFg&)&5p|6?w}Ng?^H|w7Z`jFbhCT0#wB3r-8`o<~>r6HJ_Z|i) zW|4mSq$Sr8%oExu1#EhNz3}wx~|N zmk;+i6mE6_;twU3jLdnh#Eae&e(|AM_6-2|3q^PTEph|Ds47q#>Tj(_UTYWLjvon6M33kk|kT zwIy7~2)0LD*w)n1WU)lQQ^90A2#uDLup$3(hgvLfxvwP54-YC>kc#*YNw;m5c(XtF zu`L$HFYsz6+#E$JN%zV(Mm6dnF=0~Z?Bzw$`KTg+bykI49A*$?kid)O>xV)U4y*kA zGmT7~eh6%!XqBH4A61L_g|c&ju&%=5njpu2>2}D3Ea2>8&u}{8%Nwa@`V`<3${TGw z-`bVpm+;ygOT`?@CR!s+tKuJ83#R&RDk=gkrd@ufmL%e-yM>hO-z`f$kORR@wh6f{ zR>Ibrzi^3sPw}ELq)a61#YBHpw&I&HElh$SG|gjt!%P$YJ`CL|1s;EDvL##DfK?eB z=~-7^nYq}c?V1(kW2t-9x``V;R4IaQiRWDzG7=|AH<;zNC0d+AsThFI#0r=*X(=V7 z*9c7kISHJ&Iv2n+cojMwCunderfZevaThCimnD*=QzvZ=Lbs^SiIl1Y{X`xaaI+Q; z%hW{4wQC>8?qJJa5I||UcL#6ta9sFb5m=$mh4^G;7cTG&M%Sz#5ni2#L(i{<&k)WY zFb11nI9*}C3sh|#U;?9h#I4BP(4roKE@}?5{W`)WEz1h0JfR{fv5Z>U=5KWH#?knL zt1dD(i-`abqP|yua zWbvBAJ>x5F>Q#!OI(?iqz212n-+YDX+FZLAaLm@+BSfuuT-8g_%XQTjj&>%T!~fB) zPNdpjTdVfPZd*+=Ldka9K4`tVn$`>`kFLiV$FGo3?`P*QXC}ws&r~aAn(ElSD_4 zUMhct+SmrMPrjiDM3W)N`T_H}4qnO?;t8xmqfCVxKW457@li;G{#r}d+7u(FB@eCu zO}TQ1Z%Dpz6L=;?PHV$5Z5iLl9MMdtDF;vrlkZS^b82YuM!W?KWrm}}I#wc_mTjaM z$m4FLn?*mG;cXil!ky3oWo)pHEgc39J~Q& zT7}v>SecnALUDa49v;{d13RB4Kf)9`DwZ+YO!YNH1hN8I`-^PU}!R9R~aw< zli?jovETyPh{R5vg?b@EH#m6XV8zoi!M#g~6DLK@aDayup<^oN>_k!93uW!ya+!cMsS9HKLBf9-&Q_L zM)MA|h!Jh@VF;R&U*Lk1bSiY=)L%dS#6@6oMX7zlKoKp=?`l>9#&$s-WvdO`%IcRu zsbD0Sjpjb4jc7FY^AG1q!1nCJ%`1moRauz`ks(w>BLX1MwC08rq}4!(nMURuUX4UW zo|xD8Tbx4AOKXP2`BSJEeCWn;^9Q>33Q^s%&KN;iHC0NPyRH12hnZbRl4@_hUxakP z<3YRTqO~u)B74#C5kL0`8{KVI1g9}=sozSZj6B`qRkY?*F6L;^c*_`nM7WE^{w-$d zX#ZseOR!Bi2#3#qGq}Xthjc#fVqtxzc~^V zp;FTtxefEVb;QkLA|?;!Q;-W5Iv+}FH+3^Pr%29C(;eYnauC~yB(OBq$q|d+=Hz^s z!R$}G7y}Ay?$!kRW@WdCW+CK1(>*!ko8nXv=%;!bzrId+Xa}Ae!*SrfK7d1Vah+e%YcD+B)xZ^lHQW}N^~F!{8*L}ZIN84Wqw0SFph+W9n9IDi5|u#O;m|L-e79Pu?1)JsRl49x69T5 zQ`E;9`Ss8`;H{&zroUJ-t~^PcA?p>6%)09C+&h^6r3)u}7JTA#-B7;%KNyFgL^`eLYBIhLCWzJ|P%A7-Wn=oS`sK2zmfRJb3>9_HzCbS^pd5_HR(ze^PG$ z_@MqjK)Ln`FdQL zOwx754sKQ^1D;6J3mtJpM*&tQgJbmOwub~VLkG4@of)4(zGP++0+l6eEYh&dEU``Z z`g1cIWwFhZX)iX*sFB%GtdxF28e?yurB)MZ`VN?@dC5!R>W1s&C{;VsC6Az1Q4xy- zBWv;T<}DWt!e#aoLx8a}D4b!=Rv{hy|l zz3Gr-rp*l3jSeE`U3M#_8%4~^9=<2u-LA%79?xSU6fgZEB76<;d$_P1>SzLdeu7!U zSY;>4+-!{lO+Gj#lV=U!)v7Q+KTi=1G3dwVeM&8?%!2D;NM#n-QyGpTrbV$9Y(UZc zmzlTqGe{!vG1Tg!L34zbqaUcOwD*TfANje=AYG|{K#R6cfsHvo#N^&0Xk!A+K$-d% zEeNpqk;=$AARd7A8DxQ_ErnUyiHRRxClU>vcOM2lq zaQB}9%Lt|0^?7WtgPT7TdY!+Di=mmA@u))~Gx!9aDhP(*zHCYznCg@3>FI+s9hna4hi5) zKX$htxT62=#p=oW>&0>r5I{J``b~#I1|b_l!7KN9M0nO~CQ~D2RLFGRu1*xPz+0lZ zjY2Y}785rFULF-6JM`_v>PDB@P)UBHsJsv|scN8-Sq1%(5xY%NW%|kfj~7dNC=sTW zTA{RmKoHuf@0M9{9G`oDqF9pI1!V9Y)-x#Gylh*lBvS|<;hc}oJ4 zxf*Ss8(}wpf`-jin#?r#Euu?N%peG=D-ewsk1SBx_GdCN(JsOPG068vBo_~)Wtji> z8wUkg1Eygg&ZwV?dPfU8;}}-iMf6WF;aGEGWIZr{kUk<9%s2@IJ{d=JKl2hPsZz97 z;9vCV;aFfDZc)ak-z6{{{9{~K^An)cv>5N;d;B#h`HAUo!;8g$P?=+8BJ!P;s@u<9 z74aK}%^xEvvX%kKVJQr>-&U*^B&jik%?|ssJ%Ig1HBFMNe_65izpYq&p7`|5Ev&NH zS@BN9g7wsYtyp<7Se!`_8O!n??6`{}IwEabsIVMvGPV=cK@6E$*=9}DY@@ZyeIH*~ zZQxE)uqOf)vOpc|Hmnybg@Fl^7`RD;xPkp+MlqBMYkmx7v;~aOxqg*xQ5qfZD ztehBlwCyCQ*stXK%jp|O^LNv}9}7@2yyWapK>>r9`T@Uos|}ijlARNNSz$rNxNh@N zvaceLNeaMV2ghQ)3P`?~``EN03Q*-(C~BBaS`>zU+hL_RLY=VTUbtVUk{C zKe-F~YllUvmLh7!tAz_%%wZ2=iCyn0VoMIH-igz`CPg5b2G3zksI{OO z;Xx#`vYd{IWb4j3INSd@m@3l^|NJ?fvJ+2f%a;WtSfh$bdB12AkMt$!ab~d?S#qfe zMpQg)F0n9NNoif~hC_MTg!=}hYQP~QMY_liapIhxjE!kdchBGXt;AA?4*gbQxnn|_ z=TZ9)bjW{GZqNeyeT7X)6bWevKCsbsWMKfYqpvGs(cU6Mm2tRU;jOD9NyDdkEhdS!CJEQU% zjrjIpIzTU1mzMd+O1&e5p2i`d*1@ROR<+SqH-~EZdU){a$fA3#+;ldD&ZYD5$iuT- zhi>*S<)-;);{Hv!iFZH!L%G5JJLTpfDb}m5A>zkFt^8mjHcI8(51)MX`0@$>u`WkuCksDTIwVp z$siqkBfw~dr_q-~M2zxM&t+Z=FQgrrznEJl;;Sn38R`)++Z?UtH|8e3rAc3!b2V4x zLSH$owLr!P(W~opfvh@;Bwd>cKV8jQDAclfhkEgN_wjCPCIR{^7e5sDbfS!@Y-gt| z5UfBR*r^fseR?*d4|J;nx1BQU3=9ByH>kCqhhzB(a5Sj1+ct4SEOUcb3tv`EkX+hL zm8^pGrhewPHY890(cY)awBJJA_&b*sT6XaH!+=h<6kYh%rPEanyG z((3gV~-dFRs?=FTHX>$3D&aK=|QTqr8rM{ZZLN7am`>tn|HDoqM;eSy1 z3@8rH|JZHJB*Y^@biBuHnbFPj5-WgA!3d>dLikJHUE3--Kp=q|OV*0*^qzT1bl2MD{T-QyS-x#A2)abGncv)-- z4IAVGQG@9K*}VHd;guoEqekyK@!P*7iLww5iOIh#(r^RuUqp?1`rjEeHV{zxk7861 z`$=Li6xL=0qCW-OJhEE}DOi9T=wUUw;DAo;Rk$Ph5wIA^;d@vi%h zd-aC~_{FJn!@MIQejC8m?pH&JXW=UTX#8w?hBii{w zu7&w00}QOmm&M|8XwwO2J5VTPw}cCf?ARG}+Y&RB5)u14g28JFkD=IHOda?W2~@}> ze$NxMFxHu`@~Ib};bWY~$JL-qDB3fxbC zjSs^w*s@NnmB-F27-$=Oqsk(2R8u7y&1t!FM?%48YL)bAg`xqAuWlED)|0B&bfpA# z&~)JisNccpoAdhxdhk}XVkx%!TbUi;uIKH>?640N3#-5_#R9qVInNKYmG9kZN$}r` z55u;$>1#sWh19y#9mE3Fol|_IcnZ}N1XsbDPSV-->{T#j-LVz;Eu&3H=%wWp7Zo0n zA6m|vyo70sg2^_E%#Aq@b~-YNJcP9%qQEx6DgZKIXb`=!^^53eZP?d$ck}n(yP4}L&9VaNF)@w|LfRnz2(WZrL0Qt_aPG^9yoXP$h4 z+pM5>kt29N2VOGK97Ol{1mLMTA5GhAFk)bSD-EqI^hjb{v&v~(2XYyx8?{co zaZAPITEdPBu7i!T?~Y-DSl(}F<^dN&GPyK84|GqaV&m|cl(c4;xrn&lELLQ&h*X&B z0HrA*h{O&1<*ym{MQ_mjL4i=Y1Y*!ET6OgU4cRRh`Pj-f<$H3r<0Zs*9Tinw% zP79wHPK#Ux(N@>I{Z)6bnNur^rZ}THF`nz0`B+V5UKSfUUgvJxO*@r?+O@W}`h2`t zO%goRRuQQY8p(ZDIirR+W0s##@?@3O0hpzhzektXs^1TBt8<5m2QE`>JG*S9yXj&d zHeL;$qVZ<88XBK+R<5z}6@o}J$*g~gFT8%LvzGNTn|+Q?d)~NUiGsJ}*gnzd#vMqP ziv&zmdc;^(h-a1)q*@DW0fRjB?|byZSl!@#*ELMPBSC{(@YuGlS+>35j&-i6xC zv(g*P5$@)}uHmW3nvKq!6PC;hS@d$#?7LM>KTJ=HrErK!C0W>&?2l;1=2I)-(M5R< zhxd$bzOl);vbHkoOWmTWyVL~(jo;oj$0p_^YvUjI(bCWb6hbVO!H-5?l!SUBAdhm> z;`&<34*XCo^O)tXPkzgQwvUKm@TXUdY_vZTGGd&!JH9OV%q+8;Cs|v zifXTJ?aq66CrN(o0~xe%O^)kj>29EIN^Q^M>U|wr60w}Ct6=KRO4}`b?zbbb{)*iI zPHl6x-K@f{jJuU&d(w<0_e5oZh%!$s3X1_sGSMvXv0L~BDMRFYU*A!?Qkd0MCH`;~Xv24`^`IFZopD(u4Gl#x zDsNN-=;>3y$~VWY$e%X1sQl697CY}K0I0tqv5NPy$%DdBEx9y-+g?rYgozc=<`Geh`@oC!urQ)!B>jA)`30V~RkBaRngfxn`tSJqs03{HsBtU-?6M`X6IMHUk`E^F?1(X(7#E4MsDS!SBZk1=T( zSazIC;`@CqqD+-Q-LkufI9NzPE+$luht@)sXcTLks*Dx2Uw%f;Fm z>NM}fUoO!%8Xi`PSa{nTZxFwMcW>z0k%ipSJ2FK*WFVbf5rUiU@yZ21I0H{ve;a6w zP5`9(Udrrp33L_!E9i6|*b5L*U-r(~V3w10*1Tn;tB||nrp*J5u{0uhv==^<_T4`s zF)60XSYTU@E&08Mc`%@5^_UW${#qqX)cr^XpxMA~@9D11+L%lJ-Lm3II#^vKwoc+>%rt*``ArDjyHmDec zBgZ=t9@EiX;m_2_uIOIF%j)E2;jmS3^3FS4v}@5aS%N?-Iw}tqyd5S$Vf#iDr{>2w zB|9C!Pa0aA%<4(_fO)nw@3B~THyD9{r=atlkOT_Yv!mqbJM^BJU0`15DtBxgDXXg% z^8qJC$RvZoSv@Fq;5qiB?!3dy#9qp$Ho8C>=P|jWYtxGJC8EH6CmmsrZn_l~j-Gjb zr%4~{cwIBKRX*D}txRmUIzOXI>XCiidR&zhR6O^dI)TOdE;=#~iry;ky;+a^asxdT zS>h;Klok1jS{!zF`9vXMd~*06NH$VZ`Go%oV-Osj)eAg)P8^oXnGdk$r(dU4rDL!} zj?Sv5@_uhb8vsVm0ik9yXtvJE4!j}jWR9FB8I^9G8PS0@b#s4FaqgYVtAjz{zAq_e zZsc_!z^EuxvPbk5r)Acs+81nbcq*XMm@02_fjOZ}(aAzME8%j7Sf)fHRG<(@2p@c6 z3f+3U$12{ov*woSf^V!&IB)kO!4jUDJ-f2d_w4kCd6#UlZiR4Zu~INEa`oBXmsxGf zR*N%1$E>QIDq^)(ya#ZwzXZ)3tpZ53t2#<>;iguea?}vmdhd1mUR(*vhP##)9d$U7 z=smlm3F)~9bfKiM>m%cFQp^f3wZ8TxT4Rl8FZ1HUg5j&$I@^&LvBIfxVaX$N(0Z!Q zL5A8I!f6dzKq2N8?%L4ET-Cuv)LDUck~F{Pinmb{KD`SoJPHOF^@kRn1|DR>O3daH zO?-OiP#f(p_>7wA2D;NDIJrI?Fu7P-G<-vxIBV}+5b)qqCfS#$HLkUv$4-H2$%hnv zU1PsZ>-Iqqw6FQ)pur**c5{ZgY$b%?;igIIW1K)8DYKRIiSnLfJG<{!^q^Q$mc(^4 zgPSh{kv{C5O|W6?gOoY}DlqbCo?WNEcxugnV8CqcaA>4vWp!JA*%+V9 zwmI42fvscSX&?d@Q6$c_t)feGoa#)G(LH4jWf`Z>^fdRGHia#FvBbKtsnGqJ5 zW_ubofA-5G<7X5e} zWj;E)NucTzGY}O*E9EtmprbIFCKs>do-*MGQ5io>WZ07eMw|~zV2R@px5X&EVM4OWDvNK8e5qzuW#G~J@P zrMIa;MFzw1{81ZH7eEgr+Y2_!XmQZ0H%z~}iAFaisXHaNLwn|;5XheRE8)-gjtf4< z9{Jx6r~jAcrHHweld%Jxh}CyeQpniQ*2tLdzwEfIZ2!}a%ROC>=tmC&(yyWvP?QSW z^mC%^i?vFhy#|2F|Y5MTfiIp-VK_51p_za$+3oASTA zK<2+~p8svx{YTC5pTQ&MfAk#xKVNqLQ(5x8?Ea^+G8##4&m>Vk4T)YvX}VM)34%;jh8uI+`iX_4f97%l5j};`RCR&B3!Yh05Kt$H&pR zaa((9yDSub7B9DQt+sJjI1XL}6SgAjDw6KZhU;B^OE2Kcxo$WlkNFR04=26?Ldutb zMOFQB+4Q58`*-l@2>AH<7a8xj!DJ9@KM9dxb?S7pGp_I~YLfN_B&G88)nhqbt}S~S z6WPif2R~E&kVojd`B^m9PMo>+Z_Urj{nys??wszv9mos;vjyhPpNfLg)28dM^cKg~ zi=*qtGG1)w5r;A&*r;MeXc0dB6q$^sX<8zzX2#A)%W3*aP%YP_hTeqOg#lQ4SzAob z88qNh<%{gb`(dJ{P;ds>Y2N}9VLytPQe@iuHWkzQxN+xXnqtaB7{s9%A~o_qwkFAy z-@7h;!(-I)>qIxt7OAmb_49Lg?Q_jV?zXo{Ax&i%@{%Res5NVe)P)Hyp)S>9g`=>)1twVE0u%dqIl#zKT7%(Vd#>8$L*gDpD^5|jyywHej0XTUouaSbtEB4Q z@KlA<_xl<1xr0+T}cL@EoB%;hwSfi5*V_dm&gT#rLHAG zm?QRlEu;?wmwMBIWUogbj(3U5Xqk!w83G8q)2I>zw@e*?m60gGj+tHai+~hB)ON_; z!sLi6UJuV?t(Gj0jHyYRgiz@m>xd4G3`$mnoG;I365)+*YCJmJz)8QGSyGSq(y=31 zT0I~t8A9A4ZY1puv8p6+zguj*rJ_kz-B{IlgtT*SNSnRj@I-sx^m8Ta6nWKa5Q4f(Eg0pI*9-!yS_FoAQaW%?^)L+ z%%A+xQ31xNF&5Af2Uz29y?K~tu&RH8mwqbmf#fdd6UIq4>Q1JO)ya>u5MC?xC$Ji$cpgXTOhGaY=DT*}V32be zK@Blasq7W?5N1DCO4Gj_R%57eWOi$1NzWiCc1~I@3M8grXqoKRvCPxVDuKnu`e)yJ zXmNd++xanS4lx8t{o7Uw>LR#JUTDZvM?FuY%kIY0;hqc}f0*>uRKgA+mfMIkW9CL~6HREpYOwn`iV>9SGn@Fk?|`R|dC zwo_WeBuep?zuC{Ky%Yx;%(iY(?56M9k@cOm_ zN|iimjhk;KKJtQ5>dtMbx{C9`ESMK7{+?;kP`bS_^=BJxJ?(Gp=)%pm62hfDs%ZjQ zh8S%p1$%r>xunepm&AnVyLMzrC(sF=k@PfW2W#ZmaJ&JU*hO`zp!Oa9l-nSzXUI4w zm~6+iT9Rq%m3z}K$EQoGIUHK0O|tL$XW9jC5sPIx6-a-G>+~5-0ROIjqT%>rD3G)S zAddzhm!jg3dCwvsLoMC zf3{ud?`_vt#jcG|HieSG0ENRM37Y0+;cipx$B+tl^LP2PrZO7uI-!Z)0nW)dZqwS> zPy6ce6syrDPPj9j)B?xpHR7N0XK#+(RGOWXB(r;w;J|n;363@#QVbWv-Vo3IC?uJz zG`ml(-4rAwS#wb0NKj%4DGr!Wv(Y#*P53%P3f%d@ElAJ)hn)&`~4mYhC}B>-c;dM zz`wR#lf}rN8?~4a5}6B0Bg2&x*7Dp}RNvd~`@e0wP3#aC&gID)OwHX>KQ0ZTPslac zpVmNTR^sDCD3K7BfsHW$b&-PnqYTRBNb4njv%m-mr8ECi{=5-nU$y!}DF$}`C%A91 z`ib|^z@?=^Uue|gd)$@3Tsp_RBc4@5=?F$q$Xz<~U{#G=qGJjYjFRH4oLrlHT>veb z#izM>IUabHna+-mRIR)=y)WbFrX}i=uVzI(%TxJM=#h0txsMe-NzCwFrPYF$cZD|e zs69IC1SR(A(g1jRJrr=9Q7N*7T2Pj`k(Svy&s@65EIpQZ@N{bJ^yuEcSPTT86z$yd zxOe#;cWGyBTst=`A1%Je-FNZshdSzYNK22vb49=WU+e5EngpK~?*DOzzr4J(`S0%V zhJt;jlwJkJ$v*<@xsM17PVfN8`ZVe0il+H!8$`c3D#iTZ&%{1a&l=@NQiHRh8l> zo%=!l;qoh7wu?8#%!B7;ZquSM^}GAYTiTtI!{;7F;b~_L>bh8ltxU<&snVZ{la2O5 zkPbDqGtj=vrwZ-1m>KIDNo1oGt0d^#H>zZ<$Qan7vWPRwbNv#tuQzD-1{nGoF9g-H zV&AuC8@W0-bmkQ=1{mq@?+PtwI@<2hPK~$S-PO6V+pRNX0j_Kva7ov+{~419r6T}un9}S8b3W?6qqR+~K=ng@ zON_i)#pZg`#LmVrb3@!#7~0R(tS?T65RgaB36CQ6C%<5PUWUj?B==(Z}BM+LrB>Xy&(`UIV;gJ>jX#%t=A~c>>S?>5KuFU*M(mSsH64 zVi!%~Eth})E3tEnHxg&%7{a0#ivQvB>^PI^jAiSjkp?$O|EF5#ylr_Y0EQmpXiv5k zcX5Cf>Y4j=<8e%8HZU=|Cb8Y9%QRV#3;HTBpzI3XB7m_O8IVhy!KT9W(3KS$#uM69v zcB7fAPP(j<)Z8|^?w#YUsm|c@b{~*JCu&j`39f&JGnwZJEC8V-ew$RL6EtMxrE&7{ zstXS*FA zB`;{ZUIm5eab_Mg%##)$4JR`EKxpI7;nT|6;~n?WSIXw#qPZJ9-n6dC3mwGj>R-B5 zSyJ5qC)x~_hHb4SWWSI4G(&zi%BXT-i@EDq7Fp@NIy{OvT3{jnTLyRlp6h^Jw0000DLem$R zh>5I$W3khCp7t)1IxqoS_RHTgnX*wIYUjhsAr(4aJ$L4jqz#(~nl5zc@tcNd%?5`u zlrcgIF6jV)+Dagpkw+j;7()!30h|M*MSu@^fxg@W666AZIJL1u`;85vda4W$(%F-- zV;7u?P@emzLO@)MZ_BD`x*O#)nyHS?!Cps;ejoKcS$0^dmD#l2T(h}yU<^SV6_ z>h%S(0OB#hGKARLONc`U>9rHW8rDgSxOW!rV%=9g=Iu`7;?r-%PDuN=E)`DTdKI}q z6t5;y1bW#ymEA$?cy1A&iK9C9-LU;^hr4UJZ9bTZ&1ws6$!$(0*Y#S?5}xE)+|i~? zytbyp6H&^DA6(IGZ23q~8r%|ni7{`VG1!w-z`0IT8e89c6I8;WEDIxM`D2q?PtFMt zl4+HnjOF?|^EiF1a@fG9`HD2%Hj}U0_=7s!0C&h%7DD&27oz2oWAb{v?ugLF#>Q6d zc%sv(z_qDy!d)G1RN=;%8sTQmeK% zCMQTK-Q!jpwbbX057uQotC`apiLFl7s!zaRAeOR`hSb*U4N4zO=+Sd6)u&$hU-sAM zAtXNtLx>DZ+kwjghFqp`SsY8@rnd@5tWy;Ml!UowQ!@BtaoCnLD#`2LLg-X&*)+Ai zS84zbO7NzK+!p!vA!AR^n?2s^GPka(TUTtE$gOPdE;~R*j1Bx=evOQ$U@S9^ENX$6 z8MN!Z)$drXTQ!%7;hH!{$B6fVtiiCtYQxE9pbGvd8Ph(xGE7mJ%7r+r1Ly*+G^fC zUexeyO>8!{Pb8hcG+OoYO5~aP>9mlcmgGTgF+x4Dwz5%8xT;_F*!H7Tm&>L1(>8Sp z`>ACCjEr#ynHuN&Hk0(&i6YxYuOg0~{II@Xsz62M{n0~{b>OqKF#YwG9qxu= zGiXjN50RnOXv_k{Ngp=0|Jr|t*-!F{6ZA0Shnm7|ImUD;uViD*gnc~y=brOQjQqrv zTz)f3VK;?IzJY7z%LP+*sW{hYlA{^vEDGQ-3 zALbWfDJwGSCnOcwyJrv9)p&c)r7%{m_(y~?koyMt! zp(x|@uw#AbpT3GkBf6AY#5~L&um?N~$TD;Uxi~NNPv9l48W~Z`aw7Sm?81)uN)h+; z64IEa4J|SwlSAT2xXx`k=keL2L+vt0Wi4J9rE9akiO%v+?RqY$X9e~yq4vC5oL>#l zVF*Az&;TGv344oxtnhxH`^qG4C}Dp3xK|(-F|n)gw`hK^!_ToRPZPv8^6x@D&T2x0 zmrX7#@nYw@naa&i_V0dY*2`)5d)=|?sCZ{553$iH)9~9$-m&hDp$?(z%&H(q0`hjN zRMlC@Qd|%chQ?)=F520Zpv3yBtCGlQgvhJ-*L8QMGd5}!WdZcc&6g9L=Jy?j`T8E2 zV{w!aqT^ZEfWTETyJiNJ4E3SaGL$_(8pXsy0$!7)i(G_u(he|zo6*#h!Q)-``3-fZ z!+MWln44v9`5*1Ox$2b%{}*|08C1u%@9l=*5Zv7f?(XjH!QI{6-5r9vy9U?b?(VL^ zJ-jnnYoEQ>-s_xm>(=|>R^2L?bdMfA`adSp%$~n~9;+G-;-)X3Ne`QU^e=(Ji!Vf@ zj@nRJ$tR0%qsAM_(e|ux5X^cp!`NJ9IGFoKY$CI8T5&CH#Jj`_5}Oxin5Eh8fw_s1 z%&H5!AT(i-4@EiLeJt6R%{9`;T{}aoGJ{k6HT3A#raADsyX*Kax;%z zNnvi0lQmr?*b6Je7WW33!Yn1^vr_&fG}YF~&NjtHZe|T-_8JH+OerBs!-!;(!i2tL zP0nb&*L`w4))7z?W+4Qgd=C{_;H7(vQcmM-@$}$G`2%?k%B==)n!5wC`#2t)c2A{K zwIL?H-G%s(X>7-ZWeRxqV1*^KJ;{P0X3Pnh!U`4SeAr(F@fc0Y16)tnDk1PD9Gv79 zGYtYWq_Q)>I1fMZ_itw}(%xkK{OrNemmuJ@%B*^m`BwIuy7;Th-g?Yhj3X74#Bz}s3qmWqO7lw`cJQyhj4~MexUm*zaa>S%W~QN-`DSU$aHeKB z{Vmlk&5qeb9WoIVOs`=*`vz%njS%)I+$CV1K#iY+19;3v4c49nB6JwE9Is}LO5=Q8 zKLnqjMfZ3-iU>PL`caUS3!ak0p z;t7KwjfPP!zs5{uL;fm4jkefb)F8q5{SMJvieO$N&Yc+xHeiSwCt)4ZYNnITq_IT^ ztu)ytQK5qd@g^s*0ct*QQzSy&ohG6uutCY;vcLNpfsX!a=z4uvWk5FDa^Dwb;Q4x3 zC2R2+@1(qv)mj6x>zBqJMXq#5I8Uhn>_plhjE%;>--a5V&7(G8rA=edI?ZSED?vyB z*|{}#GtITGg>W2WUyIkK@90lOljawO7acq2?yll{r~a<@xy3AtPhMK8t=8{%8=!s{ zbsI@eV?IqT%**;GWhTEn6mTB+4y^Ib47dY>sR0h@lCE^Ro za14IYmA;%%RIwiPeauZ^v#kKi&)5P;|C9Tl9O-BhV@>I8aKg04=`ZJR0MFG|w!U`_ zg;uJ9)JiDe@+3JX*_~Y=^Caw7I(=y*AO%wz(DRsbQS;9V( zxG_9Vgp}N(*|9Q^F~?v&%6=_#y5OY!l;*6=ccW~YH=t^#%6DzbfUL&8QF3;L)47Wx z@nt*7#Z|(%+|y7a5looojg-HQ<)_s#iZ_)6bki7!Rf?S;-8O~tj*7H-YA*-oO5?X^ z7BPkTN1VwXky>YPHJr&KaGT2l$e?>s`8! z-J^$LPXYSPqDYjLq{~Z8lDVoBEN7z4y3b);xSSOp6@7^FA)cSht5o6aaolCb4op(v zV->0&*sFYEci}NIP?B6USFy`nOzE{>r*tEBBw&^|9P^ZvDy8`mLDg{Ik{uvnHS`G9*oFn?nx}w! z^42XrzmPoWf?`!Os`q_<3e;WHbd#p6-BFb6B34^-|K`Dwvz4e z;+%Ln=Mvvuss>k0fEWYeG5&ZK*o>F-JKKuuzMUBFl-uViZ+NwVYTvp~ae*oA611Nx zfb=k+h2PL{{q;*QWKLQqUh5aJH84gOy6GVt#R-LoaiXe366Yo264O6<9>KdtG9td!piK5b1j3Z<69C>94bS5G>^SkkohT z7cr0S;BX`h611Xahj9Q2w?9{E@KgnSC(6tbD5BU2Y&_B=d;O-LaoNhAb*WOj%*!v} zFUqQuG2q-W1&^zqhO|Uixe=uJ&;b3C@h3Ats z14Cj$(GZ>W<1B+M7ER2g@G^l6xCqi27KU>Ir7@gzWQ(9ofc9!s1r*dbAtZaj)9ib4 z?5I+!`Nn(G1a2{ZmoiJ26 zF~qvP?zUBX0)b}Em>YU4OW>CVD*WC1Fl84tdraf@X>Hw18HZ=oIsJe?Ua@vVkWHMv z$sA*8e$6zDG-G}087NrU33f`K6smS|SThXFXr=2oG7Uew^6SiBlhM+R=O%(`Pc@Un z@o+T1&0?&2V_{gp8CF1ISPWo90JT#RAAsJ=o#m8wm|>NsU@FjRiQ^!A+}~R74p zq|7WR!mVF1wPBRF=^-mIZ749a2K^EVCxU7{(gN9PPJJ`<9Tyj}vG`*Q2v_k>F6>la z)^B$nmiMrJPy!fToy1vRRY9hj2=p?_LPc;bcj(etaFm7Cy_ZcNYvSn!CGzAqg~a*# zT!qBXtRu1pKy^b*(>FAbD871ohc-z)o=+RlD)~3+oUn4u2U$38*o+0hJzBk6a_%?F z;NGSWy|T~~luFEx`#s}EW*f&xoWEA{>GqLdfO9bheId7%NA=k67~~9S?I!DUY)YXK z=@29`5=m$rluLC`G9Sgvor@dhWjJZeTZdFVNT=_3izXGjylin-jX_lIQ#E@n+YZe1iZR-Io91d zrr`5I7s{G1F1|sMVR22@@boCb%t5czKb1Y43=k5lV(Fsn&)H^qk1pyvimUFrt~IG? ztZLZz7FYxiNJknnrJQnhKzunB5*;pXT~Wf3axMy{34+a3&SGGBCQHV~hKQ`98mkQ@ zsq8~^_#{cXW$#N;4V6)jjU4_VIx;N<)J|f%=Qf-#e#3HU&n}T?9W^-IZ1CfCZOQ0) zy1f7vrcJ0PhJX$SLSY|qq67WCpS6f9g#%ZkAHx_9=+Z!wRI@f(V4YMh?d2QCvEi--6%4ekVon=bkRLh;5xtO*6EUU)0`DDGX~<|h|5oIore>(ml+s%{T?Dw zcjcEP)xvi>Qu6J0f0rG%a}Tm6zD^n&vM69b*%AAka8px=N3@<=@}gVa8BRJvf2L_OmV2I#%$BKRUy ze7-;*Ubzr({XZZt&pkU-+<797O<3(*0S2BK_~R-%cDJ9$H~nM^QybKsE@_QxwpXo`L<3@kIaZ z_7_%#}X4&5)nz^`w_FQmY)J=p%C(fMQOKPIoF#PEAE|B&wh7zO{t5-|O) z;U8Fn-%*x7C=P!_S^i7X^UKTghot9!1{N^=&$*UgQ~zIcE%bDZ^z45X^Q>9}#5`Vy zs<%Xtfqk7iANqVrY*@7Wp)bRGz~Cc&i^w#fBa{p&YTtRB%34FvmKneyP~Ad}Wae)j zRb4V$@6WyiI0NprYh@;r#>^lB(x?D(mC&(ot>MWD*o2Y!*sc`y?#HsE;0VI zPF=I|V%~DX#s>A$W+CEy+_*A}m)FCsO5`2;pS*&q$Gi*G{LQ&E(jysg4NC}#*-hl? zi4f$}?_IGJ78T6qZk%bEI;M@UflpeufH$0zo*RhmMrzPk0J)Zy*!(oi|Kb&xnhW$e zvzVmmO78zqiw=AsPAirc3{Qow!@eVWE9gk-U_*%M+COr?g?`J-A&0C?GhSq3o|@yD znF!J5w8`gLpfT7PoNiD%Gi;CtBAyXgpk*^s9Qc$VISE!-Av1i%Ym25glQ()Mc*+Cc~s*Dp1aPW)-rd8hhaXlrwvg)-ty3o=->=0=v=&R zf(d;XrCW)tQF%Re-urqZr{#bJN-)11$58rDsfK1_A)?x#xlZF!R7?eNyzyc^(&> z^O?@j{5m9JvfxlJ^r_fOs=1w9BDNNrAPi0c17PNv|5r0l*qEA;YDNvT2os)HT20p7 zu3-=i+IX=`^Ffsw& zmkq(k9exQFIPUZ?2YtvG4fuc!tYKuP@jFhyFEbDNpJpE8znXbcjYqowVdg3MW#*}( z!eJFs92|Ta(!y-z<0vxF*?tvm5=gXnz@IBjqeD1ZJJ}25;-qfJw=E}rM87~V7%gV* zkq{b1PhnT%(tsp2gs@&`yR-dZXI@c>D5Kg|ydq+^8$6KR0hg+-kzQQ-{}@?-^BY-U z^A}_R+#kq-=3mGH8V<4$POyNuVQk88vkZu1LgM#n>$pGWWU6dJ%P+XVN;3=#U#fr% zVVd?V#CM%>txBABB`uO{EIe568eNht!XpXh?Q=gONk*CW(}~ht7om-$Xkhr6$_(7n z!``^|)6}y+>ILWUke*wY4QIInh$$W#B?f05o;LMw9n$a_2Q!LsgV>T(oiwZ&xx%Dv zosl0IlSdkBn$U7LfTblki?o2JGtM6WTV}z9!11cWrVg3bcv!7AnSoDkBrt8F3~Q5Z z4D*q|SI1E;o!UL^9>^Ea!OIY(u*R+a&aHku&_TP+*^h*COQ{35jy>d+Ti--Mi+jg# zL;MY%{S9O&*`9K?mXM%eO#*=c_p^piBPuWOi`19WvTeA%mu%?@W>7)WTO#wA??F>< z7eBS^i9l4kmPwmsQkO?vT*lYX?PJfN@Y{Fo06Dfa7h6QO0wu4EGs*4dj3QaPXV|JY z@MPLbW!p+gGqn^`Z|{jhil%e~?#f89*$sN~Ztvwn4qhTgvlDC=q}eKD+s;e{2Kd5D zCUZ^2D{(&0=y`E<4oYAv1Z*h*=UIf?h;Al)L6sm z2tE19KDj0)GYBIh^;YL1pc*NxR&WdrM{jBT%C(S*rMbQH=^B=2%Dyphq)Vdr)RqyA zAIf=nbLVk|F`^2u3qX~QntO9%mJh!^AOs0UigDbOPwzk81s25UNF7~0gk5G}a$~SNOBt z^00vn6v;*SB4L>y%F$UnKgR_8m?#-Gl|cizU` zYde-J=5G#7XwCi>S@1nDt>Nkra{lh>7qUQA$afdB%tjRnx^NRIchTbuUw+~ny|)vH z$jK4lJuR^8{^kT%urX)jkk@p`J1B#AEYp-EzKZCA3u^RP<)c5$JemMA5A>!~(HYVr z09jC+4i{C)!Wm}SvaB=7qN<}?zj#=!9q*v(OCpob5Uh7TRn1VhRZ=V-_-U6D7AL!Q zGtm*+2ruI#B4lupr+K$4Ef!8&mZ9ZcjoXuOYCD5CVIZ~O5xJf#0KSD^Lh#F{By zCqF2bz5Yw~fn4=y)u~J=$E}_FT)ChTTNa0DGOpWb zulsQQ#njdIxf6Sm`~m#z@zl;1E1AHxsw*zY5lu7T2Jetp5eg*drbAF?je6nD?PkL* zMnYx0MF-N@-U@Gp6j7Wt<3e3ZrSJ=Rzy|T7Zgd1Cul9v!o>5eT!VdLf!@QRw;(9(xFAq0L?a-r!?-62g;!hCP* zh?Enwk64pSTHv~$Ruv&~x-3r8p!mgKlqSo7wX*I{efK%0wu|9Q&K1OW)3GXWP#n-V zxFANkoe&U3KjhjnRXj&W_z}#TD2!~x!@PWf5*)!Jdzz}_aKe`Dk)Iic2D`NXtg|lf zNsYy0xYx%yeW44S8{yS2e^(HQK!sS$6V0{?)87>b!fYnfMZa+OVZ6DobUr4yKA{o) zi?SQ2zpbgce5lDK81kxX0T#&&NFI{qdB4mlPAxQD4DbYQzGm^AaK%ugkPYf}vvDPDY2nIarE?cjC?r>>T8>P~a9pVpV6>_BZ_|U@wfg zdK?L7190_VN5|HkAM1)Jf_}?{i7=pnAz5SvtgDLfihX=rz3`&c17)j#f0JK%#)RK6 z;7;5~w6^+W#7i)-;7(MWXxjP&jj1)NdC!uu4h?N!g<7mgZ`t*bz1F^LRY1BrQluoZ zl4~}LeaLitR(PmOx4!WvT7MZ_x&~a3BS{(5z`!!Bv|PX=+PF;dK98OW|FF;Yp$0qC zPhYRgC5bZ1*nZH`vp!UDgyQ(T^&`|g0j!;bOQQqRfQgtB2?Di?nfP5K0e+oV%yTw1 z$5+(gh-H1=PpJ(*AYP_3nZE`!p>*nSy(aQCL`@jd@_dl>!sgIgMRsU_aP-Mk9E=^m z312QH3s7vM3+OP4?InI&1|6%EN#1OtyJPZ}D1@U6DXAZ$;fKOJ8BGTgwei3>@bh6_ zybRBkj{>z)m;<97SUBnvmp9}5ei;i4U&hLMIzB%r{9@&0@9pgEt)6o4sNMRWa_Y!2 z9x5nVvo=-~%DK_;)qh|+le%aNyQ6+~rAyLV*&eM+dLvWTz?&A;s0(aGjlH>>G*WU~ zBW(0Rz-h48w$++8bEtSFD#|-|*rDnXec?DXpTn1VR1j_Oes;(u zl7GUU5trw1e{yC5iAJ@(<+s(mGSNb+i6kt%;i2;4ISu<9Oi^~7QHLu(Qvo%nx?^R> z_9SWUOC;0+UZrqcn&0)(?3Dj7UBOGRwFIo89G(E>cp<0o)qJs$DDIidP}~fbRFu5J zl~qo{UAQ&W>o5j2jySk#%1#zSt+aSXx}bwNZ(>vi#*aDFLb0W0^&LvF16%(;EAUN6MkH0Y0EYYs2OGD)WwX(pOU4H%HX$M(c5?X5_gYE(b4@?+5Z5E41d;JKp zefmR>N&Phi9z=02^bun}JRZ66E!dciiPBb$kVe~jvku=%hIYic~U zpvv@g+V5y$7;Dxup3nt;6g+nIflerGWL6hQAUBnV&F&dGFl7lCKV$irR6zFtw%t!x zJ79+N!#q(c{YI93WXrUE!~*-nBKw3L9bRw#gox~8=qy<#_f#!EI1ywQww7n_KI-6* z-LcDYGCDRwbD9vjah6>7ihtX6+&!(O9>=zH%HtM1GmW6q2w_Sgb{&=oxfmP!s;?}T)Nc6JG@{w|L9x~LBv(MKZ^Rqc}vBKKkvf2pJ=Z9}{(zd+s zTYQW%$b7?q!L;+HP53eoe(;L|=T*>sUbY^1zuUy>yP`Y%Sv(UG}P1bKL%W}%4jVac}rspsX9 zHf+AGKoZuj`S28)%DdN^+o{6oEv6z1>#smcWfw+M`Eo|_eL%}T_6m6(>h&CaLD!1r45?yoImisf<@Fh$K)_cQ-?Sw^0pxs93fDa64u5d9l*IQ_hS?sTpnMj0~ zjCx$D`!p5oQ>*13MaJ^JJn6h?E0}`mgUKKdUS$f`tccz{iXB&}*$cEG^BI za2o8!6<3KZrvcp&}>!fH0L9&U#2x! z_n8<>rV7AV(}|g$3+Nym_m@!?VA#1itzol@TPc= z!+8a4^tnHdqxlP0L6rj6M(PG2my6AK8G5F&Woto)dxQV{GP0Vv86Z0lpj=^n`@Ir- zq@VXHSBJX|MpdCv@f(8;&C6EDet^rl#~=)Pz;|gZ z9ax)7#9dKw@#U?=|SKfFCOg3BnGjZ(2= zwd&>t$T+;mp@Gjsl==i*%M2w#BU6@B# zz zY>R}UqC=UtK_`MYu;RxIX`?p1pdhJ$HAk00FoKFFxpsJ169KFH!p@Fg8fW-y#8V}2 zc;FVad^?#DzQlVSE`b>~f`n@-NFoB+`;5&TDyeNc(Y4pv(_&)xJVL^i_(Jd%)AH@Y ze&$IQ0!mpi`^LWT0TT{C7g-!%xSIiivx0$7z%y7s&Ods?TcjJMHn2+dwEah>U-3{G zxQqC%?_|zm1=S2KDd?kR+=8y67)%y*JUL;keukKR$SNvGUk|)FSL0;2SR@Gg@Ogx| zTl)&68OEGP0n-GrFevQ0Xz&(Ncs>uj9oIMy)$H-G(4i*FKFfgQJ83-A^910|O0IYf zr!Fqb0(ibOtzaWaN?hGQhJMBxC`h_KDqf#SRb?-yRT=#@b5-?%sdML+uF)r&!}grX z%_@bxu0D=wn0rhVgVsRJgFZZC45{ppI-<{05?|oOCnr23-IuK zQHVDc;K7t0YP^bWLTWv6b=g+g?Qn5Z_#vSGO%9cg-f$&8CW99HR|tGPz? zS*pNAoH#$0J!-9NB1XHOy#c3EyV~gFb(>fYx7KuXF7s-wZ;!dP8c#uDOyv*O2+eq; zl;)W0l^tiIpNtFSrtm`LTS8-VF_1pKw7UPGj2bZ$;d)kr4L3-PcdJXma|E&B(L=g! zWu`x9m~)adQFk;taO}=z&n1!0mXUR}thuFH-v((d^1^MBg;^(K9@)I#`!tgoc~M4e zaOr^~lkCjhfidX0aF83b_~ZO453_L@{!**Bz|FJd6n}xH%SIuvJp#U7>3a?L&#bJR z^_|bPl)9C-O}>K#E>k>)%I6?UC#%|R_y&exKCd9N{L*?@&l80gINW$nLXD3!`^97=U zMFNTaC@*S7s424~I$t`E!R^x(yzo5Y%FRwQl{5{{czCGH{+p}U-Icr3&+VO?vdJv- z`iFf##VFunJO8y^%dklnv8o;0?X$PfO6x7UCWnvR6O&mcAHiw9{|xE^#Q!EF^kuP` z;vY#c)!7B9j$eCtb$gEnBquYha*P^86D1f(5MYi_Wjc%(zqqb+ehcFlMn598H`e2r z8RM3*k3cvAPQZOq;If!fjVNqPOwEp^;d@0qu1lOebul?VzX^)mWM-3W{2|&|6>I*9 z6r%AoRcY_i^{2+NSV(XZ6I4=zi_81`l=uYLkThQhN~BI|s3@pnluI`pSHIuu#(M}uBsFnH+UDS)DI4m0ugLJ9+&+?Z2g;2mq_VTYfionxZ~1JF^-B-c4*<_Ahy`pMbAGF0>skJ`R7 zjQioCNrHk31OU|xF2x1H+i26UCGpoka5Y|41GVAia{o63ViGE5~=qN3d|UX3c-0$|Eq38>Hvk6+zM zrc4*|%gNC$kHXI~8g*W8_2)*BD;{JDA!a{Yq%J@?nP=92Y@)-3|0pwVIQng1Sg#cz zW)esh!Mb5INvSwDhZN*fb1H2etjNDjO~xFCNivilQ{wG05Dac#^ik{oME6QrlP*X^ zrE)zK;8A_RX<}C8JT*Z03FqYK>ZfFgO@$hj5pWaR2r$Qr*vanZ>V97*O=8HB+qYKp zuC|sVm8t!c_(C|bu`Y7{;5*u|HR$?N;8WJ62T%@>Wo+tYmp-^W&QTim`+-(fr_ZXE zPV1TNhOAvpqO*b-T3YQf@}}20UW$inpYbb$+iPGFy)LX8CTFT`Uk~c)ml>p-aYOK; zU3gykL&VUEGP?bsrNq!SYxFZ!(H1jF7DzlBaUCv+PS?7Aexu7G7HKLR76))A(J}*6 zpFm#fy&i-^wwsq-P)&J*$cd>o9(87YMqUPnuB0fYe4z|<)WnA533-Y+rUL{zj-l#9 zp25Cv0>4RuTWBDAnY=D2|E!5LZsQ;HpEPopRiPj1F6v%I`Ct~pom@8_{KP{R;}kY% zPNv3<4+bF?@m2u-1?Idnf1DMqB6w#Can*J#W8xi_>f`nea)%jWW&PDN$25trYue-b zC@AcQ^v|CvV<)&Y3jA(}GugbBz3se-ms5@SioOUg1NbHNY^+Qyw3KQe*YzY$NkIY~ z4ojzR^Vzju2z+Lp;9f=rM!vcBZ-Z?Yr3&~a_NI)uPm#1A4-s6w1z8UIvocWP@X?`g ztN~X=VW)P4UJr=45#?FCb~d|k7NQ=c!6VYs@jdi7O0$2u$AT~ylbXt z+n|Y>GmU9Svx5%x-ivUSNL!+`9*H|hGBUVLwPX;DU7<3Rg}Spv)}sHABPqLLKu3=% z|MV`V4Qu^y1q`W5BL_R+o%7u9HGhJAx76PQ@(=~FLFdPMqN`*M`mLs|YUD|#0E{|7cLfUlyYpuQbZFe?nelQZnCpB|3TAEsyeMa+BnkweCbw#Z&-1lt zRH3S1lFEoFTHw&Vi3CnMouIJrma31P9qHs5f{%Tb2BT&z@krW^Kc}d>32bKWhQ1T^ z&~;3?BEj1;OirU!LYyqj)pz0e6p0p^Y?XaXhnfi066{FdlHGBPxuuYCS;S$tI{aDx zt?>4_Atsf)P_uJ3V+sY;Kapa8vdvw4>1%I_wYw!kf3TSp_PB%pcB6e<49L$XRs|hh1C)_0g4vWhR z5W*%+dCBbucMDpa)_8e!nUeSLvenOd4g??_7%!ooz; zRP}u99wu6>5z|TswrRsQEt2~fUk2g|>DYzzg}}GpEJlTH!7l_VM#;1tS;{8()L=Rc zVLk>91pJmz>MM_H7#_phe)Mq1^X!P9tmTS{3jtHtegGIFA*Dx3Wb*t9rX z+*!eMcXw+>RxT?D*fe^gs3V&lT=xv%P!;D^@W3?QmYTbYd!>!fcOw@`p3GVTj+s}$ zGk!p!r>>A~?2tr9T`F4-I8u20GgCUCK&oG>5~;~^#lNQU=N@&x_BhckllWtRvOiaU zZ$&I3xie!?g`E9!0GdC>O9PY#sG+EWhZ#^gb-An+x0gAfaz)wf6~Ly2f18v!pmGh_ z>_6vQRl(x~*o(GY*6ZIV^_Tf#j-1TONoJn}r~E1xMoO>skIE>17y9p&k^NEG$nQb} z&3-*A%DoML?(yeL|1q_nf}Sn6O?KbqTrYL#CCzfvUkGVw;SCW6BO06#@F`kt>&Rxn z`28@2+m1GAO@xZwmy*uMuzP(grQ2 zSmP<-?J1$gL&D2rM3r5KmyMV#I~*g6Fpc)vRvygz&J*JYT|;_(<+(9z6G?zb@m97OzLhk_!=Mr)7i`Q$ztOxVd5?c$(YG;1a$r9cFj?Rn(tN zo?PQ%Jk0E$W#c@}?PanE0lofg!jpymqh9~ty&HaQ`s)C{n>@KlsIue#T7BTY;`OfL zz%}{H6}iDv;@e|`-c!TVUzW}KuFY5E#H#Rr+J=n1b4%=FfW%twdUWJIPd(n5gm!(gUEfGB4_ z`x2aRNFF>+1Yc4%;VWg;Lg`NQVo0!lA>;6aU=?N1B@mg&9#cEMGgZMn?-S-_ir!t9;d~pcOtu*F!#%CjY3{7ZCLgr)3gE9PRYDC93R+Q-6TAr&yIs7`MGLc-fN}j~E zjbjUCHLVjJ>d+*S)X|z}Z{DUBPI*DvL_2NJBim(eMRexykelje1D# zr1r2dTsg0dd$zXWP9j;?u;8BR_~JG6bpVC~R7*bO%KXut-K%MC#$3}yDpCABCG?b>H7QK{wiyLbEs;ycGolG?4V z3hYVa!R(E8-7d`Cz&jM(hZJ3wioeZ{{>oPV_qoJ>!?ZEe|8Hql)j!g#;M4q2e8C8j zdY=qZdwzvkAtUvmjMUJ(g{b%=)qFnyfB2b(K=-!={fqzWpJCQN{aXJe++zAO-TMEt zFzdhI))@biZ2i9=++zNp^Q*s}@c;hj`O&4QlarxR_(C{3%#xZUa6O)OtCqPt%_QHjA zG37MV{oe9Pzqw7bYgMy!adiGZ;FoY~)mx?dzu~eS1GsE>Eap$=2iN8f)xWrGPEYp- z7xpgo9lwQJP5|Ln7AWm+;g;OKqmHNiL;5oB91iJ`_U{1eu1$~Xp&(T6wT@U6^8#jL zXD0O|ZG*bc-Yd^Dz!@dsr74)fKnRx3p6P*RuLQkY2hHWTZ|)7~CPlV3aS#dF#1tKK zS8f#a1G5eGB4hV+&fDd6VSf(keaDt$qmz zuwH5{^ZpL7{sOZB0<1&PU^2;+JuzFp^_qex9c7bu6%bZKtOUF1L)vV zbxW5@Q7|e>3wk?DQ4Y(4nzc`224^a!2DZ$+?+jg32zAP@AC z-`qdCGYGCKBMLND>HXBV%lly%cny5g{3-XnH)Ac8OayH~vK~ZahNoC#IT7I73X3HM zH;XLA>oMmb+lVNzDwlXkRCZQ2wyeXFSqmakkM0!HqamZSsgso`Y_FTo9RQu-W$%Wi+-T{^WJR2A`9C|Gn=LEoLS$Ju~xSbF$EZ<%mE z%X@m=Xal}-&9JdGFh>MLTw2>G!KHPYrH(}sg@xsF#iMU-Ws!yY z{{=D|YUka=U7EsRs(GPYTv(wnlYtsxircIzMan9Nz;t}%6}`-j>p)cv`=ePfA6~*e z>%5_CNAuUW4(bN_EA5u%<*N-91kF8$846jpI5kfVYhppwuRG69iK$^qr9qV)5a+n2 z3M-6#%(3IcSvF`=*HsOYdP!8x0#+gY1Ey60RENej%AC_b1&%`sz1!tllaUPC8_ft_JmW~>B4^mD*}m$DB`rb8U_;AfsMu!QatrbWqGCm)X3?-2 zk^Qo6nbAcI)^4Q&J^d=iHu{12c~(Jme&JrDWnN5WoSE zMjHIdep5#|q|ughPoTdNta!#EZy)bHm^HkCl{dV(K!ew+7|3o+n{)c#wEGa!IhA+F zzOiv?%+uu=FpH{JmfWAX2XhTGq6S$L1kIT=wq}kiH$NK%+lI!8n>aChaQiItKfelx z4Dxbrax%NHINPXfP9DfRxN8ad=Brmy&hb}zEB^+wJi|hTho`{-!2ZG?Exp{ENY!I=af^Ibjmw- zx2E;;rsk9J>od!jFCF*)$z?NkyZXl&KIPoGxkYs}slB7s)5F1~rEPhm^l);rvp3jx zQt44hl2R08*L6%#Xqx+jjIYabu6Vl71%S)O+I*eY2}}^}qU6-M+pZVaX0+o zRtm6=a98kCo6F*LqO&7#W!Wya%jd0Uv`&BwOHjR7tqxb(Mq5ZFuCXF(! zf+cf+f#Gv5lxSWbEPVOh{}LQ&TiW@#5eXj`j}N)j`Ps~&qh)igk~P2Mj3_3gsCMem zz%l=PjlT>M7(3TZXpRV*vfyZ{FTmR!Ibeoy*5;x!mI7Xn6wd!AXd}ch+9&tRQ zSNVy9Oy44R9i_D%1ycQHD!Z5Khr-MD6xUErsPZK~g|bZT zhg!ufjx(QHV4=tO=+$Addn2hfoQ$g=v?TIp)rt!q1*dbdCCz?%a8}PqdthQO*@8o0 z96Q7r9JpW&PIOyONA1&H$(=2jXzxi^%&cKX3YqcB&0{$n*M$w?j7Du=(PP>vGTq{ zyd@wx0~C`3M0w5VhP^6e06Dvrr`iCLN_Jidip>8k_-$O-Q8kP3F*+uze~Zix+^FMo z_(`5+1hKuPf)LfAY8LmRH}Hd@(Zt>q_kB+s`o zus_g`jf<59y5|H^sP^U+7}ddcrSE8J&6XnM4u6+QWoHg>FXH54oXJ+tC!xo(yJ&&R zw3>Ts+YPYbnsxcP>v)+`TJ^RSLWmNZ-42~Ow{{WX!BX7zF!{eAWnC6 zkq8vB4C)1^ouq# z7&J!AtPt?wF^?)BaFu|>J+qC_5R9xf@9 z3$U)eV2>>ktKabNi}h4WHBJXc;-H_k2Ujub8W7O2GGMx4c>LW~+(Th$5vazXzC~q= zs2anx1SzfYFUk3F$dR+98WxP^YS3d$i8etk`aKVluP?;LJrwWuZm_Cy66ZE`vRIAFa%W0ZzmO_5b1S z9fND@{)F4uwr$(CZQHhO+sR2z?3~!PZ6_zTotx+1{q~FM?yCFYt-5uq_UB!zX01Kv zTD8Y-jOBUmpIvr?NlrL;xYol$)19+~Ih)xl@5xN5s1jBSd7)8>{xr?%c)Ts-3`)pP z&Y6j*Q@R{M4iF4AQY26S4u8z zTCfjI1^Oc}3*01t2w48htz9Lpn=-BZdJ}l-yia!WLD<%ULCAdotkovfDA<7KXP5^; ztu6M7&tA`hriq@)R)0}p?7all39)_)$E0BKDOXPF@;C73d_WMfmn@ zB!w7!9bk=^3F5Mn2Ae52;LeC`+cMKSGfhTBQO3FZp(2tHK>ZC`M4<^y*z}ZvB68(p z#(p>qM)ju0^oliQU2Dzbx8Wx9I+8E1 zyBSG1*OHv}#RcyV(gPE{hW6h%4NB@XOT<7IDl{jxZeJT)_-+(S%-bRG!`30Ho-1AW z8kgH6Voec>Ihcf>Gg1?A&&Q{Bss=O}s@M}q@)d5KL_K?vbdrW$G?1o$4i;|jb+a~D zmPQ_49_)Yd?ctM_qBg4L>IzjMQX0w9IZ&HQrV^Rdh9~G&{-q*+M6S$9)E7++zVIob z?+|B+I7!@|;%IErREn%;5`7OfxkE9%VL|||Adg5ch?_etyCZCsPEBZDL||`5feC-= zt=7+5vNd`7L$F?BGUe|8a6U!fl&x)wqdcHs=^}{%5~kM}YR0P#TEMzMMHr(;6~uXY zm=E!#V6DQva+k|z^qcZBZ1H9#%U)*xU_*H8U7ro6-8uPmDO@YL{|ew*{PsO6u{ zV&|*c&2QNDa^%gubEVo}hFZF(e#(7nxkZ`i*7kvn z1QqtS4y-bCZb?#@vvfGO-V)2{TkZx!NgZ`RQanT@_P!M^ss)zXR#ltQE}URFva>0k zX${ym;r`hU#o$&Q4=KuC`>)hPMm2L}WIgAlK}(s%oU2k9LyyQ=is|JrIbS%_l&zw8S*0AL6U)5ppir~p6rJyIo1{6OksX954jMI{(viyF!s z(4_PQ&kwlogk`?$j@K8x_GZ~`2<0E!U)IvU6r1x zK+lnwA1bTzh2*@H7xmKflf_4?8?_|pCGMDm+I7>x{YkfZ57s<+2R<`YzV)6Rbidm5$|Y~q4R0%-4V)5Ef17;$+^#cWn*-j z2BOKDV)3 znbWM9R#t<$wRRoW8}Hz*p!m?|rQHY1c|-D%)Efn6RriQAhtkQ7@)>yqId0J8C@%kw zc}e~LL)uA5)aq_X0Z~?2#>Nx&jkLgUxI$I-p zM^?fiE?(Dwc-`Zv?h)jDi6j4ZDjf2!Y~G&3jto$bXKz{5iVHi%5@dEU^2vo>&5x3R zytKp7BLlotUM}pCb1I7&DfigWH_#-^&Mj#K1+E$4%Yl_Engm!LzcFA`OmZApnF%kl z32^FXfhL`K7-sQf6&bQF&nH<~E=61G^R6NX9wKv4t=Wa8p0J~ugOzt+7O*bszZ3!7 zSm7LRfE!HxEdxiz!Thv8sQ9Cv)`2gCJH96zUz(OdR`BjSKl7y+Q1MOXYO6av`=4Pwy|en}-X;y^L|mz;eX8FMFE&t<_oaSe2n$r9 zrPu7UHmw0ai1U`hkjw4VFzMLS^q}fG3nh=WE>?O?2eSO9j1g>2tPv~SHlGeb!Y3j- z#|ih*ZLWAldZ2B4sb5JVRH_KpO+^cK$_dO=!bh>kBaP8_W47;uY|o~FX0ZJkD3F#H zQtdL{M(v4_5L$jwRYwm12mLU7hlg&L)RdK{Zn3GoY7FY2J*Ap$DIfDzN-a=BiXIOm z^~eSypKG9e?m&7y7a0^4D2D3#@E(V2ae*#O!Jldu%!Fv9cW@QdvK`z(VDLhFC@&I& zIHrok+2<`o6?Am%h;acG^o?}v6A%#JE(d?~NP#|*Zd)9~8H#Mk2O<4P>&{gWWL785 z1RG;AJL|vMzlxx$V4}p%8A%Y;u?fo~h&s_Gx3xZsTj$5<2T&o@Qu@%U=Bz_GVyYxN8m?7sW>hR@ zn)zFnu83&>AO?+AU2-cb;yZ}1%*lA`XDRX<8{Vx1`30a_2=vrfeXbN z#=1c>!cFRW!LVUN0s;9s0`^wxc>_qidFMG^->a$WJudAe6T7f`R?U)!jCAF4frDj_ z-NDwz_b?!`(;A^Ltc{NMwmu|;o%*o{5&@Ol(5pt%(uOBdJT3cz~&Wu*1|~X|ML{`N+$cTLeHq270*bQg~ZI^vWp!h~riwjLCWXV*QXJ=mD3$t^c0? zke6UDW-3-6N7v$N1M7O_P+bC=3kp8tI-_h7Abxgvh&UB{ndO6Vmc1iU0H|z{YCOhw zQDW zevP5qlM;;Dqk2&4EpF+YPnfsY)MwFsS=Uz2s3IhJ<}0)4*pAI#bE{TQZDS*KVDPQ= z5AjHnyjab z3dOI^z6!ukans~o%Nb)cZl)arw1_xaUH`Wj`+y4_4|xdda_;i95GX54f(=1h-;wk3 z*ke7WC%U>(I!AyVgGq07w4X8oFn8#P*|&w)oAUy*dccShQr2Fl|IG5$gtONBq|3`8 zRrNRVC@O%1W*g?MP+nFAWZ#5hF}YYnLXntF#Ole_+J_;! zQxfaVD)}H&*82Q=({gLe_@j+V##zkw>K2AwQ{gq}-S!0$xq}xA`fPe+ZzO?3SzF3T zW#g-?-s!FE0`{gzXQ!_aJqsV(8zq)v50d`wQrD|&cn_fy+Y^I7pT!}eF|&5V&W1Q$ z4Xfmyzq{C?$pxLRzcJO`ljAHUABs<|ot1)?IU8$B9ag~FHtHeBq*Qo4v@*s7V+!ah zUvaf*88`Sfe@QiU#f563)Fj(d*tgQOZe~ae0tPY!dS|Cy^?h-2RAO0R8hJ59PhdKb z0&4v{7ovnxb~F-}c!IG(iIlMdIH7|&EQl~l@n3Xl57sidsKNe15;S5g;VIq(69mc)| z3&N-~(HfX9g~A$^I8(;~yE-)!7^)e+^IIx>!`?RLY^L=g3`cXi#>Ep6_dhh^PC%@NX>dF13VA1C0mA@>^a1J-L znGKLz{beDJCj7Dd6M4)U8MC1HH+WBTuUPH5`?(ENvBr^N5-Fk0bmc>Q@yiGJ%wp9CFudSQ3J7DJN}6d^!0@Ie zwCYCPE+2wo=V165%_A6JeAZFd=w=us`H1VdQFfIQBPv zDG1?mF90AiZCaCj_Q_C$hlC`dU9A(bfsuI7e zXj;GSFyXay9tbtus^EKCKSC2IhY64gG#F*DvDBiXa1m1j#U-c*4y55ak%FFnbq=k! zPm2cY1d!dog{ocvz{x-6Wd!Wqv?1J44c&Xxc!be>YB2gsaOErLy0!w-d=7dSO{Fg4 z&MH$HfcHuWOS4--kG?rTH==TXAum!4dV0HQ(P$+LmrG-pUS+$xy^3dym2@{1iq{l1`B#C9=0vOgPECo=G7$$2L;0?<3 z9@c?imt8KTg#{^%qgq!RzbMpG*t5yJdeeN}+g)|Yb}!tbH=USq(jv6}UKeUGV z>3Xv&JjD#k7b*=1o^Hn!9)F5FXKik9=&iNQK%6pz{=Eg+9(f3e1Yt(eizgH;wv-K^ z>J4!vNYZoV2b3q>?&vz$s}_G{V~%H?1>LB7N!%k+@>b@{c^!UBWB-GxQL1hl{}4mH z{hgpJgU5Uz@50^kSx{rY?eZW_3W4_!V`X2J2=I4$NdlSqk$ZcG@rc>$x=`-`kV(D5 zf?G(xQ4o!d2gt&J!UVxtm(&8JEjM=q(>~J6lgD!FJG9m{^h9HUh912TV(V85X1J30 z)G-OOz|`lWPrfSzC4W#7b#%SbhyYpe$~Od4p=y4JlKz>!*@gC<5muOzUu3RM6wC^k#@ozq&Q9En=<18 zQV`OYKSoOF!&d>)%*wQlZRI%1Fmku-k%2sj*pmlm>^gL>QXu;;6yV8DCJ=jYj?u7-s}qKmxwqh}n_kS5AN>OVM;F z{tk!3Iml7yyl5Vh#P4_m`IBhcSe~G^v4+Pi1<{>#2k#)-yeM6FEImImsyR8JzZo&y zcogEQXpcI^UenYeUDeMXV%%w7C~(>gO&M+Tov!Tp_92vCazmlHjaE96!1k=V^G~ie zu@fxR-@RPnE9p1RA(92Gic4$Hdz@bJ6iEtWN-qWTZMt5OO+iKiIMb@Kf5PUJ`^DNX zm{tr-4krSC+t1Lx0cdt*weW6p!|^D9tInm9C6+0PQPf?CRd@%e0w3Gi97f|F$swIc zRzo!zTRT~laf(!!*_Kc9oq;Y@DzA(COVI zdB$@ZOEeSi>OHf6q=>~&cJG1sEO6chtwVgV4G;PD@KVY3lP_S5U^t<}d$K>cfV8}= zN($FHy@!`>1y~GNf{XVKT0dDq2fm-1j8VV4A7&5`rta@^+6`O!e7I;Jmfc;V2zu8O ztukzx%U#d;Va%zHzsrwCA{`%@)Ci=o!QPsBEHY;B1pJ7A=;_go^Q$V7nf4IQXqgNx zzNWhat)#eUxnk2cze|4?(=9koqL@BkWmwM6)vd!OTu&2~a<-6iyH*6-#MRP78=H8= z7OEhXLV)e{T6d;gwshcdI9P81#8Zm53r8g2SOLDO)v*Y48Y-%WD~^>MzhQ7FmG&iO zSp=nE;0F z7-G3_=CvFZb&hp=4|HPQV4<_)6`OVc880@5t?FDRwi%!zP$yJ8_)34Q9}`3X`+c*m z>|#wcm4dc`*Ng1|5?K=i&5E~E;F8ae!cb3KB$IJE$$qU0l|8U%rwk#RalY5blhz*z ziy{S?*~&KPsA#Zn$@vjAnQ~NVF=r4VyT<2}rUL6Vqr~V|>^1o1sw{iFs~tJE?!CV~ z>`cPx&FCR9N9|$5kT!aL>fdwGA#Z%tp8JPk*uSF}lW`T~$mVazkb2`1eP;1$ZrJZ{7(v$bP7&sgV7(~MEOM+h6E>LnJa3BCA z7e@Z_w?S0gNrW5#A_}gen(K?R%5j$~|4-O7wuVjaKfUt*rM&t-3A%q19sW-pBfMS6!RpO7!2WWUrpq)>U8C6+Tx=>{OjopJXNAef4*eP7GLfC@=CDF$Mg10Y3hp^IuG>KAZ1P@bwT%5l-JJe zdJ$N5HW#jW6(Oah2kn0oK>G4)>*!fFeZ~9_0c0oH|0002ik+a_1wpI4ef3+;QmD(F z$|PG=;^bLo-s6otvb2>zbQWB0Ff_?0xFeEl^#!@REgPVU=&Z zH=Lc`D3foR$aryFMvKmkVWSEV(HeaNEwvfXFm%FP%}-s5)HHrmq|oTemN|!B#Xv0= zjA5FnZM#I0^DOdaCN;+Qqu7Q?7+!#9`VMqLNd zSaOTDK%@B4+Z*)xlYTVWlIZDQCYAHif^Q5>a~NT&cW{}o3D6P0e!+yFOC{W`azK;d zqE!L5*nc2FXS4&L8MX}2v|SYq0zi}39jq@*-f8*||0CnS{EvYD_#YYn@jveW_#efq zT6m8MV*OI=dHEs7`eCZcr45O|68;IB3TsMg{9D)*V4feo2$kRxpy-pD;F9qjCZXzS z^pib6L{DMi9Adv~KcgW#tWXl1Bh4ksSd#TA$;m~EiWzT-A&9^SxF?ys?@~y1Ld6Fp zu#94ZICd|9FnHE{ye{%`*OSrW{NMbKw!igRWE7!Uoa-pH(Fi{SY0O_4Toj}}cNG8_ zi;JUzMK!WA4!DGNazN0ImY0wN=t}DQ(jx`9&w}6nDVqXj)pMi^c`3Djk3s=EI6>Bi z)&V0Y@6PEdn%O1;7Z?VCU29Sch%eK`V6l>eIuLQ`76-}-;B+R&5ocmv3jldynCi;` z$ctHU6B0(a!rq}rA%~C;px~GJ-6K5evyiKk)z4)jH`+cA!fwGh zFS~n}+%(i9s%L4!AOxxhIIRSa99ZRc5S64zFX6Bxgg{ONKR<*G{y+Z5q96Zb44^X> zu$JlR`u-?^b$AU5tj?b?+|zH01JJU9Zm*e2EfQ>$l{4GO!>*_VcAKxJ#|`^9lgNpU8W38DBf zAo#PQ0^#y9OWdLO1wq?G(~u3_xrE^3kCI6tjD~-eO`V)S7XC-sv>d!W;Ve0TE-oAP z{di7r%rpjWd@rugz=UZ8>Z&mYgjq4E%d7>Qrzsg5Yrld!UXY4h^R(Lt$Z+@5cIeQ}@%flWZbmkrthbfl)bPEXL8O==Ouqs}nUb!qiv>->@ZK{HiG% zIR6yF;z-eLN!CT%GaK{vh7hjt^R!BF;>Ak3o>zsa}*QV?NZ2&GI%8W|$(J>|NDok;Bl%*XeJ2LZ?=8 zF^@u+;n1cz9tAG#=Fra?$#f}_&u!<}X*?7hdv&`n0|``r&~i9XayY7YIj+3l0w7q~ z)-&?xIg05yt|a@)85%|kb~AoH_fT?tR2)w+K?DDEO?Rt}8b$uCYYO$#HRY$|SVbV0 z7J|VJjmLTwl76xDt7$_NqRz8Y)-s>4Dh%%+p^@PXcM(nCvGV}Xz50B`DzpnIc4L-Z zeqvHYSBD7re&&m;dqY4~q}!ufrtyatcQ^2wvfzibT*P zaKXHuELXi^x_YCIuI`t!*<$VBSCLutwPb3?%yDnRxoX%rPm^}hzwkM%$BLCFPT<7( zBc9^Zebv-v6`r_Mw{b(MZN853ia;KOl;#D2Fz3Au{d+fQyqOq~e@ee+?lQ2lX z5oYjfhXu=-jYd~E1C6s!owI($ePYeso(}c=`9D3~qqqEeI@GVx(a}3<&(@Po%X8~W zm|c9KOf0NybIxf9Km8p4<9v_bvg`Rz&i5=fl9!m?5iKn~B1X6o9+8xG@Sx;gZPvx2 zsU9|!mE#R>KSh2dz&LmX-yTbvlx||7+W7haG0J!2k0X$n#W~({1Q@=^Yx#XlhK)?b z*B01EDCd9lkFf$(az%JF8Olc-Y?Zi^R*WkyWAOd%vJ-rc-c)lg?au{mOJ*sfY1$vV zhIQ=e{M{v-yzRnBEM<>}c6UW4q z%~G7Ryo165J%5nR<113}9Z3atx-0?6`Q6!JU(r8STutm;*AnEf@$9fy^DZEX7Y-(f z(P}6FMyIuXHhFV`N;{kmZ(`Oq0GXTt)+9af-;Q@tBR?C24`%3~;sT=DTsWbX(p>~_ z@?f$AuK5#Zf?gr)i-ql;Scr+u*rQeL|sENKrO zGJSKCgy`KCu6cbh-8OTH{&LxVCVMc5t1aX<6|-+-=`P=J5}j0o5a7a>&0Kdc-i{%Mc25C@z$t=W%p118?O*6X+wRR^5HZnbga2cLR%DhyKQ zub^+*;Ycib?h2qJokkk}_?(@os;Nq& z$7OB^%(TW6rJ0GCnD{Wk=Z5UtIf|t@W2O?fa(9Hn90V}<1d@$5C?S|2c(~U^?IX5o zo_}p31`GRMBv>{C%==6gRS$QDS9ij%k6YE2xLOq+^7}Nf zmHLc=m(~7*{!mV~D8^KM8F>D-oY$^)8G##bm`a^|iUWw+Na;62FI{W=)_v9@xcI=UVQkt_XBlwj(&%dH888VTv5Tno(? z5t@IZD>DAw1A=9^!FG6~ zyKu27Iy%WeY?l;C;-6R%SxRz@nyBC(S_9gOX2nGGBhXrpL#s^|2Ovl{4;~+RNelM5 zi5kX#sW-0LG!-j@9@GVE+jpCr|Abl?o2+!R$`W+s2sN{CK98!+MA&o)MtPwOFDVcqYFF z->F59b&@J>gGoRZO++gyaY{oNpUl;q7w=PTA+)ADYVBKD|gjbBddp zKfi88dx%)P0|U@+w8L|%$CPC3KX+qr6Y(zkHC%7qy+1VG?Cj@m6-Q&OVN1uVY)8dg z$L6pF!JekBV0|)FmwRa;$J=&h8&5;YYj)bJ&+8R@XoL??J>euvG~)6k41q>+^EF(8`S5#YvCwT|oIuelyiVBF_nV zho{#KVKdm3h;!_OdGHpJ!CMDzA+fa0igPgN4OEZon+9jXz_pmg?+0=heRHR|Ozj-6 zOjQWr^a-az`P$SUp}xZPSR@@TpOX->jp5+x>w~vlJ~JCdAaxhuUUDw+l=o ztgm!$H(!uamk$l9FH#HLIZpV zQpnauhdUmNil?+~<5RB6>S70(8{> z*J#HqDWr@6U;G=CN~I@g(%T;>mF^56QLo%3*oj9s6JpQSMfIEvBotBu=tV=+i^58| zr3fzAG3flBvOE&{0a$mKE0~iE`pKW1M}a-D6w&%wT>uL)72Rg{_8Et zcVr(xlJg6Cs<}@+CC?=Mjcj5c6c`4CipVG6Trg^cI9$4CxvH@q6 z8eSSdgS!a~DOo)MKojL7s#;xjmtXqe5FgH3`LtEb+`)lctd^4DYmPU80|@V1?@Zpn z;0b_`+|%L}i@DKNsbK*jB55SqQLs^KP%iOGqorc#AFPxRStEb@f>+B6pNF;QBh8x? z){;bgJMWt^l8I~l61sW?c*?~c1>|+NK<^TlJhDw|yZDzFwwuc|IPDG3Bx-Gi9!C6f zYxMvCV=|}%5UhjV)BRj;DpaI_6Q60nVX+}gE=gJ7UnHitCI?m$nlQ~#T*;odL`5{i zITsTTP=&1lwK%|o($C6zhNES>gOIh_f$}0Y5=H)on+Yh>2{1lR1u(mxB8^wCiK7oL z(#mUy0_BeULgd5ih-w>{WxQ(zlkj4|&N7*QgFeScX0FA_3il1SfnCqrM7HOb&jgJy zdZC0rI53P!?UK9*uuZI-w3A$_O3mhTmw6Q}T>6Sp(?e z#jEb%qfgP`IqULc;{iI&M2*%E1dUAbLKxgWcZH^J^B8Vl%$(h8b+5bc zYcG}N!78mnjG+x+TJubucH2MW%k=;VVOnT{8EM{AyMWo_MQj_{vP4T>(xA>0{C#!i z0v?IpvZMTaN!H3{Mlm}_2Y3(w__CmDbsrR1C@V~kkir{!*W&lDu{Ix_Y0kMfKwpWn z{K|Ot-%?w|*}#`0<_-4degtHRE63%S3LD)Av!cAEH>$V^!XSqcO?Xu5X@Sct&+~6r zRJhgOwYl!K7a~Y81^pc?c&Z)3l=#=bX@!?Wc2L}~<;bJUBslltux0zJN8E9`UCm1K zp#dxxF@pLIo*h+>R^f7%-0$O2WfaiiZ#D2lLBYI2AihE7}$(5l;9s^%kpP(T<%Z1@BwKJ^t-f()c((-8hDeXWQQ8q z-=G@2wVmVPn_1?usq!u;{wW6sGdukv5dp8W^`}AM2_-$xU~$V2w7!Rxo~{(M;Y z=xgI+Yr|h|jd%+^!MTqGs)^3y%Wuogh;}$W*+X?hXLkE8l1?Foywy7?ugp8ujy(5E zG=HMVA>G@Qy+iq6_;j%F?XvV{kAl=*+@&NqoXtqa*+vVgIFc?SL9zedZ&Ww!ssVIF zZgrnEF)OO@=5%ViLS5@TUQsE;0d4^K0y~17&uJDQ(;9XI#QOpU{!F110#r|MGrNU( zHsjLP-(9JX)?{7L+_0Yn?w07ZpK+>IR`X9wPp?rgs!Xn(!6?V^La4@8G!m#Bt>Oc; zS$y*wgG%4cn_!*R6YdK^-8TjT(7H;>2|p;Rjf{P*51iU$$~^kn*)_zk=y6BX zsd1xA=Yk>?P{S*3ZpSpDTIhe^PUtQG8@X#*Ltx0fNKyo7`E6Rm3+t0c4I|fE8}zTc zV*66yOa*1l2yq5-gd=8WRVpdI_T1fQdo!tpLocebt2%B>fy{5^kvbJQQOAaNX_ibC zDuE|Hv?0{%siYX9yrYK`m*P&D56+bI)xY`JKm;m5<*L&Q6&O>YNhzXJec20AbfRBSXf>)YI(KjG_~;s zz60aaYUF1#L!O|Em0?jj2K8>acDA;h1^a5dteRPXe+4!xNF5zqZEs*|rHV2tMU2>c zUSZ4%spg^8`9fesX(uXNUQOjwSam7|`_CrKV$}h@ngGiXW&VwVYQHx%2e3~a&^E0P zf*tJ&PVi>dkJ9A}W1t=%`+zQl=4(&67(HXp47QsPIo@q>QBuiF(5O0W|GdP&mF#@3 z4>^UzqrVYsd7W)()ib1>85e2VaO9P}0z+ZffywCa{;Q}OYC$$}Z(q-|d{1g4+Oo_S zFd@1!!oMa|ugn{bQ*|2w$u0Mk?x0-=E?Dta>C68HJY(gT7kgaYz;q(F7T^R?dR0U5 z!JhQuW^eo|?}LJ)0{2aX&T>{On(G;!cA&crV$Zo(Mt?~&j=vq!u^Mu!%10HK#lGfx zLI+-MzFzv&+GW>rzFa5-Vr*?UtOj7LeDFOhZxQmSfqlZwfcq!@14W)NL09Z(nUwMg zBeaZDQr|E*A+!tLLXVKYlE96m3|&hI1|#3#;5 zvgtEc`^;yUfH&qyrw7Vtu*zhg*xm6-i!*tOHyjL@ObB5VoAx#3;lJrX%#G@_i&>28 zgktZ8fNKH^C&&B7fA7hp?y&(e6MD>4fu+G=w$Gldv+4x&ekAbY{+b zElSPol%RthvwMDHVNbqWD8NlrR+cO4b4W>~OBQ2MchcBJN^>4X zr}*O9YGTCqDpB~=Z#=++9ryUALutp%?_@Js1pkBw0t5xFBZ%QQV$9?Cg*NPrl$cI>>tu0wYG%|(_~^h0lQBAq^ZjPEJqON1%s=spdAU?^DO$kZ`> zph>D(Z&vcFinD^}Uv8}$x;}iiznWh6w#GW#?XAzZ@zB+3qKAYO$9gkpIjC3Ga-qD!kN* zz=@=RPJtU{W|jD#u}CM_TvKSk{ilF5s7MQEJCXsXH1KCdIto8(b;+r3LDnBHfxwCr zrK;MHwt%p*)uP!ublDIqnEFcMC!MwD_wB{~nr1^9I^S~ji^Q&)&HS1|2vIM6FXyoB z_hLJv;x0#8K zUerK`DtEEfv+y8?+VYza0`IrNF#x)m!eOJTj&5^>O>%!+{W=bdJnyZ>({DmQRw_j%HvWaR81xsR^GsB7?EUVF>t1`YEO`ud}^Sk==ULZc?V)zue6fZeT zh|M0KtejuC{Z)^du#~;kE+IS#k0@5StFWX-*0fP&~V@Cw4soNC}JdlDch!Mi7CebE@=o{{+S=A_Y=1RyqI6LD8u{&383|*-()8 ztvY=z25vP#@x;0Ul_i2T8R14H4i$JOZLR77%UmoA++H!%=4L=`Ssft|i}%kqv!6sk zocn|&EtpZA>=pg(x}W&kWn zgH?*=L#p+5L9-7{?$O{DD}VRaiq4SW6l+i;x;Xi)z}ZRsrGWBp9i7UWN*ASkf;%qN zGFVkdir~V`V^iGkO7iz<*$3T44#a~D<^u=HNyad84E6CoypB?11|Vk!XqjtC=$z1bTd(3*1CBA-Ml{Btkx`J&sjlR-Zn#C7pj4p9} z4Cdw&FdM(sdj7MnaG-zxA&2N|3=cr`p35sNlhNFz6|e43oHY1*jD_{<^&tCw=W<$6 zZwVuTJe~pcu>=P-k~(`M}1z*q&mU!?MJhb(8{SApXEU&qC2ZE zbs(*=v!u}?WcY@qy|t}SXf~G@nsB~YC%7pyL3MFs&c-|f$~JP3f3LgNBgyCM?$8-z zVU-VNQP0ul|FLZHXppybDZ@HnkYmSvT@pf`zB_aGOT=m;|KsqR?RIgG9n-vh2~W5{%R9xw=j(%qlHFKa{)+558`a_D@xhl`Nkp0r zrq`+^lCFj6^eoeYo=V9W4g@JPT_uvF~R+X)(-SLxD$ z0n0tkI#SX%R_H`=;!`7QKhV;r{&GxBEcQu!N+4EQoi3&EJuuwzqX-GK~B|wxcgOUv*yoCM>Kv)x(x2_pXtJHx8D`D{eg`5 z$IL{7S*LRxrd(pY0%Pir7S&fD<6Yd{obj?N=JU(*pDs)MjYn1NJ%jtsJ|ptBt)aPB z%3k4FAZfL@Ad5y0!)?SQ9vYF<7?X&UaZ`xm7c|~lWU#@E8DuyBPt{Z8P{B}u ze0Z*ud}^PbU?VDOSJ)pn5AFOnPTuOeDZ7j8J(LESiKWKpj`G+-p8?m#4V9W&8Hy%f z^&t#K)9!OhYSH#Lao08Hv-O&xF>+E;U(Tu?#Cv0h{qZ#EZ@=H#!;8*cYN}d7#sHN} zGxenyyRd}@oIUbh6d#bkdTu*V2Y6=^j^kLlRCF7b$`h^i{x+caZFs<2QywQyXJK;B z2!ak75LF3ADE_Jg5jw)e3EGa`H53PYW=VLT2Loz_UPA8E*J9fB@jU^sV({aBE90q( zhf04+q%GpPcv3r zZNi(yzu?3dP!s?GBL{;##n_YTiRKkrfCQ@+ryP%5aajl^R~mG=s7AHhB^SjR5xv3Y z>`|O?9X}F;mAF%)M#usGt>lA`OpkWD5pQ++<-ATNNmbecwriNio6p9&Hb%2>}n( zp^6^bEus1!hE}w=jGJbK$^IpJ1FJyn!wZ9vWFJ1PO=ejcBhngp3s(vu&r|&62{TYb z42XXBU;UK?Lg`3Z9o>PuL)z5@S~rLH8y}M<$;0!(rAiVF*;A7(8%{*b+eS+5&BrIxu7~U4#fF^o##U5T+5w#fwx0Y((Pm!78LMfYVQKOBKMlxan!}wjY z=PdvlJHU$6y9jUv;&tu??cxQ1%T`bPSSGkCcKLVn%ayRq(0d6U1F@Gt^M8`qIm|Z4 z2vS1;GGS-kkL+kW00F7XoI&kv6ef7YP;saZ^j+)9f-UwvsdYDtEL9MYLd3qPYbtb@ z9FapYo?>`Ydf(>cp-Ya9a-i`EH8+v2iP!)d8xM-K?9^q9ZP!{v^x<4WB_5F^rh^kU ziHI(_M3`2jq@|smtSn3KkEnYAR#UMW|1-9X^`Fe{e+@DHA5voBxA|WBhjj?mwJztpAn?{{I0H z_x~=5{O@&RdKHx)iySlSKcY9K|2B2Z&dB!Pf^Pq;<9~gR{5$Bz!OX(&e}Zl}>`2`+ zwY!dQ47^k9ZHzDyPD!!=;BYL_H2IH)OjepuG_h)UoYOt>zN#iO!p%SLGa%j?6AP+S zWYJZ%c{#s$Z0u^%Dr3EFjr{7@Xw+9ei`z5km!HorKOS5@C3Ra@hl^Aj+aA|Q{|ehV zt1!A%FQ_ea-jC?B`)@v5eLeemuJM<>2JLLq>}+k){-jK}AMgI2Rx-1`J`ZxE9d@d< z6Ia-*n58cX^S_F2&4tulc8(2uFU{@1)GoiCWDfD;Ls!PmS-Em&{>pW?dIY@R*d@vv zrhGIM-A^%NY|Ok=vi60tDzUA{BWKWvooPwVe8SwwOtAJ_f(cf!r!^gGAnuH(N6x-?IEG z2t;f0*{MoKHaSZPUv-^wO4OjW@`Ji{pNpI`uOguQpl+<%VkMcK111IQRJdR~W?Tz` zF{aEQr9jZLYx^#fq&O=sk+en0RZN`GN@~D@>xrPu#ov{Y4o+&w^K{haEX$DYzMZm8 zrm@cN+bdkzE%N5SRw=yLS0&u#ZHhd_W#!UBX5n`c%Z!U|DMsRuxAcu*i90JFgW1$6B_)LtlbS=~o*#N9V7zQ-s znxfkWt#ddb3d7C)uuBWokdxnyiX*&eh{Me#zo=_Ve%Utn3_5+{KrH^mJD2%OQ#2QU zSA9TEn3-AdXhJD72$1x7@=;1j4S6nu18L#_DA0Z!$O2S^8Ni|sJb%$J12AS~-}Vib zFbZwugDcpHj-yw^PUbp=>Q9%1F*vj$bH!r~dfZhCO{sp_OKpKLRk@Xkm{+{HD zM*COnxKs|1tKQ6Pv5a#p0C~<5`q2hxe>c_zXKc~~9BFib;@t_zgGp!u>Q=9+GOhei4HfAJRsj;3=>3IvZ8G zyd)_#lW?N0Lq8qH>B~t&#A6jcIW-IC2}xa~N77N6=oyQKhea3+hu(eo4&~HxM%n6nL4&)OaS#~8L*XmFXco2>=ur7^668UlON zB#Z)}>wM6}kuifIZ3WUu2#!GwNWci>+Y#`0xvUah7Z99N&r62*sN?5KVtbWJ0)FxG0HcrkUt(HmM-_vb+Zs?ky$jkmi_%P2tew z#eyI)gS6S@cyR=Bu%s(P7E-Uu8x=QO3vSJ?flG!{jx5Q{NpQy$XrOtqpm@qs!{Ca4 z7rCPF%YhdsrX$+9iweW#9b_{B8%~N@i(%1@P2EPbGJN)cT>;=4fpR6E6$VVFWy9Y8 zJ;^_;kNu(D(tc<+q<^Q~1e1J6?BG45$k|y2Mcwg&RpjdDebWJ$u(a=*ik!6LmlxY_ zi2sjl!-Q+nLz5 zt%+^hwlU!(6FU>z*2K1L+qOBU=Y7}y)>`{~>)W-@?^Bg@)l>DP)7_QSlk|Pv_htNw zIrxp&D0yXngHu?60JVu@!6Le&>ExngBAMw?ud^w2XOkRiQVG9g*f^TZOg+hZa+)|^ zS6|E@OZq@dV-;5a(w}>fPPrOjPHyyWF$idC(SZ?V-h$y#M#ag~gixGxZBXT!c@#Q1 zRpQX0v>ge{)uHTj$b}bN3ni$pcUwqvJN=C~+kOpaut^4x-_X z`N+dV=^GiN@7{q^ECm>6f5`x0@xq0P#)paiTD4u!5nvom$(eyHh6%q(Ef%4oDULPK zpS#U@wjLkw0}$3ziqt7Sm-sW(2kH+^p>YUV3>aHVjoD~cw(ZbE!zxb_=^~RN@*(Do zb@1sK&%^(kw;PPZexv8#D++-w7CXCx7DogyZX)pzTBvfKKOI`z;~mc9pebxpt0^*A z*>{?4duPN+Gc-`-Z~-6b4oFBwBN<{^KdpuoxFcaho;1RQt)^-EuqCc`jPN4*)Ndu} zUlPIry9N4KqZ&nDOz^LR)YwjjwK6m3oLqe$=S0f4LO&E`54Yl}ufF1f3RA0NQQytm z#i9I`_H-L4IZ|Caf)kNC+e;{lP*+@4xLHX)OaM8GLRmp0iizAw_yvMjN;;J|40=ahA39>T#!d})-$LAh4OeE? z4~=x8-H!-ByDb*`W@XTmMs8~i#G%8MbTp?aWc6d!<=GLvE|WJjXUeG7J=+THAc6dx z+;wtd^4W;JKam8`ZtPVJR#vhWoAkaJGQuGzwNOBi4I0q%dUU?(RZ*5mcQJ!ain9Fo zQMQuv!uhUe^D2L6x1n?Z?WV_$cX&_R@#B}=zK8n$u-+Cu`Bvvw<%+G5zQaSSmempr zhC_<<2`ASL{j!Lc6VE!$t+J-Oxw!`l*PUU2>=<*ys`cx)KeFS+#$bT#nBVW+^=` z-=UZNaUEV{)58XGnG+XC_hT*3+SI$J#}Ya_;8q?CJ;MsV47x+Cj^Lxxzl>tPA&15r z9Oda*ZjOK;4Q{pk(>Nb={`hK?!&Nirfgo)e#pN{G$3-@phQ~ndMNRMQrRz%>?XS&%D(AcS2 z{kX!6ZaeV;OhIg6QGGN)3LS~)?svoAinGg$iw7!iNEa|l4;c^Z<-3jxLDWuN9ME36 zB;H}0J_E?q%)05>&OtqJJljCnsuwjnY+vBf-&Cl6r$SzG-dudthWlA17)3u49@|=U zxO^|dNrdg0#h7%%M;hbc>A zM=By(#@=n^thqc=JS;S>i8+aE-JyL9Gxf@CF#z8RjJeSE&svdv>j>^Ziu8y(C#E6% z?tgxCq_V}yQb3uyANdOc>}i~}t+h-plUsC3A!;-UuLxy7v>dFdS2f_>`iQdS9L_MB zXXJ?3y&rCofxk{2%4u{7CGqn`-*oF}ORVM+9Qxy$;ppl2%faVSx}TreQ5iA|o6+x& zcnn@JD%n%K(70q?&ufoi(0zMZ`-qCB5v(bJJyrL7TamP><{)yh-r1kz6X~q+8V%)+1QU4m7Dbnn%X5YmEgU7)3GA%PV;7jMXuZQzAqSQ?oH*1(PR}@N7lSMahQJQsk&iFXub?(*y0GyJv5^0@LT)5Zah$}Cy4FUEtqFiYJ}6Lab%NouneyeLge!4mGio0 zeec6ppH88ua1<2@-6}suCys%PPIAwifDsDC*ihn{J!N>kH43;MA0smzdo|xAny0(mmm>qpTSsGCZ7dzfO}r-yh)G)P-*d>BvMS zFjl*YMPzC?W5vSw;dmoSCGD3f@*PBa$_7d{-0K(7Z+m7v6`;;SSKt7ouUrw-4t9_> zHs4jw_73xrzqXX*?Ng^@gT3{_7}VE3hw`Y6XP_57mReE0*(5G8Rh!Ju-$vE&4t`JP z-*pv1H_SU~aK^N0FdMYKH9u~MyRW%=^bg9j&OHt}1%nhL%ds-qhVZa(MN!VoaF?bl z!Kd$5joYkHBnn1f>esVGhU_9(%@lVTBGeR*!o^BV~` zqxn1I34WcRia0snM(tu}Jz^kiOARNa3`QZPEKFb60rp5gfB(cXN|ON<^AesOW_X$u zFrRS1T*%lh(Qhz9gL3zi^n7>vj@K$Nega4}&4!DkOxF9uii|odh0#Zy>0q)DyN-NPz$h(=3=A^A%EpFQVo|6>3WK{QI!t0sut*TJJ z>x8-MXGQ0-+~*c|e*DP}RgrrT9h54M`brFcvQ14z^z~fwOJ5tEHOEBH(t~aJp;X zw-?i5(H85L6y=G^Aj8q{0r_#Jj)MD69|ZCa)hg!KC5BmsGo}! zxy6P@k{T1!*56kQt`9s_jyv+oo2}cn>WS?V^^w&i%N$L(+?87`#bY)WlSw$cDoiwe zSD$02eujoa9#cSvaU4^h_z9;h6Ys!-Gd)^KIMEfA%fSu*;%?1t6$&VSEwt6GTO?d{ ztZ*yB`>13M#KW5UW|S||<{N(6V$bg3#dqw&r?Jl3wKk)ku3?ix*_W4FM&>FWLdA|_ zxI}k;D9qEGQ*3MJVZ5XbP35(85fJtBtRcr$s@u<|!=)|k@Tjc==XD~XgGRjtmvo)o zV0|9jB2sUr9-Ez2=iy4W#ZE|_dlXV_66+z(w~ap2umLaAxcroAzl>B;HhxHGkpNDf z3#!MgKL?XDT-^?WsILJRL5L)Mj3g2}O?D2ch*91)5=3tXK2lChLn~(pCj#66Igo0? z7?HfOUi9EtNV`HZAK5^?lH4AXp`nf_@b=_wF;35p)Ed#4o0w=*JX}kJSg0Fx5m?fJ zKD0Y2ZIBBGZTIeivHRErK35R-fE}yny*QXL<(2p+%^B}DI4xM$6*&cXfl|sPkOYy> zl#hsM=#a7+{xm`EBhoD=%**uDCu4Xbl2U79Eh1cTtnj+=X2K_HU+K~ie6d%ey})b( z>^S#`>AdK3K(MYA^brQ0Mw0}Ea;x3&#%|fDuEp*im1Z$c(tzA16er`Zh&38*v-g~Q z1S`ui>2R(;t7{JLxIe$l45{eD#-iL)>DiV>fruwV)Hn?eo}qlNVm~Q2sRksR1_XEr zlCAkds!P6}TGYb2XP6pDCT_5%>!P#etL8=iH?TYVK=x9{1mu}^B_9)M6&He4)D#)E zhM|2+FN#y?o<@RtCQMaNEq=UrRy%tB86s%0HQ#WNoA}qT+suh6qsnFcXD(JxppNrx z6cS{OsFwvv#M(x7>5i;v9+^)}^@Esip@ZMG?E+aq=LnhaHD1p`%LxT7CW2{Qcfr*zdtV12vQ0>61gVwvAA=^a?j@5&7>zGOm zG729AV^jiJqFVg2zKI#s$pw+dGx<4`f~sFWz{hZ)L`@C?pQCOSENZ|8Ih@ z6g0_ZRn1x~O8eGNQ?yQ5PpVTCU_)AZY8GVUI3=un5p`xcMyjz_-o=;R3%~XN9PS#z z%Z5_guWe>@n&H2H^5<%)w)5>fo(_5@X0L~)8c!kk{Q#|=7A-yU@L-{(mXp#~9e z)jpHl*8vt_F9G$>1dQlGurC1`Lxib;Y;ZBa;#PKOy$O;oGjwsq16cIr%SNuQ(oaSa z?k_K`pnD998$Ur>p64H`J*8kIgs^p4yPx<{uu?Vg{jsc8R+Vw^#$@bwyGx>Vq_0RG z)}h{rwt>%p)+WLIIgO=N(CcEjf!ePnJtmTXn-zTp;7IPX=6&`?N;c9A&u?xG6_|H( z8|l#&C?d6uZ^(H&j6UVP7akfNX=a{2VZM4YsS>=p-A5RAaiWlA5UdrF+YVtOAhriJOFH3ZA<%w>Kk+ou@N=J zs;7I*ylP>!*fON)Qeo>hY0&A+oE*@{%k@fD3YH;iLMqTOoTz=mOm6KezpneHhufv| z$v2X&NM8Y?wXlptCuy0VPQKYq{nQ09d0EgWTxr7EE)jm@fow%!qymM*7|uits6ygz zGe>jjD6-stGEjAU*n|{>aSVHO3T+ZUV3+vPu>?)Exkx{fk0(dN4wnt&c(y1ju@<=a z3ITrnrCxu?(mZwU**0OW)v@8E02Kn;m&W#|AR##1X^7m<@0{hl(BZ-cT$Q-lm!@{p z*kF;#4h8PtUzTLy>ovXeJ1_^MZxYIHR9qqFQoa*>r*OyrRo_6_{V5{wW4YG}n8u#k z@|R6}m4Xd!JIEfgzW-Ovz<2rO)t%&K6DHcx#WWXPX|G8}Jr`P^cU{c{H}P|3&Pe8( z8i+tr?}tp+#{BAb?y)42m^(YO$Pm6-H!b+t8sK>(BsxWg8Tn7wkG_Sj(9Yj4qi-B1 zjrxZA!dPw_N6XQ&^|4&WZ!8 z>G~iAJV_1bw_~4nx543@o<+Ye+2XawZI5j1BHt6zhlW@!?j+TNXv4J#+3O7s8Qs9q zgZLO8`RL>e8(xWX))OUui>AQ~05K;LI`R0*a3QO|{Wa=Jr$4jl=1FK8Rf47!aU0E< z5!jVM|4sq(_KV-s($-8z*^}{&GBRFH$D*CC2X9D79w8SD#8%e8QcsmwlfOlAVo!S2 z45cV75zx`mObw(rQpMuTDZ7^Jo+2UXhu@(1SNe@1&=bD(Ti}hrpqF;uT@ufYTlAGK zn&*S@RvR=V`|18RsY2@)8Ky2WPm+<7+84ik;azH*qp{x3H4n9AMD-3Jq_VCbvYz~A zs6>N$u~^=?A1TJ@Yfx$hmWSD4YSww-VeTXN7>)k!Tlb9!JH)k-CR=SH7IF|hr0r%! z2a@JWlc}^vDX%iut!bLnyv!(+{KNNe;?ccm-&Y>fpGO`Ddt|fuGAxMAz<`QKJ)U4V z$Gx(`;f$-VJcKQ^QuDl_{d}v;@LQDT@i=$Fl@l>8Ut4P<=`NFW7~nqTl4)6 z*TFcu8T{En>819Kw#Rs-p){w7^A$CvZ8jXcMx;jwy8N2oGe)6hobn$;l=%EHxozt_ zod~UYOS=k64a3J7dXjBVf0bT+d&D}PM?ywN6MMt*dBh|N`lac8{{?>|Q2$A>FZRYB zLp@?>;KO@{Cnt#%2y?-W5@c1ac(br}({x1|r}pR`swCRRM_b_dRE#O`>3D3QiWu;QP05 zqQK!o{f|oMj>ai09Ol_6`7arr=8GjxF~hl!5bRw0%y0Hii1111cMqH0efCxB(uZkU z*zb|7Drxs_4l(s@xJH;AwXLU|-famwfbkM^1R*6%@^{Bv;UZLQLR6TRUTwO78!nJ) z{is8*T-9>ZGS%nJ>wfaTm+g@01Z z>XPhzc@!iSlK*{Y`fAN1>sP#dzV$sD0jDRqb``#U7HP%3CL+mTb@gpQqm_(yE5CmD zC`MI`SBS9xjl(79YXk@mz$37AGwn5pGTENps`Kf`44qU>MTjHuqU|8v5GIOO0#E*wvq*lF*Eqnd8{eGlvJ&ouiWs4o|(2V`a{1r;6$t_4te;!Nr=5-7SwB zZd+%PJtq#E4%Fu+$5s0a2p28b^9ydVSP!k^mf+ze ztR`T7;Kl{bZuVb|oF)Z>YT{Tctnk|%?9UO;4 z6DhcRw))(ENd8VhW0$l&;_O!761%DX5z*nw0IlYmgdi z#=2|jk8R&96V@i4nRi6}fD+bgb%EMhIPrW_)_lWCXhj`pRmoNPpZKH;)cs<@s}p@f3ukb(}xO+2AmU_Fcy~ScsBgd#+78DB-It&Y$PZ*J7+YO1}!Dzl_K&70p0b z&rm6)Eh7qpq2w0-eqsJ-$Smqowt2MgmJhjr4SfaAki*6AN$gG-Q~2|{EzwFws>w!H z6Gt;D!%Ii6iCp8^Z5jO&tz0{nE#wm;HI| z2UvwV7k-ERZ%Go?|B-F@-}o1{|8W(HnU$GU;V)ex8zb=SA73I>q#=Z{Mla@}0)z&t zMn4ES$egJKm{C6n7$(poBQo%R`-uKU;P_9rp%1(#FNqi9yW9(8W~5)Y#s{l;Izw3mXRu%fFK@n)Wy&$N(wg zvG`;k+}l@>zVBrAj{>+JL=eyh&w@pi+OX0pq}bNK-{#d9_;~Bf4}f}z5o^Xv)3Pp4 ztEwmU+C7&yb@Zzm|C)5VcyxNO^eNn=OG@{8@O5-+d@0*}VJ)yxaWaJ(Fh?`Ol2W2N z>A^9#*c?bkT(f_&Zg@8I`PSg8@MqGgoB7^ke=w5yyA+B@+KQ5nD6qCIL=FKBG@0pWZpoLVR^nIV^Nx zfD;iC;6&_jTmjGMHe&m_HR*{8(^$tf2c}IOGGs;GPBFt{qW=P2 z>dsaGpi2M%bg@d)otqa>z7ydg5W8rPdevx)49hn6saYPe$On-q2&&L`7%hs#B2SKk z*V4>PVJnIf>UrHT(bDf8ERldW%Gh9Ho=D;=f?|_P<=mY~7lN#bobHM{Uv~6XSC3gq1PufxkI z|H~gGV)pXzb#!nBEo?49H_@aKyvn^)R=K937Jqb|sq4y*MH&eI9G6fgxD*r1E@d|M zdLa#Q)MCqwG5W*s332Q>J8*RWMb=H@G_t=qmnd)m=Mr^K^B3pxAmL>J?M};s9&MKj zAA5F;$G=C^$_$o)HVGnG9ApI~n~}9oJQ($TfD@jv9KKBgse893Lc`7FwyhJ&F(a#6 z70;O0gpY??e%Ux8>G`Y4w}1u6j8NvC?|^^35oV9YUl#A;V`;~yJ!`*^8v%{@I(@Pv zBnCdP%Yre#LJ@x431|V&MhmR+gUsUB{=rf#qk%EG^(?X_;GrCckg}9b29-I@-pQ$$pq_H82j|X4?4PqlIN`S@^pNO;ZYH<3wFY0Oc~{egD;v?`&uU zMizk^+J-pGq}eo%8y+2Bix4!rI92L%8<~D25#offC)X{%G{8P~;b72qy1*57#-Zxl*!aweQFOk+eo zT|eCq`q%kbp<&dIi@23=gfp=_Jq z>QI3;2Za?CHLI$ZA)DDq^%R}t-Y4sR{sgPgcXYY19E}=C*)H67vzHb&I*8B;@$qC zMBM10oBs=P0Vom4p&nZzRrZ;NP$H_w;LR=KMyeR{yCVyo=qfHmOP&PcXQM5b0Kg1S zrygTZn-W71ajJdLYGZ$}MUr5G^PG*Ue0`h3YvX(jhLpOqQ3b{*ryk{AKWyP#6y3V9 zY^wtKhSQS0hEw%+;77pTlWc3LusUG}oJ^?-dxSXv#>H+3z_^I7 ziiaH!YjNJM08NfbNRXI9e_4zoj)c-jf&s-ZER>1s54^Uv2oz17XD>%^swH_w|67P? zw#ko-xngw$Sah2FmqjPNssCDZ!UillO&*qMe=`4L(TUBA!*4F`{-rz`|M%(p_Wb(F za)aI33Xcn&)`W^v7#0FIcJz726rsQ(@GnxlG$Ho;qj;7NO zK#Fju+Hq&1X+=?i1*e-0f|aI)a|9tR(WS5B{qpO>txGMU%Z`2R;fEIO%f-dPPX9rd>KTIZ8?zCZixWv?Dap0+kg%*3b zfGS%7eV_zB_qsmj2;=kUUihAgi7SZZ+#?owz=dap1V7u&eGh*B3h}A4-P-~Fp~TPI z%>fij>_glv{~(R$SOI`p-rYVF@FZt|EPXcIEQRJ_W(VRZ(@W zhYh;aj}~r(V@fIhdfikvvCuSw=zRxT`h8*@0_E6>)x_n)EhkIS$ZtD`fFsugc{JX} z7@-#4h`1@oLzddAD7Bx53B{N#_oCLCTTb&64$P^=_Phh~7g*U_MbgTG}eUGqJcyx7ADU zd~@F5WleWQoh|Xg1wZ0ot4&~HPDqNBDo;O)7(o#jQjo2@%mf8H|0Fo?1SQowEF{d+ ze_!3aYP+yP-DUO~#5yB485egaa| zFznT~2*v=WMZ3hfXF+B@CK4&oI^~=Y6XW3{@^*+sD7{Z}A(=A15j`(a`X$IyR zJiZCvjt6mQegSAYG1?gSyDLS5dn#GwTcmNMI{NGnOa{>T>_ErKYy>A+ss;Rgb0}+r za%aEaK+er{jzC8bOq`IC%ZM&$KZ{-kn(%4rpj%;`+7U0j_z-oH5`g!b5wa}1@8(U& z60{{T?t2AUF|?usws66^#-&S1uE+Abs~?>od8&or!=|9*Mvr#EgpLf|1K}7z%|y!U zy@)_x$0jIE%8mrg-eMOTF!F6R0KNiA|@o_zF7*26*Vg5Wq!m`jH7aGm^{=<(G! z^5@a{!I|M-y4NxF_9<(3!55tygx_oeENAh!b#eSc54e{n59_kU2ODehN!Da3NLbQO zX|Dql@`Y#+utlKVHHUQa2b;|V`nh%PVBI8^-Kscm0I#cYG51#b#S zue{RcK#JD#-Ba|Ei}Fr$x+|<^qK#8s3qhktv0^>OSdHrpDdbL-NKd2mYL;c_*cm9g zuoiN5Ubt{Qw$|#J6*)9yaO+7FS(aTtQBiBzXD-_UESu4AU7`hIKf*YT(?~oBdw`c_ zuZi2<9DZASTE{;wq24Hts3I+*Q&mT~0x~0my^@5Iu=EUHtVcn=KT(eGD^6hz?8x5s z%)_gd42*k}emHl>4Vm`HenHc^Dbi?*2^-Zm^mrb)buueONg zGoMk}2W!ih()^FsF|b~uEKresdSY6GVS($oKj3CG3qd2d@RsR9>q=X1RJJ=C z+vi=uF!(CnjDEt@DbEucbiEPFB-$>`NT4to<@b?R-aAXKGSv;zP0js8uk`L#3?VBD zmHY&qW@UsXwZ{tD*s(T!ympZH#JgvCq?K;kR)&59rR|lY z-l)OcSUWzsGtv^7=jm?pEBjCdrqEOW4#{tlJ0U}TU-*3b3#BZoFt!u|yu`1};|e{^ zAYwaB4-u;t&N}a5JOXww&&fK=v1mteibWvg3SqQx)$n&4R#P0F_&6 zDxYbi@q^l`DnEL4yDCL!ewarAGynP$ zxoC!4^N&32gGtyD49waj9tNfTz!|%xN{zy{)Alcro|_-=nAohS=BdE(Fn0FDWG2mO zb}tYFPzSa^`Hcoeat+XjII!pK{4~P*MTg-dK)`&Eu95 zj+sjkoxPrq@oF-O*pK>~Jr`NMczJJ{d_U9GCr@Qs?x^12!fdR=Bk1|$i=OeIf>Ecf z0-8>1m1gkoL1qnLv*|JnwQYSQfE><3aNHnHIBWrS9Os>_)*SUU21c}o_i(N|xS(Rr z%BvW+rEUbuJKsfYbx?|5`Kk`uYaQIawVKz?9Orn^jeI%Ea}Dm_hzz$8;+Y{E%DB;< z?u5K4iBDn^G%OF~G1o40o8ocJJE)~{%{h@z2!O6VKbo~S=+pTL^gFnG?hXrnzM2qC zJb6xEm&loGFh7S)X-(+TtCj)Y z8l-mhPD3~pis(AUIw(4jan}++@GZ#`QGJ|9$D$UR;O+~rIVVksHKTa&4M?_YZcAgj z$MijC;dcDx``C~Dd5U%2!F|}8YgJa8FWjI?&Sq&gZSe|GjH zcPBZMl8}XhZy^{Ih8f1o@S=7?)_TIK`GGzkSkuEm5cOW2n3S6C6>NVBaF{D_fyex-pI zdAfP{tvMe*W9;v&vl)_8H_Po@)j3^tL&|Gg42F3XHhy*`pBzrI(SNdF&Dtj!o3)(<1K?IeC}%C74tS9%U{UZ2}^~jfFr19 z?&D>Up`T_G;ISY*sf0Rs0^7i)`~<_zqo)%K3`C#9Gx(|x#_sUUndO|9MPstLa}uj8 z;JXx}a3?G73qs(gz^u<{l7Nqi{E|Vhk7*hqS=Qb^i;}`ug6&|0I1zD%aYvS=P(&fa zls~2et0CGgYrKdDW$>*Hp8hte2YL#cJl|Ag(lTyrstV>kFGOQq2h8 zSFUtkv(QJ$JLVt1SD4Fh4rKclpLyD~Y#qU5mifBvIe)S>rS>Y8!*RORe*8@A9*I8; ze`)w?di_BEgSpJnLt{if|B6S8Jj)GnZrP;oYs=RADqMchQWNLCb&-Dt`MEnL@tWzy zJxyb4FjXQChRBA&{B^o_^y*K*n`hTtNZA$L&cJ4kN4?=$k=%&+T@YnRw_^TCZR2 ztI|Wv>g=>iECW`jBHY2X{eX1@9TzP|Vk4H5A0Tcf@>kDW{Ub(hY16HEufDN2oNSm# zv?2{bV(_*sTmGsswI-q`x32@Kd({rsV&#h19jLVzW>YL#50ct>7)2Z%KTffO%v3uw z?K|*(PXI=o_jtk_>h=c)30?=&VTy!EVPB~q#1Vtf+}Av%PB>;2yL3_PN#3!rE!zR1 zA(U+14PT103!HOGq|tp?1ebfM29#iN1Es*}FOrvN19;{mEmL7-UUNEDitT*$ReErgkobtXy2|f0bJq zluVuNU7d_goq2fy8HtU(ld^-MvFV=!WyU}E%J}!a3M(`Hb@W$g@bxQ$sE3QVvJ2q- zeZZOGfHRqxx&9Vii2vinzm5PWiUD$$KljG0&q&D1#`<^B`2SqWW&Hb@tEjO2>EZr) zag_cl<^Fk||0}p)BV=Y{`8&)}QDFz1$MJX6qoTs`=Ue@C@IOZyEdO()@#iu8=bw^) zL>hnwFvq_~8f$g{ONGyo`aKEsIoz8sFdS%7#ss*-wt&t#NHl>(cq3ZMPxT_?x2q;O z)h9SfE*MA+u(w}HT&i<(x*M*it7GO*Z|O~E6MB5#YP}n`syVKjmQEL(T%Qy>^z?Mr zeH5#`PnFrJTV_Lc>tJf`$x0JkuA*32uTJmMKbB&&8{Q4uIyX1V55l+fssQcXswWQj zrqh$Th@)hy$1BZi+F{82Nb<6b%Ls;3U!J$()wtqIfEMPZ1=j!z<>*zN!FgE z(Vd!9=qpK9`7@#L6xiBSc#^(?ABjIWkz=|h&M(d}UU`I-QMA}5sw}N?O26e~BK~mO z6!R(59qdP}tI{|(saFIhofTSS;51bpgo_{RhN!HN8^@j#%Qg70W3FT{FjgsmV494M zl&L%TP9+qjOpf5;QZy}CVay^@O$^I8u;Vgrio0|=j?S#9wxT3LW&WM;VmKgm_VYe9 zH{#4fBXc%n*jPaiIqf+>h?Ic96bu|sd*NO+7_0_$X0gi}<&0%qwd|e8bfIQ|Y$3ac zSEQNu?C%f0_P=Q=e*AyaRJi`1G!;?Kv10tAO1{#zsQ+itlrzXB7u}h-qcV9r1GQG4{GnT}(F%@>=H1kMPR zQ@1ogMS`?1*po0DXMu@31=LGM1hPhBb!#^O-P_={8OS9K;&+wE%4up zyF33V?)t-VW|>jH{19&@RmU#)0T!|vRTRt)o6`;f#AR2jw2%=!Zc4qk0qf#lq)p{t zv>=oL3Bs$g;^@5O;-pqmJg{q7O}PbOfY~L25%lpH0YL2k8%xE= z|D~m(@4qY+z`7C-k^&e*6Tu&QfZi^%803M&kO3oesxi2`mRLY4<%nM08fez)2t2&w zLgo-*Vtj?m7TfoVo+NKN%k|@#U@eZ$dbO9vM)%C>jyfG>6S&eOShN%kD-n`lE+@eI z1`3_)qfh{|L{W@m+7O9b>O_w`3)lmbWqT>P*JZ~<^~+Nta_9_+NU9~h2>mKi?F7+ z^a+l;L9-`==$AF*x|H#D|5;L@B@l>>qbn1GkueJs?WN#AJ$~*;$!SK(dDtEh9|IH3 zm%r^GmSVu_QI1KcXqsulnjRdmm-&PUgTUTD@)M~~Y$so=a~j1rEgQ!!a5}trHDPh3 zcJ=t5t=%VWe1YUP9{s;tyG3Exkh=Jeb8~@nc@H;%#=(KcR(T_!w$K#(5}d8Lq`2;8 zyp0O$njQ6#%Q#vs_6Z)upV$~_)AxAy_60%ik%IHAE<>* zvsUSpcKsyfb)!5N>V3uq3hKG!En7WQ3+d2ej0-axh4r_kXp6%}0#;g4@EbGU`nT~8qy~gj2_k^dx^wI zGZ!At7v2W~3Z}B@j&G`it}@eja4@RX`6mt)jaz9!x)m$gL0lEew-T?E@%!J6kzWCh zibmL__>#9ErVe%IhmQbK-%d@W^SiU4!3;X-C8Q!zxog$v^M?(q$BhW-fmgn^t*v%l z`^VFf_=CC+-;Qrx9ctA{818Q$8*=~a^3JQ7v;H@ zyIyv%b#?i;1{nIhSsQz3OJ95VJiJun^YOgN`0p(h41XdGO$A-Rmp}gf~>13GgQ{7_xmF6XHB@?>dx90Y0Fwqk%t;{Xu>!prex&lwPD*hUNfmeMZ({49T!RK z_(y6u25~uZ$&A0=G`cEQIIea?@Lw-hBdui!fg1hxu&m@Yp_Dh5g4PW8A!by7_!~6a z3&ND^VTnHtN@VTc5O-Gt*$QR@5%1+tR^N*xl}$LA*b6(FY(cWacXv>S?B9bg#aR%e z=Lh)-1n+WvZST+W#;&Vi#+oP^Dt)^Q>C*Py7f4ij_DKw)2^-~QOFUUBtPH3GbNyG7 z8qsXGlq22S2HE0d{cRJEIk8sfJg48=aBj0LJ4be)V+e>(;2#+)(sCwSp7{IK0e^OK zq4-RxUn_o0>ufT-v0wKKxW$iG(HY=p_#2X@>co1m_jr(JcNI&xmA5U_(rU{RIf#_5 z(5fwzDi<^BPHeXhbc@+Hl{l8Py7V*Qjisotq~HqbBM^6Ge#sgw2eaH5Mo!Q~9Q-VB zsV7eI6*x6Nqnu2dSxi*sn+9|}!8tdmBR}a&>DP-2F3ANqRi?K-Ozp*UPu3N@kk&U$ z71k`rbbmW#S6?PvDs>!qjLP$fA{7n48K#lETEc7I`(?)(irjBJYbww#r6L4Q%G z0B^6#UT3Gy^&|TJzyn2$3Uy*z#f^jOxAZ5b2ToH}!d5P35>2rzM+;kWB>~4$JqbBx z-LTaA@k^n)CzS~dy0<*z5h;D_+#NA9`&Mx{rNuW1=1E80#L2ps^;a>s6o`p)xqZOuu5w`Y~+iaU8f$^%WDh-dxdH!lR0v7yOh z(?(R4+ACp@e2Eev4|BhCHc6%*MxRO@$>yGU3S2@p9h^Wu@|>IRki(9pNpl1A|gufS~heGI$7y`$aD2*y?qc_IMky=PleXk23Z4 zo01s;5dRC@8nrLb&u=@IJhS`CPaBFTpzFe_G4`o#HTzmCx%in>1V2lvKwtQA;>biW zHbyGJIcDyaaAvuiGDYe*HiF!xY*-dAD6vk0QPvLnln}k9e91wY$Z$CbMo&2O&12*x zyGdVTFq-tgZgh6Nd;CbP84EpOzP(6gj_nZU=%O~NmtDb5- zXk{uzdNXGLZqdP+?>5~XSUXGK=g@g)SVtPCL2zF5nBXl#-piD5Cj9VvV?#tVPiE!S z$?EV;0!Pz`@fqCeLV_lrQwu|0geI6h&O4TUMf>f1a?;E&MQ<1@(}>FQ0~Xh&ZelT3 zFD)5DEJ&FoU;KW~QE-rzdTlu_-%wDTja)NDnEgkXaCs>`2D8<$>aq*_pwvvmI*sWy zk=P8`c{E)kXuNVU;sfgLbe{#rzL%4y3NBf`+3ra%C-q_Y%?2m;_br{nCM;&3%cc9>^(W|i`WE(Z21a(%m9#@pz0yZE%Gl-m`Vt)za9-IX z(QS@>JX9x`=?)wFU_qs|*ovv=j9QaiB*TAs%GoB-_dM@OCC^xpyII17LCZ*P4@nGU z#xq;P+~iwE<*!JE@|tmJG0#ltfFMF(8;9kn9L2>ykkmDC&>b};tY0dWD@M%g@msXbTo^P@@i^$PE#UHELOO868ba;74|AdX|pgI$o zQytngs=~ag>|F}0T}4p5cxx`% z^zjuO-*G~%dQN`1)-E!4J)_wDm&eFhlDw=nn!3$Hhb1!k`|ZW6SxT2A;W{! z@*-+x;fJy!A1Wsrd`D3#d>SNVETIF-95DIMlK(0-wd%w-4wrCcD() zI%{EVjro|7JoiVb<)n|Cw>&Vu-fxCZf-KDpx60lVsA(V>Y9MQ8g4a{pl*3(e&_TrW z!;Q02A638Fp9!=>qy)pSfAo3i1(8B+C<8~^reU-w(9il|2v0{Jsys*Twiv3?_zbSM zldP}MWjVLf6#~TF4c%2|S1`oK_+uG}Q8Mn??BQ*1bkJTXD10h6Z(xg64Mc5)aafY@ z8#9pCU>~!cfeNkhG?tJFN;*}hgtbqUK5iU7K2@9*^#4QHHw9V3L`_cH-P5*t+qP}n zHl}Uc)3%Li+qQ1owr%Y9Z|uuPY{Wj)Q@vDGRMg26S(#Z-pZJdeH+B$f&5{5?*@1-K zmauQkVk?oLKlo>^8&DJ(p77PGr}K3{<3!6C*|79u&kQ&ye<=dQQ_YDJ3EJc8o&R4z>i?r`bzi39H zysFDTilEr!N}|)pzDc=Zmnp^91$Obo2yDnzbvyLGAb&zA%Ua3i0kI+@Pbf0Hh9D

brGl@U5tY5}B0INz*$5`=)jCC&m zX&LB@siKa#_;YTJ@wn`H&SF&phOLS+gd79|yH8*vsOeQ?Q4=VnGJ+gak4hAFA^xk? zn&ggY8vgo^s%B`M<%??*gtq(Y{u+diJExQc;CLWLQcIN)d^$MT@aR?c6PxTlbM9}7fhv16S!bnqYQ#=gRGTEj6G7I4T25#SV<+3dSQLd z-%qV7TStz-X3!LERk3fy*sO&e{th%)p9GtHEOY3gZwJnxzq&cD*ml2M0dWdW~W=_q3ES_y6dE$^<>WjknOY^?#aJu!c@jYNQ&mn(ddcd za5D}D6vRe(6|tW9rRyoA`_OJ-l%S&n5oj2E{!(m7is8n3iq@;77LS^-mUwu;b>j`b z=JuDQ9pGrztvWZ+pvWq5r-av$tl~e#eJqY9#9HGWSPtQ@i5kfl@|ez^p(D0h^GY^3 zx2vlsDQRfHqB#%0URM*yZ?W*&RUak3u6fLwphZP^4QD=`#04R71mlk=1zS#9w}@cL zzzHz>GNRLEwx(7V}%Z!KO`k~NiE=%fY9=*lElacY|hk58sklb6%CW@w=?3;n7CiG!{8GEsIKHT(E!ic!fH8Eioo3H7|t_3;$eDH@|SbrnaR4g(GGADMTz<)QJX z@%Spv4u19U#J$cc>^F2b93PoX7(1{{_BsUE2eSVJG=cr9D!8RXKUi4eE6c($SZ&IR z=w1_2i$@}6IgPXZy(o6?nrv*x*yAI?t?Q7W>6D;2 zYzMn&RhH!^Q0PwX@`ybF`ZEql4`R}`%pY*sWsE%L26}OWGo#X(>jPO$SKLQGpR?9D zyjj{-M2+xjOkQ2u5P!^VCxp=v4t{}N_8#E2IA$~e>x@nW!s7N0fAK+tJSymuS@3#>+`G2ha6Z%@5OqSDuG52p2hl1Q{`WQZqrd*Ab(v|3Njp zu%|yAm13zX14r)h-{@}GCMc0L`ZrIk6U2}l@6V_L(uDhyuTtGYO+|TGd4~=`4HAMn z31$9^4IHu%@|3I@BPPU{Bwg&XZQlc&O@i=-k;9f02`7Rvu{N=l9~VG8%MqOiYHZjC z8V~pdKjqX6gmvw}Z5A1Tz=(pg$uEiEoG+dDxMfqHIgy4L&G%6Yb>jV@bRBo-5e$#2 za%#;k!?~Ox#rLGs-}CfHFQdFiiFz62g$`Qw#@r5N)@MC1>$tdy;zCdCiS~9vebS-m z#<;6#y7_+cCIf3syB<9XI)sz5|7vYLd#Yq%Xj9@D4;9KVFdaNtd8Wf#n&tP5bK`xy zlG6@!T$vowIqrpDZM`!xyQWeR+1HUIASzJpt+4BLwACD!3jBc$(687Q(z{YbYLG2^mj~op-w+MC7N|-bplC5ObboH#PzdiCEMnGfSsG-;vT^|+kuh?!nb>N{8rAfQpcw4dspSoD5qS!E32=d(36CRkGXYY*n)H3`g zYpOruc-^Fu-7eFz9(%w?_2l!0s}8r0rIqQ5r1{q1L|dIybrLXtfV`8)t{}SA{e7BZlA({!HW4`DR-vIGsmxpOlwM@5H`ev2ZEW0N8D)m+$|4qVudO zQ2$@lF8lv*p8sDOk%^O$i~0Y^q?m|US(!QiFII6gHQWGQ17px${%IV^@K6UF&? z4b>q(SjpHGsvz?`7uA4-!-mVJb{??C+eq-Qcp1xl%$eAUYx{UY0jY zw*}<4Uf{Zz(zw*f(C8pLD8Um59Vxq`@Mk@q0!QBkt>JVABNJPTzUUNc{+VfCyOFM} z>EkS2A#A|&#nKn|NJW53sZQ#BuTqbLu8(q*Gef)fTko&$k)e=sN!lz7@Szpe9tR&& zY2#^pX2jJkp(WfTk^>W1(KW22aFBar6j{)-ppT?Biam#g<(1+GKC3~`(zKI&c5O%mZ?9& zY@9{hx{LCi1E4h40|?jW6*fhPyt^^Df|AvR?p0#hq_HEXFUU6TN9~07(!+ zwLrK?#jE2{dJC?oDV6X+1G|DwLFFJi9+~(>o^_MsBpU4;?Htt@jf8Onb)5}vFAHG| zIr0dx8P~HnZk1sB%+?A%^?mFZ)hIPFj|X#-L9f0dxI(nDRHLJx)^Y9BZ3WXHsxwa; zO{bM=JIN)nsGZT6%ww)TZQby$BT~KaFXg3f#?P)7!Dhn7`+$;rio0YY>rtIznfr@Q zK}~ZhNvn~jTjU2yG%1QQN}{2RL|$TA$FV#Mk6wR5*HHs9%15lqmP=y>RpOBRUyZRu zU^eOC5KX(nbsCgt1xb93*QomV@JLjQ9UATipz^W2Z~{+kqE5OYW{AoY%LH8u&qu1y zWlAh^|3qDE4t{t}FUkQ5T?k2onNgdp~MRpkSF>@2_)b;jZrxbS~!iG1tPRV z4`I8EYCR5kKK6?}4wHlLF~1WNe%+Bn?!I6}(7eJ1-?Bj-AVH6CK=-?21vxOG`49d1 z#2&n*{Df!>9qOd&vwRw6f?UDZ6$gjCBd{k%5sMhG6FopQR*J#eWGhKmMh=I1{e{E? z(@TV`z=*BD1o6|S$}57BYl5S12sy!o+Ne5N42NJPyvyI6ufLczQI6DI+_M<3uk`z= z3`A8Po%JuI5+j27+;3Q;*sysJJ#5%K1ox8W&Ddo}qJJmXO@ZEX z;@47HulU!)-R(#(M}BysSypf_WeQd_NV|beu~15oc4hcYNx@c_NTpkN!rmTKX7`LI z?K2PACs|3;3)z_IDpM6RaMQgFx|p`wiaJ<=U+k2eAAAzv$jE2c*NWg>7zp!Ql3sQo zLLHqvCAg)*XV=(afUxUp@#}|8|N3RJ+#<>c-Q3X!&%oRPIM0OKiD*w4h9fht%-osD zCwTpS8qbv6@hZ>Q++iEfoZRUPPcVl=xvq@XTXnwh);l-8H1}J1zIgXL`|ZJxN6>CX zhWY3xlwYc0_=ZsHLT+^@5ho#|qp16l$UM9-xwHzRfwl@!l!K^5 zZjoEYwW|z>QG@&CoYycYl%Z+282kgRf~B{ZAS8<5k%o@aA!u#n!eZ%^J4qK@Gy`HG z5ok&z+CveV$dvnHL6T@vBx1#p@Ckqh(Uvjfb`pid=!gBnw(6A&FjkF^$g2I0He&lI zo&C5rN`i>+{R9u%+^CcN5)X3yXpa4VkDm%B%F=Xj(y8j)T9O#4Vx~%3vKVRPrczqk zsVPThVp>}2DN9>O_A$5@BR~@E%aKl)<8=9jGwxuF4ocSOV?~iniP&-`wu0luL`X#` zYO#_E_>>YS)m&;tu}aaD3RtxgYte$njtFZ_x|*C@nAA?|efIVo=G@G~rYN``w1!uzscuw(-FB}A~L8Y}dFrEE>9%RsMnL0l_TyHdKQlop`dx)6^Q=9fsP71C9SZ&M!PoDjFD5t=+Q znv@cn921(1BbowCvZPe9EFf9hDp?-eC6oCK-b{)8NRj>ss5MdM?2`N6FW(ONv@;UD zf-09_`+2$!nU_=kt%8lm0D|?0XM&tUiRYkh2ZnX1=R{vih7F15C|}#!b;{>VUuojU zbm6neZu`77+~@XgD}&XI=ag;}gN@GT*y%<9`?UG0Y?jHx71x28K9~O9(x- z!NdA%2FJ)w7aPcDE{thBj4^Y+ZeO;sk+5c_qB=!PGt5a4MNn*{DZ3Ip>h$tZ9ZUUZ zPvn6xMXmrNjP5F#6LQiJVWw%)fS4S$zv+Biy3|R$93&=3*&AxoIQgrJbC^sfExlPo zd(-$HGez2DCNI6kjFV^5Ecz5zjpd9pxpN>*RIpV&$1}n2q?qHjO9AgM>BAbdFQwZ*)o02Qb&CW%emM>G?PP1D!F9Y3<3|l&w zHz>M=GOkke(YIw zM>ak=4!3eW!>wOAz}63{V9jDWMS-VDXDP`UIi8qLC}XmMB4*DIp#yfuJkFq$okCDIqK=fw3vU zH7h~6Dj_`qe<1*&V}amuK=^4OC>;~R(s7v=8WJlqrhG?fo@TP`&r$#|(he&8s+05*;UbaSX z#>5*UZ7Fod)bE|wv|AFqRSDZQo>D$k1=&qp;lsyLf=WYVxK@_K4dr)5|N@)luC&^AkcPkN*Xin*Es-}RpScfzRcz|n?E zHZa(Pf;%H3pj}8vB1C0PSV5prhtOa6aM&z^ajEIsZaRCHI5Q&?98=S^m~EIx^bR;# z97XUV3nUUa)>J}6khEiSAJKgG02@>S8P&sq(id)h^M3F%bo zFQ!(cHK9Ct+qHXX=@fkH=v3$f)hm90(&L;?c`=k~rX-%_-x@mqz7=$ezVrX7)mmRH z+N^s$b+ia|7ucyfSZ6g&uVdI%wa9JMT>XACZaM{w%w5pNY#Sn+$}Rs*Z4`gRu#u%n zk5y;p>7LG<bx4#6#uF>h2rIMzD{^m)V~15E z(;?#~eR8^W8vVF4Jyd}a%9#ll;&)vk`*}VFsA5EDdi*fe012%iQxI~L7qp1pq69RK zA!&;-ON$9=ixHe#J_2_>K6e2fcOhS49bw)+kzXVjXGl$i2*(g9#?~Fz#4SYJ0hM2@ z$tyzQf8Y|`;Na$!$y=x%uS7GFO*3#wGqky`0FarFeF+^7HtmRF@dx(g z7Jp`+b%qob?2dk0!oMpVWNg4AW>8kP4?bpi^cd=x`oZ^s??Ggee-dO8auQ=wzghxj z*$A7R2s+R*B+Sxw&3w&z4H3!ZazOra(0(W%t`NA^u+T8r0OvnMc+2yNk+-Mu3fn6I z>qU&sx7T!8f7xO?BK8S~c}qu6j11!e^|jkz+hBV*_y*0N7{CAK!%8?fdhh7No7YEq zFXh9WH&8~ckxcVRnlwHni<37lpl(mak<#gts$8H-{qkz75&>8Q=a0SKb3W7XAz(OM z_^&eY=g6J>m+%adBXgp}L02s1!mpQ)wh-!qtS1|NhSHHtUqtT0r6;5@uhx`YQ)=Tu zPdM=Ks>m;^i^(C@-bPL>TGB>$eM`ch$FK|cM$(i1pU%VwU@#)H3Z6NZ_JHm~ZZItK zX5AB3dvqZhc1o-)W{^Pt9(nT`rj2tY(YuG+40g_EC-9YcUG#D0=oLd)82)MmlopMg zA<=e0W-6?TK;IJ0KBeD(Q}POuGg4V{vP<)l`KL(JytPj7)Y78SU38^#W8J|?J`>?S zWOdigNl9-*&@9bU?n_M{A@d(5qPCB)*{|lDt-TAMfHZ4tP+2RyR6ao!Kq)Z)U9|`n z5&Bzwwr_~?@d4+O?xlvw1%aZNd#leHMv2@@k|3HrR?|wuq5TZB-fP9%A?a5h*NEcM z!Wf(~-!;s@L4S-C@0v6Q>YzIjwwTwXdl(+w`0V&y-jhcP9=SxswFp6jjGsi!(;lBw zYw)b68Nc5Ph3^rAt$%~Q(|dralnlR=*Le#0*ZP?hc+#y6-i=F zv!HLi1)?)>W0o09jm0tWq%x8fhyF3PWGqrlfF;DYO7#k_2u86hE#FO@ z?;pwsax1yZV(iEytw}O4(`|lIlf{oAV|($>*c#)0vawU{H4(pcRg}JWkE+F^ZR(=l znCrs3?JC;o4lbCZE;0F5-4VKSs_I%;0T*hur}84~q`w_ns}vf9}>^ z(zS=VQheK9EKI~b-ny-;HB5SiJQA+ws|CKDEq2-xJ-|w{p^MsP%Wc}OilWG^hME}1as#m-3?HV`Bq-~VkZm(`2*SR+>}+gpb1gqMeD@hvwWv6w*jdg>8-AtFL307dkhg4Y1^^MapclIn|GGqP;w zc>c!KXXLYWv>hqUpapNw(eZoY(6(%AjJNgZG+90B$+3Or%<(?!G^~^3-!dyBJj$(P zx3qzU0k;Gp!OjKWd=!ShNqWg6h`F|pL<}pnp&nK1xt`k*Y2NCgN%0`c-+DMbKU18p zl{LE{m+jdPKR<7?Tt7!2vvVjkS(@FAri{5Yc}~JWvTBQwWtsh9=>e#8rP?VR`f+ql zg~14u865HXJ08(1!SgVOzf~Ak@Wq$%blIQ3yP&|nqG^uWwZtyBpQn-)Zpf4QnlRH4 z$CN>t)!h^vZJXLAFw=6VR_%GG5|jFJ!DdAkQ!usNQ738^dKBsJ3;#<^sllLIsJy&f zVQ<4ZR`2fK>R`91B>5tryV+5`+~*0yXKyW084xL!FIlCjpcw#dMSgili0bxdz}45bl$M5|^+?Ioy4gCQ zL5im)GSC#2s1*J9Tw)W^rp3MVQ!s;d@ejBnrOf|Ji7* z3;y`yp0a_zyYDcyCDrI$_QU@D7!hq;}2Q5|s+ditFb zv8YrblBqri1M7K|JEJN0r#pz~eF;AjM!QZZNz--oU~XVW)QTx#hIiKkKW_W)a}b7$ zC7T5IfizS<4C)F0ATSoD^r4D{xo-P>A=oQ=`}*v*FHy7uZo+r0Me_2?twKcke6F2j zj7Rz3b|KA6NGZBT1Ef^p5~8IhS0o!?z-MM;CHLC!%IEFN4f1OrCerG?0Ftr=STXt>_yyix@NXFRC?OfUAW+;gbcXD~;8M3g(|8-e$`%Sa?pTcFzvEzb9AP&B7P? zWN8&B^r{Fv9{ImX;N0L?o7!-Ql+aAd!;BTtiPiTCVVLtv%vZz2lTsOyK-Gq=?r8b;(R?BhVbN(@!Uov67h_Nd*jV`BQvIjq!s-YL66NN1DF<2i z?0m(ey1M*H^ru;S1T)>W6&deK4?=C5fxplS>W*tb^+{bP9!o{P^!WZYs^1?M{L-Ov zR4Mo@qk5%E-5kMDTF5_G{{1L?v@GfpB9EDd4E)sHdJvQABEM^yDcCe5+baKNMxm~- z#~~YrIfq%~*O0VEDLg1tv=23~ek5x>XvWnH`NJux<+H4S`z$Au$vU0-h{-d|xVFtHd^0qjUs#8xl%3Um%aWHmG z$KBUO_FMuA&vB}R9{w6#3MnI6U0#Ag8*neSi0aVsZidPhEuBQItEa^2`xuH@ZXuXJ zx|&ELLXKnj&1JwxMF}BN=fA$Es?@IfV@wRZeX`vZOLUU2<&g+JCLDtEFMM3bH!`wfczU zOP*@V)vidAfD3G7%&a-o#0j`)S6tD8 z4ll_h3pWL*Oum}#*zp})$p$QVl0Ao}Q<9DDH+x7TZY>`$rE)GbmeuEqz5+FRo$B-P zuaAmtXZ87Io{7*t1Y`}{ycF2aQkb!KuBv8LJU=QG%b!@2t@b2}&Bp$k=3@8-`FA`F znwT7TuHBrBE}Q`q?&?Pf5ig&6c&s2-TBQZPzemPW9O(5o#xlwneCs^FIF6Q|a}RG< zHz#Y+{Cj%7S$1xddb{7WpIYPdczZsh^Wb%v@_d|F@6K;__<3|Hd)i&ij#7NwigEqU zOr~DK9Ac-XMzcPdZ(`p`6Es=fbk&#MfbFsjJY3%QheOr8I7j*!_4@5;QG<#)-ls9w zJbY}f?=!ZXjO3JF$wChQb$%`uEf2%GXr0q1&63H(a0)s=VUnz?wIveT+*zqeTVBGR zyI;MMs?_{xze2% z`}PA&`7#|3iEMOKQ2J#em|$j)&Es!`%DsoJ?G!rVILMj8cuzYV(ux;L1^d~GUBmx!oh4`@wI0$NsP1t27N(;QUBQ2)q<(x1kG3wW6Kbv}gO4Oyw zxO?Ab+P!DmPd!tV19WI;7eASDQEHWOrs7vL1h{y)7@|!i z@B8)|(=!DCB}8y6v@l#rrQtp^^n)h=7qWqqwQ-(F-dt4`VUmSQAQLbaohgP_8vdcU zn5l*d<8AZ?q;gx2qmbUY|qr z_pn9AyCXbj_7ESINW$=luubdnu)e%CI%7HBe;b00Scm# z*TEZ$=z2bR{R4MQpE3XB-9-Ai>9|y*|9mw1EnDX0btVhKOx}An;?Q(W89BD=U5~(~ z)jNk6Gh#JBQ(7>RoDMQEY&c3&UGf=ta#d`8Nu?{}X3lHkX8wjpd{L<^ve3fLn`IDj1TBIH}-{T#^TyRfEKHCr4ttvqYa0lSHoH-U7>lhG$;Ri{P9$ zVSK^TcG9_+y({S$I9^@mj_jR%a9R(p?tDwNc||TP>eYs|b$bQh`bFx!gTzWEdm8^B z0`|F#G)|%jk&DpI5?a&Y&w`wx`O1R@V$qxmN(~K;1-19ZpPnsbQVoA4oL#5%ZBEhb zGzfS`S7Rjp#QCl>imuB*RN>eoo$}(lE;EC*PEiqA{CZ&Li|D*3bUz7^Sb^~?0>ib}O=ue0eltV8;;zOTUT!%G;@xvLKFDIHHPk}JaV z3-{~8+{SyHg+G?btnwgc%!BNqAI{{tB`ia6q%B9RM%gJouR--m39I_k0velK@8ES| zj=YInBU25^swF5PZO>slYa~JuV2UEdD=PJ%Rn3-A&Dy_|samLO_8DfTC5mUn+bi&y zf?Vd%&x=vf*+kYOK7R1OMRLl#cz*M!TfL=!SRCWoWoKF4f9|6Mf>-7u8Kpzqbr3$Oz=5XpY_uYVwKQF2Fd$zx33u$wlEN;AsEGO)cFhI&fe{WhCaDe@1TFO|MV(Zf5|(*1!zM?oc9G`V6?)i_58q$qN9CI3 znc&gB?6uut zgXc5+Qaa1l{8$T$H(S6Zy<+HzfM_ql)s|N{dr;Kd4VB0f0M8@xad4NxQm5atDLzTV#7aDTw;M(r=P;5WmAK?^8e(*!4$uE0wg&y^w|=7dbWO_a^Q(ikxmNoj z^NU{1fidoz%nxB(ko^s&4@q7vwOCaJAaoxdH-rGsgjY{D?1ybheFj`L>9vc;p5tp* zZX>hQeVad(YSt2z`kfmw;kKZ-@zFCcU`09%F8A9TA6PN$TTzagpA13t#BHDT7@#HQ zIiQ@xtkYinK4IXx0)7k99CZuZ6sgn2 z%R1$@Kzf?v(V5rw^=c~c2*NcxH_c)B=VIJ)FUIxnJ6{jIX;=I{$&JWV9-~lUBNS@9 z>oN1;e_LW|0&lm4|KNM(!rs~QoG^xC(m1~1OUL|8cz441qE%^*X<1w?f#bK5JJN`1 zT**#&^`O~E-_)b4Q?nLYFK<*HhwXf{TGK`2{7fmch3jctx!M5zJI9e3XttW`@ZCfA$M#1M960z$Aw_j2MSx*caRSC~NELDFwtT8! zVMigcVQkX{Ma=&k+UTMLZIl*p?D`%5z$t;4DVfNWjR~To4aL#eI~EM2xqtAybiW{j zw)wF1a=m!F`@U?u&-@H=FXSAtp5b*#OwBjqO+6glOS^HJ2=(zHt=wM%(y9J6n5|y9 zRqRN3W!WtX2>nDlbuY+7Zckotxaf3*T~c*Y^TgaYj{EomW|O?PydcMED!Hash7KHd zoqXP5>U+k#_A{dAMx2nr5@u){8;~P_h&;&vdZeG<%`X;g$%pdX->IE zrvl#|Jy*H4X3;9{;}aXR!<43rT&HfXHIOZ8+--~SZf5IQp7=xHt{|`f1mxPCl!v;y zm35jhkhz=w!@Istvj)A!yuh4!Q0_MH1GB67`(}h-a<;Wa&N48w`rKq-FMu#fm1n1M zmVJ!;7&P}I(iAk(O2&R-@^56-6k*S$lcg)}d35;UZT9}tm233hiBv{tFFJ%l2Q&ss zxJLhNSpyn}6hG7zPaHBx0;F5@-KRT~ zK1BF$J43)IV&`B&4ME2cB*OclGP=iRYk%y-z8!1@_sk z+T5A#Zq4l*+u}QX<~_dam*fNj)L`X=vYz=Z!2oR}gvjYTTS(pND?=*hsrzkWd4b?C zZHu4&AE(CU>tk7q#@61GAI1p|OP;p%+9m^&_<6VdubaT98a?utTG3}=R9<-3TWVV- z@(fU_qApZ#tmmxaE%sV!=NJt!JOHr-AfYdLwYT5;mBaQ#i#S>38+ro~m;CBmrq^CS zr91nFQrIBZE=(`qgb)#ISNIgVH@UTtbf9(2N_+BQ)k<;4ns3K}+`V1dKA4_ynv{Ly z=L+^RJw*Nw+@sVwAU-q3mW`(b%zc-lXL|ft8GA$zUx-(m@Ug+*Ep4r~ab+@V&sX^* zKH1ATjMj+m>6SMp+=>wi!(aH8IVE&<)F;C)#!u5>yY5u8jQE~ z#(!Hs^N}arHec5?KW%%^s$<)>g$nJ~v}grW&n4qz9lG*?Zvg(_ z51n$7Cm6*>`)N1Mjcbt|ETC0` zpB+MZdTVbm>pXAF4UA4eDNK912|~LKl|s!3^!c64{s%Ro*1=5r|Aau+YS^0C!vRi)( zK|M=f$Gp(Kgs)nN#eUwTP66}Zl)7q4_sM$O1^BB@>2qBZ?Um?!==BP@&juV_8}N;? zU1ABs;!C_Au~?-~POT!SRt8QM>4Mx#+*P4XN;tg~qU;<1QEt)+bY@fN^Re!y7;1x6 zQ{l!@oZ*&!KG$;okvnwj(pt{VYl?WaH0Pk%77N#$Wj6DC0)!t7mldlv^&4wokM{P~ zjA}K`Uh1yLmR42ktejHs;5u6mDxVO_m;OR;So^L&6aY- zHXJFob8NDyMG@ugQAOU&$Ci2VH@~hq=7(#w+{%j=OYaQc*{XKh)xc^efI~WAvQc{| z8f8?VB=HJRg+3jPhno12h*H~Z!u)bX-#hOP9dU z{4qPlEkWt_!s7}&&Ngm6*!d|68C6+9r|Xdr_n<6dU_1E=&Bpyrs;j?{saYppiT>lZ zzfbb;GAA%;U#JR}J#YxU|rZh3m&mL+0K%qj*cb%N=Tl3oJXzR+ez z(6W?y(dKyYd9<0@5y16+bOPirOJou9%XMwhb}lkR`x16xw~>F(11eLtX&Urv$(qL) zOd@c*Yf@W;qc6%e&bI5ud=FJ7lx}aI>KVsBzH1%4kcGve>~xvxc)O#x=hatr@hy81 z+u%*$NT6Osn?>k&dqJAf_g);#82bAy$?l*D;p$b57!F^7-83S3M`^Rp1z;`D5KzFs zbTn+Iv+SWw%cyMnT^|H|&9S(j5ZqW%w76w$gku!Hfact>cTIVy6yV9v<_#Yxmw@9Q zxeU`b>EOjvx&!*VkxbgzE4v@*bI^Kw-Txi`bK`QJTI@XDR{7{2%e18qU(?gS77SJ0>=NNlBFWmC8IHx&ccBtFjZ_+|qmVB z%Rg{qYusgX3*aec>;(S_l%KNc6J5BHC?pSVy%O;Q*epD$T`k0LOK`U=hu%nbUV2QV z-PzG445Vs5{+ia3ZeKj(<;Ytj3;Y57IT6%&Hm&+%z1mUE;AVdYegRd?^SCqCTQNK@^{7Rg8b@ zLoYm7Y>3yW4bYf%1VPq1ccpCRfxpPd9IY37e+M+NA+5e7KI!hRPnpuKOWeFYynYn$ z(j0gnWQ~2KM(6C%Fte<>KanQgw)&@hZ;QQ#2R^enMCjhgC9oTLf9V%-p!#duI~{74 z03jW~tA&1InmcbxEHb*8({3J6Ps+yoQSe>KpXF?Qs~xVeE}dw#>(8=&7WA)yY%BYbW~R70aX{mBjc`F6iTPcUbX}rylTk(DTO0^NNY3>8gCz*eoR?ld=ROq&Rv6 zDWz(V#OcsQR8=t&QOL|OE{q~+%cKn_oeI~@K{frdAEOpm-ZN_!JHF31t-T)~2RGm- z!lxp9KitW)xs{faP$*va_t+slIszD{Hu($;g!n|S0)vvhf**Edo8$kwx4Gngem}bD zXGJZ|Sm^4s#_K=uEUoH%B*m!a+gc4*$!4I%1JLX$iE#gEk z3?lt}mK6x0TjU*TUOc`y9PrDOOi(=t1Y+TVU|Z8`B;My$F-AxXz2$O3vCMQkQV7D+ z)qssX*Xyh}c}VMs3M_`yeKE(lmzUGn8OR}K^Q$9ty-Pj90eCe8>=}nM9#1-l^ZAXQ znLl~%i=OYJI$lK|I+qP}nSh1a~I9ai6;}_d@vSQoTSJ|;0$4h6 z8f!&OtCNUMh|A4PbFIghLL-TOy;V9xq%G`w*zwXhHXBsl8m7%-Xii65@8xqHO#KOkR%&hil@fDI5{}rWv}m-`P#nqusxy^TN6z zbf6nJ6m5m7gIfUh~^0W=oj*kx^xC9nuVH;YY;l?k7*2ul~|%b-u5k=*Ok(hp!e!hPA%y z>67!qmC)%^(6Jr1D|-~+jmY%}xa$+D>yK})KM?+Qhw^L@a<=(bnJs@_vukeiNBmlf zl&x3x8e8g`*v|)b@oGG4UNNx*^1?Q}C}0y1NpgZXRTCIZ5hc(<^^ULyv||`IYEn<^ zE%<8YQ1eHw1evu&kl^+Y*MLfdZe@)4Dd|EsVpZCGk2A%IBNU{aj-l5j_aK-3(VY3dbsVakfEO~2sr zah{5BkKqYXKqkV`>E!ZrA|c7M4Knt|&^vo~(2-iJjjnIVr(s3fuXhx!3cP*S%miA; zF}$j~4U82E9OcZxOlP0>g_EMYgS*OJ7S`VjE{Ph<$%NUC4d7V`tzrb6nOz6iuj8`E zly428>0?}VTt3n~5SUxWRzm`C%OeZ6W;`c^X?$#}6{23s!x31@p{X}6c_xzK4kW42E8Vq{tj(965_|I#G*c9KZ9{^G6K5WB1X!aVw*rp9Gi|vLwhJJ zndO$?2#u`6Jk?nUnUb8!19PP7tUU7D<7mkju(jy;5DcC@3n?S>>{f@Y+G(&Kfv%C0 zVD&t(u$tpgNp6VWUQD<@$kykVwBHi2S4sF7G624_1wI=|mos)@!o*X;^6X|ml=BI7 zteg>ee6Wg@a?LJjsFZ{|#AC)#KJsv;1t?D+qtHVEE(y(e?(ly5z2kqBav+sbu{<4@ zm{8g3k2PxW&9b({D|Sub4U+I)swCy|40iAl|r)*m+N<(cIJ z+;D-CN+fh|cY{$aC@y2}gG_AAu#}g11{Ulvk+=)Jd=Ix7Ymyx+Omy>-e+e3Qx4=1$^%@L2pP0-k6THX!yKe zi(SO6H+H}-n1SD?^5@t^IUusN_l#X(5K0&?W;yC(ZvfXvxoi9*CF1hdhv)M5uBW~z z_2C->{SgdDN(<}7QO`W1oKaA_v`fg+H{m0FiB6fDq{x{4 zdlMCorAI$+=&dwxmk*&>;_uw;!RiOsHK?6dnu(?j+c1NT98p)*kUT`EksU{xzXEJL zHwKBP_i9r^<}0Dt!_~ZxeifosN61u=Kio&^2F9KvkJG2`67xQ>%_5qM8tXK|I7Hyq znj7IYIc3GA8rqs{F=ZZPQ=%aiLQky|IK!(t^uD7TKF4V}$~HD8l}5H4U`wyIJLt4x zPTkDE$l(vK_G1z>(&u3hD?gg}=rs-?T1K`JLiY+(fGzdJo2X*Q>UqmdIq|uY}KmME9aa}X8PH-M}4+u z0_S8x5tY7d%CN(jszg%ERk@?(FwrP)A_oqC`Ktc)u)gLtl16`J}blt;`AtSwc$E@+vL( z$uL)$tQsw24PLF$reTVAps9%_TdDj!p%umVNLpzWlRQRRZON`HW=n%Mt8r5Gzi6mC1Nvbv**E~8_fgb*k`zygbwWev5@l4j+lD*%m zJx8nSo}^e`~x+(D1Q2>UsAmU<4Kmj0)RJaK7d_7vEo<6&N1?(J?<)H zve5$Ud?B9Nt*C~F6as33enOakznmZa^c^L{I3MpCRYMhKYJ+tI__Z%i~D*b_!4B_jGF*rAR#- zg<;`^Z9d|7Gn^$x?uFk9{a0>z40TLSC%*?+0A_Zihb+0KxxK|ll7xw?Tl<+>b(cR6KxQqecs5VZ(b8OXM`EF*&mrM zo6s+yQ7M1wPYYrjvjwtA+ljo5$6Xi@tib8jnruxa$^}09dhgxdakTzEH5*4>6NV`^j=5t@( zl|E!;uT{<+vl|sa{oFb!y(Ie3iSGdInV_4Xp}zW?K}UEtj^!GEgY7*yno)pUwuByw$J! zym+s@HEyiR)oBXD@7>@L{seuz*?13g0{!ar#(Z+2mn8+<5WSyfh2M2Ud|7)}y;7Ob`^i}k143^vPI;a^`3_E8N6=s_Lf1l` z$AOGZ=#5_2PpF!3>I|5+^3e#*J~-_c6@RrTf*op=yC~>NQ0~T{rx)QBQOGbr-26gl z)2Zml!7eP>sfc(F&kS+j2WmSgL;Y@_>*=k%G~#u_eJf_gVDoih1D-+K{CgmlhI6Is zLBIAO>ZsJ?y6t9TGlGL3`Y7n8Vhqu$e{;3S?6#Yd>>Xv_XnvxzeIbb?{;p6^P6Zz{ zjYW=HsG}*Ajga7)ihg$e)o8O!lmyZPhEtERyF6=`zI6E}i`|K5Anz57s}R3Lhy;W6 zmZ1~w(eeG)EB1MiL?w6|`R*vOsIcC;Y+kr!#XkNk-0k2&xG27oTrO|bS3U4$P})dX z!oF~AY%lk*;AP`CFIV|5c@+daMO*h}pM~I#feM`>k}*;2yoEg*J@WQP+m1VWkHo7hT{G0%m?ILEy9Ray7jeqwsTdcxW&O&NX_DFxrcUnsN`Ov;s6;bbR6 zXY{M&H5y;xiGMLZlSJ$;#FnbjZsR?F9g*-iSpyH4D!*tBEP+eKLGOHN4XA=UF+bYj zADx1I@_BpZBnY zh{L``Az6~|d38{0YSJ*Kn97WI<`am&rP(aW${)NH^oE(*vxzB!3Ad%McjMCpOikDN zyc-Y9GC7suI1&2^rw_Ly1wBgg;ZvJBho!Ih^*%D_=g0JQ-QCI^^nRic;dN-Pf|(gl zO?}1+Z5}j9p$PbvyUuV%xq3p-;y?Q`oGeM;d~$L?6@Iz^@m?$i^Uyt)8@E#;eggvu z5FkYKcKs%c`j6}Xc>1(A5ZNJeiL`0Va7my{AfJUMJ^@*mj+~X=X@o428M2Sj#0JR0 zC>pa|OZTIsE}EMgrc?_M*q&hDQ>9eTtGE%+q!}@1kE&^K6_C0u&5=R>%BRsSCbf(% zqMm`F)eY*JnXALMq`n}9TuKlsO9HM6f8Q@61TV#&D8g<$5Q0%k*7Tg&4O5dGJsvG~ zdgq+EEJ6M*s^6q}C-_Q;k)H`Vt`l96yIPzDiS9c;HDfhlJ{6z~ZW1>(V>V%ZpOpWw zME#xd45qeN(3;)^{1m@(!hR~JV?I75A$^DP)+;FBwC6XrxE-Me+BZ+D_kb}IaW{`P zl4E+Rsxmh)pT(|ip{>mQ6VbtWXLBxRUbKcF-|2yOZ27jWw*#E2^nU$|YYwZxY|bud z`PvDj)U#5mhmFRV1Oo%Xv!P+cGTjZRMs{C?oR z_K8w{FIPx{R7g^VkD{uufsLuuL^SPgFu{*(^F{5NE5+2eoPUp>UF^4V{*#jm0e2gN zn{6n@O%M=f>om}gyJ5IfogFlBvui7?bEz*YA-bFw6T^MUAUmWJjdcK6qT#&i1eAL$ z7BwF>OP>ZvPaNXy@SY~)Z4x1cY7V#TBFo&}*cv12U}b1pNA2U*`FbZL% zDBH$Yr^3F4Q@OouJm#G|hL1khvb57KRu`=tNV-Win{%g42~h@?$rLz{GlO#(w?0uu zF%p6%|Be%jl^Sq~1cN_@A3?n@6p#90guyqMdvvR-V9Ly(k?4H3r^TE!%=FiK|)mX68&=xMoT` zbtPiWDN?tJLm{S1MyJHTn*cqgC;cYiU|rIQCsb%3gXY<<}vb@S&LRILR z*!@0(>+$Z#VHBf{gzr%rC#A3eDk@(owI+<_-DmMjfJBy4sj;`6xOy`AxiBFNUkSC* zH*^D_hFpsGf13j5FBivuO@YJ2#_|6ZY3RgF+VvB{4qx$v#9rhPPXesMD3vmrl;GcH z>Un{HDTK9vkeo)=rv(-zg+ab(-eURP=lNR1Ah)kJ$KXL>*C=BfbUQ?j9T!3`q!qM6 zGk)oDLJf07w7bG{G?~>_mizlDIKcacOiHfew?ub)gy>};vFXdUfN#=HeQXDl3jn*q zK|{VCi?*sW5?zz7H%0DpRdUb0n|fEn=gEBXv6EEwtwKfUr6Osn&XnZ==E|_JALu`m zR6hv2L(CU<0-T}(VQ)C^IGqyPBD_bviF|oT$%VZqWn9bm7#Y)61T{%xWzM(Ns2_!> z|ICG)ZnDi}ykz?a>l%CCPP1GE%H3E0QrK{<`3wKskTU(pkp3SE8%9nRdO1QydKC`` zQ+jzLD`gkke}($bzM)t_m_Pxfy>^wYEMT(xOCUZPh3G0lEikDZ?Pl0YcR~ zRq<=$%Z}P3m@!6k3+aU0&ODq<7#gAO_*}QQ_MNI(*0h_S@*#>HtKMLkKq;bfZ2vYu ze`#X=(dGp0?Cf2f|43^7;g0#2VTbJ>dYk`83_DD$jDNL@zg#(gIe9q#Da84^yyx%6 z9~Bj*znnV%EHMAg9rRD94$B{>4&&b>J^#g=!%nYaXyi<<{P!lMU}$d2#|LL>XYyCX zng15m|N6eOF*9-gs})K_oU3cvA9=JBKI(W(28oV zX^30UExlbS>;GlW8DxU|hVBt=Dz|*^qOR`a`gptO_QT7kZLxsY2ImL>c8yNZvZp?2PfD-WkY0gRfW6mM_W6r6% zG8?~xsandZ?TY2wvFudJSsIYTG7}Et%<{r;Qps{$1(z_W!^yca%Yp(QUd^-&piS;G z=0#aUvDRA(L9IjY_hg%Zje2y0KM&^pTxvL5mB0THSUk{Zm99I#AfS9F!c72i(ed+D zqdhu=z+AVEW7MJmBvb-NvwJ&97K>Vj4iu}go{P~^CL<*9p?$Qm+do<{9$SQi8@YZW zg*Si1B9qCnr+_&GtS)8}u`_a_2Nm)pbqw+E<{Y!Z*vY*B2Cth@}W?-ZzmQz|1{?mGG_hFoO6elqKSP23JlZS))O@Xi1!Vtl1_SV z#2cbnC=$oZY1op=3+%xCVP!IHP5Yi+(X^h4eKq;bV3wH!HV3Y%$uH zCr-i3datHt;*gX7^CyE5KOq}03*$UqRN9*$p`+&%R7xYwLBMmJ64LIz!29e)QUaaa zf?pFFhB3f|pYscAOiIWzgB%3Q2Q2Q>gRlz75|Z#@*}pMtpIM-OjpShq2-!nWwuU79 zDbje#4m*bYVn<_+F@|h$MS3hgNyUt(+;G7E8tz>#8>|I}l~(x%2`oR~CYA*PSa?Vv zhpL~vIp}B-lY970z%Wwo4EZB}2dPPb89bxj6lsp#gmb(vE;s2_Fb~K`QXCC3qn4F% z&^5S=6N;v?s+|HzSB5Nz9woqS0kUZ~H<248y`JNjkf##hYXln9!3nCy)Buv4xVNA) ze`1X+ET9+)yTiN+2v4(t!D=BBwI|}(#TC{DB!BxSX^e?k2Po9OVUkZgP=TA@@3~=#P2hJA zm3G1la*4}TXrEn}F$5;L0v3qLs8nI4h;NfI?eGeqn{lEhn`zYg=F!t5oXl-^nJzaq zc1(RMM-+kd{ThBP&OIAetrJ`|0RlMe8wC-NTi@qBVJ$5L@$$4JW85Sr=phG00vm< zBaO`1-$i*aq>OlP&`13BX!%JQpjf))P$+p*RdRA84Z1sU0or7}!w#{S+I&?|YQ$QH ztrNYwt5OU^!VYIcWnrM<%Eq?Igt`~mRycz{aj^X!`3$UVZL_)J<6TIF8R_pWD6cWI zSX?O4SgQ)29l1(>c1$?5Q)4?_XKp5GG8i*)a?e_5+QsNs2E2T+Iv^aUV$X!ApT;(jELl8`Ud{>f}EX2c*P|TWOb%V(OWgJ30%y+ zwPb-eZjB8BiuPa-())uHhUAlhC_f(^p!R3ok=EiH2ee!qClRpu)Zd5Yn09tN1yuZl|4aYbOsRK>gcc5I_ew0{8 z1Ar6OCRr5sa>tqNJTo1%8u@Y@CgL1qWLZ2gwfjcpkz?q*poX(j9d;$Wd3W~9poU|i zhBM(9B{(dt`UC~S$)vL_B+K!HPipz_w~Wc)%LMJgtO+~AWa>iZU>if7prxK?q7bs#ySf$9oFRmfMdxM5R`UZHP}3eQMy0SE$^mb%FpiBxqTJ4=7Dgp z@?Py4Y875V;qC#+a4gcR1b6D<28G#|nr{ZRq+OD`SPbhKNG~lgr)Y-*>@!q20UCIY z8|w`0CYzuQYrU1|*0MS8J@1CIb@Dm{&McjFd@L<%(YUKl<5xVekB9GX%ZbcKk=!Twg)_;LNNZxJMdJhb$(Rl&FS`m(SB;}Kq zyH0$&7@&b>?qb>qxI~j4I2#{ggVAsTv)AFSl!euR-Rb*kD^ z6XmQ8ksP1ggZ>1&Z2{diL%1n8uf5tl>?xCq^#b+cpzPy3oI9cssG($y(_tr7o8IN> zDA#p$MOJ?T2e^P=;gq(Jsd7O6`Dk#a+iI`$b8wCj4^~{Bt*i20qi}NGM(!S%=`e&l zH28&2y!!Og6!nm_EfI)g!4folQasKIxzggSIockGv&m zv#(EncrvdH^F@4P5~c}{z}zsPZZWTzu^CMJbuMVRUYOmnceJNa;({XO8v90Xf(Xr% zn6dS_m+7uK@u8ieql>2`A6QsXGVIS zaha_SmO|)nSF{)W=mbC@BpP;eaH(4+y#e|9EHAHkwH-1J>p2xz%W^Mn2b2R&J+eLR z^pkW~>Gys6#)iphI6P^7%uEUpAV}hw(Q$M8MS%m8JzOg6mP3+Fxp?=jCZVXS8^h^G zJp^=RV^TgsFGOXdOK6WL0yG`z&mbReW8kX8(24FGVPCm4!#G|374gE2+AR$SoyLC~TG8YCFMhp-*V z#R0D&zLmU1O8pS1R5Tw9`F-zc)HJx>>@O`3wgzFVzhlXoBbKA~`12tXcfHaM1wp_l zozBkpa&*)|`BKdz3`5CiV408{$_!0QpYNh9O|Ip`_j!1nKV?ft-ld;6&qkhQzF2Vq zg>Y07ZB@?M92Gbc9&CFcFengahG;*F+Mb1Vb7Ko%?_PPS z9FMM%giFbQA3>8tHJc5pcZg6HfP9iIg~@#9hB;M>8MIZD&z`j(H)Z zxyjqe)}&*R_?FFO*zcTkPj?k+e^l-f4oP7(OzibZ<2*Bw{~1xp;&VOwa|hO~#g1M3 zGhrP7z$h}@)6h3|@!m{VTJU6FJ|}N)lIxg+h<%PD*-i7+Ok3OQm(cy$V9i~X5qUZm zhj+J}Sn*po)%9~I_9JG}Tb;LYuak5kFr9bfG7)E1YhjhT8M#4?i!YuVdkNP!YAU=l&q^Jly*4f@;%l%PpL+N^$km9zlZ-jOyDc(vdh7 zP+^i)*gNQ~1C}9Z$BvD0jvM!|Mcx>g&@WScURqxa2#v2AFV^f1JB&jcNp-bribT)1 zIZxwTD#PR5v%8NlrPB}r#|!0VJtiW7=0nGZc?`dYzhP_XtaYlZrJKT5XyfV^uU&*Q zw)`4)TEk{#Ys6lbK(VcW&bME8>EDv>7JksOFW0i^Ko-S3CbjGeq^|bkRv1LF(D3dH zR$27a5=yfn97WWDWB?`0D{^=c*jX}^xlz=Do(4|U+4iVD_Yb!Km)x4PkEguQd10$L z*qiIxIdF3q@d3W}cYrMYvXr;ay)pQD&-T@sH`mM20qqIJ>IC;uiH84HY`3<^7JH9j zR?nhLsWG^?q%}~eVhse2Kq(qzz3m&oEw+7V^{P0ce0JWqH-JkjXk(_f~)FHX@mk_{pS9gvd~m1$0XLNP=vImpuaT7HFs{yyPiN zj~L4N{duBvdp;BhWmr!+hwTxb&}v}fOX$Zskizil{6eg$JhhrA22eZ*s47yTTebvb zN7(-N6GP0UP^vi=NUHkj31wC8z%(OqBWyK?*|`{D)Y9TIklVW@=ePXxu}#@%SOZb? zp9W)Uc9Ur1?@+4ZsrD7uOf{{PCk@~Pb@hjnH`?*jbAH zL8nZF^93Yh2-Pb}U&v_M>G6@Yx`Qbnk%KTD)0R4`9Ozss&3ZM*8Z|wXR3dku=lB;h zr1Q$^q7->5OG>H>{VnW{P|;&LcCvA3X0)Oj@T$=Wf_}hz3*f>H5xkDGHNS@NHLNJQ z7|{Ft_K^T(!Yug_VwG|FMV$(7*tjPlW~g1Ps&fPA3z9*L{poo;Yp@qJv#=Y+>4raG z($Sl)b>+I!;yH&9VB|aLKRQ%n@v-wD(+?LqcB+>`R-HCQV(_Q5UEP^*$FwWN?ox_8 zV94t0o7w+r)3KY;u`OEw^$mlsGMiJE6suV4!E(eqtC>Q(%Fs zEJ=p7S3BG)r~x`NRN$+B$E`A-JyhAOjVK)WvXe;BigWAk(TOUak8 zw^W~&L|nc01l_KVNt7!xhg~kpdEcNxvyx(tnIRDY$HS#LbgsrSWi6eapdlBkHs?qp zzmfwdl(s-Sq>t)gpB)ICwpw0p+c~?Mbqox)u5U?hS%tpP%)*25(JY>G>HW~;h{>9o z4~;bVZ7T7WMtbs-kWyb@3>Ysho!4)V3+-N~Hkwhs%sk$UUp6Rnauh(CPZZVtbI)-N zArp8MT|?PVA|2($H?2%6x0A;L6}?VXAarG){3j}pcYM!g0dZZo#@%~0@=mhfI06c7 zk2o!p#&N6zevHMR_naK!2r*E5rKAN96~sZvQ8nri8-8xgTTYCqZ|R&#*) z%1X0o*rfZ}%x}Acz7cB>9X`JM(r<3;_gtld!FXgZ?y-@g8D!S?1xurNLS$2J5m+|( zjEQ7O@r&-(YzYpkzk|i2UDH#=1X;|6kg1QjKtU)l2huoRk|uB>E>mIKBm!@z_qiAr zjjYO9`>2RC7eto>EEgMr3)m=j%qlIEeph0$k1Ggr=*ErelN#1GGb!Tb2eNU~OJjRE zsti`hxP%`k1ePT+8Julr5nLm1# z0QkmQZJD(=E*6q>6*w;Xp-bdj5iB*bO>P6Z?U2nnSUBkCfL3NWTB=5MiUE3AR2u!XM2EtK$O!}GLM9ZrKi zR;SX8Wq8GXFLA6Nts$)(DG5=$)N>gkmSV4qiiwrz&b(51CWFGAt7(uA#q9|Z;t&eR z$qA@kQ&ll<9XUZgb^Yq2-$wwPNmQp)D6kk(!-%eIC(7LH`HEs}Xpmeuo~JI~np$=C# zFXnL}=k7Xsxl!cV+FxwtNP53}!R#rU^3UQn>6l`ujRd|mhjC$BZ5cj{)sQxt=7hT< z&2DT>Jq#0XgOl`Pj@uIE1sDK-8;dL+H5V?vBAEd8lU&kbMhbo=dH--CWNbMcqk3URTYXi@# z+ksi=%M7Y1sG2oqxSiJC)6m2kHsT&1e9_6@SLNlE^q>et7YFdj}O zOMZ`?YFP0R1;3>sOylpLHV{>!8^Udl zZhevzY7s7i7t%*tx-bL6l8q?3Jj9*XULu00z<4tmq zLJh%eq_hVq{1z4xU)t~L3?&DDZ7^gi3En<}F)*Wq#QbH)qhb)PW_^i(&YVp_mgR@h2 zerN1;*!7MHwTsT6g)D@zzdiz=N=Z^^4)pI6@D5M=DP&PR;JXpetrD0@)oAA__hfzF zO1*`{qWET_mCC2wBM-ZRn47=0rXhm|JSh9KLf5g$GSre=_MlS#jJMbnl`0~e3aI2l z3-&I}I?1&HT)}3Y3IMs*myO`>1kCHC-UR&pfU%J7wG=K7&_%%4MQ==Y)0?{}94%ZWWn5B)2sS~}JjiHOFh^eu?i7Ea6LFd^1Bb;MmVPa8Z zPzYyZWMzh&6NDBB1&=m_GEK$l!3mLC7AE4P^@&2#SjGdG7e~4ku|MLEd zBl{ew-;+SDU_E|-U_#Jvo{{>4QtTRmQVs>?QECT}d6;6Ky?-o~owmhEp~54Az1600 zs?N{rZn&PVRbU{zWt>gA)nxN(cdlHquRpa@yS8a<`Kj2eo0~uBFBHk^j){?}0~EZ~ zh*GLalM^mG977Lvy+1v^&W5wJd^*>4F7OwuChhInuIw(^-ZI{}AKqV9$DNy=pNQSj z_k$G0P*ml93}QUgYQHOQ%z|y47(~GdTE1G}oO(+HXuiXg)emVEG`B=8zJ#c)%cpLM z=3F=GmC0)(m%v8lO=eDI!Elx@wVs2>8Ps9t*ke>dfv^98W`VTH{l@Kxn~4_LOQEQY z7$)tQVxf|W$w3@QvE3KDo;SQ7S-DhDRq1BS)>gS?9)(#5L2jF3K9#yd0|-&g8s{dB ziom3ELQC`VMy{{W4TKYXhr4n#PnRr-fX5+zBLO+$s;oTdH zX9O#anMG=dVHgH?TqaC${|?R6{sGM{Mgr63zAl6~IR8Miv9UkUtgn-QgS^PR>M3k< z*+YG(%#W8!REt*l?hHoy_jk@+*WAP38JdNUePfy^7#-8_?V*Qru&l4~T@C*ZwCgVLZmdJ6E84 z4aed6;f}=|*lGjQr0Gxrwisft{q~Pr(pKG4%e2T?LPRuEuR=y#1{1_r z87#IsJRW)oTf7?3Fru|8+54zaDysl9csA2<;=--O0~&%*c&Rc1Txi1LMis}}Z_+5v zI^xF}i9LP40iF;ugDPy#OehxOJ_`D{E>7YC%IHT*67o_{1R91wa&$XQh;9Z($UJM* zW0kf}ge)>M+q~A`$0O+>iUo&-Kyo4_6)d$-;X&+kj-}5DTo@BNePp76u0}H=y(CBL8 zVwu3HJZ1|*h{jryq8LB~fb|f=V#P@z^2<46_*)iF$dsVBfX*_crC~z=+@noTT8XUi z2#!F1SRR9%q{jG!+!3roD~?SDDEzYO6Df7BNJP1{(RLTyR+GGD{7o{%m$L*$jYMm# zb7*j5LzWsx)atso&<{LNR@W@W@&AKnTAU;&5}`(#$FmCCg8vhmMgI$$eKTg`2yq44TkMnIQTeK?>&pXAu8FqDQNRpQm`5X*Wdl;)Dt?%SV`7>H5~ zJ}fU>KA`2WtKhKP5xCcSbplGfuwr5C3D{2R+HMsGFX@}W4+}I74K)4()n0P9S5Tng z&4aPTf?6VHkyV#8#TqOrIW|4MO1BM!Gijh0ZBY5F_F-suN?zIy#J*Lzm&;ma(N_G# zzf7oQIKZ7l6TGe619oX^`5QG$`9sZ=|4=iOzo^-(g%8(GD$!1Ay2Y))TW}%)8Llq* z->KQiyJO#|GfE5rN(?8_PGP#kT%5z)@8BSRMClZs`2-d2&^bdNo~~gjT&18*=E{J3 ze7*-`%V#ORQL-E*#obCo&rqh6D)2N&p`y-o7n6c1dW$vy$CsVq0{wt*1$u>g;aIzT zNl)CFYUmhGlXl_2S3))b`yqe%kqR_^?vSPEWLGu0S%n8a*=-HpCxE&Rmx3I{3K#4i zFf;Zuy78Asu5D6yOl6304%~J}bTErTih$vUaxl^kjF4eZL1PkCLMoy!9Iv4w`9p>( zB><*CFO>w=y>J*E6^w5VcBoX}~#))rk# zx#m}Wu0uGIq`6O1t4^1l#Otl7a4Z4N7H5+S8=L*|pDK#R02+`U#i|w%SB0}L%B>=v z@E36uKw(Ob+s`wJ1z}^EqeZ1oD~a8sj8Fr8JyvXIHyT~xRCLZ>bKHkGn_sVvC2D@%-CI}xrhk`Si`!-fA+WZ52_N9Vb&jNTMF67+Xt6An)b_P3 zt){oPdlB^!2IJTieE6uYQ+UNg^7M%VXOUVZ*!zo_#awDCGdz=a@kf~V*qDp$Z?P;; z(@5Io>bil{aV2nR*0QkSr7yypHKkeu_91tkWXJg&eQ0J~08e>Ei)Kk9DcU|42DQsa zTOEa*yZ|3$QdweEcTX|)=c+8AD|mnQuKvzwl61}1MskWNBQWFk(3E)a=tJcM7K*vz zZ!1Q{tufk8mmDs(AdJKKLFzX3vO$Deml;cab)zu)i4}?JTkQL`CL>v|mL6cpA;F`r z^OO#d7iT^4KchLa5HfRayqJ5;$*=K3UKi96cne)x!D`zBNqUUrvTFQ0i7BAYnUG2^ z1U1Q=j4ke0yo{(GqFXwbTY(wXk*E!?)2VY!@WTKnLf6W+)Vs=G^I1(rzg&bZL-xJ% z9R#%$d6kFlGhhoAS`dWT;I2LdR$-+d@39TZK}{E%BOH!jJzIWVckB=X-l7eWpFLI( zxlz-y4NVvyx3GIu^t)!n?xA}H>hewq&Wg(;{q(0*^uZ14?h)G0Hu^8^+Hq*?wJ%^rZ>IXbd=Q)I3^K>AqYW-ttg}vy0i30xIJ4sbw1DD+rND3kuJ5lmM$(h^;z#1cjoL0~2)s#BFThd7C6(iGHP+D3lYb(EWN*?z&6JF)XHgF(*62G1{3ej$l=(&LUGPAN+rkik(j+;rSW-4B-4e)h zIA*j4yeBkNIQ|h#Nach|*YWX)RY!^yS7CsOnZ~&5Grp)q7NrQjTV&3;hQ{LpJb2AMb=@WIAD*T7=i?mAUu)uW?k5`@(;+_XppN95ka z!}z5bN$_avSUwO|TX30THYfNF&d3R6k?)R^JRFgyr@Mu^*=*lC_kv|rJ%37pQ|BSl z0`t|4y_B;1GtUZ12!95xFYwYiZ2my$*Z)n?RTue!=uC51Oq{&dM!U>vO8CfT1cA&akv7lXE$w4&X|9Xjc<9$~v?S+Rg$ zB$ajV2@^d>%4O&N(WrWAU#he>)QBCUR%9qXcurLE+cyyLR(X8{b}~_~tg>Vv2a`!6 zbt-t|b!zrjNTrS<_&G0a&ziEF;5E`JI-B1yE3II*i4(*%-Lh;RH-t-(LL8aHOzV_| zUFoQG2W|{7c^$(Myl@RT0h3GPomdExm!c|N>Xn%;CxB}oT(UdIUnp+ykIG_eWkUdq zfh>UR)usM*gTo-lrgzrPZoqlm?!{s83N0S*L z#*0l`m#3Gydi9)zw=d;x2-E4M(x=BV%&6gM^7nTwZ#$RVSpLN`-3~e6o>2m99QQ)r z&hq>26sFb?k*BcTlt!y4T%ww1J_p+ybjz%|!}ljI zpz_p!ikYLH`HsqF5Gid0Tq^$Bckf3bD(Nq5DsTjv53>TO@jfQ)K-(~s(A-@_!4=9g zXdIQs-_~V~_cCuI1yQpw-GeY;nsrA5&WTpQTaIr^wbE)d)~1~oy=P+MYtZ<{E?QxA zG+VJ}jnpdn_Jv&F<)E_~VMFo^YKbQ5s}**Mm*9T`ysAMzU`jW zfa*|WMrt_d_zIeCdmkmKVHY!CQdR^;Y2Tis{kkssb#g00?5-7RSpO3;O7vmdWqIn4 z#48EKfeWOz)rWy-mDA-n#0hR>>fT>pal5i3n)f2ZCKprxZGt&c54|L9}CQ8wK2xLG&X z<*3u`6&0oTgkJw?u&AsSTbe_=>ZJNZufBr4vx-o-{>E;Ut@;(oHtkY@UzQdf*lc-Y z_M+h(tyy1p>Ep}dqNBXd^rh%B&_Q+DYQyTumtOKrX#rb%iQ?Rq(@e3d8c++Lb%xhz z()ppgdD^u&gmEREz-_+P*uejtlx@?eLM`QqG(~&O9_U9v55(e4&!bmM`9iOAoWEYh zxE8-|!a9>SwcI*d3GJX|b2#r~IgCC`Oofhis8cK4i7@I}w9$N+jHu(}%`Y{|0y!?7 zj`F7Vj(vpjOs;r2QDhj~Fp6$VBXCb|6jB0uOwcf59U=qdtvIJ0nLgTgRJrzs_VvEs3 zhd*b0{pEJ&^mATD9NBd`OQXZ9zpILCM%5fJuh$a0Nvrly&@w*Wtf12kwRly-7STj% zUME?4p%b#?*-yvxZG0Bt z@xISpARqeoH^`Q@g&np0(=^FDf=?U22gFFRTae0W&_kXJNL1Tlqhlw>hUr0snW%&& z55~;~u8yORS~6rsyWXUhT5~~hCMVjei*k~OKni)BS`w>mMuwby6f`N%L0{Qe1#o#e z1fb6>8GM$O>>ha+T1S)H`@zbzVN&TF7H3)u$2ElsGY5K@t}pXQc_&}|`CZZZCk;|Y z+~A+})dm=7p*TRj5O*Q5Jb-U)+bxBQy-j**IT?lWtf)CYR4ZiEWea1(zje6~ptV+@ z!&8CLHtDE`YTKm8CYH~63Kb3qbr?5W0Af&(O;*kZ>{UulG300@SuxeTrrxeyWqU?U zLrp5P_!v#xJzg_WDXl4#@=miLIivJeEyh00-O;w$EO4H$@EoJ$Lxa65kukMRwRC;*(=V9FZY)JUh zfqxwK4hA5{=2t<)=bCKo3T@5V1oUqg;&L~^CBSSgjKqpHrLt7%mseCb22yn*aC{ze z7m)+g{Kz9?U%4iV*yzBPuU&x?l$iP{f*4a>B2g`~s$^?d#@*B}`14gQEe-g2-#t$j zc}8wsPSl)YI+60!E>pny-cHLHvqDa!EP0+zta+AM-pTqH-#ik`N;pI@ zpeH(+V_h|S#$QDN*??*p+8qy7*Wfj15{YYY1#i~XA!RfpKNe7N>JnJNQKeBXR=A&W zxK{1hKRzcieve}^S>=PoBk%Ga?P3kfS;gP_@R>~;DXQMr2_35v-FKS#ZOO;Fl=FMb zJ;bM(Z+|UKva*_9@k_iy*?ZsJuT|I^h7TLEX0bH?Mt=_+(tcE%SVuOpaC|9&uBpiI zAY*%DXJ^TMe@^zd%@$nWmG;(iMceyMVv)S%67yWQQN?ApCv$`M!>g zZ3w|b4%A31oi?L^-A*df^R^FQ*d$D4+LP zmNMD*t2wBxBWZk-1y|Xc_8KBUaVJaf?4h#~$^@r!dwi}+y!N$SJ1MmaAuPFwb6Fx_ zuqsmUi}gP)hhE@U*2g2UnJQnH+>rW!9K%a*fA_A5D)IN zrhVlmvsgDIy3L=?f2@3{*;(q({1Gh*W6_$vCXLHTZLNmJK1geyEt>yp3|^#f{#hh; z4l>$)#g(K(f{>?2axn(Ev$kk^f|tL_f4===g@Ydr!4q6&ayx69X#$MD@5iR) zTJNT%oMdTWEqXW`+nC^xzxldU3mFJ4l(6sk?*;~u4Y6JzbXxEFhpJBy9NqNh<}s!J zxW1m|ya*@k;pKnX*EC;I6#TfO^$o&178rGM$e#x6u?oHjBm<66Knp(Vd25YIvu$#{ zBWO4ZBCghcj!uLyWqqvM*x|4%PSQY0-9g2Ze)ikd9E`(5z%eDmgs=6S#4uc7hFD?l zM_99T;;zGjc(p~>%~^pZK^L@Z2b)W=nKm(o4G_iJQ6F#=PY@zuKd z1JJQca<2wIj?g)m1M1jD?)m1cvtREP-SVxkqaV4*4o(LF^PF(atdY7`ttUc(%gWn* z?mBSb?6|;?b4a}_u(InQ%?4Ae>oB3>mKu8k^~SpP(E$-U*R~($P+&@=51Bm0y6k6a6AoKSy5iD2K&*+o?c|{$eP74Tn)DU6WE2Y=qQFmD};go ztz$#$U|+0I?(p5<9-dJ2jt;QzY|yuL3S9}@%}=#Ogjkyl#ByZ@Lh{06cR#|ofoaeJ z0w)%{Ir&s5xAL6~T()mb^>P9?!Y6VjsJ95!Jl2UBwh0P# z!{m4iJeX+ygGQ#_W2&5=fi-Rn(fwRMey89X4WHqT3O6yw`d5>E>W1&i*ExQ@1&Wrn z&?$ws&y_+Q55JbR6jpn|I{*E0`0MN%EIH1Q1ulPoUx(O6T0@jRHJ5b=7c#yLnuGWw z8D-)kTM3UQk6%lqTX@FJMb7les1Vu_0VRro6C?`gBv7pA>T3Z8v!symP?U)T&N?`T4tI)z5uWovTYEpNq^5Sc;pMUmB98{v=Zn$eAXabPbkBH7 zgE!v-C&4$8F6FznSPi2DW$SsIC*Tbu*(i9wZyE6Jm~k#t4v^C+`V5dyC|Z5|iWhz2 zJ;Um%x?g7$rId(|P}CkhZP?FDEhH{venJ})9xXNwOFy^tSC~K3zw>Q@vtE$MA-Eiu zL?*nkK+J}UWJMHH=LEi=FouZejAjg!1j&?nzTZM%O6ZSyNvtu5J?eFI0h>WJe`N^_ zN`Z>bcBC)g?Lxisl01^FSY3ZpG z(RLycCZjV2_YKbu-%J^uaTZbAbXehD@`HaibD_&aNa{wAaM0jv^M*5c;}iSFN{LMn zeGz#WHSq&!tRAjZf!vxT%^Yo#*3|f*3%-IXNv_X_;^ z;TvXOA|MKW1FH7|xDKitWmeA$H|b8X-2n9V6=Z0Qf{etHBSj*QXrW9UaTka2I~pET z`tUrlY^^gYiu%f+!h2XBZKuh3o~Nv4Y)pR5^p%WLNAJyb7-^ zSBdLAS*zoPV4%IZjd3rte2QaAPyYhUt)fnjqL-H}hPRK-K)Egxukem?$cJvlL%#tZ zD26f_h{Avl$4YmbU4rwkVIGO!vl%m_=cM(^pj*++w^BOjP(Jr01q-|%au5n*llUG% zi{mGcf)DP*OF*fTOXD=ca&1>bdHNY$`UREue9Fw+g)}O-$3!M)J8^@}rrL4$xE6Kj~WP%66 z5y+*3eYC1=SoH9%9#?YeoLs+F`k~NO*qqI~S>2DCRXG4CIs}A`fzu2GX#4S_25jb% z&O(%^-Ql+F*!HCXyTIKHNG-;J7_@1H67=dyIR4vAKzWXXb7_f;-{jMHdSu(>W-rK1 zte5qMV`}VZ6R~~u8g}cY{F&MYU4ASs?H)&>ULkUIp7gQsez}Yrxsu-I*D@;6eHxhn zne~-Jv3hNoB^ASljH&wCiNkH7suDj+G9v6^@z!$a+~2e5vQ;_yOP}aVi39qbtC<*O z$=vPMqr)0Pere{Et3P1GpgmU4tJc2zwVRhVQl)q$z4KP>98s6_ERY{#Za}V{tEps4q?fLV`#F~HCGzA^ zV4mJ2NdKfkoL-R6A`LxH(n1z}{}tnvNZcocDCIS)tLWB@V4ZIvd&BR^A~k+SHuFq{ zvt>Q=jEPjViop82j`xB^$DVPFHm%2Thth8)ZI8$78Fal~2S(3u$DIns&dgJoYJzz& zYK7y48+xLcg<3iB5GWTl!0Gh{e=!q$WQ8otp6Jj$5g~MW)d7Y#BkRDi@Q)5bO!e$v zYZM8fks+g{|m^O*;}|;60vb{v;D8~vW>%+QRI(lgL*<~qOOf6P$oDn=PaIb zP)g%OkYME*G&9;dDfLp7w{4H^*>4+UCiAd_xycay0z>9 zXElwO>zBqaMs6hx7Qd!)y?J?g*L^k81>C4{Q*}>8ZneK^btI|Dbo?5@*73N#v41e;$W#kpAVT&`Pe}fnm z0DC#7&zMtxJFb)h&3F1p4%W5;#XpTSk-y@|Y(9Zx$yh7yHPe4~Yg#|Ie6;uQ#QUE0 zgSTHrMTdT>M%&u3!pk%lvD*zmV%X}948#{%)jucAN`S*9ay0n$Q)jbiWaxS`Tbj8U z&t`DIf}Z;%TLlDR6_Kz?Il5Dhv3z+9B`I>67$S(Tpms$%UxA;k9D|W_;=-539&P4n z43|sOYe8e4z$TJ1FdgQb`#qT`<*b4GtB1;>X*&9T*EK(dLgyN>sPF2=oME2sGGcdYNB4e(8bAq8ojx(bqnW2vc_QvPm z|LIv?0|IOz-XJt*h0PvFA&m%wyzkv-j0cgi7$!;vWq8XaMhD3=yxBCilaWWHj%&h) z#t+HExPls;_AY?t6|@MMRL-(1bl)P4uyzvvy!4BaSX-;$z+8iVSQKIQt>VffcAKEvyR88Z_{Jsd#lp7-zUYt#pfQ#XXvExkq5(7pO2%XT z#+~FJ8UqeMW6)rENf$~4&bb(^wR~UD)Hb8!F>k~#OvpGCrZ9wqERQmL(NZ|ISh$b4Um8Xzw_K1P+IBK% z?7>9|!L)G_*d+&4$Lw@%PXWlLpn($@E{b3t3e^z&4~>C`Ekr&>Sk?~P$D#@`S227k zL?>rn@HL2mptHes14$l0W03wsW0-t>iTC|VcX%WukxpYove>>j4$a3)-$m?LN%o9& zg>14vFH@H^%Q9+)K=4x|2^y}y8dnvO66ue@?AWo!zsM1V%gOeM=hwHew#Fxa7-LGx z9p&~-QZ#7vr1+a?MR`-)-l(e*u2<%+yC&Vi0e3fbqtb}6vwOjO!vMfbcA4GQhk9vcwt5XHOZ zV(HF^zYXRyfWe&oy2d-nE`B5gFqnykR9JDie`LaL-Lu>sYM2*fjc4eO7$L`Rm@z{N z{y<(BE#8!8Usb)fGxzvoFc$#~=9b=8=-_$XSLOTqA)M&ib$HgMlY^N*2D8q88O)ST zHbhy;xw?!Q34dUW**`D_Zas0f8Oq@Xo53RLB|}P&-NVP%Idz4Y2NCQySTj7&Z>}AY zUwx{CF(-;~JwAdc|HEJ|`C~9Y0wm_Y4CXYXZ$~3+rDd=RR^X%jG+gNBaa?G4^O$%p zI{o92;G=m;jv2@hT=26r3IKx{l6$JO=a0c$6dZ!MXDSUbsIXfk(KCbMpB0e40rd@C zv6;HM(yVp%Ll1?3Vw(6%BH0sdo>01vPseaME>gv&94I|P2Oo0jXy|;ygVg{t|89m1 zTRS1{?tx@z(Imlc8!9yARj3210)Y&dza-ZY*no7ZqlzMz71b^g@lY~3;yu}7T#u_3 zVf=M{QusmvXAphD)?gV&`fDp%vhH1E6iTCrB?$8$5`$6%KRfep5~CA9Vpy3jIFNmo zOEeOWr99;;1Q9P+!limyw~j^pCg*i?I}>kwq=rnKJz^^YkQlLlNQ_wbwa33m4Af+3 zc0>?wJ*`9c;HLC;!ZKwRo(6OTKaRj(ET}4i4G=Qt93-(&25>15e6nA>z#_-{;=jRH zO%y-Y;}B6o20NS@Hoi0xSzUdg1|=$98lN4{uIkP~1*wBt352iyI!C@GoBms1W~h9* zw5+1|wJni?z#Qy)q**k1dk$?y*qbr)Q;?#^#rncFrz`h27mj4?VFNUfB)b93g7DPu zYTtC{+N0~_8FKc|rmVI&wN1sC(=MD!LW<(sU2~DT_*x1a8;vSG(M$~feog+n>g(g8 zYlD2+mh0h<$0P6hjqese%^6$P0fUP(8NKOgj`d5xvf|c4at-a$l&C@FLLG5xB+=edW72>cOl;5ppLqO_m|ejM)y8AC%5_>Gj#QWN40T% zQuv#VkNrEz&+aHc16QXn5&~Gi=^M#;gZi0~BUO@C`{0hGP=wnzcYmQ@%3^rYe=%n{ z%xDu>vgFw`wzO?twKDxV_37Ty{tA-cEfDaK6x<0y=d~8Y3}+MWpPKkpj9;F_6l>e* z%ni9EaEy=<1brgOcb)PaSV0p} zQ8V?NcJW6g?%AV0H%o(>Y*=Q&)tTI8b7o!X;CRQQtOpv^v}67g*$)VFnL zWQ^A`2BrS6yyxmZF139^@R8c&3Ut-7AF7lz;G$bLh*Z%Jn>fAp|kc{~YvfZXSsh-F^B%_g}|isUcrYlV1&2 z!DvAQoCm(nh>Q=n3$bLjWZJ!5Kb`23Y4`kqlP>Uc8fhkf$+>n{5s<{dlWr5>75H8OHd24`r<0?p|qCx=0QcMNFf$2DI$q8zi~>7f9|^;=vnl zls(49U|;LtMa0!ebxEPNpoCi5qV^w++O9)ZD}OH5_qWrvDq9;2yo+*1bZS=aG^$<; zv^jl%#=LgL*qXB6jdz-Z?k(^BYVRHfsmB0PMh_Qb0L*o1)Q24&9)J(E)?Ff9dHkp_ z-0WeemNo=!UCSIzRNnK0x|)H<64Z~FDv5EwKw0M}+r+Z#VKj{WoF_1KUQQs_fYR8o zs+=390IBq~kJWO*0O+L(o0BvlU;3+C0eQo9aKJX}sL$`|%ZNmev4wDbS7P)=4o@Ml z@c_BLRP$+xR`Jc54d{)1sEU-7PMlwO0wA6BK~ zu%q%j&KiG8N>9;4g@CEZDpkk^O&sT3WjGfymVKl5p_;`y8}~iKQFbp#Ux+|F7uJfe z*9TzIRt%$F4W&t%Ioc}dQMzZ3S zVODr~<{D1>gLj&iyA6>~P5uJRpSN28Y0+COiW^eL91YoqqnOEg;@fBoik z{=8FEh0*86$qMbqqvO!RCpN}57-)u9OaA%mf+>f~GfB(h9THK&ca?%wDRDkMpZi70 z-+qt0?6KOZtX+-&CI5q{qxGspHHJNeRNGYt!S3w&rK2V;!Ba<<-Mq<;>$2A4} z7&1_uPbf)(q4G1S313x4VuDo=d>+8BgKy&2RwKv@tkArRnnr#xEWjIH69i3t{}Nf= z9}?RF?5^b{A~F+9uotf;0$cN4pCpF_*MrTdFy@Z#fUW8p`>vyqIjQtWRR3)wq1CBeh$ZoMlX0lKg~0SlFZl$V zGt=_^fP*FOgO>lWwpq{pO`*pXgpyVjvl>Lj=EpRwYR zYNClH0v2W|5G1QjvT4T1K1kAhmVyPSg!K*2#T->2)t+*YV(k@dWWQhD93P7k0xz)T zL9k|ykBuh_pn6Q!9vpF~BK!=mAoagphV4SURe!e1Jqd6SnN!DCe`gh*O4r4ivp=7| zir;XAMSs&GqpXmO9^UzFf)^Z!Mi78^@TPRCu8uB|DNKhYrq_*2_S#MajC{{d(Y9cV zMZ+Eg?H4|?BUwHlPsti*^h0=TW-9nVlhjly>1?-d2HqN>BLH6~xL-OC?`If9rCT2x znp3_xy46M+ZukKwY9mZaRbtWu>nc{u*>|WHa#|MG&_m24^hg6~=(}8j&@K1;IeI++J!- z?%fZb-Ng;KFJz-%ze%V&Sx!DiR%*uS}QrD%o(BpRaU)3k;_~PDD(q^P5ptKBDV12gcVwL17I&OVK~} z^xa7`r*)?q6l>WW1+E=Jydub%>G_BPhZmiAdGHPS7Zk?&%pxTVAL;|{U)i_xT z37u}5dT(Q$)&%+Ha`Bs=Q^9Z!Qz~qRpJQ=ksiBWogB`Iu2(+D@1@R|skNH5w`Lk7& zPIr2Q!3#{d`U`#dId-Ms055U;IP<{Oq~%H8Wq^9jjs=e)7+e-h4zfGKTBCqgYWR!c z{P0ai0^@Or`eq>_h{6rqSY_=Oj7Fafm)Sd&7Z_$A#tZxmb(#lUvs9-t1+6plNZr%H zh>d2eGPqo0DTCPi?w?kCv!)kRpQEc@#oLV|_Ar%G!LGjvu(|Ic3+tsenAIhgYomC7 zec88f6;Wf%Nl^vsu@uvA8ygE_ZFt0P=jS4Q^KM_RGiIRDl%@9KvJlpv7g!~0LGfS= zbYvh+{mP6Aou$ysN?lD;CpXjQDG)hdTwHu9x<%5wm%S?2)ImyZuzm1+Yt_*L?5N!F z`F0Wi8ypMhd&`#NzZ+`)B~ShLQ?~zY(8|I5Kjka`7;@@=4O*L-rx}D5&6G#-s5XM6wfN~@fE{4Rvy|sTyYyQ`~^>6d*U*@fU zClmiaFmDxQF<>HMV`uw&fc$@!<^E;*`Y#gPpK|1X>Tv*9?w`Ws|2&E1Wd9#0vHz0r z{5KZmKa*H4R;K^mh>OD=MgGf(dtn;vF#r(`)M&uRRU$IM<_au?%qlEqZ|aN*owK{s>!)N zxOf@s{r6_))MSquzpJX^>%`qzeM}s1nD#pfn|H-dZjm}>^5SC=?OBq`0m?%B1g3`eG~)T z!WI|5S$IcKXr_aya-f^EX^e+WiC>L3tbY5xPQOLhGrEnPC1N?x)+wwiDnEs}_QGU+ zY`0LhW~SiVdlGf1Ac2c6MS>CK|7Q+sk)bQW0hq(0EM*v_z_eUbnD`On76s!N=HzDZ zOr?>Qs#yavl0!wT;E?qSKL8m?l0LN2dFXU6-O5I-v4f6@G?i56Feqb5WID9p9?=tv zjcXb4tKJglzK2`64#_NaOK)#m%RZW=WgZ4ARN#VZV<(KZrlyjhl#{7Dl8$}aHQ%E2 z7mw|gLtEnaFFHE#gwIn0fvE6JVn8XhFFa~SAZTgMnf@qoa(|S#S*%WUolqTN2vw81g{N`fbw8)a8FGiq;JYBym_{2FO`c@~jNlsl$vV=nB z8+C`;kn6CHfz6wdF`?1xJkLlp@bLYYQ)P0BDV^mzGXH1IH8?%c~U8$Dq&}Ofh~?Q!iw3 z_fG+!;&Ohc0I-A~u-5+gMqQkEF7Ce^*-oKGlN6ljDu(q{5X!_dZ>z!*Lw9ix@bko4 zVd?3Mp4Gq#MOrLy_JKty`YlXX$lPxlGMZc(;_$-jzNFtoOHkPaVZ?EuPqGwm_d9DK zgoKbhB%1&qq#&S&N4K#-Q@Vj|>%!Ab+f`ZsDv~B4jI2TKCm~^fDw4oB+PX5tc~Vc; z;zl3{={FrHPKHd81efS6HtBfKVZiX)jT)f{OLW0FS;-cL=-@h4nt1X=107V zh6LuTwUh;vtWA34#A@d_M~oPhFp3gXf(8DQNUw}P#$zLmU5&cgWDUtKT{=?bwS!|) zp`@J?MlxQJt4c%mx}^XU*d|47GflG*iq733J+8vT6TLm}S;ZvuY&>_AjbiVl#I%wn ztA_g-5o}jJ`lcg9?8KxE%W`}&?j?H;_7%E>2^^4v;&B;y7d-6=wWH438F0DQX0WVp z7EcM8mr!06tP7-ZPt{aLMbGQnz&eQh`(XqvYm7*=C_Y6H(sIvqd?tY+ps&0Y9v z@X47KMt#F3G_qxYh~xp+b&0GAW`qgPE&6ys9eR;kR)~zAHFWYf20%peN26XMxUf!1 zRD2=(B4Q~VH4Ym!&MJ~3-c^e0B^oe>RUUA%pvd83 z?#*|++X&q+3pJUR;;JCeVWY@ld6UZF2Mtm{7^zIT0iBEYrl znJFF3+6zbiCg*o!Jv%J86om+$JK`&Gy0w=1f)@WK!)rI%)0e8H0GPwlBY^nmSszlA zwxoL%>s2A+i^GlzWcR)Bpv*~$gA8~Vz>)6A1~Vln)1gn0X+(B~1w~LLhfQUL~kI`@W72;KX@{oT~<=)X#MeUd1(|-wuI~DQ}5^F*2u5Bxm7+I&zNT<+Gj!ITUe4x8tlY(#9Vkn z07TihUNcinFIcOzoUXZiSJ(qi9LK5lQD>k{^ML_Y>){40k@&&=`3e@Zu%G7!7nU=G zNO=pAaXA(G9*yq(mHYtWqM;V46174SHf<#B&AhotoBlXU;6?fQ@;hq2rwh&Oz4y%@ zB`%37?MrV#cb*_XVk(u}S%bMMSLXb#=Ive`%*f3{eV<@0)L%_N5juqXmbY`%vPLscJ;6E?u(YqpR!pL z-gvW9hl&eLcFP=sYl~q%*$`w;W3ZAZ>>bwy@`MT6{1aBf%h9-Fh-VTg_vZW7YLW$` z=33KI1XK2LP$W$fJw#Q5YQZ~WzjqmwrsLfrHh!LIa#Bb|>|5=pPX_4VK8sqtay~7t z;kG)7_nvG17&QY{ICeV*W&_vlr_YgyqjlIpY%v0R9C0#~ZP;6RdEsZpQz7%vd_&@n zUJCrC-E(!m;qntbBmC53ka#s$+UFRJYx=0+wr5eTZ|U!Nd-KwV1+3cd(Z@PJXgJ7w{eB3qb1ahv8C0jxp5x$b%uF% zZ5nh&>nw-3WPA*_ty1n`r4m$Ufy;w!ZXLIfR|;OAVsY(!g@MZw z)8Xj%meX&)0-k`;^iZ~FYH@YOdLyt2ZG<(x_DSu@UxRh6rbv+ujQNxNLZ=4d)Q`WT zGRZMw01_{!g#>~hre27}1F?Q5^#3PnPH>F4lWS!QRSVexOY7U_-;{HS7lR5a<^^Yl7~on?T@lpl=;sN8vIhU*fYV z3G`Yx^H{e==ZO0=JL4jt6!DP}vqNCRU=?fNZL9IsHyHD+RATg$8nzh~TQO>fd&cw*D)JMRtL!%Z& z)rmql`<10;77QdQJR9M!JYFK5XaE&r; z{ul`p(V~3A2PTMp;hnwy%F%oBCGuIgOuJV0E6>#oo(0=ZDn=D;{U1l}wa%zdm+l;S zz2%4DdU36I^fHZ_%Eu84+M3Ql&vi~fKj5~}Otqhlm#8hxq=u8;3$pF&ZJ_g5l}u8^ z3Y;bL74R%4Y^I$Pg;=elAv-9!FD)qb`4$lCopAo=8pIZkf24mikM1 zyRr72|B!z|XZI&B-_b?Y{WWQVe#56#TL^6;Tsh5l6`xa3zJKlOndvWkf&AEPc&*h^ znfmY?mXv$RZ62$gEaMcp%BW*2b08%v7L62~B*F6#=!wrwkY1?07n_k3#I00RWGt3A zWwsA0H)X9Zev9G>wW0*6acsMqqOY!k+hMM}nXxhtK2OnPrtdQt0!w8Fc7216^z@Lnft&3JuP7s`)Hw1XE&1_gQ>%O=ez!O zD-tx-=TapZXNoy(!={0rccSKiwLv)our>hP9%06$U?Y1iG8RXrC$`N(ZDseJ0<;?q zD-;zI>mE#6T$s5?SH-EdvJvy*?1FHzv6mrz1$X5xoi(PKs{ZW2l&?tKb*DHo@lkj9 znocm6UaR^2^5XVRi}eOwuETfo%op%5(dIz$c<8&E9HuRy`m8OE5n=x4VIqi1$*VfA z(=a~0!C!Nc;xd)9IxhbkGRPcdt3Ukrn}mr8jIl};b-Guoz)iAz`gf~5CnNYSlac@^ z?aZ($5=mkM!%E*3DUBpD#I2#>^g0l*<+{a&@72RAfagM(O-oyqwL`l}bS3BU<*ii- z94Fk*N39Df$=J!bk-=7~gDTkAqT7qid&8+6T)~o4EjQ&w7y+>|urGYx5Tn4E!-`Ya zlM_T?qw4s-+C9}D_;Zo?7B0|sh^`0xc1|-RmG|=(KITRH(84?Jm8)XYsZj^|U}Mb` zxhZc;vXl-BQs+4G$rH&n$}GAx2MB>}`g0qf+m5$@z5Ld&cD?zDGcrQ=B*mTCCG84>{H)%JvAf7^vbzt18E5>^{|(!MQbiHCS087_3nxWy`7UwXEwM`XJ?aO1O87Cg}30}-~}SxM7Vch?TOYc zf+_a;pTB7$=0f{mWa1s=FBXM%*?sf!n^(7gs2QZN>G$$uLqnv+#c0@(Tj{m^S@%5> zst?mKiv)yGM^P{z`$kXbU}br37R=VC%A@&8Z`zj&O){cJBND~Om$FI*R7*BN|5xM3 z!}%&>fHd86KIs%;=_Z1kB3a&Nv&mUIf0IraJi&BZ1DKLFfeIOH4c!FFE3*JA-YBUZ zw`B3SYr9nP)z}DR&@=^KA@dGYObwVk9Un(R0!XV+JFWSxzj2ZAgq{~+>f4N~)nQ(% zaEuG5+LbrhQDiku;!@+cf>f6zagH=l21vTL=~&g|nBSm5i=2KehOr)L%yk6cgImsE z+ot)EWonp-$IL5eKW!f>ka7#r_hL^%6Z&F{z-HRLNpTQ?9Z`roNZS+RhPRW(16`>? zJig`UI?{OGbDnTLJ)NrU61?=~Ad<{{z9hIDhd8csOpb}(IVF6iNQKM^v%q&#$IS6Q z94FHyM$ADHkgn z3|FKV#ctoF!CQsQeg_8-ze2_XlId@=h^S<4`FVGEQ*h#Tvz)-TZ61mhB(fTTc`$QK zAw$@ex`g{J{cYHW?_K-?)hSjodIO5sAH)us;=NM49*iM2aV_0X@DzOf8@|^`MpszepHutIL#p@+GCl!%xEeWS7q3ts)J-W};gMvA5Ae zARR^hE*=b7c{Si1dB=dHghWkr?@V&_j5(Xr%E^$2ZUqk?t|kRebRVG{j=6I}uvO#0 zo*@ox0jbZgwmlMRIRPCR9t+J3avENMoAr66wGP=o(r3B=XVXj-m~}bKl+~6bBd;H` zd0$Yyj}wJbwEB%^BxYt6ra%F?J0L*v$0^0p)AePWY3o>Vlw*%c7+LbojN8-N@}<&Hf| zOR*n|ge{!eWG62!21M4jY;ic#zULYAODfi@gtZcD7XvpaL^0HD;YdgExdIO+9;}qp zciwu>zrXn(->~E)(tSz0?2;P9PCEX~y+%v@EN5rQ*wcx>m60pRNz`edF0okUHen8( zO+$*fcM$A9r7nz=?L)MK^{q1J!aV>rZ-e}$VnQaDCcLn;K{K;0p#z6ZxiogW^1 z+<=VhRtvk{H49maw-g#-!X8X=+C>cB%dr4myPr&}AzfDAO96;z>q^iULkUKU;(;`g zWF|P)(nYsNnQI6Z!g-Y>^CdE%j>J=f9H1|5^09b`Y+*f2`;)lQ8Mq^(z~A5DqBo7E&b=LF|Q+KS=%eMKoe za$%Kmy~7Yj7rK2-Bvl3gUP zjMT2J-lj8Vl-fbSQB?%%b5#jf;`2S1S4$E*^rY0iglA9M;qK_kYQ!_$AcDpJ{gt7$ z-P+?-BQ$aW!clw^e66ShmhY!rc?d+hxHoeCBNhy2-P`E#4Skkr<-5>x4VQ?t;n;<= zw~pXK-%pibCiqJMInp0xpd8s1zg(D%iweHFSQk&|f zn2s?9c`#Dt^BhcIFJuV0@0S}Py1z2$5rTdJx=7P&--nfxlA}+{4+Ks2ChiO{e~-cZrU-e})Gfw~r>3-CAU2c@$(F+PJCLTolbM{wXq2el7kH3Hg^OMB z%TJo{%1xCB%gbBvh9q8uHx`Ig#F);cDo)a{s1JS7sdW|;3*GQ5{P9Us6-T4p-Y02U zX9i>dUlO^={7YjKIyQ5w2e3B#%g?hYIya)4B5u8g(!@0uvT{C2IX22X8J9w1fsS~d zfbe~qo|yrDbA2@ySK6c$&_M}mQ`*3bl_Q2r@Z3Cur8P$fZvWOq0 zWU`9Mo~x1R1D#9SWVYGx62Z1J9PxD44VQEeI&R8@BD&V(*xgIviceD8_N_dzOD2N8 zg+J9@w(P7T{|Av6s-nM-8U`C|)}blAfzY?Ewcy2Kqxc340~RNv}3?`9}CE=-u@9v_*y|vuaLr_&RI~w zpTJ1{obKMXUex2_f(Q6NYa0cP>Gyw`Rk+VCCCr5MDT5;xL}5EJOiuD?M(sPFbP3ah z@)@EqrI-}$CaXO!$@xlFc%{YBPl_8{f%0fZHwd0PYcS+v5@j8=_42M@Lcwsq4KCiQ zba_AA8U9Xh6^UN14e*b4hzN>dhROgf?0kXVaQKe?mJ?Vul)>Qpf~acGa#;F-SP-}z zQ82UiB1htcW+ji4jV~b?J2e-uSu z{II0Puykgh?GUG_nEWuWlq|T0{_qf72jW=23B&~#+g-fu;KWV<1QcG6TQM8#TBmfe z%V9B2M%p*Tw7GDM#X|umy5wBZCXKYJ0=_}Ym_uXBXcI!W{>y-^_?BP` z%{Asb2x8-^{9J17(kYFmopxL*)*1_j+bk8$2|I)4N9i|$?`!S)-}Pf3F1lJ`*AhTxXZFjyH;#8)Y9mOw-Cy5sqIYZnLmgQb4zr3#KoWd}IBO)@ZWr7TmjfL1` z2PgJ}YpF~jgQ}fTRg|NhXaDG(;(CfuVH7v+bWV$>OZfnk)5=0z6R(=FuK(8N)CQW! zH)@W>e|q^aV8O|hh88F9W*C_(LthuDl?)MPcy9}mQaiRDh~}k_JYb2GruSJSD)A%( zVpU*inf#50w;|9hY1JlK@d)+ncrJxBZ2ef-(P`?~axR=lE#e8u8&QWeJgAlT;n8Va zZ=AJgc!it43C6^O_Lv!~^f5KO)sJs%@8CD$XOhzGmXzpUoq8NIOm>_@z0qGPBB}cw z(hnw9)@^^#rIu4-Q*fIX^1aVWiRLR}%9mfug)`z1W}^nk1rMPcw4gPH>E(iLoA$53 zZ%2|nr=$l5q`RcW;41D77s)@NOn;fDxs*GKvBCfeU!iI$D$p!?IRBac>)LPV2a)kt zZRxlS5&^vL5kc83c<9nTePh8m! zV}8%(eT*7ZgCiVtmo*<`Px_5(qDrO|8=Ims`h?2M!zsrcO?s=Ba~{iWt}}>@zhDe< z&F+$=Z?vZpj!foK+ZXW_JmSypMbz6B;$T9@K!|gO>;apm?;Tt%&a78HBQJtVAX|Ah z91a~1H~3#4>K;?pw0x*|aBRuUU^a`cXu8+mYwm0O2{mpST@=)XtVQD-SXt~9KKV=? zYY@%r_=ZxfO3D1+1bM*VY+S;c?RZBH*R5ye<;RuqKt~je%g&EAXNcLcN?Zx}7@S!N z-j7YS zJ*-wUDm1z9u_u~qwRQfW|Jc+mu^Boh{_;wV5;etCGce!RmW1nsr>?$28L{u+S{En* zFS6z_-hcgug>WO*+G@zVGhR*{*BV$}94?ol_E7zHVH3iQlK1AYKjtC{kMp2U5^-#x z-3nN+7euF>nh{Gcbgyc{AjPHI~fFU?O|z!M0zM?y7IkIH=?!c-sULgZ%jiVSV3lsf6I{ksVVzVT(y5;|s*7F~wQiV~)%*DaY*~H9+j}Kri+B!I^IvSan{du9v^ry4T^iO|U_3vG! zf6+Ap0*vCGu9B**fOIzCN=d+#%q-l0n~#$JT=>^3z=aZkH}mJG{efBjZ`Ys#e(nDP zv;5~$)ITuG-)m3-t!MVXZtp(|(SOR%e;1+c7dSYn+1wT{b;xDviFxr;>pAD&tfDNf0xgC#t zs{jSz)wx++F^?|Kt~I^#>74cfpd|RJIbc{=WHX*M|C`t|#4iSc-cA3HHjU`sD#qHS zC+qr@R?T!k?3tmJGn@C}{&e-13;WA5*?*EPodB}MK=HHYib>dmXT?}l2`9j%hlfxF zDebq2brrxdT)Z@O0yu_esK)@uP@r1#Y#wGW1(|u}$LU6ULQxiOy50^no#wA!m!|e2 zyY3toa&;w6zEu>dPe}ChN5taO_Satu#7!Vo?VuB8#fHtOkK@YmG3IkNdFIS z?-ZR&peEYJwr#A~wpMK0Hdbug&Wdf@wr$&XaW`2$Zmz$!nK@v0^eHF)9td^$FU*Qf>o;XlPN#GxNG=vHK5Rg+f_3EO9$GX4?l z9T7*>YUYZRunZmlH4%6v7J_~<6d>zuL+mD60#)_WfOnFiIgK_Ro|~<#@pHi4dS%MW z!v@~5I;4(sYEzKT={=RFHP`@9i`)mOUki;0gi_;nMx=^{>&{5|ceDjwoi3Ud`DrE3 z(i6XqvG)wPDx7iG_p#|1r*JpK^ZXE!B!XTIUecZjJoQ5Km@lA0N!@l{j37S-WR4H6 zppt(LQ0(2~XTR_XkwD}U_jwy2-c2B?nmF<~_@|JH^S=tIjX#Cd-Nau^S&Q`X^qv77 z{Rt<|xrN3k+2Q<3BTrI>+qqP7IV>tg6Z4vz!+CuyfwB_xefz*FTTkwVd3)*td&4C01jMZgY34vRo z4#L7r9%RSJshuw%1rWUzy1y_n;X>5QJyEAC%P(tU)-EAfvcxi>OD%(v6)ES<|Cvnq zpqrY2jXZeL=Wd?dBer(zM3qqof=PuKe?Sn$@JOsC4L;}*_oI3?|4}_xjYUd3_lLFH z36IYnhnXmT<_=b&fqyYhPW^tLLY* zgosTbqhgt~H=A+oHNpY2 z-0Gu*ROkiVw}6n-LiKS+Sm6cBTbP#r>7&wuP1wVjC0Q<`xxmzeQF%<$2h@M&cJ2Lhmpu{w`7+Ul&-UPm99>)8cmihwC|=i(?A>bZ!N7FIC1<(K5fl-f*98OH``TdUC$w|IW5-{TtgdXZFLk{G06gXTR`~AH9SZ>SV8A zwPrg8jwD6fi6DdvP$+g3iK=5B3aM`({i3mjXV*M)V+Mh3(>PLrbad&e9W<6@L!pY4 zr}_Rr*p|(W=B-v8#WM`WN)$@Q+J;aQ7|8=h+c$|r2Tjpm8u1LgL-s#)4OCWezA1zg z!?~-X^o!DG*82A5AXc(1s%KWNjde=@do3`HLr8L@2;1=}qZ3L+t?p_(PQv>+BVb$v?4&8xKtuYkJT zP4`TKqy2;w&Bd@gfP4QANggB3?ww*c0SQUo7?=keIrisDkdUcCwPKsM7<7G2NU?)gT?+Iy5|}#?Z4mL3}@syt=g4o$FzV!&2K&=0$kcLNEEpvc!V-@$2B0odpkJgxsT^6Y!~x5LuyERf?Gny` zk?4&V`-;`qFERJ~FTb9JN@w23@dGRM{?O<}9P`$KwefSvJCbQNl(rxgg_OlRXGZ1v zLtErPp-3st@$%90({aoK+3d-im*EK)8R^WZdbaUwviTB@PFkWKc^XyJvz+8_l`cs) zg!^XollXLRjcF}#`DZ3W&g!DGVN4S5t~9`>(?u!ADUAY4sCi|X8)=!%^N_=Xkd$MI z2M_1&&ekq1>%|1}2-Ee;!?VvfiB6rr)=le^mG1TIKN!RzStY-hy_6+x2;YEM& z58E^PkL}rcq&q!VHC522hxQ!m$M)RTtQUUfXr{uIy68}K8jl}nYvOntc5WC}G~Wp? zUCGK7X3#oFwRV2^8gZOD*JJHn->rPQQVvyia8kx!sQ+#N_T8Fg+;XL44T!&S_UR5b zzlVzgpj$dQ;dE%Hv6iuvyxGHX>#k{9ISBG>=j^F~FQVjOiJY-OwYbICtHJ2T5+S^g zWWeyzijR({dUm-Sj#Oo;QY8zd&!xByC~1G=t)H-6gmdLBddPj6rNUfUn^|eum79LT zbF$R6^?ADDPM;kC&OmPRe!hBicCN>{oKaP@yaf(RnxlIOG+unkobMOC7$ypv(St1H z)-qgue*XCRX48oGbSw1nXpCe@?9R`fkIk5|2|YQ3PsVKe&^qi97Kz3Q(q9d)#o870L8XS{d2_mmWOVvKV_rv(B&yAUQ+k|BEI`OIFreh5q*kakQY zNMlO$$zx>FR)CXdb9It-|1GE6*lAD9_Tu3a)U^myhdr60QvGAy)jr-$H%cg)AE$D+blS+VLsZS&zZ(mW&r6~wcyo}^g14zZ>-u8RK^&Vp z*%#$9lh*R~FCKCXQC_FufDLd=f$k8|TSvKaErc98V=(S^# z?j|=P+7hx#^^cwn{cW~5^DjpGItVPAPIFmNDsdvtsIY$++!j%RXZGk|Dk+h{~p<9@k`O2k6C;x7b-JOppO<0v0;!i`zLd>f4M zsANXriEDx^Ac1gS=Y$_o86iG}gO@2fTUU5dXTE!JBi?#8QURxJU@S=E76{{(BnAZ? zxbtQnF*yywQK*P;A}yT<*J2vNA*$--v#`~W?QG#g4qSoTF6TA^L-<}?a=(nx zvBFT>hW{8@UtZ38*jl74!7``BwS~>)nf@7r*bBz$RKi(Oym0-pKy6k4nn>!A0%$vi z2byLjHq)4c!)s0RMU@0LXn0f`f^frhCM^y{MA+|J&^~nMP#Y*5H_&#U%o-W7sgZ$U#K#R z=#|EdL>2;r%=}#7p%hVATw=@%$P6C`PeHzPH2gNtw;H5Lj1r+7zxFHyb52~wJsuK% z@$sNlBQ5=tvz$H*sEk5NAz5{zX*of{M?pqIC_x%UKupg0QRweJ)~8hQVu*a9R88UG zVxicPw}=e}8?HM&{I1S9;7@BG|Ah%n>XWP<4!`^f^4@!EPpls4qeU z%LxzzYyJvcA~fWeSgL`+rRJgWCju+3*5Y>u_>%3wtS?_@GZ~!F`MkJtrLA}>IEbAp z!bd$?b>w(Z+DFHI*va}!uz9MpNjPo#@j9f-HcSguwi9_#R({;|D`Q0#(MLX;$9}vN z6?JhdURC2orKHn%N~7J}@ZgDO8YV7HlgAi&lS##~iz{ARvy0dC1X~k>o{OTEY);)^ z`}#q}eYDf?*OuKXPObW*7F1_i8x>sCW)0~f8p%D@y!J;0bQ^yrHRC@g7pGiNNiM@a zK^idafrbSd{S)W|e<){+joE3H9OZoLTr$drt~L-6DZz~A(GGcE@jYAb46tl(f}Tf( zXXnn$o? z(@mac>JdIu#CZ$nb81t+GO@IfCE{m7Bp@1CXp1eNY7onpKntiz0A7oJPq>%50-}ifz6@YGV!MEICL(U!J+ zX8U2=x1ICugT`&JGc!5E{2ntha6+Mq1;BjI1p;P-2VDBEpbHH+mV7gjYCXlIzl8=o zn`GU@gc0lB{fCVuD)Pn)-=BU zFX~p_0`tEblzY}s5$cCfm5_>z^$rwrJe(GHP$^d}XWgWM{mCuSEoDZIzj{;s-u}40 zHh1Kii$m!7Aj%6k-x+ToX$&5IK}2V8%2q%>-*kFEll0Mb2Puav^Z@;>Yr0_Ri|v=; zh`33kfcRYSpOFNPM2w=_Z>+62H>47pxASOWp0F}^assRUlceS&Fd_c|Sj(8&3-l$~ z%PklpTqXzH7A*p8T=_0kC=7QiZxTIRZc*2(iR3$*sL2yP0mKbT|48|{_3kcSgTR-8i(}HfBo6u6N@NO!>h7Jh7`%=!Zn;1GohHLO7M{dm)cpH% zto;_@ebzC(WzDT>DXSdSv5}9$qlXPOEs{I_x&s>bs){&*(XCgsfE+&HkO!lLMqAS0 z+npa$z(jc`wwm29xwL7PStJSPdJdYKPL6Sv5W7kQ_VG}94Bti_s1NMpHU{9WE&s+F z@Ts^ms8SrCzriB^gLJD_viaqqOQQmlR~cXNrbR zQBPaU*&~}xN0Rjg*_g?XAI*C*jIi$9dHF7#h0dI=KEgIuPMSykl@Nem#VuAndv7S9 z;?CXI{xsXbb~xJ&-seyk&;oIYGN#t9NbAgoj@${2VelNgTW`> zU2biVP4e&e21*rRTW1~yoO;z<61R+84M$!#6-)80g_?Kn%3PTvxBYw`UPjuI^(}_i z>RJK=k@PqCpn(>Dcf7xH_T*Mt?tP?Tkck=7FVergrk(DZCfBf4TK0ll7R@Q;N79_6 z5eL`N#8Zs6l3ekt&{bSa3oZ6{d#rO5oQlKMCTulvx+N&#uCW0(vg3y6A6!~*tkD6F z!8e3ta0SI0nVZ~T1cNtb+5L1qVvVc~2U}o#na?g21V5!Vi4bnd((%H?*#vvN|0Yh) zR*bC>Y)u*c8JicpSe{2JZ4wnP;I-D^)93>GySGEgElL8k4;&{~9Qk&KK)+WJsC?Q* z&#jI#Q%f0ND1kep*qD*c+nz6$Aefe9(_>jZ?XjQ|zN$M_EHp=rJ=2LG_MK9nRtnfT zGt=ZF-p4Yu9wKPcW_|z(h<)mbpJQ$S&GndnsNuerg)NiO3A&J!8IxjtWZUay;5GaS ztg+FG5A&+B?(8J&=3T~zCO^-%*KnKPKj-HRUTKRf4abL`{@5*8fTvB7<@{DULn z!}I-!Rk%Eqf7rH##P7;ui^j2E_afw$yuOM@%JQr8%7BY--CDK&40Q#3i%?^;d)ZHq zP$#ip3guNO)6>>aBK6c3*a3E&5(%|-Z`1C-Yfl4>FMu+kfpRRV2WM^^0B_3ByogC*EW+!n!wkvW3(uNCg#eP6pZ80(yPRl33 zpLnnx23waF=o5}TRGos9K`q^UF|o#n`ZPv_{@+Lbd4&LgAtu)^B>oo%5u|_|QAyJs zbg`E~HLbq`gSAeJXp7o~?E3sxuz}nIuhB01EGeIna&z?0lr`CM@|$nDZI}` zb%6T6zcXIX-lMRGd#_)qB0aG1ITa*$|lr z+EjEDc56W4GSlI*f>Ure9RwNu_NMNbB&oX@ShHRSk(f-bGMfj3|5BAjud&Ap-MT{( ztC4X~+z0+ML$~_n=N;S(;92fVa$oQc%ML6P{h4}s&F2FKqcA`1aEz&}cFu2(c=F4L~FI0DR#fQ{5Stjxiazcqf{*>=7Y{pn85%oy7f+WsZs!8U32-ec@US#J431cP-b;Z9$oU2_^xkl7_M?FFW z77>&zpAEh68Z(}nHnSSHT$rlE*Nz+PIA~sMzogIXeB7^kous7zz3m2Fz__ z)zj?7(Inpqrwj7NilO0SBicrtO{g2jhtuH8H()uR6ZZ$f!eJoa6Dg_BXa?XLrLJ<= z%8YJn8?hy2WUcBwWm2HXM_j%TVtv5v$CyQj20YHleVu#*>4DIVi z?yWvQrqm7iO!hP|KN8K{I5M|%*hP3C0I_wC&g$qz6PCiUJzr=oQTBbir-Y+Z>jt4B zFQw}jQIi&9$G^_6#6X7`W+k;Y=KR z?EVV+csH?6Eoy6bGxZuI+l+BgeLQ;lJWx%*PH_pja}N$qt>z2}H+!~Cy=!wD2KkZB z>YM_XTa)W9H@7Oq5hgwQG|qy4mnd}ji-z}WerR?dDC-s0+rl(u7TLbe!Ms*X=vAT( zav%38HcRL-I3CBqrSCe0o(d3Au$1V8cfhBbpw0icc+|~{V+28Wk*m7472l3C{2Y{N zMJ~rh$B^`il4#$qmt!S}6qh2&GdBx>+@d^1(>A}TVmGdV#~N<$TK@9KeJqei5O~iF z!Q=Pry>4qpJv>51pVvwR_5}#5H%Y&%0hB*{zpq*QNII-1DJ{UAa+|=hxLPNV09nx1rN)xx`Hk3NcdXS)*2 z-Q7r0Cy`Z1sNqf^4uaNa5cQwIz)9p_x#iIh5D=mxi0*=zvb|F|b~7g7?t?3>6=%N> zd3};lbr$GZxGa#<9p)h1sB>nrz6iDFp3o&jM~~v+LWr&9g{4-%x6pFhX+xbQf-J99logS*EP?Km z6#&eXk4y64$CSm?2rC%P5Pfu(HIJv*cHw|RSsXF@JMJcM&Tu%9J*hh z-mkZhPmZo;(y34k`bYWckP}f5ev-+;_xoel$|ti+vP@Ez zBP&)qyZ~lUnC)))Wa@L-z>dGX8?`rgZ{2IDMAy;Q@F1{o69jMu94wE%VJSSWo7`h6 zZm${j2mAQsC>{$KGcS7V23B!E_Xn!PM{YkSf+^XD1p=JmhE*6>`WxnHk?DKQj~6gm zldH`;DwJ|U8u95P#rz5gMM2%dO$J-f3WHu#`)xxr-`TkKO)`07zZo3nBNxfrz}XM_ zJmR^}RIRVOlfaaHjM>;De5RZ{YEelQ8P`AcN2t5+fa}~DOmn-D=}edSXIc7>Uba52 zj%)5*ja|)MP|s0v4-);(9oX^VKr-o6I|z^x$zqc}10LGXQvxTWy`7I`XXnYm*qbCw zJOIp^>jHso@tdO%v1J*93+P*dO;GVNho`gm1fXGs7vE72qKZv4#|aPt3vBnOrPd5% zW1JgGd?3!1z=o2ex0DPiY)IWOx24yNT1x`&P+`c>UU4)+$qPYBeeDUGB0BPS{%LZK zT}V~mxz&I&A+i-URtk(F1mlFdf4%q%o5JMg5q;)RfM2-genCv?K1pOG+|MFA4KCm! z=-VSmB3tk1ePS}Q<&tm~2*~g%8|RyP;KI>r1$LjlsbicmX7-lHx_>5Ow1?rhTMGqc zMDs#WV!reIR?~Vt>xjiJ!{B|yDZoJ&NBHb!Ovt_$x?8owI>9QR+qZTu-hkX6Xzz{}I{+dA^$zXvC+ps=&A(Ow z8c8vVRQRd2M_)9GUCkIEZve>=|wjTs;aM`QT8M|)$bi#-*m?THd&-hhyk{YHvuvn+yGeGEzTJ=vMuMG^S* zh_MKHlB<3irvoXIHpgI*^9zK=Lw|xWIOhxA0F>(z%zQUc$C$FZp+FBuT`Sb909bi! z1Or>jnr_Gcwx9I?aHjwceqrtWfERuNK;9%0JP$h4GbbsZ8$tX0SL{;sU5{8#tS=0O z{7%~)n;?{nkrELWCR#CZe?Kh^n3mj9Dq?kQ1SBIp=GKPuT`zTl6vPyXogk0!y?Rj~ zN=GFG-9&?M=Natf5g4)%{`tiC{9e~hK&&1DcJc=rVMq?vIU5D;J~6)(`}aCLL;4Ga zz#(l(2ewkFY8#xQ%gdKExf{6_n@VCSO)dnhF#bgog4KBJyiG+gB~~02u5$xj+sBH(--@n^OE&I^&s~^R8v4#a zOy_O3${@%0zG*soY5DAZQ=?De?my>FZPnPFo)d~H@kDMnSa6q=5t z%bA?Y5uXMcuA$ohz#pgewW~gf0JtizKuAG(WTXyBEb~zh1+I4AQ4l3q<2}yxp5uY1 zRN=C%FAwRa5}V~AZxp}2f@DwqS;Nn&l|WjWxFUSlU}exSi!~^9i(!YfB1EN~Q=>f{ zh#MUmf1Z80%fyCfMh!dKwm#|xk~d`uGZtySUvpoz96xeXu25ELzkOr;yGQsv zz?V)XM(niB-P?`5NZ|^gd)fCa>H3WqYlG1+eG)=Qa07=Z&5UoRA9lo$&!@T=*?B#x z01nBFuaJITn$!6R!qiOAAkg^LN z5T|t1s{c;V48;J6Mhii}f#aaY2z5`vr^R^bc#(1ev{C_9#i}dC`$vbR3C!vHf8+)K zLFE0v<^|d5|0iCMd#V?)hX6u&R^^v~n!h-9tI3=XwmqY_Ojn%tY=1GVM;+y|+q!=b zD4d+0zoXECh#Jox?(A4^l&!F-TJ99cj-fc!_v||)JwP-DY3cv*Z2waw;Xk0le{p{Q z$&mFgWbXePL)QPVP~rc@iS_TUs~=YIpFx8E#S}9C2RZmZ!h-Dd%>SbY>puk`{(D%E zft8h&<-gK`I6oq+?dp@^OaP|!XGsDG1d2g)em^Ul9e_Z*l0$_&!g#n=!Gmtu%(APr z!?_|C-<}a6YRC4X;`X9*rNz>-#q#&f$HhUDVx11P&b3Qg*6gN~Gtb7Zcacur%)c96 z%E!F0|7a3m{_GzxhX#;OVgcYFW3*;_>on&%vWw#nRi|<@WaN$-u?SrCg_r z+s&&C?OOw6I^2_f%nU1OUg%b2(-xhtR8u1d{GTc;>2G)LA7D@q@jFOK#IRC6BV+LF zL8^QbcnSVrQG)*91&V%)6GNHf-XI)hTPz90zvg~4%6-!&gmvVL z9To5t1~kEw27fWpFE4Qx!`wgCsjJZVX1a-~NedU}F47CZlGDkTUgxx zP?XzU@q}Qh7CTQh4mEYpjx(7t+VYPHd>VDT6@@_}6Mt;iNVr?UHyd=^K?UoPm;97j zCi?08EiZ+1!xmq3S8qGxr~C7OD8Zcyz>I0YhU))P6 z`xdL(PdSg_0tCNs9bk~j)Zeyh(XiJD^YVl4si%y`ewqY+|K23HuAP_*<%77<%Yg0( z?Z7^*u5l5MPhHwy>6ZvJOcMraGiKng&NuzQgkMx9*r^ah6XT@G2b&=|RVOsqfKZFP z|6vC0LO}qj@q$AS`HNf5BVeLWAr%@KhKBQw`Hw+83})lEV?=cH9*M=3(r(25n=8lJ-x-kUzDD( z3#UIY7eMg{QBFAeCfvY~H}qp&e*xkm7n~Ub3AR{v=upUi$p(vdP07^_Jz5pTTPAj#plJrJdej#jH(MBcn0%gLC-zmE? z{luW2J1Ri#7b8Z3X`@~sBP1M%cEZ17ju*t|8Z7C68{iZhE7v}|Ff$DFdkfeXhfTdy zLq_z7G3oXKw2gnfGLe7Yf^Z5L6t8H*&T-kwLFKn@oC_4m?-Bw|God{<2el|PC2=ee z9HhSm01IJn`w2ZwIH6j6ric8jig2m@I5U)z%V^|)6@Ft|AoRc7rHG|`Q9+OZBJ7L+ zk_deM9?=4fOQneyqcsE9(x;`Nfwj3x8K2e=p8X^VnEt0E!8-aDLW?qzC(Ltb?X5Yf z+NfFDJ|lRHDb*-Q@Xk_HX$W#?X>F4PyY^+41@>SRHkMB`ubzdqb(T8^@v2y~f%eI) z+ztb$`H37QWo7;g1Mb3a9g~(#RA>&jXxlOB#M;!qnWqiZY{T1?ey`swcJL>uSTjLV z*`QAL8&)gZ|40&0aE12c2K0^?O`&d>V?v_k#daRmfO9t{U}NuDa7_zPB~&iJ3lP<|F261aN%ETkyXh@B_ zG~Dk|aX`p&BCQ8q@O)D`S$In`NRr~2mp4#>kj@`zY$ohGM(7@5n;{84bd&*_I7n#FfUoDw+A!QxcgVT zA87uR1K4b3QP-c(yj{Hh)UKAI)2UQDw?|ACUlwxeW^P}1?igoK|dcD@XKc-Y_d|qDJG{K@%a+ds#Czf$S!R6eM| zM8ZeeeeNC|RxT7}GD8!btaAMmB&h6qv|Cx9zt)*g555xf2W!mg6N2|cIwWwQ+IbX% z;c*9$F&p2lU<$(6w;id8ek&mTjT5`DQf9(khW(I#lg>-pFk8c-CMD`H`GU^{wRS1` zw8FCg-a|_&W%l(2fXL=r|D85#`+367vm*a`4kytD;05-TZ>#O&?YhTq6H&CF=d>NQ zlg?uYAKD{rhli67(?VoNFGM6}2-k;jJpM;?ROtBiCT+zxu+sI5Ek_W41tnHDZlybNzoBic?#4ZS8juYxdDro93o4UDdP1IZibo^S39 zCS=hXK6G>B#lTDgj*#rE<_U7h7Oo{^wh7jn_!l_*he0H8;~p719z=2^+UFUaY{%i+ z7XsKGg@OJVpjm#dBeE_M^NJ{GHAU66h`r`bLK`THOxBaf>;)df;DGvLfbmGzYue3z zPZ2)-a?kCGsGi&Q>>tNcnJ^7{FLDSULWi)O#_t*(mPzXkv4<#5XLb@x$< ziEO0{L;xw?Etc}*M7LUwkBiBt)zO3U=Ub|?b{X^wGU{pDz(d~%2h4+CE)4LXiBk5i zHY)Ho)xvXn4*Ama8?G7~;kgdewD&7H-AQ|xx(ls!4jZN|H`Itxhxk72z~b{2*=H@j z{FUYw0MkYuQ4|L{wDT8^6*z)>>ClsP2#>w+pn|Wv`UwtrnOvtZ%3(KZhg;RRz5ANt zb-Px*4A&!BG-UnBN$b@fJHwq5xMNEjBEZm1&mJflO;)Uw9%MS-xH!kKn!L zO_FqWUPYbpW>7IumVu>DE#bOAJmwaHRaMH)V8!5b0`@PmUSBfRojE+HIkByfX2EX^>-D!r!-Mwq4aj7SKgYA%y{+zX(?P;I%sVzK9l z&U9B)zE`pEv#ihX-n_nE~ems1q;2KGnkn z6L!tHqKigGzVX=I2=L~s>OVct3SV++u_rRTP<)$qtugUZ^``xYwy7+z~M!6+}-nQv18>N>aY;Eua!gnki zjk-hi&OGduD{!SF1_I}$X~TU(8fZg%X9%%Epi9z37s;VP1c9`tH(`e*R1=0BP8p|I z5_QA^nI2z z%Sh{RjyAPSiFUYKKx z7T7_pSQf-u+&G!{hI2Fo3K+sFS+K)TE+<(r}0$8e_)k?xo1Mdx&r`l$&s^N_#HEQ0U-%yDx`V zwY7YI@w+tevOtWub?{<6nA23^aE{9ZVq-EigG`*ICVp<{7I{1Xb#MEYjvL4|XqjrP z?6)}$L`onQ=Hq>>jLpN*YL?+9 zvWz~9lPDv;`B0fNY(?*+NY{;&us3M3ThU&`{ZmvH!7cRFXI&@OP3M-k|0arZr-hf& zbB(+;7SLDes_UdlNqrczTZEBLueaamh7esaN|zPa7NJq`zOm6gmCKn+os;K=J8R*e z8}(HU3p}j9nx~v1EbsAPFt)PasEbeBcKx3)5^p15acho!wj(!i-)U@j9w2N{dV_cuy)VQG_5mEB+;n!``let&yQf9;79R}|) zjcL`;VMq(b2lT_yfr@7Q1d;#R5nZ!<JM~pOQx9RKp+*NXEevZ^;2{7 z8H{9?e&DkXwW7v{gzr1g`w%ML6b-?ZL+sy!Yj{=2S3zc4)|ZyxNK&RG5vS-H7h%*! zV8}=0^NrHU19{U!O+!>f1wi7s3Qvtl`ag!&%L(8z_-u?}xcz1Ob#}3HHTd{7{n`I@ zWs;rIQAtXt^SNPd1Gj@)#Yp;rt|DrEnx)w$y;D8}0mh+f`YOyEfg8LhU z2wXQlQvu)s3uu%z!I!F_fA1D9%p&Aja;uu(MrdtjRlqa>6nE*I_*=)?MA9lASH&=} z6oRN696XGjcf%fqg$Uk+8G2u^8A&v#Z6i4FO&*8IL46W`*iU(C7<5AEzySlXb=ZDk z3{~Ofm)x~!Pm-UV7W%W_?Jo8q168r&CL0t=U#OwS?r)V70pSMI@>b+Cz>jmnRSGZZ zGG7^oU@CB73SP||Tm=7=>=X3Yq0zw7iQh5IHoqc>srUOyDTzc@I0U(<=W9^6rqXY% zQw}odpFwOwWSKT25XMVF>lz?{7m^rl!Xcr_}Wo=2fgCQq2N4+>NgjX9Sy-!nA zQd`GK#iV;`a5dB_T)@n_JFzf*_mn*a6{fA%Lk ziz;=0f-SWU0ENTxbtla8n5_W%beYz}-`xXG^!f&*{Yh5CKXQi#zjiu;z(PH-NCna0 z*3Mzwhfq-I6CKyCLqwCK3t`WB88l4{AB1zp{zH9yp$?n8B;CKX;1?X$K4Y0CLYjB5 zHqQCP4`p#L;k3lF6Ed9LoTchdi+w5!;7FIr?gJtHx!KSkhrH4opU!&XEr7WIMA< zQz*&U7=5_SfzP@cX{-%s2D<+U0}?$GXqHRh0duO}#)jGp^4-?0eA~|n`An%L6L|0A zJ-Z{z<;pxVjF)L>81j@b(vJhiNzG$pHn9$$E0I0j8Ok**oc2hQdgawI7I(+KKuO@e zss9_C?#mhJ_iETkn`lC8@1wRev+5)b{-X^RY!ByhiS+TWyB#*uyc)%mtNE( zUr2wE(@?b6diHR(QE_CMjiVf)2vnd%FaG;uhKDUkToz8f8%{%UP7Gh0bhu?8jD~JS zDX!FNNQ{S0xnoc2gHe>PZ!*%Ql;X8UDOPw`>kB!mLT(s#nadw`4a^uP&L}uF09{19 zG8WC+SzE$^7!tWzw|N+wv`QJVL5B1OxX&XY{q;z@`{p-UBud&gQ#_Og;fLRMP45w6 zVRmLp6gb^moqhK@8Uk~@o$uJ4;QZilE=9rS$Z^Mdcw{9XkS)K#a+Iy$fkiEzJk`C8+h+QvO5V|G9OrjC)TXu)Iku01OHUY zzzew01(4*T{_~}G^$%xskmE96)pfjr8JZk5${CK1tVxu;w-7Z%< zZ$z`a8@8n{OCI1y|5KSL{c}mO+ql0hTTYrhSHDH@ZBD26Z?2de-G5C@NPaF!HC-h8 zf`iY>DV*vdD~V>aVIxGOv6Q%AmoRe4_WWerYB5E4TnzoIAYe2|<@~FH(Z8b4V8e*K zlBQc~Srz5D9IBn`VYE)r6ldyH;MRgcATER^aVDbe_1fLw=xaX=kla@$1hc5{8D-bZ zO9+GH`dg10K?L(vObWsR>+YJM=FGEiP}!Imgu)Ah@72CdJJ*uee@CBG@6Z@f7BHYs z0C=xUj<5q5`Tz4@BW-QWvjz(2vdicfoo>CjBnJ$Tv_+90ou9nHEm z%2{K3R{F0UP_z^R@t%N}6L10T7{W@IHurD2k0nJLkT@RtX|RKD5+Yhjo1$uTJ?yO*$i~|V`S9;?+d2y+uVQ{XZK^-hGb&7N2 zyCYG8B@Codu+R;tao6``Y4AyZaO7ICo-3V5aTWfS|+hv<|(A zUK=LRtqv2VhV)tr?6&KLQFp<`m>47O1_+D9?gq{B)jK2-rUBAvQvH5;am}!huZsH% z6)Nk+z15;V&U@~oi%63jB!lbWdFZKqZR)10xB#7fJ((_*#2my9$24Ty8W_n4q&DSZ zAyh!Sc7Cy}90;-yG%f5nf|oVH2tIiz7)(*GLHr8-n@wn}n^ zrTJzU&V}Yh^FG`f9qR)2D?TCRNWCjTwraO{-*D|*$JlSZP-%8MBTikI&Gv@w?u#h8 z$%#C}K9DYovmJ97Vje&QRR&n?wWps)oi7*GrzAxJX7Xhhn^=}SSq$dv4I4}N&*gxk z5_M7B3I3xp0z@4pCbuH1h6D-OtTTxw1GcG;;9X)EoY}lhMO1n%`o;9!kq&UaQa4Wr z(I;**9C^RaZFy|j;~+mSG0q=UKidk9ZzdvD6xDUGe^$_yDY0OK^orR&xjdDfHkkkDd2!B{$3h)Y^F0Bh&SD5v6?d+Hg-3JW zPLL`zEjz`ZMMdp%Jy*90DXn@*3%r&4nPJZ}iozY;Y07)5cDLczgU5})yC?Zq7d`oY z@v-tDhv=*I=!=6qtDA(a!_A#~h*3t?%)}ZLlg+t(Y`y|6tAcL;`AL#FSv(lB_FX4P zABdNrKa_BnsgCT8s^08zv9skZf^Ci;pt4( z9VcS%y<+WeJ@1KyAk5451Rp}h!RU)(nc?Wn*w(!DVD#G&sGRCjQ8mPI07ExU!bI-< zKt)L1P#i)Zn6;7H-`|6?`RBQOIls(~S-fPK2A;D!n1tSpHPu0wUwBjl0onuF^M=fd zYQD&H_fgB@F1^KZ!E*It0}voshW@`%wJF3W4@+NF)*;M6=IO@#Bt82{Q|=n*>@cZl zz938|cxeKDo}E7UcqCgb;oBC_yb6KUX$3X}vupLc20?)djcfX;VDm2)iEJti^|YX=-^uXM$8S{6YKSC zog!AoKM;16$lQ+dXxBfKxa7VXX-1k6B>_xb20FG2f5{+va>ln{L+aVR^9hw*mpfQ!&4*G4gGleB zdn@mLidwpge|g0Y7f)LadfHZ+CHZ0C3J^HkM1{FmX~AzX zC(#F;jf)%rwj-d9|My8If4;C%9O!#pFY$f84^}V(I5kb-ErMp(AIJ}-JrAGwtWaXO zD3tNd9QMKQOzXq^7yI-oX2o}KDxeF*X`|WN0CMFf_?k-S2-hcO>5gyRoz&JV1>M#t zg->+0)CA6we#>UQ7nE7fn&-_tro(9nSuA7txT~1nDC2rESYS+hH)`BRYXPNPzyLN; zA)4I4?(4*ljwn79aM;EG$IeFrAUrMQtvU3fJz@m@(b&rc#DMzCx56@nu6~5NBQzR#SbBUwNsyrv!hriLPjmI}q|aPBa)(!? z!X8=F9ed_E;#LnCli{7DB=wL@O;kXfqeSO4h2ibY1vl|;tu|x@$2hZXjO48uCrq7zkVOS+R6(p)l&<2J3vx!?DJ_m7 zsVA~j87AKcCdpjdz3l9b350$PLUP^^9;uE4(fC$L9tY+yc;9MTxFb`&OAG- zI-OuFm7bjc72T_!Wp@3xt@4MwC5;cGsg+?Q+R<7NvyT29?#h_zF$g=#14(0uj; z|NeW6EYN{HWji$FeUN;TJMUBJyS2)Q>>9KL^KLsXw)d>BE_SZ@wQmOJTe{?YxekIn zy-j&{s9-s@FN3RnlPr*HlN8!`l!-qo5C3OrkMR25hqxyH7bY7>4f-8hGY~Hdb)m?jv=7QR)7h^|hjqpP(LW_Jxd@7w2=+ z_`|*@)R3(g5|o$MI-*goJz||gwFq=k$B9OicEDISZO2e&#?H!QxBmy#tVmMPP~mg4 zo}gFxqz8lTkSn&}$ppD#f7xsp+?DU#lsHdDr9DtqDCRtLP)K`+!=Ky4q#)OTwOqCW zCwNCmS$zQiLj2a<54{E;R|D0HJ}aKl^_mm>lM~lTcTT-ZfPsU@ zz`=cj7#c4KmV+eXmMwBKd)~*YcV8dv(ZD@+7XK5Btle@OFivQ2pYXX+U88mcpMY$z z-b+FtaG~em`3}@aOK!0ldaNUpX(u=IDr)7p)$w(!&MLiyfv z2J@Z;<}IwoY*R!uJ%4nFABu5$EOkhRS~jw}LF<1~0j(7X5qXu(xxyS?M0Ptb4!6v9 zmf!Hme5$w5*wt8$ufI_T7TBoucJ`POAXEoU3l%C4y(veBESlz^Zp&or?^{i{+?cbZ z1gCadmO+mmld0ViIOv8(05s(;0r#=z^nw;`>DmtXwg(CSmiS4IJf)0#Psqs&Y!z|m zYWagQ3Gwp=FOXn;GlAD3RpFZ<`SgN+AOoQArJ{s8yJFDn%xvX%*43Rd+wfww<5(4s z!&eniU)ZEc1P6m?F5k}V-L=E1KNws%vzmsgt2LpBNU^3}i;SkRDqlQ8h5&?+Xg#px@J1 zoH-c#vA^$~0bblJhz70<-(;%qVf}BaFZ?OS)dY+9SarjJc~@I9CxfJx=eAhFB1}Du z+;fcWhZr1WMdc7L>!?x2mWyyPr7;v@@1l#g$boYfKx*Xp#@NA&SU2xeN!J&BHKbuR zEvMakpuiUE9WyqN#N%}1t;#~uHsE%Dki_%D-4OgIzjKf;fX?_KYml*JZZPJP#2ATm z1`=_GDyb)>6V=S4-6#j_p6A}m{3-o8m1TAYb-t1sJRIZk{SFos^H;s7K*bhI4@H6A z`J-?XAtjhb1QNs(?ce%t&J8CQ?$HUl8*&zSE-~wyGVT|1=Ol0`oXJ?T5l4@1y5cA_zr%t}le;wf>^lh6{ zgYJ}vAFdO4b96)pQlpkK7Gs{ z0_@zq*r8|5ff!59_jAV!+UerSnU+Ne8C&Io=(^_PFhrd z;kL}xXGPB{Xedcq>Sded6#02#JylM*ymrbn9C|`Q)CjE1H6I8!^CTE?xTNu35PE|( zI+L(PiQ569{sdyDvXb8Ortd-ePh@# z-)W#H(IC3s+X^>y>Z<0u6hy{eQ(|)jUYp~zJ&&7dBODs+-5H9%7dr0YyGnEBnH-l) zXY6Afv^Fg8mIjQSg47YN^BzY-jo^xqmyG3r8R8%~w0^9_V$8(C#aoCL($>(zbYTa; zyD8gx>8rIIhh2v!7us;%Yb5NrgOo>?a~Ya$1)H4d1Yo+JmyMrNp3st!BV=r{GtO?5U>5(Y;OTPew@wVk!PN5rL}G z7W~ah(vOF)XVj?Q8279;q`E@TpP6zTx#e4ef}4PzUa!frDmj(3=S^V2>_n_V1UJXj zKnbIyO<;k;EvQ*wm0%jG$WV=6eHg@wNMYhTBesL2M0TV*CI1d(oKq%kNiyG2Gp9kD zeTd;sR!v7@^9ZyjO&Ai9schY$l3NapFPkVdAy;s-u9ju|1=+ju$v*Q&jpaYsz7i8>*Pt$Rke__XV?RAD@PATN)q_zFU zGN)wTMcnvwWfou=q|?aRc3>=0f`+&Hgr(8*0VuwLW!`-PzK`3l0|T}fl%WJPgoV*A zf@~(d`H|B`H}e0KV!mRJvu*2>8HEV$#}$(rCH>6}QX158V7(C0-sc*4=}^0RFebBH>TnS02!3Kcs<33(^2d;Ec@g4^u-+ z`A38R1|56KQ(ct_i}FqWgEo5z&qNweL?k=0M5c}RrpBx7`|sCn`;Qck?Gk5 zIeuqbgfDbpm}@}z)H!NSCzfNtBy;}_ROWajk_JZrIpy8j(AK=RN`xi8#R^q~p&^Pm(* z#=5wiDR!S2EL6c{ zFv1tr+10I<6jLf3ab}FZo8X5o5q0D#K6?e4iK=D@r0Yc|rsOkQ5~!gQt`~E25Ks`j zyH4?$I4ce`G|~~hTR~FW#tk~Jc~uV5Uy%hCd?CVAOD8E7Lo@coLpzp(TUbxf_y^Kh z2kpcqR}!OVv_f7;-C|sxPd`2MijgEuD}p^4ldY1Tghvx^;a5{}7MSF7Q3Z)xkEP?< zjv_s3OUC*UkgY=hg`?xcSUJf4DQ61pC9qsR$bDiS^r%OpyBZehC6a`Hk=)L=I9F^D zkd|vR5S2icf8KIEjSxl(^iiKZ11ktj|HFt6aDn}uE!H4agefe<4d^5`*!N)Z_Sfwl zTIPNo(c_#G*V`$mYkBD)+qpL3yX)v0$l-<6?L{)u3_I~9Ql~Wos1mlDdAXta`N9V_ z)8jP4ASvQz8LkwPtmwcbqS*(!MAKS01#c!85}vG_1Tz;{=#jO6VFr9J5Wfp4pSM7X z?6q*_n+=hJ{qPWaljyDu;srs*8ACM$@G1#ZY`CaJdiZ1AQKG+!jz3yF|PyVS3yP$3#s~a<1~SI=7Te>SbYE z3iEw8r>9Za!Vb$;j&;QQP)2(v^!JOUVZ(UIq*7`UQG47#pfPDt}>S*AX*i=Yz3%EEmlB4*iOaqGpOcGmCPN zH9G*h`knK0t)SG2N|7Kfl|om>A@>OpYKoJ6-5(Q2%Cnhjfi_H$_nS5+tlSd=XLG!+ zlu1|HJaBIu$9C2^5WbDst_>~4I`;Rk3#mlgz3&8ZPyOU1>`M)bk128v@nFb8PM!Zn}=Ba)9mUX=(*JU)=8GFY%=KUwGsWl zcKMZqX+kq+5H+p8nYh(>QCF=x)Ik>k`0mHC7|}NxPVN8M?SjX>#CqC(hC|Fa&;-WQDzjrjR(>` zdqr1_@GEG*2EFl0)aw^W*kvweZ5GTHyStjH@8*hCmzx}i8e^Z3WkrXr?s>PaN0X^E zGRn|Li>aE3*1UBjW)ihitja8RQP)ByIkR|A>xrS=_tj*`IN)_62mVZM7XvegkZ;V~ z{H43p1tG%~)g*!>g|d}t3|nt1OiQ`yL%f`jXx>=*_x77$((ro>+fiZu3QtOPP3CupNN2gy>%UpVgWDr z@tE~{L!Fx(BQ<`74FEvSS$4=j+Y7?D>tW<)#LM)P8_gqvo z(Qa3lk%iWP)%)AAB`6~ycTWA1xSNC1F_R9@f^T^JHa3$?cf#l?C;x|VZd<(@rzv+nu_PsU`zr4=I;@lhb z+7}Vr!}&F1&%}7v)2uMxi9~Hc`@()2@VV(tOe|+R?GkW!fESS>($l-)vT8MH&o5aZ2X6*S>=vZj# z8igg7($mRe{4AzO#=p$jjvhHdr1&xP#g_GDC;_TzLBBPOsd#(_Z0xoH5BU7Vb z7Y1XoyTb8{OyeOKy{yE;Vhf)ZrPoP6tbUmYXPSm0H5-eS#yX!3#=3beGPBJ8lA?w1 zi2sd`&ay36r<`kqWu#g=T*7uk+Rv@nxLi^VM13z0emA_7V6O0Ei*Kl~kj0+Qz%v*< z;wZM7Ew2aDDdn52(nug*0&dUF9ZPqPSzG)rQfw2)fZur#N}$m*-x}Z453j?CoQ=#P z#)CB*vl3scA)#OsHXjF#*jn&aJfkZsW;iD8BPp#@J}b^q^@cZp@fE=^M)2LCuwy`4 zpC%;8_cAnmTi(dHad_zM5idtp3lpB7({Y6^2Ve_2u=+)q3t*!?i2w5f>oKlR_(7$(CF>1lf zixJu{T&fi&Eqq3P!PS_+S{mA4ejz$4y?AplswyU)gd%V;f5J;^V1-i*RiMT~d~8Bk z7D-x(NHW47sfs_TVLcF`#=vYGp$>zO%&!{vL@W3Xe^e%$abIO>uP%o+u-G~eW|gyy z-+u{H=4@Y0AT_=+wDP^GLGnp*)ni5TPGGH2ErumGTOVK6YX~7AXs#D=e7RShi~X9* zI`wvP4FBD~{z!TRZ85JPAzFEt2>8_Ys;OsTIS5CZv7L(~o`uqm;dJZ3uq&0{eT%xm zf4kz*)NWpmGUqN2yL>2wYcWjkE=T~Cy?uE?3g}UIe38~seAR=-GXe1`NjV9LtIO+` zit=cXg7_2IPBl|lMGmamgRXih7rJlxQ2Gsw^KdvOn21{RIRQKGVqNb4&?UmSf5XNqoz11*-$0)SH0udRGSft90j%t=1bI1n{74%! zk$EgI2*Eb07NcI001*5)*?|u9y$^k)tD8+=I3`TsJDvHBlTi|YigKT?-9cR+M_}Ex z$EJNQ?ij5fH4Zg(n&=v-d(Q|d9u%i|ZK_KiVOcTEoZsp?-rRN(4pj_|PW#CyvgRnN zP@O++c@WxfS;bxKoQjl8^941hC14h%u$?I4L>j`<+v6&Uui_yB#z)KtoldK(APQ~1 z;(O*FS&qTcT17z}*(Tc1avY5z#Zny68rzQ_t&hWV`XO==DHEbT5j6YP=5)`L-3cLpVU99 zD5}eml*IW(i~-Zbnn(T&6!Q|&<}4R$(~q}@7`0%O z@@i31CzD3$#3_JuX%y;=>#S#hlkBLX%SeSh-pa0i{T2>Zz7I1yod@I%+YUYscFxqF zplaD}k!)r)IgpC?hYFDIOj?t6sZsrM@2du;;)}j-&K2Ju`Z}>)x(xWLFnZj}ku$kQ z+r%Y+t!XRL3YlOP1Hu%1P>zO1g!rg#C%0psmz$&u`J`50 zYCVMeL@+t|A&?!NrIAxZM9vSMg5T#&T@&S%3!^v7-&4QZO^p|@TeJrZA7RcM3>)F^ z@rAi9n&50897SAS_JvVnXvPf?L6|m-z%FcTC^Q-QSMqY7_}@}5E3Omx!nm$s>Egw* zm|?3xzs(iMhl5K2CgAOk^|?HZ>K=Ck*)U1FNpMMQyIOQ zDC{1#=ZEK|lyT=b;AA~h@~j`t^-|D^{JXs4BDn3ksEW%Tt3j7BE1=I?g0lx8ZD3YS zft71Pwi?cv9(I5r`aPN1ys%hcFR3n@1x)*T~r|Eq$833!axrro_>129r;il}+$QRbl;-d^uKPQXu!}9!$}=Brn`fVrmDH=J>_BF|KK_ zNT!ix#XOZ&MGn2#OR$EJFMIQnus4xMkS^FsdM|=b`Jv_I1f05`bkiQ0?7vGc-KsNLNz%YzM>`@S^r3ry&}0}qj1uj7h7!* zUQa@rg9;%o=0eLGux7L~)a{S_T4nX29>r`JM3#?*Dh~{DvcheadzEn-n({IYb z3DU33uD?8PZIA)_txXxi9=#6(@vA&bs98JG8ii;DGhze`r{sbf%)8baWF8;;5)){0 zrW$T@d|i>5axDv+btdaSkIP=1bp#MO^N|Fic|U}7pf1w;UYNq!p)KlHrdjMD?%a6k z!XaG|#l?AX#a3ZUN^?)wy1NV<`@m=t!y-#!_K4B~+11uO&Z(8On%s zcTpHO+d#`diHzi9C1l!~%1qIgpkf-vlbWdvm)!Bmf+><&aH~bCZY>?Dd{!mr;pAm1 z1RHjOb`a#T)XgLlMp>gISO9aS1`2ql}GbFnuDP%kfa*)o<|oN~R& zOe&7r8s)R}imw7geb|Si%n$KPtyyRd3iE-b6kl>jTs)(%WAS5=^&PFhMf4Ku3eo%x#OsCc_LWhOwCYPpF| zt?IS~<@0(o9Dij9+|jBq@qSZk@S*ZI>TfX*1?` z{fez&!c8bcrMZ|DahK=W&SNWOs&n4 zot%GNEUL>yXhYDpR#T})M>N+f_X;Yu)`v?A1@=m_LFhEWfTS5y^h!e}6)NK`^h*C3 z6#eb&-bvj`Iw9Af(VgJLsD`t&TqU(O&nIMJwTLCZMMCDsmB-M?O0~+>P?sQ=Eh}cB z#hq<@xWF@{zah=_a5fKnx>>SJxnkL;wEugV@)iq=4kxSwfbb13^S~yJJ7w0(=kK?B z=H>7C>FK?*loM`1A)qj)gK}}|bGb0_g~!{K5=8!Q`heirDpWj=z<@f=m=>!er0?>-iX^oI1m2(_%`4&ezEGarwQEt9%Y0-ytqw%INsA){cqdrV< z(UWXA(H;D3WUht!9&s{-T$IK0wN?_c8zwL+*(X2dW2F9tT{gUyF zhNW5Jp^@4U@uF;?5}VI>_!Dy$8c9kz-Z{IM7-r!F2l=MLG+qf`yAv2K%h+5XRJesx zN*i#f${rzNQobKt5@S3WtKKf-vB%;ak=I8VYA&D;g9n?}z-FHhF(be0{Wwe)E&u=^ z%UYH$A{KdT#A$bbt(FH9T_u0MC9I{{M56^fN{cvz2?JmVpyj}2_x}}W!O8f4!f&v$ z{qOh)4F#s8M;;sE)tTo+TU5s*n{KUNuIICiWNl<_bK7#7fFFZ|s9@=}=o=cW8l zTjRe|8vl2&i<9}kqFVnyur>ZqgI$dOBgFOprZ)aZvFm>?Z7}_Bvt0l6xBh=KEV5?y z7Os{=Of2lI?Eg<`!_EPB4EcN3ppkF_#AEvfv!Bs$!alnY;VM!L21>k0F}1coS@&O; zD`3~l-2GOPTFFx$`ALNC(cIp_!~HaCO^?5OSKmOdru||f<+%C!ukn|?OXX}vbvNS0 z%)`HfxXs)zl?odbN797RbJW9&8KugLJsh+1fMx{&yMHHjDvo#AF*9;}T6OQYs$<&M z+A+5-yLJABxh5V}*gjrpJu!|#l*CchM2!V6iy+&ta*OZ#cR z!&J5YIUAQb8xQ}Sjc1AJ+Y$v&?fT_0wkVbGk$H3Z)180LhQ)t58=7%*9L=+#!PmC4 ztOMzj`;Ga~w^FPMm%`DRuub{!q(h~7X(61*34PC3)_=3V#(W1&|H#Ws_Y{tI&%MJpbr zMu8IKQMn>ruEQ-tKi zdhPGvJESaXUVinyJny94pZ@{H{k;c?i~ZFv9`3<Dc)~KIM<^#XFu?T&TJDXGPPQ!YZB8-_US? z9m5kvi(|3A!7U24U={Sb@Yi-@EG^z2W?Z7R1s?xV5mz%PPMTcsWO>*XplnXQ39(pQ zpcp3{Lv>vDB%;6(5zNM`vm*~Z2@wvco{hUq_!7lr+$ncG*y-PsoDrV?iw-rTBp@eUPPpEC_*< zR|qqx#D6@=|7_B{Z<4`dyB6;@f% z2}DTfWG=YpS9q>2U1-&c zbQ%PNsAk{MX~WG$v#fEHCyYX>x`*FFbkB)h;|*Iyh725mML`VYMDqPg)IbM8vNk96 zugrx7dcp|NGP&3~k|eY$XyyRN{2yZ@3y1}Z3<$$$JWw_G)hW9hNS4LSn7g$DKQeqm zcA0U0#DrER0$%C#mGib!Mg&uG%=L;U_h(!^QrR9Mv`ZD0TsTiBFE`Pmdk_|+T{<{n zpMa^&R~xlPv?bOlD`ccO%~1G1$c73cBvSe4CiT8+t52038i&2*=f+21WoxU~#Zhc2 zDR#73k%UMPq}$?rk?L|w{K43HA^QXK;B}ql_<)PAiaBxY#?h5%iCHJtw>kXuPkj)N z;Mj5?ed#+{Va%cV(6_s*qBC|$h;xStBUaXQV=xaL>40piY5m4Eu)_6GczDOftV!ae zlzP`Kj^L`HOm8}?{gb6gEv|ufwXe=r_w3q^Rvi^{xaw3Gj4Ul%G4c>z=Xj1jrGdQ} zSTPs&(irE=A#(Th$zD13f0T`#|E6q={!=zguKuHJ4E;yhaK^3}H;Mm+VUU44J5Q3L zXCNMgE%QH>jU=o8C>x{(44Hd+l^McG)9u1`I>sWbQ$&-UR&7-jI1H)1bk9R!iz~TU zmto8Z|H54V$PHH$*cZ(d`c$d*?Pr?wZ7NQq_Py6XiPVi4xtwUZoV9zLH$K{ep*XmD zvI&^Ei!kv%ijLINS5B0i=9HW#9lAe~WVM^Qj*s;yjHuKrH&aE{`tWrTHey@c%9z)*@yNXHKsL&w>>G zEhop_q*QLvqMMiJFTiqP@h@T6e9Tcgxs@>1pLnSjKFQmvQ#$;UoZp4>Tx0c-86;`t zlDp`7UnQ+WP4FSjV;9lepQed`ngY#+0OGA@c`PozImJ6qFD)vu`rn)labX-vnZgEp zG$Ya1U`4DuN;

L(~Z=ckO|_5g9M#ku|esl4l@2osyy4+B?YIQ{??Ietx={yY}hmQ^cHEbArZ6l zM{`by<_!@|(ra0Hf6?G49jVo`r-SbXy94N1R5}uSuiglpN{y9V{f@_0baiZHH8zT< zp8=KYc1J{Q>2`?wH`O03vxVouYsMQno`D{GXCu1e2S%!JUInPtg?nh6s?)95wgVRh zk|xGM(uiTg05^L%qg>1iXfXZ+WGIE%Ubv-!Fg zKmV9Ec}zJgd(Vr)P^RLq%p;$SA|0RQZl~k_oOjCzx>W_yo~t1V-;s__)>qrcny*cW zX<}<{s2b#2vY>kQ#ReSRwYKIWgLk~$QFm;7sK2E>eA8Hw52VFsq#q=MLAH-_!#Mwt zIjg?gx&|$;T-U$0Zo2&Z^nR>ENTIT~Ns|8AD;?YI2mZ<8+gB zLs(qcK@)SyD{!v(S1^3Z=ZkxT^UYzUX$g)T-DxBhbZ?27dmLcZVexJL?=6p6S(((Wm$z}zLG*!y;K;r7DEx*(!>r(J6uvA#4M%F- zIIuY^+Ioc`m{CPyK6c*L@bxUYiTu)B``s%z@bw%<5pfjSgW?1Szeu`6E1J)$AjkX$ z3!o|H_>5VgEM;A=ihmD*Ub;*xg@@~JnTfg*BuV`!z+9FiV`Xv^3%KJHIu?7C^c6U^ z4F$4?UT2Fl_}D?tC2So8`?^sJf&^gOM!nZ&0Zc`k$IW|I=gy}G^Q{Y{Gl3MuQWAJD zR?Yho`{_@)tUFD~nPZm~!DDJ?4QLNGt;}3$XdLHJI=(YMk)&QeBNPCiN+L=!DYrs% z%ig&F?ezkgSd`8_k$|KbRg~rW8~TkR%Nhx2puMj$=6?H=RMifIy0AMx`k^lxGBP=Z zzxd)i57^=Y|9l{%H0Nusp4_C3UWLFsTDeIGHYt++qow+=_Je(w1^} z_S(1s=`{M);$J&+{mbOH!AI7!0Qzjj;E3z+a~KV`Vz}$m#x0?u&=6V?vY0 zTFD0gZJXsan-vtdvj0#|tq@x<)sMQ9t zTfaVa`m@${3SlW)y-Qo6X%@e(7YZVxJr~LY1=_@3L(1aIaB5)fJk%z-+f0uB!uu43 zY&K3%B0mRn)(y5Xy6EMTv%`9aB9h3{Xmm}a6VE#x?uS}AGpP*$3?5*s&7jsBQJ z*`SbNi4EXApm7J84AMZJoMdzN)+>wJX%N&i|9S4NsU0$k^j)L@JvY7^A_D%mVA00X z{X2-sgZ!uVxB99T;1PYcfT=*kmy-*pyBo8HNP{cxm4;HOHCkai`lC${060?o#RyCyym^k*Ez`ATu3$OuBl( zE7w?mtWoDi614{g5d8t(PyP zEm^3+&eqa@i9NKx3-uYSX+y4x?$n38iFp~U|K`u&b+6noS2v^G3i%i^dFk5aqSDu} zy@_U2P=Z#5#lky2KDD%Ujs5&qVuxg5w*2Ji3H#X-bP5+R$Is!+O7e|26Zo|w@bibI zMZ47oZJ%ufFGLV>HfzZccw%V_wk>A-xcvwg?_YmR$-^OrAC);V=8*5yE~cEZ_LMRRskV+ zXmc4`pAqwOvR$(KL!;UDIa%ZT3pOJ~gGajwoIllBFL{fa_+j*6a|-$24r{Fd`GaLQ z*XMX6^A{z}-ZSRUYc@~Q6XFhUrn8)Ocra{2#=imie}_B*O#%-YVZS!LzHCZHXyKj_ zpGKK*S=49b^CZVib`fr`W=*NX?J>hIpY&5I?fFO)dCO{v_>}N(KgzrT*?3490J{Gq z`)+SNR)O+^cm;cyN?#ATMwj}UMg|Ozw0qbu5_HKzi!@vecrhyD{=HfhmnY6~g~6jf z5#jB8xsQ#rM8&E-Q7cPRk@rBEqlV%^li@(=%F=Z~TkRJv=^eGBG2`zZsiE+?IcA(?rcwg>tDE0muf3YK z{S`=-&JCQUcQ_#_;gBj%pXC(n zJ>HW3dPjIrnq3=&3;Z0#Df2go>s#^5@zKDCMQwoFpZ3>Xt|iV33gVqfo|7bk_7 zZWd|V$(K-E=U3{yF;ckaYKMVC*+%Z6GG^iH%*E{s^(W%`fGaXe3?Yl{LgRL3zym`o zq*>YcsbbetjVYGt9*oX>;}tp(l33P&N(7m$@kWjA!j*tujonfLCPdflSO4HQ)OvC8 zNqI=4l={aRN~-q&u+U-iS=3yzImifBa9V}N5MBibR&eIKVsqN-yn2j!meW`hh0>rV zzQve*;j$~&Qmpi%Np-gFLLem?1j8VzQOupDOrl&X&{d0I$vE}OMto%lvIk6@dyyR= z9~*hdlOGlF{yUjO8etx&YOM{egdGh9Dype|A#7)U6r+M#qm`3lX37j<@bZ3U&N?W_GdH^tL{$VWzR}G$_6L)Zci9 z;z6Fjkj#cV2Qx;;Wb}xO5>8D&l}@0wB`H1StolE=d#m6^wr$PYY?qmtnVFfHnVFf{ zE;BPTvt4FphB7minb|Hgw`=d+x6kc3-S_W@`|`giwWKBG3T1{eBj%XjSlR~ZfBsE6 z-XPiun9F>3JE;tjbXZlJMaa;R1!;WGLZ`VgrnI=p?XpDZ+n#m07H^SszX^C=zv^35 zT^oUTQO48DRXg3dQo4Yhjra(|6C z*fz81XKVFqf(_h%i0;^vi-Tj+TuS-;Rl#_?x+T4-D86J$vk102qA&WbQ~0y@2b05@ z(xIwP1Fji$bTp{1OL`OXy}&x%9GMrz>G*kZi&XMZV{asHLz-}|Y+lyzxvAsoB(tQ3 z2NRB(@{h&iNuqu9%Z5e%>F0R4mQ`;j8aCc)S~Ck%wElbWIuZsbb%(jarU!FZk!9cs zftZ2P#dZ-hxZM%jL46s0&CedOTs#bYwf!@9)W)*X;Q*G!dmac;KpIEiO;tv4TLCnQ zlIzVMOFXkzH-}B=6JjU1k`~hbBQ;)zdw%Pfcqi25cVc;+VUt=1<^5=$KzuoRCuriE zml7DJ?TYgOYlBK`5#&#g9z#2IENJk&eAYW+QZee}W!c_*1-i6IzWqcqj*|=rWsK^z zos!Vkg>@Yz>OwJDXYAYX`~HT%b2?@1MlMmgtE%*eh03iAzo z>d@<^!xgwoEy#M;?3xj|7@py48mUI}@ev(WS|Z5Sr|qH@t55=TI=j%bE|Pr@Bt1un zSQ#BsbW4xBjBM3PeMIj0wWTI!j;eW&;)U0Moe_z6Fd!91hjS8CQut9?{M{1vlt zAK>OO>FuQWi*a+Pgs>EB)_peYhTL3U=!OF`|4R4x#lQo=tu2;KRbjMRNxK)Ifd00h zeYee7g=gD(q384|4p4e{MWoe)Kr|0SjTWW~#qwma@ww!~l#Aik;`{|~#v#%?b!N$- zIJ2SXx#@8Sv+*jw!PQq9C7L(#GhjvwlW(Qe!V=O4A0Vbe{5w<=u#RI)A^>D@I&uV& zE@}rsG}dw!Z5FQ@yZiK() z6u*Qt^!N;n?0*ixp8><48an;o`3%a+jDL;(pb3B8W5Q=-V)>t+`ac!hzvi+2nfKRM z{1=sXj{j@r{m&2nUsm3~1UGE|Ilw^54r>gd`&R8P-YLzX2hY!-H-YUH0Nb4a>|5Tw ze+ju1l$0|u=gr6Kg4!aJkYe)$V1Nj0-TxHai-sW#Xgy(jwr}xppWdkNLgH-Q_F5 zfchg?S;Odu!db`a?wercJ<*}tEE)F?wNnR~-6R8s#`O6%CjzlW%v7D;Z`7)Lw;NR~ z`TAU$Oft2_j_y@v{a%QZ^J{U0hXGY)1Ecg7b_e*fgL`&79Xaok-^t7+1uIKd?=vvX zEpyC|2J*676!5H48E*EfXi&K@Y?J}Q8{_VwWLJ|I2KE_i1*j^K8iwx*M#n_;z84P?Kuw_W2{_Eq0*@8hl-%#pYpJI4n0Fb%Lr8|A5BN!>JaDNP2~h>PFgs)nw?#3iS@X`N zxl+|aG?v_;&QmMA_4Wq5t8YsfZ;1EwFOkT4Yr-`K|H&_?|H?10_R|)-dcuI4O(xi` zvPTu?q)`So-@PM7W3UCF9=7n)uvrla06>k^8LTf%+-edD+q(m)K1JUnI9r|d=*QJ+ z9DF1gN2=o87EbyGUe&^LgdgpjWXHqzZLA;q2bq)sAz0iw*%6B*Zv0vbo3qe~|&c8GZk>nt5+Nf~Gc z$4U}SWL!7FxCSpYPLd)YJ2JntMft8iLJH|&Ys?HAnSXGb%RNY5WV!XV3E@o4OI|no z@qUb73H4NQTu#y@UwuD7mUh1t!Flf>v3sLhlE(Tzzj<0-pVt!POgsxzq3EOpU{;u{ znuR_RJh)xap1A2SR~$dC=xQobZz95)tT=?BPT*VcF38Rp{SbJ?7Ps&_+T>VrxV5t> z51Z7W{o080cxC|z#yCR46Mphn1Eo3)c=2Pu#d?JzpGk7|Xq1ZU(6-5-ke=8dzjrL}C#!<|&bDlux2;6yb(q3qwZ+;@^jQGj; zzFCK~0Q1u6X|go7-~|SZNG#CKH|XPY4nhl@yi;PAb2FfG3^=clM||}t1xcB}`Lv5c z;qphSWMuoR&DR0FKN7Z$TE(J#cz=9TCDa^lo#@+Lm82&SvOgOx4+RcWGO|gwZ(rkC zWR1XLWBxez>0MY`<8E>kor{Mas-G#$?+xU%IF_fh)D*kfbC$>Qz}RtBW8UB9=%Q$V zAGUUMEtswUh25h8eEVs&jXT3|&yS|)gE%wf&|uJmgOj8^e4K}Ui4HAF>QuEYJ1$O- zM6zn->eZ{n)s|0C-F}N+EvXW3k!o%j-n7L5%jk3|$gk3nS2MR@8}6*5OTguD zcgyO|CMiU78?^F1! zx16=urMZgLvsJ3UKgmg44PSg|4zY;#vj2A z(%*s`m;WTV@f7tyG^37a4@Oo@UHom!sy6xi5hGYAN}O}Na`oU%MriJ+2GjGc@Fx2! zzQE|#_Idm(zMy2B@GHK+@lSlgTgzX9o1VV}H?4mOZZQ86+>Cq)Zn|wC9-dVS8O#Re zSb{9#k-U?MyxwcG-med}>wIf)U0aqb?`6B(>)e~xe=M*)F_ zF64BTzq-8lHrKGp2VLm(&mT-}Sz@f*&!5pZ+pWci)QMNx&1 z_ky8)i%|CZzmMtb#S`Y?n%NS#oMty@eC#%jofxPg zdBsHGTD)fLF`zKq+Ehg`bhyJ&#xzRk*R~JQpH|L{*Dk~k9bagpB-p;X_1r1Zxlt;k z^e}; z*{50=g>lqW!DUkR_x$9C#A5B4+jTvHJPx31THTx)Ktp^)5T9Vwl%q^&FX~nfTgh&duAE)q$*w@pDLKj09^OCn=N_^#ncw%jE-6UNm>_Q_mv~ zkxSu!rkF4|N1kwpDCf#~4deI2`OVBydL~%zLa^@<4hgWJk&afq)7vv%TbsMVR~w2C zj;>o-Win;NwPcSHP>~p$#99mx3)b@6H&Ma&GzQBz`|5s^`B~R2(@Ty$u$CC1jt1Ep zLSnHiM8g_NEznOH9~aTGEv1NKbp8nXz!t+X5%Ep^!D`r|--sK@Vlyr8hO)*>pR$&Fd-IpM#`06!&t5UMAGKVU-SpAF!92AOf^XsK(V(dQo-_UM z5>@fY?t{g8+4$LAxeh*KPlEunIli`CUfHn7IFd#~oP8-GXtV#ci29lL>XzPwodXz(jsgcDuevH_&Isxd;hG_!w}9))6B(eu~mm0WB(}mT{@E zZp1@HtZ5e-(TMgtbR4CO6zhEar#z$R!%D&MZ$xV#&I0=7OLNIpNu{5m?*YK<-v`&> z7XWox+)$(+NFHlx9%>ivHz#wSrX@XR-CCP(uAES5+voiwj{kBWW)gx=d?&*nj7 zBtEh0C=&#^EFT32U5oV^lSf6$X{yP(#AcVjGS*}k<%~Q@#9UIR+}gjaYBAXs5OdmG ze7kCZQM4vOUe@%=vJ2MXdBKfU?g9kXdj{%1;JQ>11@g(iCuas}#&QEW-Ds>x-)a>v zg$aZcryiOjFWRmZh47Xi=k-EcaNROp92Kf%X?Va=eG|I_8O0kzKr~2`x>mT~t}T zz-w$qI3|=p6Lc5VBf5Q;rS!VaWrgqYyF?5Id26iM#AhmB=X09GbK0OU$CEy?yuxQ) z%<<3hUC=@;g{~(Qwmu_6!-&S#8`D1Qh+yL$O7~ipZgy3(8Xcz?xz&ZzS{oezHuc3u z;Ic~NRV3U%MPP;r@KgDWBq}D#ls?kQg+{g2`j`V0mT$LxJe6n|HQ!Y?6Pi?K za>AUHD-7CwcwE=~aQy7=hi1a(yRik17z^*X&Rqqi`$79PkEt9l!ws#oMNBl+Y&L^6 z&n>9Sdr>9bV2V2`gdYg`mXSN8Qp&uR;%|S%rIWD`@c4X1;`-JA4@Mo4HrzeXLgV>cLw;K!WHln$~b&wHV$&T3sb<||zP=4alfSDL>?LH-EAm*&6+ zFCuTrxt@DBm3L3^sRZ#pzSax)oi5^Xl8;cVi~NR*9Cw#2)BgnYQ~vZFQVrx<@5*}$ zYN0uTVFv^r23q2oD5{PbExunv7NF#6j`6hZrc6qk;RI2P^G1a>eTFJts-i$1(1E62 z*rn$Rgiyl2{QyS_F+(2CGY9I<5T{Wh?y|h%H1qUcwmfFL$S>viY)_bwD>&Lsn;!(~ z#b^4NF+^By@Fb1hB2uV==^{={cPGJij)Wv$Xgf`9Z!kuC*HPTrNmVC|y(?wr(t90U zZxrTdZ>0Jb7hC>SK37LLbYQGY1&b_KH6$lW7ij(cG%6O>wtefC0DS$jC|NQ-ge$AD z>%xz~J(KlsWzCB0L%4-oIi;jytS=|nUgWuOkx|G+hF;(H=qVT18(DH1Wvuh1;{?h9H>b?Hl8SW}k8%C^01w}y40|)2Nr1~=ItO2dtM!7amHJM`J|?1sP9;?@aC{9x ztfMB=^7{;ZQmZwq-LpQ5%_ zNbkGDw~Ph%fm$3ylTx`k3z86o7*~N&kAuhC*z4&mosWdRaUJ&{EqPly5shtjq6K#r+m)!V{DyU{0z(F6VYpJZ%AGhxdEmj zTrU_0%Z^0bGoo1~Uyj{^T>~-Q*Ep03*pxFeY~T{UvG?V4#iqTV#T#JDqg~XQq16Kn z8vQ|-9jh4~tHZha&NsSf7jRj<^`+S5S{I>_2M%!o?TJU5bg&`L#K$H92tt?cR~*47 ztWJr8n}<3&J(x$6{KXF*cA0!ptHnIwDAS1xR6AY8aRqU2aP*q5tS2y?`Dt3P&I<76 z!)MKsqJ7!ziQ*(HKKKFZF)E2eq&GInEwuL+Cl#yE6{bQ5d()QyzL*y86@ffE!5z-=G49`!@S|IasKAH^$2WHYAl1m*L(HbvV>5y*@nV4D1bq_ObD;tx^^#Q zSW0M3QqPp?G3l*?S($y8R(8vl?dT#IcxPx&4PgASHfvp{aC9BB3679)GyHXd7%Kz& z{=jL65qzaow|+Qu#-gMJcZ1mE@!gX`gFl0V&yA{yXmckQZ1+h4~FSU}LaABQpGZX!w@^!J*kr?1)E_c}1%xM=bV z0StK=FT{BP8O|cMT(4?~WNQ^S*D<~aB0DDSgK$2Zckef(^|);D)AcA9R;1fX4o;fN z8=qk{2|4Tu)ZRyGMa*c9#B+5AtZW&!#bwS4TE=#-_sY zem^)?lvM`Tw_Z2VZZB7D;Z^GHy*{Uo2fJR97QtGKAbS2+P@pW(5;C%x%gBzQJ zD7y3^-*pC|i(B4fV^&*wVzaq6;Ka})GFQr!$N+8E$9S_2hieldOyTxTmmVke?+e#` zYbjz3<@l_w+Pl13l826ruO8~%Mw~DC#0gsvbjcO5JZ|91`huAECHeJTUJ@7{VHm|n zX31@HQ4u0=Lvn-lw~-`)9HEUhn@JtIVx@eoHwquOWKg#Zmzr$Y`Ow^g)Ju2R#_XQQ;z!=CyI}M@ZH?#Oz&2_!8tIz z=t)8`pf@Y{1VpZ};ri;o_!b4vQILW>WlJQJvIeJwMQwzoiqGEFPb)d9pa3#h+=)|4 zs+VPUFHaACCwkg?$B**DIy6#t`0+S-On>Q`we{Vkkl#J5lbu6p@esFBNM=U>=+mva z;*yOk3o}tV`rbi<0RQJrU@~8GHKWn8bBtc)bzzVhIk&v231=ezs{wB*=^PYODVixX z3C9l$!7{k3S@~ES0U1YsK45v9(|C)5-PB%etWbkrnM#R8;!Y9Xnm_e{Hf9`DkC8}= zuly?<6sIt-_neWzU0{I>j^Bbj&R4#H1uaGGotV8ke&|n5gc%(5t+slLZ=u+O0R?G2 z+lOkd_wLx%1cf3^C-xz3;*ozQU-$H=57El=;9|+X*Q}3UY`C_p4kNC(=$sl(x5CF8 zC2@#d(famOZ$QWH5)yL*NKp#D%t%4 z`cZ8X2mgM4E<=@P1?v2b^*~3r+s-Mbu<_qW}y}KEE|R>EMtp-caV@H#v~{PE~DfEHlpdEb~?Fj*Mt{=YKKZ zisTa-(fO%ktvPI^VTY5J#nn<`Vmt2d%rbnN)aKw=9pSGWINQTxX%d|+vix=YcJN(N z4EuAvpX_Jm31_kh&+^@{mtzDUz#A;|%AG_D=Ik2#3s@e^nN%<1p|n|?ZcDWV7knf1 zJy~rOeL#!+X#7~_PP)?^xm%pRHR2fEuSRF+3wAwK9i}p0g(JNwI9=>5pLb`Ex@Sx( z+%m?hE>)%{KRfv7*_rbaORtyRj6M~&^}z8Sx(?Gj&h6?D>tn!Xlf~UL08UWn+Fx=< z;Fhu|qGJ+^4umk$&}0eoG;P(K=;YiXJlONt!CbsB{bbs~OR}4g5Q$%Z_m=?Co;ggt ztx%@PzxP5)ZKz_aTvMi;#`*}eHPfYeS!|(>kT~&2)-&aQ^lQ#s`zZvA|Q|%{d z{>ZF{%Eg1(HOk0)3>{h4ySWgIItt@Fi&^~*%N3hnKLlH3m8~tr@B1uci+^Lwp+&Et zTHXIB2T+lL*qZ4=`ovcyYf{a^VlRr~>4eTe^{RxCp%e@3@~zY{5BIw_Wi+D<+nb)^ zSeX2~P0IWZ!bQ2$g+&Oh#k6e^^~HEO?1e=hkUDH2bE8vPh+>7r-L}*Mj8qfR2Bu>f z0?^K|-6E}o*JxevKGr--!WV#)2z7YHo*DPmp>D|6&-joC8QIgW`zubu)~*u$ z&1;`5?D6$wZ!?aR67VApO@0>)Hh*6;(NrDtbr|D37;HNQ_CgnFR*us{6s~WAPO0cm zpOSE&ynO0`&hT7Rin46fsjoD?oRS7Cd5!#Vyh2CF{SA;&o0`le>6aJmEg<O(#5pM7{fprAO&77bS7>`TZ8)kUzBoeRKT}zcXG3` z4^-)JoD0(6ce&W`WCu*7WjFQ`#u^-3^X&N0P(K@(ARSKwv?D?}*tl!s>ibf4Q#}M%Du@v1U=2qAu%;JBo$Q$%~#Rkem*N-^PNex zN~or}QTz0DFNo;%TP&e%&3jYwWZ#qgBBtsTOzCE?{FYwQ{p1Q$s-ah6W6|Fp(2VW0 zF%yCnk=g37+RZrD0Ypgt_!#&zZy-e9S^3zgPNI-8dpTqXrux2OtazSnF7Rh9=ZWw1 z!MK~CZhDQ(M^Z23Hq8M9sl18%kJD8v6-;Ai^MsjuWzD`E za*4A<;=g_ZwclWvd9Ce85(!UFeuQ!iX~;yL?z=!<#x?@q_ZvXCnwI5&?Ga{uzd7EW zq=%(BKVR1xmOaPqSr~KFF6BLSuiP_hWov;Bi9z_+x)So`Bc-CmKziV%B@D4Jxid1?MVBN3*CDnX{XGUsw2 z%lfx8(5f-kDTb)2u@<#bY*6|wK;7dWvDlE2q_ATJ*$ATbjW!TvPUQU1tw|u9)Dw>O ze6_!xwgo07J#SDq-!f(o&Jn$PF@t-~U3n7^5!WHT`UE#ZAX0}1^k$83OrKSMEoEq* zwHXOmY13+GpH(s=7}-=T2DI*vD0+7lQfJt})hSKrsgi&WmkEPw$%}x#A@US->q(G6 z4A>NXO7sLia0jW8thld` z@n3Uoa23v%8?@O+qm3|GZnF6utOF+Zz{qRuBq6|NiOo{RoGnEPLU8Bk>i)AK9`hxA< zkiB@FEfsuc0o$!+`FO1pK?uAxfZPqfkp?Qr6uuNFpeISpNr$P9FqYEG6K2|{V;s4i zlM4HqSv3A<>y`M|c39dK*wqZ-*WYUgfBcWN(`EW-3p%V9#3Vbn<6N)#5^su?s$n8= z;#4J%ESF>BMy8kUIy7dE?7g3&xp$I6XAc-PH=xEQuAL9;HA#ZT7T&T3j#MTy;MR-< zjqNb3YebKJvk1k@qQQFD>krCcI0TxbI*2rfwR%|S z8K%$@+3K#9rWB8dWu^{m_hr;$h!+#BL&wA-CcnyxL*b#a>GtWfPgTJ7ik23?2rqKB z;1yW%prE!IYz)05H-_GJzR(XiYt!0G_^?k`!VQRw9Ke#7!yS3t!(oTIO;Tk>8M%l5 zb6+$36?Yzna>1#k@|d~N7kTUC*LC`q@ECOO)xVoF1FIJr1pbDQIfZErDTwt{2Ua%= z3`5>fB^{4DH+v@(ipK}xCmhMoCrTq$>W-{dpx7w2EwYdy9*g#2zNiQco(n5?onxt) znK2Pmf@uW3e#U$59XYPxQ>-kj%m1(Wjpz zA`o=s`hCDKNN-l_yhVBv!<~_04DX_bEJ^MxhG$QM>zb9PwX?i88{9X-g{2;9yjOAU z#1}%Nr@ay?%oMF=p~TO#SU<3rNIa3}Y!xHt<3uGo?lnHkT^Dy+UNISUvV}nDr(vD8 zR72UG&d4mPH;&FQKfFKG&rYv<8Zez@j`NE^dTi-h+}1ZtGnEOseSRBl8{%l&uOa9N zYVS-9%E?C}2)7{~-LLqmq4nqiQw71TN4yakTyV)c@n~~}m98oH3c`nqCGCKym{w)k+eRAU4Lbg*N}c(Uc+zb^5es-oY~FY z;Bka}YU|&_jbt&b!~Sqpf@3G!i3q#oRmN-1%9HBeNgmTvAld5lQ3RHe_qoj-T?+jv z6$q8V{*?TN2rWgdw%cp-L&9YEK$07=@Ix*9J)&B*L3hvL1m3C)=Q!~_H_9KES+SNG za8dXAS3rtsluXoJ)a3RFm={AZG8v{s+Knk>IGd6?7-g^*)?{{wXGkv)n4$c)P5@?q z5;3GOf#Kmp`b5P@eiCf@+XJB6ECzN&W)~U)hXO0u0;jxPdSA{NOC@>R1<=p}+zcqc zp%T!q3oa|pADhgH*OSe^CA0qIpZuQ@p#SGk7L|XnFu=dCFp4Mxu)jlDJgLC$|Ly+& z#j*3h$gn?(8%4T*A;bQ8;lG0nWBWVsMbX5`&c)Hl#EF~xYsc1hj!O0hMkap-O7wpr zTK@#aDE*CZ{e`OW^3sX8JBukf8#w=&DfTs!fsx~HtWE5niGPj0CW?L~%zVxHuUWAF zFxD{rCo=5IU-SQo_+nxDzY<^nA`cR^uy!_aq!YFNTD`D|k)5##-9K3?4D^ib{~Pk9 zW2e=I;Imw_U8uaRd-GZd0kk69J_$sDs6K=W@q2sJaaY8;G$w%b)Awj1?orZlX;ue0 zlGnKbRScP9KP#PsNjvA4T}=(`lGgqH*jJQ&m-_b=?FzQ>#SOB1R}W9mN0qLda=F#A zeM!8CY0AO5)FQ>XF1D$e_FKBE<}F^Eh2K}1k>h`5+OJneJ}$SQt)F#h-QS&@G%&He zK2p1@?guJ}&X;9fM$(=7GQEmy%mHnj=|{o{SiCInRNfMRqTc95~OMdAjb@^F~$vJWIt0S)PG-{l78w|DM=614*DSw+ilZmFbSn^4ITKJ(T zBh`gKq-v-(2Zm{&AUivdQz7>I+3Mms%R5)UqM|0vWVME+ez}K!He8^~rl^m(&TxNh zL$!LAaiapDP*JqCp8d~~C=}9E0ccH)Y<1?6$^PD#j?Zzhq$r&@f1Q)4q%WIVbuwu_nw?^Vz(xSs|ZK2 zvrcimm`4eVf_q=S7tgDoPbV9|c*Wb`co^@!Vj*(O$i^CAFTm`?8t4Aux?@M4s_s>M zu$3lmtNwqBYexSP*K``uur>+_i$RrYWR0i&5!XbXGy=u;T4r3;PJD@LAg=W@p*q7l zu}*4g-6RsymIhY(B!i4FL_pe282D=nufD`J6_xzG8u9;#Yb53p1qWLJ{}$Km!2c1~ zKqHL%%Q`HhU|`Q96MF+Ae*HZcVv0hGZ}o0bB*44o&oQFl3E)#2dd;BIqBDZM zq@%Oe;c?pyuW)RFe^YYSOg*N<#8rS|mb#|!LZx{3=Qew0bXF+v6({#opHmp>Bej;H zcEHKs$|6QxTG~MJqb-T(OAY7eIt|oxm>I(Xkog0C@rHkaUwr^sg8fz)?0ypBVdgbq z8Xf!eGt2^kR~l6OVw==am@H(V_JmwIIKUl##KJ^6Vrdv#{vht?CVIAh;-Z#Z7=rLu znAd0#NJ(S^M80`1lS&KUXEU^eM`g`sof?FJ=6O@Jc#%m))u-YH(aHnj@gx=?$JY>^sO4=G~sDwYe8Y^@53kvOuBY#2B_3MY2M|L8!<4+ZMRNeC{Dq zjyQqNadGk;vkNl@fJ9e-{4tqT$~2`^PU%0NE9>=Mpc%;1i?nkDgsytf7wgyZN~m1t~uamHG)kWz@DL$T1FKE ztn&Z42|`cqDNQ8phXR5K5NU4=kc|KR5BN1Moi1LAS_521pB|11=II_~a#Blhimi7< z{Y_Kg}(p>$ecd_ygW>pH9j=Wa^G!rZmwniil+ta9FB@vrKOf1|dTL;pux z^Zuo+$^J)MqdXoADM-yy7(bBJwk<D5PiVk70G7slFa5zvWL_tYAL< zg?$xj10T z%KbM)J847^WGejY$A)_tx(u*0iR>W}vhIIK`{JiC06R0Yz<( zav-8Hm`11f=guS+LA@3JjhcPui;Ge2h;Dx)U%88?rmSj_i#1GNK(7F2<@jpm^EjwQ zZZ7rlo*vW|7mFJ!?Pj%a&Sc@R<0^<>q2>?J(>&Erg>E?y`P*rc7!!D?ePct@)Vjb<&XITeQhGn*`*%oZQAtstd$*XU0yb4dNpa^w5Xw7)!5NBFT1sz zp3KjiIpg=4f$%oZ{YH_nZ#|?fSm1%r0@)l2$Y^|C2K}PG?qR+w(3u0ow9xx>XsDyV z=YYL<(qWmWtl-_Ig6kLMY-)7qU(0xR{BhRUiSFysd^u|pH~CBdIBW7;%m#iv0k^F6 z{Xn&n$$iUvvz>L2*2b}9?y_ZIZdr@MTy+{(yIvk|K$|ungQ!Na2ajy;E1D~`GVvxL zF#m=}s1)MSgdds^eZ{L7lu#SLF22NO9)*4?gbQr~6-qVD%fqTiTZdA|&pDq8lvI{%i*Va)#NxDbJsC{)XC z4OEWeo{?nrNMtvu(wtzBnz0U_z^)p!UF&z5zkI&6-qv@nTDk{{q^_zUqg=cq;*J$o zv@>2MxIt-TZvL>~O*RFTWu+QRZMiXt$+Ja0T;Xs#%CL&#rTCw2svJ)F#H6##`a~*$ zCFoGeXtQ+-&a{kP$;(NG71Np!u)2P`fmsjlA zHND@3(_7NWeA_mGoL+V^eDxppd++pTah^U`R7SiMglCDi+14scTB7&EHXqd{W=)kCNdWtzAEVRGd174bX93;{<8Xhe0! z#l9KNA;9KRTfWUzAD0>nL)q?1!EBe0bU%e1Th66FZHkx}`;SSa)x)ho)BCKGZB%2y zCGmQs2wPlo-+0-2M6sB?nZ3=-0ZPKRZ7ZKT$(j&blwUYBIQM1J&cGAXfu*2SaW|8x zV2yy7>6|2jOTo&z_=6KQf&h~9NJAG|Pw{(lfZdzmh6e)pg^t@Uty;dL3{uU8&Wrt=BuLgx2#XhH_Ods@kTQ2ZlZM>sq~5aPNKB znARjUKU<4id5_E~>a&I{2~v5M#@DY6EJ=%iEI3Qm35Z3;KIw8q+04v?ADYTCX_XE% zDJ}!@6H%S7^tAMJQH;F@iIWL8Z-nBP@^-uKYu-5W`ppkpMINzzIi zQ<1)hwHtK;aZOok;>Zc|mNmpfsxZW#M$jX&{%4?fQ1gPQ3DqxjLT`|vMw!-Np?E7D zCu4@LiqH}@GL;RPYn^!hX9O5D$aM*k&p@mnck0JKl=gKkmWj~LRs9jjd8h6^9Zkc3 zQ?fgyz`TH9)i&V`fC-#`Ok3BYOk6s^;01{A&~FmbLa&+$wcK8}OrP`$lpDzK!?Ex3 zaDJ0DliNMyZJl+nURgvyu?Sola|4f#*L{b2i718h3?)k;_QDIuc4s6`I}+Qkx28<+ z5uiPpL%+utP8zfJ9w9Od_@;bSn|nwX58d7x^2{{r&LSg*e1!b0iv`qS2kN|mc6*&3 zXBR)Rul2~TR5FJ4RFy`uwJ&->(CU!mZD!qnuZ7iwxSy%JC^TPbgb4V3cwbA^{l#T} zy(qam&Tduzq3qb!jl2d!W&)K)Z7M0KrqOPgUnHd2^tqlK(R*xolXJP~nX%QDeVO>@ zi;U`#db>sWGl;6$b8GsjhX1K#F`SJGF{9iU()2n8E#P_bx?2JXL2Tr^9opyAA7-U= z-OUQ?8x)XF1$ke2O>5+?#xjLr;jT7WpBe1tSXhs2n-%&PCDSV6Z?ufv`E`Psf1O~r ziy)gZC33;31DG#~YZc@(a!aa5p!?o#YoyoS#eMM8?69jRSGFYur!=W)7y#=z1=!k# z+9TDlq)7{Tk4u?toiV^{(<&|jl`C2K2R|2*C8{Bv!25&SZymbu%gjnA1CA)?D8roJ zL2^Ias=~(MP`GwA>vC6*J8Dd(9wljKrfEyz zUvoIp%-(Z2%GfU8`ePl9xeSy3>K<@&+RymwSR#W)Y5UEq5?zxXPTa_XbnBrdy%C{Y zJ~$^S7F%yZcmrb#ku;NJIkyCK&8#`~iB7Nd>TuZf#tJw;{H`-bq`TJg_Mc*dfOX$c zlP|`+?l*AvSsdgnlW>&UG$cIs!~=vN287Ix%aRtyaTq+Uva%8eO3D4C)Viv>M$nyA z<3|ZYgHREwrM%gD1megdIZ;Yu#2ivY%CZ!rxSpuZYMp-4D(YxDKTH+?vgNJ1ua!<+ z;AF%kIp|DeJ1s*eI2#oO?>AG6?A<(PL2!;ZsQQg?Q?3ccx0Sot?`4C*>&3-!)M36uUe~FYJ+n~L z`8_Z+wbR3jw;DidqXk>QLEprGO+DCn1U~~L)IlbHbYw#g^Wuo_pn{TQS)>{jRCJb` z#e@&KDmllwWJbei6t&t|)DgQ!yGS5E-HxTcEh!qxESTxitMAiX&cp~xh9F$mjfS>Y zJD<&?spHeh}obhRQFXcV=p6ICDrHXG+7r|;P0?ULt81z8SaO6&N?Bo>nSsT;%HyWK3 z%t$HVDtX8cgcESi=MAjzf|HbjmXF?gn7S2+ex_j+*7Ks?XN_^)@PKh_zq-3uJ^zsNRbd*P zAMk@^eN*!u|vw@#6ARrz$Us54zud1s8-`z{>vApG`>PsZI3ra)8RhE^srurrADY}D`d z`@FP+=n7fJQZJ1!t@a}3)TXoNS=qX7zxeb!gVz7LlV|6cMMdhTX&4zV=-p>;YY2%* zcnl+{nf{>{Dz4(LSD1;uD=+JVaXr;aAk_6C5@?%$tFuDs_eOu5!$7av zzCmq?HDQ|cI$Y=^P4Vr5fKfN9^NEP+D##XT*i!hYZN*O_dKj2B1v6S4hSO3S(J`o7 z)kd&owH_oNiVx#k8uY4|ZlM3t zYBNxh5}NRZiip}s1gv>ariwFuOaxQ}ZI_yDzZV^OJIAjy`LvERE{?#R&UA3l$caJ}h>Snn=-ga20alxK8T^gZ2 z`Ybe9xzE49JYUjIYr8%^B{s!joe|(Gmq*Cg3c^E?8_!n3>bKZ)+}#C4Ajg#Nqf_+( zU7?go+x?_*TFhMl;qoSxx*I>>Am}ou|G5MSY^SZOKtDlb8^X~IO+C!nxzntUyjFAR zu6cmka-n02b$9rv8vUsm|DFwd-6&Wrl7-TbCAVXQBhf0fho^;J zpP*5CK+hT?6n81d@LAG|xfJElded9djt}snB63&B0VoF2UMqgS8@mG>CpR_a=`EVUjej|^HW4_LZfY9VZ(H~_Xo@-S{fPDPzP2ee(BB+bYwrG z(kWjfvu4C`B8e?X7z3_OF%*@!DU0g{fwciT{C-bcd6IYc6@?S*+T6aaP`cKco&FRn zNGxFNSNjyC#k|w0^Leh;Z|AM=wfrHytHGSNrJy}*T3$CMBxD|Bs`UkQch*-XKQY?7 z#s&x}Ma(N?%$!an+F71FBQFEhz9$#@F1Gq;_9n^8Mlx!ItIQUt`xc{CA`+@}YDR@C ziJ^=8D5H7^{}?ntZ1ie8T^={>kyed*x1YcF7#~F zt{Zb5$UfwdV7!oD8Y(j2nU>=^s@NkgWAY7Vt6&w&T$CfBQVX@(Atd;4y7~g)Ma1gZmP8D?pYKaecJoBDeY`6R6V7UC%LfY_ z6N2-SQ$p`~^0`#dzzEvgMPA@-9&$3Rln}4PJ1wH8{1HaMPS)PYoNY95iRJ`jh@+yF z{&Qw4M0P`2++zlE(3$$++XLQI=nRo=GCxMmoai^STC9>^OpDGJ#|Aa3M!ay z2`Ahd^k|@f%Ovpd-n=wn;@?AZ`+8Wsh6S3UdptLR->+yv%WZ%C0NMkQLf%|({bjnB zA$TO{y8G%t4Mm-BTi`I8IjB>==aqsL`q>{0<)U25QiBG7nG_6DY6 zvTM@0sau2L25G-lz*{i3F_7mJ+bQxV=Q6p7XXTp7-Z)h;p4$X!+}J`)6#4+ zXnkwQ1RLFgNLf8vEwWxe)A3hk5w3W^jBeX$w+D@$`9ad+z@$(Lit?3MR5)AYAY#>Q z2@Kg)5X{Bq>0OMc&RsX)kL^sK>t5zd&eMKqIF%f zY}>YN+ji9|+qP}nwr$(4Rkm%f)wTCN=iJjB``+%3zWpo5{PE2(M?}sXk@-FOyd}^G z0(?5AN1R{5yKS96r1~bf53T8BYREgK zPYUM;GiMS^vDY>RA=nFRm&qw*Z~!h=pYM-Z6YuJO+Pekb2o9ePX!*GV>PPOD8&U^y zJc(OCD2H7CrVK|uC#(CZ4wEcO*tr{xBLF*%;i^03dRF`nQK@zn8@m!!;+ZnCDo-Xn zY49@&>^?!tds&X{8lYWfavZshw1bhaYF4W_<+d?y+f=6l%5#a~TMG8ICL_6zN(43G z8Js&}ET)*oG=3MB7yv3W1z$^6hBondz z9FWB+3H-=t;uuu@(O<=JqY>qiCB^v>7i0r;wNt?Tw)GBjHHIozgG~YS4bG@d2XTZj z!g*D>kbTJkvJ4zTO2nP&CDc$u=R_WnfL6Cr=lqDgGL0M^?a4mo@Jx{4UQWt1yJ1`!D(ZiiYL)}LqlPLcRl@1pi?R;=s zuwB8z)})RZjP=0&$z9$DHO?wV1(@1prqH{dN*A}-S=O5wUSaG<)RUqKHEEGch749b z{>eeJ7B>=7a3n%>TIzY_Az|KRhS?{ma!JdwM0Ty!Q$jm@Ya@rb|E{d821`)&UVFu# zotC%rwVIye?|Zj*{WH5P{WwK$x4XaD6cy6p8%&@w1n`&rt`2nH6t8Y9Z|7$#hLLEc zjak`qA9BA}c-fOdw*zzH@?jKt?-i{L(f$`TB3X1xpb~EC2x;WCMjA3M~-gY7CdZs(W!vZxD)63xO zeBGA*Ny6oRNY&Btoa)TkVM{lQ@$iQ-#^OfF&WzV%CPCYSQn+qS(AY!664ZNm>P4el z<;3BmisCyZxLq#ME@=83TC#VBgrC`xgsT_tq8-Ch6-tsbd<0k7t2VP7>!KM;jmoC5 zo?J6Bl1Qosc56>Z^w^}V)FK7?uNt_VmVt`9!-~esn575krK}b|fhsi>=Jy?N2wS&? zIh(RM>Dtt$;lS__2>67h9Oa4&CrypeH6eizWkwwTgv3iVGWa$H@;g7cZ^FK*6VGk~ z9&giLJ!o}-+pu;vT!fntx!C?qr+jRJ>VuO4QPXN)a?m!zBa5XNA2cG7A({79byF-= zo=@(WHLi!VG|#bfz~5OJ2C}!4e2^$ed8&(Gxf;#L@y&?rOCg7hvLYjlBn=N^l0Ak5 z|I75(kbn%cnvDM9Wbo3HMYuVo+|my}WxOt&cvO<)viGdyF)HSI%HwwheFZ#dv8hP| zQlR58@W1s3b#BWKULRgE2g_-)-!w4|^o!|~W)0s+jz9+PF0pJ~jhOC$-z%;rRx!92 zj)L7MDI=m4DJJV~0R_xDz?u=+GXSeZBv(lr*cf}?dmSyf<2z_O_^#H`yBWl@{A(m`Y%`{|+-U%dJv7JqH@bmpExs(a>*aT0>k5+*4=^F zw2CkV>o%F8!&fbEuXJnl0-7eLz}jUZ1}8+xRcx2zBfFdyXVlV8I6Q*WoMG-~ms$lL z>*8Hh@yfkO>9GtWXvAdB!(VP;D6wZMbnHZxS-OT$rw+TP{z>QJ8Fc`WT9vc`Ob9if zEEPhHe$oBSW#@`jT2}nqpSooz&e(s_0Ag~$ku}?XW$d)8s>8h`?L~2|-a3dQ{<_1Q zKg)Sb*@Y#B6&ajUDXlz7%*SANCE3%mwOQ~IP|NMruryGpr&MSJvrg`BNLHvha>%+6 zv$Oc9rsYSrWoF>XmJ8#8=b_cR@^&DsX#Yv#e)V@O1Av`e$VMLF+rXkAWo57F042QycE&o}8SPlwZlLFq^HkHq87sdX}R( zq30Q5^SJ}o+LPO408z2RR2rs!y40|+JO{FxA@SLGvo&qnD^)q#lgqL529PJyweg&2|-AP7@Dng zHoM})my;G7DuVq}#}As8TU2f1&ZCfaVU~IVRtt(rhTKr8z@xx?+$3aI*Oam3NLXN1 z&7{q4i>W`-#axB*`wG2FbKUh~A1hE#ek?6^nKE(2Ha-K%On%36Aw~%bdmEH!x_(f< zjv69=ID&5%;vIvfe6hVuoyo5Y%HpVvcRg&a*A2pUn;vd(2@PXm#JgwvDIJ~bxE4>~@7QElJ^HOPnfDAM;H+1mt_+lwY!G!Gc2SY}eb1SNIl z()}*Wb@l1QpJT`3*GJEtX6)mlUBvKyFaIU1(m4rz>LsX2?uf1(f7y%<)W;q`{RSnD z%%q6vt-kb)yy;`b+v$qPrL6*7u?GIT(ds7TNGP|p*#e-5Wpkt<_ zOB$9tA_$ASAI0z!48`GRXcw6#h1DL1E!1fGx{*9tKE9IWz9oQxP^!2a4+}dr;Jbce zIEAakcWfSI>b~zsMD0L)-OuC$s-q2^TpjOaqJ*hZH;tM20czzlZZrK71{}SuyxTY> z2vm4HtTf*jL+NHiM5|Msu2ggU+$0U%t^z#+*)k7S!cw}yxG=kbzB6awp;iJTX;Fc2 z6j`5b@>xxHQk`~$D1(B{TTnPWb9sI7aq9^3}%T6L*ukD zSFY0l*XbV>fjCcibN8SDy00FFT`$W!oAowL$(9L3*!CrWgtX+!Qhb3!i3&8tgmK^) z6gzN|DKDhReUo@*?ZWNGd@xTM7fq4!O5%L$p~=?{ibJ7~ewyMfsaweg##l&g!rB7O zF?D}zPs+ENoD}P^?eLB}^Dird?ejs?7{GW1itf3-6THhVmfH+1)P=hwRla zKlzAzYXnf=MP<}Fezi8Y?x#0nOCTALgc0Aa!|#Qsb}CaBMvt1W@JkXH`BGB<`x(eU zz&Y$7XTD5TQt@7l1DI+)-N%_?;>`sDe0p((MH@VuS%LDf1%`@o^z)wFS8Cs?1K{>I za6b=m`8>h%w|4z)PsOg_Z6~|P-S2^8n5iaF>zC$O*c0+~P&5}3BYQ7&Z;m@P0V0tj z3l>(Tsb)2KDKytRSWRBz!jDX>cJ}J2E`WGk>rZUGw+98P2?`rvE#fK za-EzbhA^h*b8gb-5{tN?oX-Q7aBYP`Pi*aN1%Y=~u%wwJ1&Co}GKhYqL)CUKPo*-h z#V`aF11gd}UB3_degNueM$UhWdH%yy_x~6(Wn%lkvkxl&3o~W?u@5!?0D=MF0Re~p z+j;+6=HUOpOaJBG`)_#Zzw~?mzhwUXf51zB&{HM?7IxNulBfUsSyLufw*Qn6{!=^n zpCA6O+QEO=3jfnj_)qWPKmCM1zehvf(9*={A5^QP4FdrS<39z5|7#y1E9?J~PW{I; z|MRotKa&92nVJ7*K0==9`rWvG27-yK8QX&pbi;UnSa=puO&yIy;w7uk-Ll@wn|)zj zT5t$7&S9o9%O75#tJm}8>&l?3L$|i|V!i2o-L*?+ySi@q^8MgXkS8-gf8XCi-OuGR zYZb>5_z^Qy!*gjR%JUs;GxMF}vbN1S{8h_8Y0nRq-}xudzFsZfZ5`US?5}PY?~jk@ z=#~$+l6N%Y;6>47mH#AD4S3(fI*TAX*9OqA0~epx_E+BHftudXl}ut<#AFkfB515+HS{frX@Ud;IrPn`NE&*$pz0Jrplge8P!77)* zvA2*R1l|xkgU}O6(~lCi#F*xwQ^l-3Zmek{jaZTm7}!hHb0$RYYllvRD zxNZ3XOB{i+_Qn$IP{(q1IdEh)hZ#wZaippk(Nl_-6*CDtT4Gei? zknF<=$b(sM9UM%s$~vw`A%~C)qwM{Ir3(LGso6Ri<6>s3KUgYEnU73cH>Fg30}f#X zyb>lce#DBsf+v0UKd@9~we^T;4HNb3dT22g{2pnIxetebVX0Z+v(9Jtjkj%Achdl`Tg;TU` zZ!+a(p`KAa%HoG1P~E|4C3xh(s&)dYBt`lOMGiE=Nf_zVw(bjKg4Tj{oYKb z5n+z`!=*- z0>NJt7YJ9BTi}kwEeYBjnFMeCT8IxieJY(6!f2XUPY|1Obo!e4dBI2z_|-qQ0`Ql_ zv!Vd{*i7j6^;!N=V-(!ve(Zq0G1C~-O>;B|vtnY8Y1@B*sg>Muf>h+1=edQ3MAA6z;PVQLvSUQSUk z7**&%TX`W~@Xi-E8*Q-ud{=B8y3AeevUGvOiFQFNZ3AJZ3BnJVs=b1IO_$tD`#cn~ zxRQ-=8NvjIKFRSQbna{f{i;z!mn_+~^$aV0gPL8hXaD6Zk)i=DhXW;tqi&D$%1cWi z6ca;NS_Um=0XoJ@#er)2!hwRrjDq8&H824VI+m+o&p{l`fYq%Uom$=)%akcAJa9kz zDGmybePApZZcucmNUUcL$v-oP$}VI+qHH5|X{~AVWSS03Gvls)|;@7*1n38?!cak)3Dba`~A#)fL z8f|DM_+Y85OlRXFIy#Gv&h9r0!)5y6KjmbPw~{Gt`0@dSYgI5Q9+qtq5r0W}U05$n zmR=}<6BbWcO3n{dQ(9Gc;8I*S;Cuq88*s?UkS%dQo;v3zuQ4p>9|gK75OFo3!}zfK zJuo3H@@WDFI~9Ww_o0Oh`iq*ADHGEXd|_kiDUvo!R|Mr;L3RfROdrJs7pFhLfrz!Q zy)RBD-h~DsVpVZ18vHk+E+LO4vl}Qb(3B*6)S@;gRA$inWGHY9MHV%6WOe2BW+gtJ zti|H|X1#Bhm)AMGn%*3kVz8D>5CLK>8<5I_w7k`R*i?kaSIr+b)mLXuN4^lx^oLEA zU3q0re6wIC{#~Zd9K&gqT1zMsmAzMmvwqn%a@3WE9{J4e_1m^Kt*XZJ#oD)Zn@*km zlKR=x*SBwbXSb65@$vDK{-T9^N{QP**6!>BWqf{lgJVrw=ws&VVQKSv4c?0N@8kEk z>%ZIIn+gAcrmikNAVxTFobdezn(8PfyYVy?eevP!G(~>_PSk%7S82D#3|+E|Sg;1J zDNvOB&FJd_B6e|}+X4@kH{6!w4mSN_8unF%`3$9;h;5$MB2XP$d_$YA1j)}*g*$D@ zxb`#wKX_|~`#JpFAhL9MBCK5XO44|IQm0>+hR)xePl>uEEQ%z&QKW97GBBVDi7Hh9 zbE7$;$onI)m$_W2Hey)A#!_6;J70-6lV7t5wPc2f{f+)RpI9#+{`L+~d2_tJRCYV@ zM<7zP5&vPadYn5X9P23PQ=w6VB3C=tYbmm#Z>u^y!g$j5`GY;1lEYiTzKkOhO`>JEU(9)C*UD;rh)F%rv(TqVx~i(=RdcwGd*+%l)0-Z2 zgCc0HU0(=3wdj%?;r0>a=j(-DT%#!&)m?VDN4-pL zs?mJX>6ry-9j(x@mVx7`%Vip9Oo)2J_Sx#p9f_=rFXa|3o@dalqD;dZDl(}lUx4`Q z8ZcoSPjYjosZFhE2{w>XT zTj|es9Yl4j=n z2D79uiCsHITU?vyqFt0Ce{dw8*QeW4Fp850Hn9f>Nup!bii(_xj7pK8hp#l!GMyqt zVsm+*z|#17Ep~#u%`9)dLwT!*925QB6BqnpZ`df6~~rUE+|p7UY{Qfh#zK*Q-k{2yv*f{LAH zwXh+1GpfFaSTkF=&Dzp_^RI;= zgUsl1pg1>QH>@0QGEDn(;rQ!`u1xh|we-8P#et)Qzse#0UJ?*qtfVHK?fB>HNLy2U3K){GL*E{+o2R+>&?^>L2YuQGxa%c;7!rSCJ1 zwzB0g)>R=^6yHIWITEOfkfu`O`etpg{K{)nIc&=#_(hEYv`0q!3v^n=aMa0Qr?^@b zq}pU#lXXdL-+0C>k`W{+i>WdOo2VWgFHy|%HPWQ5K-6mE&amDiUB0h}XCV-^uhgY( z$)l1N${n{~9myN6KHlrFfRRufq(+l~AIUtgiD>%h_V+`(k>iMt-mmm7mZG>k7NeIak{b5wjJK8#~HPov`~kL5|cHL-eCyjC29upGa% z)VZBHon@f3{FCEr-C240BGDxG^0rXEzr!s#@c2C{z>%v*hT~I$cP!{nU4JgTewmr_ zDz`mr{!(;zNxJ9Uf?!ik_wXis)~vZs@(vf&G&4D*^~mlp5qM!$P%{YPP=H`YWQ7-k za9n1aX!)!u4E!FJvIs39$Xhx(DiwK+x9*sLC?D=03sw(nRwNR{Rr!T`2Ckv%MzH`_ zS-U<6qml-6PqUT}&B4K5jz%3fOBHidP{?etA%b1SvPTK;7|@Xpo2}*bX8}X}%AjEh zUecsE47&wmUO~m@A|3*cvJ3bFkfMkt+g~4)Vz7TA+)B+yeUGyn4bxa;xkASfvtiP> zTHl~_j>=V4W#oY&wK0JPQITWP;5L4qWxt^xXN7gycv%_4lCA;DvWhM!;YcmV#Zz^9 zlG4sw4@{63PbJUR=&z6pR-BY);P~`irEWXjv3GA34QT`17?_n|&DC>{=EJPLbG%JZ z!lpS2yg>?AcM3XE1uZ;$*iBPR0rtw)IHNx6!>4DHuV!3Ti#q9y$g-el8+5XZjW%b9 zl9#0V7}Mp;5htQYtHAj2VLx0E1KNBu`12^4gqR(Q6NH zMfsp0yO~fEW09<})@d|e*Ruy`4fY?<^P>&01ASziP9qKhHaBvEdRd4d^v;3bmpAU8 z=V>yTZd+&qMf4&tb8g{4XMOCl>nA=w?UKo$7tf``8B~@?CisR}hpKX&!+ixzmc>GY z;&*`&DNQF(xea=U&?Lkv7e9B{mvEueaOl?VU)p^#A?>_a`+VW`M-kWiz7#-}IH8He zUg)-#j%mYwT$2%b8onx9XQTeuQ&2}G)M|yF?YrKRwNrQlixn0_pbi&^{qab6VVn$9??!y? zOa0UvBZ{&33_y*hV{&`Kk2P(_Q|*scAD>&ozH0LwqQ`@op6bm4`@TFa+usJQYgD{D z(Bh%zUJYQQXXt3yduS(thPuSz;jrvn^#Qzb<#E$cyg|e|N!V{+LJ!>G;R!Bbg>&}k z2_&i@0l~e7O<++BFE46JU#mp~spiFEKvyA7&F$|5lLB&j1#Y|aBsM@2KQ6Ax2I#8m z*s*KG^8=~b-7j;akI}i6#Fe(C7dxJ)ne-78fL%VG2sV!+6&Y~^1>cOk`mOxVFa)V+ zx&=_w5L{-dn z{j$-O5BD~-I8aw*)zx6~^WdJdEnn^8@mtVTeyXwBbhTE4!J@txjjpumd&GKt8LY zdaEaH-tXa=9DLlFXVR&sItbvwmJL$o+Y9X4YtGD7*tn+*RUq2kef74v!n?Y3*SOA# zSj-+Y-t#@KVp14jDI@qads@$py#s~};p{!xY*<+!CrXC27$=_gJZ3L~WsUZ^ zeUqi=B?$P&GY$o*)EMNw)Mwee&DIDib@FAvu~oTIHC&44G+j6`d^et}iBdnf5M|3QF7!8HHS8F~zhSp%|$@ndfz}KnJ6U%spEZ+nDMpTl^wLl6OrG2W^%eZWt_qjx_BoYdVRm6f)h- zP!C01u-t6QeIQTu;yIX`{PXRx6;Wi zc3bN8j7ia5&~4he#UXh~@{(ECn3#JNd|iz(>gzZf`N}Q6Uz{5U#~lgvLXHm-XhwLq z49J7U7xG~P#pezb8GZ}W+8bdMnG4;Ksa2)-`g?59-S#vrNFPZ9dRXtwjF^`~s=Mu} zGqQv)?vFb)X=-u*avldMdQ!5p0TkN?i5&O_DN9wU69~^(jj)Ume|C5HgiF3F8CbDS zOp&AqJ&)rIPbllo2NwE8iqnybM@OC+N<&?3C=WSy+eMI)VZZrN;a8-O!S9;frs(zE zZP(HAzMTi&;RMVDWQ}H5p7EF^=8Sg|{etd{vS|aqe6Qhbz6-Nw>Q1NmmSNVO2{`Rd zI_e6j607h<$htF$Yk}RnjO1M8ms+#+cRobr11B8+_tjeT6}WIrr7;ciZQc}F)r7G? zQ#ID-F%{(Ll$AnwRprM5NIF~B1WTpXEshOW9G+`iz@V?VXR39ZLx@5*Ur~Hs0U6oX zCu?zWDQjS`t8^&GJHmL$ND?ANWJ;F&KKYuSgk&QEFdDe99#xkWZ8?B;zX+IVy3B}V z-mLI@cF>Q|gtmQ|4@`RwP58a4b5mWSzHc+j5R!`z8E#3FvP?oIV~`!`^Cl3Ty2JUjjo<$|MgsV2DePCLDBw)i{0- zTR1CH=EAcPqqd3jNX-)Rr_qq3l13S0y(8vqU$}&5%K(t7P}??L5K=u=(7~&z4n*ch z7~LO%cUxPAwHmTEA`5uKiI67F{yaO@&7S#+MF-V%z3;dALU`yB-3NK^G@4VqsaUHW zrn#o`Ik~F9t>(0y+~KMqDq4AYV1QJI!KI3H4)k?yyh{lr)j>VQeJwQe zoiY87(%H-blwQvmhoA^dwwI?Pv3m>P!|s4>{2z5Va8&f=Wq?My4w7~pDa>X$B_wj? z^l^VmR(qu1&9ZtY7R+5dKGwx7ZF-d5^jsQ8AC>hi6=j*m=#sx&aURjYTE=hjkVU_q z8VoU0@-Fae>Nqsxl0T>sQN||ET7c2#PqBnClsi;O2bmV=FcrZJ`3o6*G??j`q>REVCH#JhJH)g^;kkh}+t80c?m6=p5&JTwtMm z99a1<75zG^vQQQjzUkjJ#b9rMgK>p{lwNi8tX zGyu<7f=Abskyd+J;-}qB8d>tN%c!zz>2=Q~#cryZ`TJPU($1xJQC>`Ol4okG)3Q8^ zQ_|^?(jU5SjkRqgC#W35CQWF1LR*SZOig8$igV6fK-p6jE9gYrXcN_guTB976y@!8 zLs;EC?OuTHt#EOu_2a`jQVrRJ?7G;djgn~vePvqgVdpIE~0i)FMjUqL5uB%npVZ_S&1VAzvGy3#Bk z9t*co&m0cq>g5(svhpA3=L1B9*KqMhY7?Cr432vEcxXg2-515|!!pxcA($xB*l6;0 zj&3n-UkBd3EnbjI9*YPSK)!)1N@?_pB?s6U96keEa zM+nv~*OVu}WU&%9=HZY7rU;V}?`>^}iuWm@CI{G3duNVrXLs%7!r%oP|DjJUrar-{0Nc-QM2b+}vDWUte8aU0z;ZTwI)=pP!wbot~baoSYmV zA0HhZ9UdMY931TL@9*vH?e6aG?CflBZ*OgFZEkLEY;3HrumAr2du?rPb#--RWo3DJ zd1+~BadB~BVPSrLer|4Vc6N4VW@dVNdTMHFa&mHFVq$!Jd~9rNbaZrNWMp`FcxY&7 zaBy&7V4%Ohzpt;ax3{;ar>DESyQ{0Kv$M0KqocjOy{)aSwY9aSrKP#Kxv8nCv9YnC zp`pIMzOJsWwzjsWrlz{Ox~i(Gva+(GqN2RKysWIOw6wIOq@=jGxTvV8u&}V8pddd# zKQAvYH#avYCnq~QJ1Z+IGcz+IBO^UMJuNLQH8nLQB_%mIIVmYAF)=YAAt63KJ}xdU zHa0dUCMG&MIw~qEGBPqEA|gCIJS;3MG&D3MBqTUEI4CG6FfcG6Ai&?>-_Os_*Vos_ z$H&{-+sn(#)6>(#!^7R(-ObI-)z#I-#l_j#*~!Vt(b3Vt!NK0%-p*4Ea>#>U#( z+RDnx($dnx!ou9#+|10()YR0(#KhRx*vRN7)WFcdz(8MLUr$d@S6BDfuU|SkI@;RW zT3T9~nwlCK8tUrmYHDh#s;Vj~D$2^rN=izKii!#f3i9&ua&mIAva&KVGSbr0Qc_Zq zl9Cb<65`_GVq#*VqM{-qBErJLLPA1vbA7Z(>NCnv|x z!x46NHa0d^R#p}k7G`Eq1sNF`2?+@i5fK3a0UjP64h{|$78V8u1{xX~3JMAm5)uLe0vsG13=9ku6chvm z1Q-|?2nYxe5D)+W;NSjd{#&T1kcG38f{CNBosGSnt%ix`hVjglQ6L`Gj}FnVqyF*B^eV7=T9c7fsF~h2EDS2p|gj*$q#m7U}i$E z@{i$vuqgEE7C%B$0(RE_v%W0N%UM<(Z)`4xM1(0&gh$*`G0sz`2)bYzff)~zQMtx~ zhifPidsL}{3G0G$!8I8Z4AH6}$n}CTCjOjCj90q^#HxI(u;f|mJ{rYC;^upn_jD`2 zBf)8Q_RlqP)5~ex&uHiK^*y)C^=4aJkpwA1geXDc|MlUI9tPKxe?`F6NY|(3)0&pi z^XPP`Wzhso59@5f&%V&PQ3WgBSVt{j(~|Sn(1(n4JzXQI31Ybm#;XIi{^QOI7( zu8u7@aqK)Z-&vXB%cZ3by-U6&Z3X+VQhw_rcSiYQLnYrI#XP0yw}gGio)d!j{X0tU zF6DPA+r{w(tbE}H*7>ToTeI88@LG$EjmYk!ON)Yb6i=MnyXBU8*9~3uu$MYu=^J0p zrGceY&Y#>HP0OOBTjF-S{k4_pwUja!(#u~Ob*f)NcI{ylQuYqE@w9d$;YFL9r~8y< z?lwMuII!L7;1oJ;o9ce}y*T}E$b{-o1RUHaT( zB)-*7{M(;d*}TasX?yI+#~n(%&v>)36;#qYT??I^X&$yjWDYV1;!vRqsb!-PBgw~y zj7g0=;y_?|{R{hmu4C@mEgjR!Y5Blo1ir%tpe7!cWW))L-sTfy$;a;tM+~*g{TTh zDrdEVRPIxcEI1xJBW^XgW& ziN6ITs6LReV{-`5R8s5f8dC3}Q!*LDjk4U|oq7eoCf3Dm@{MxJ*cuFsBxwzWk0EyB z-d)Yykr>k_S?VAcImqvguCDSkO>-V+={$)PrM1A~fm?vZ4owe#5>^p+Ib`h)}}6v=c>N{Jy# zZ%{Z;lQnZStKZ+ojY-BESFjcImJqylPKq*Yz}8c&th&u6p4YkG%pHW7AxgMPUgA#l zPEIKe!&j1~P>&DUbd4mkDnsh=m1 z#Fu6;iio8)>I)%_-dxLK9 zDArJY{|!Xx?;lHO8N`NLFwbuip`k1m!Oz&7wqWz>Jr1|B*lkQ1Mr>l5SqK{Euy_$l z=@&>stXbsbBRn{+z|G4LyL$%C;j(Ns5GRsU=l#$5iwK8yql zt=-w$(H?VmA+C6=Dqdk?Uc&BXW@t?rQQ(JG?QBM%rgWLq^B4|BqTJ?w4aP$ByV9Sc zmqU{q)}qFzl-v?J=eP05Medv(Gjip1Tyz?_H|7t<2pZ&*Tw<}|B*ZfcF%@{~;qd7P z9!E=idM-%1Tc|iG(ZE7F&u4kX+QnNpbfi5)D(;bAyBonXufiE3tDG(h@!`Z(P9xUm zsK-}?f<#H)d$Ff_Dtf0pN#M&L5 z^2wC6ddq!jlvw<4@%ffqg?Ow|=swaiN_Gw5>IaBZN^JG|4JG#lq!lz+Xi5v}$&u&_ z8l+OsR9xXo83qYmu!5@OjR#q&Y*Oewg|~Lge&H0w%qzU!qlw>=Yp-`Z#J@7{BT;`V1TD8Boq>CP%_X=jSwt z+(%o{-&&hrHaR}-!oF%3Xp+6--_wrrD8fF|^ znYf*|jl!5Q><~jdN37AWx$(W|1qUN$rz@!SBEgkv`(BZapV)|0!fNhZKbHwE#a#Xj zTu-x>LXplj#88iMIcLRW6LXruk+A&2nG5)36U17=vr>vB9v9K}==QggZAguA#!u#T z9HXAK!!gRCH;75MFv>}hC$zl-5$fqzU8i{ke=AMF_`+dBe}ELkIfKG&M2+9R)Z<(I z4Y?;fm)QpriyT-v4VzxqLB^h_^r8B}ubntSXR3f{FJ5)559o_kFq)b825ul|Cq-Qy z0a=dAR^nf|Ofj<@HXY&zlw1?DqTshJ{3nZ5hWWIwMdatIV$0pH8QX~I5=@`KE+%=N zuy1u>z?X@E!-n^O!~o|ntn=Hw?RwQ?y>DHUOmjE zGsmRIgFrWYFnq;o4n)(vVqN8huq{<_k1TdUfg7^5OhjS|4-~qX>m2~DXJ9r%Yd5}0 zqlsdQC_T+zKW-?OsdT^4=*?fjNSDf0zwZKE|5DB>S4D@9n065}Fo6%rMnloev(;Rf z<;Z1;EAn+8&g0MWvyK%vd+*9!JrP>2L@(ORsIug{Vls3=UDf{%AF@U+(%LJ&J^#=h zWWY-6d*Zd8^U`8_7MFX&36sO^ioSBg8@wQG6i)o9M?d)rbvNHG%BH84QPkkN`t4PPq1Ote~kCaTbFF8)O3q zIuJmxXTS=^u?J@4g2_jK2#16`cV4qQyOCNlufOS$lvO(IzO8%x@OgdJRafuxY}qm= z{ext9?8s7stARK6^Zrpq$TG*nTu>j;py9=5eh@P!FUGn<8 zAy(D-=pmg}R6;yy-ZDmKRYpavR+zWY35*U*lS8%R=F)uSlR9fW$-zSRkFwb_8#*74 z@wMr%jlmIfEt}I4{UXho1oidBj>$+ndpieaWLnxB%S+DPJSxhujlXG_6=stzA4-VM zHX#+rCv83Cg&84L3!Mfzbvd!0HQANbFi8mpFe;C3L}%~unV85r z!g@d7MpX)8jZCSlAaI`e$LcyRzU_<4)@C<7Zus2DISPUc)^iI4jLfsm${P^3)|b4d z$HUuq_+q+jWm-;8{|=>fkye;%Z*bS)U;;U{zQ2#f%)xbWyP;z*ZTFZ(dgbapFc%$i zezwrzMY_JWZaJ3E`#Jp$sBebyAG(ckG`tVX9Xk~)Y8u@>SL0dUV;8Qm!_v}sUbOAp zS(8sO;5c=2*=c&<&Tw=!nPKYqo(!`D2FpBXOR<=N;h$WJdUgd1?&5Yi=c0s{f0xG#n$HpqYGK!z=V~hnod${Tgi;6{heu zudqu@-F45!!j4?_C*I}!pk1Zdv8T(%_$^0>P=Nvk3e^9e1GQ$N5)@nySsn+)p^?0p zV{_N5Kq9+CiJ5h6Tho0Ifrsy|k7?Cz`h7SLpL^T+isU9q+}erH8SMGx4M_LJb+ygj z%?ODXR~D1j_#wARI^Ex*h=;d&-c8xZh-yEL-e_$Hkdl3~_u)8f#MrT|o*U4eownSD zo{{(KqxXv7W4n|ww|t%4kB6_inDFx(xqkSa*_G6rojoEW>p-4Apo14nNB7qh>S3Wy z&EA-)^>Tg6I-iBFuV1`_Im{#XgzB#8lr1`GKqK#uk(L>hR`bd@dP5EKG9B;{2kti7 zx~R-1!mT`iAfHmu)$NfOGU1>3Yz}A+IFPq{6o`y z%HY)HeDteehMXL{rI?e{)|_os)g`B@Eke3&&2~#Iewp0|S3Hsphkfh?UYE)VchknK zp>Yk#Vd=#;l*#drx-7omz7BUO3P+4jb z#HgbkAaFWQ0Xp|}%c5S_sSJ}GbiaP~9wc_IdsFa3CzF!4>F+utt@Z|Ho-Q$;MjT}y zLkxT-6{Si54rfXWEr?<0B|kx~zCtnRPW6Z;AT4ZQ@Fm!Qq`vOQkU>zpStgz-skg!o zxeuxj*6-0lpo*$n%US-=-t0dhI_n<{Ee&WDJm8I<*T zyK#`g=l@{t9fL%9wm03jZQHhO+qP|Ecki~X-L`Gp?%r+NHl}~)&Y5%X#6RxD%%_Q{ z50#abxiT`VGOIGy`z&Np?IQ;M^wd!>b=Y63+BuYw_`i-R*Fx1H#E+3m_h@o$l8tX0 zDfF~|cY{y^@sIDF@9Aph$-YqPt39J!l2%f;Pu#`glUR~JkL{rs{L~hLq3Gj%k#E7c zLKpEjrT|fNLP%Kg140IEY4vx}+h=||`=b{M?O>e@iv9p=?18OYaQL13K|Ea50HZnz zKbA6u7VBsEi6l}?B*`@_4^jBvgjrP;bM)+C=KOZ(zZP5J{*ilS@?k?K6yxN4gkjuI z_8JjzB-bFeBVVkH5~QBD{kZSk8?@yO(5@Ed_xcM0+w#clXv+x)^lfU%2e1P`l0L1@ zXk!&eqg6Cx+pe5x2VKAT5?&Z32s|HkzvBkQPx!$k&MpRi3rQ}abP6Nq5O+C!hD;W) z&?8|cya>Jk%gThFJUlO;Wjs?HN$ly1Lub5*7L)LyT}zJJh?H-sC_F+9&kgozkc8D} ziTHd4bJff}EoOSw#kB^~i~9@uw0_aZb^=?2-mYCu4E}kk(vke|h)PXb4g1R=tKbUB zYhmP!gE+Y0-U}Rtbdc!Yo1I1_KS*}5#xIgeQD{@ zilsrRTJKLzPlOLeMl|Bbi~(-F{P#eqM$&F5$;ZF@`LQ{et<=rH5-!(dK+y zkkGdghluhoWdFTmd~xFZRXqCJ{e8yt@?uE4fPe*j<)!MA>6x6|ZDCdUtK$*y&-x5~ z6XvX6;yW@lh2{HYCI0+&BcSD24xa4XdfK7YDz5E6nJN31n1BviTg5#2s0Na8m4!GDUq`kJ^h za@Fl4E9B@JvmY(E+v11nvX)xyyt24`XvmOsDC<17-XgxO0+eGX^!&oEu@ykC&s5Tg z#K!-vYT{nHGqU-r?-qV}5(9gIbu8JQ=@XCp4VIC(`TlBOUw81la{fc4V%M7xw5{ic z{%pNfW{kKHBMQRCPs$npasQ_q#=R(t?oOj4&jn=ne|`vW#&rQR4_MWVCKV`NJn)e*~A>IOpD2LX#@T0kNR7$jNvrgvhlKHA(Luu zK)~D$zEwOiZk_U=i|(hQ)Z# zKV>x96+ar~k0yNLRHXCg!|R}kGKT45^8>4}J25wKdf6T2x!l$G*~RMYqkq{_pqiB~R35lH3!Fn%tu9-vu>d$a;QC zaL0o4z}Gi>#F{hqTbBJ(dB`~4T+a{e$iNtG8e437KF~Nvs4GbYT-OTtp{6*#IKvHl zP51bY7hW6#k>A*7&JVIDgAwpF9?1p#VW^cJwp|ry;@hqf==>Ow9-wTdy!X1cbYPAT z8kMF);ybh{IYC@B{-2;Je5msEAK(mG1>pLdeGz}*{D302ZGw3t(7G>k78F-V`_xR{!U)x25C-A-4M%SbI12jwv6C}k0) zi-cYaDUi$Ej4-9yu4J#?GrbeT4!UQ~`HqwL_g?p>y!~gceVnhnbDpz~gMkeJIdjfH zGZBt*eBmjCb@k^MW#WC>T5{u~x;ov&i*@U|NQjGy8!!QL%p8h$3?F@cvqb|vlW}M53=7M zWyFj6Bb(ngU7s`(_`NYzO>U596B5ov{=m2vAq6q0fo((RD>%j1Dp#D~5}$^_=nd+) zsz~Wl-S0IY|2Qq<)*Ii%z;wfIl^kSnPs@>gR-aCo-Vohl5Q_cm&1bEwXhD&n5<)yR zC;MT%nfOAqES0MR>`*U8cCYOt=j9c5h*jB`$|kM?@m9u27j2h)a=JG?uk5J_eLX!@)LYsb=<$DfVI0;}O8zn7C!VPrn%RWdbcpgPBf=lEQ4w8mN*kEcWcm%on{{|$t?h}MIbvw*DA_Rjw(5yG6T%^!Vf!>_6-Sx_Y`BBos>5s(_4f$56gg;hcqg-jFZIz!6NIx%LQF0#K2k(EK@7eB1v z>u-yRq>X%e9MZvBYB=J(YRMg5T5if$?RR_c>?}Wu4C$L=?F~rQiW5RU-xbM6h358kSNIBHU}becH9)4$&iDc``f=tmIEkx6d8P9(=Z@mU=8KX5to z;jwORn{JRvXPVhbb4KEYuS9Qe_rOPd^W2xv+C+O^I`)R1svR&80rB~|kNhI{>j>?wO5{fS<>sE5{N z9mRSdmnrPU@ijagNqQnT7H|EVB1KAW8ywNc$5TYfX;Mi2uafYjO2_wF|2qE)$a~0R zdxRbc9xze_X7NJyouc4M<-#4>XZlyW3q8y3eSv*~)BZyu^}PAK@YTiMen~zx>E9u& z=jO5SgSf*NVr+fvH}@~oxo3$lFIA^p;dWfHy4yVvD`ltm12m&~XRkMS_@Bt3jL8Yc z2C>?zF9b~x0<~Q|{jS$GLT55CGF)>?Y!S)f|rgWKO{9|4u z3kw?!i>jT^xk%~j-)TLGHvV#UBu0a>()~QXeQU-P!}YiLLjF$UR9@=~nCgtCKbyVE zINiZ5!}fHM+DcG~;i5;!+J9#kwm$-T6lsOgrDDQiAu*TdJmx;S;bDmA zXJXo5FaH8&l*Ajt2(8q54=SarK6E@?tP%~r5D%tFi?6-_Op-lTxZ0%dx9|e(d8SVL*0pf?S5Ix=v9`Q9X~JniL74o=(!N=#@PtLT zz#1iyA#Ty%(xxNpMB<3AAB1jeQ|Q}o>1~BB^HjZu2G8l%s6@1<{-BxNR$=oilglss zF?RKZK!*G=WGa{A4?Ul+9IuUoZ%TVJfbf*%Y6Z3SQ9tV~dI5iTRJHN?FW*I=_Y$#P!U*escu{2h2CO?)a z1;&ft>-u!x<5Jlx&iu&vO0K?lPwtGaE@eM`0S4?7do%r|evPE-<&I#Th<4Y-E5NuL zsHZK;Q>c2d|L|j};HU=+hHG%EG{l(X(|+4!4tm=lvFbpd2kV<5?U!%EwQ8hZ7+%FQ zUFAo_ht`uOEs)6J(&h8sv@h4=6AM5cK!PH42`rP}eG3d5SNtDREjq3kQY;c4GSO%z zFXuO+q;P+H+lTz?hkSZF?V9ZsHT%|pXz~K+ZB4V6_(beMJ2kT9TIORE z7t2*xg&9N4b>3u5NuR`!W;77@QEouluX33u*o7|J8i#s3h6jzQJP`c@k29fvAIXGO zTTI`*?lYtMD2v=jg_o$@>@k(s`T*aMlllopbMClC>RTVG-iT&j8oqW`E~wlP(KKL8 zw9qHFm~e|Yp39`zLvWStL|UF!qITk_mF)~cVcj5dv7nQ7t~V6nXro4{^hZ`L=i{u`ty{e?JRGhJ7bGKfiyX1VRPm)y>_Xe=OzgCh z?O?O|C_n71Ao-~cMBZ20wXkEA?+z%W8cVO`gM^ls0^UXL7KPvRK{C*)KM0Y3^ct_R=6*ZfS-&ws4j$*?Mm|*chNfjUA!^cWtI;) z=eNik{Ta}prRQ|S+);kV--b~AAfg{4AHR3oUxSFc$

d26jtE`9G)!4if5>5V!Fz zFpclfj|FbQW-`Qb=P{L4>Vnc6X{J(-8k5J zq2p8TNn1vtPN8SHo?b>&p#8)up=&LU_zrGx*Ar3|^>Ovt-CzOON4eeWwV&ptYl$u2 z1D>60vrIaw81ETO(5H~o2b;1RADorkrAP_GjXKFG0S6w5sr^(sy# zl>l3GRBo><^|>Bx-ud56ftClGhHjCOfQUww;_FpZDlHVUxwS-99QrgsMXjo!i(2n^ z-Jy$2%a%(}i%?Uw9wDD730@FTTV^6u6(cPG%V>_mmtn&}BnE5Ao;SG#eW)ByOnewg zd#?Ahx!ps)vo>C5Hk`G0XgjbFDOxK|x1vg>$E(C2jf%0wE6RVPv{pf*VfDdOrn0d$Kx25aq*jXgI-1KGs8 zvn@zJpM_{6=eV$5M~xu&=@~IbnNJVk3qU6qL5+!XIfDv#0KH`jE&vSW?`c>GAp`l= zTC(M~jHt;ZhxxF%%ne_*(a2+5066wN9{q@?A*Y1QP&>R2?-~Jt767dCGiXl-7VKqg zqFO}oI~C$MKUNlcc0v+yZ4dO%3<1^fr*E0SFwD4ZbNMsu^A0z(#18-iBuN>!fh&`+ zxnbNg3^^y~c3UBO>e-ZwSFN}^ngzBbHXQuU^D|tz`T2Ry)$IO!lw??OGqVmZJ{238 zlPY;ZSy_!M@WB^io-lDPJK0t32l3c^TsGJP6@J4^*rDae7Vb_YmjYbaPn2J|F1vZz z1{8#fAZ2F2Zx9VKuE=Y0`;4vYw!k7Msc z1q7$tjms&tW2La-eXPL!eK5(}bk7*!O)9;7SOdr*9D93~44r%%L*^M!CKn8A4ia9~ zuq`PLx#H&&DALDNEPlR*Hi#Jh#iA5y<<+_cZRcX8&prYN8)PaWU~6*w@T}kg0HfGh zaryA|=3rK=LNMnt{tz^)z;iw-8`chF!&P~?xVWDX>{iNFuN$;CBmptc*>3XYdr#wF z>umR&Mg?r+m1tg^6CqbvjJJ@kpSOIz@?ln{p-F9zX-rbv6chV!4r5!20tKQ-MHP7yQ_z1S+MZXR5VT# zUQFx-RRIhdf2S@NiMC{!iELZ~i3la>)ZU5IQ@M4%gw3+xV66iHY6R6TBDZH3ApT^ z9$M&v>@y7O4Gfv5-MTzGI(E|CUUrk^k@)&zYAkY;@VZP~9>W+o;wo`Ys0L>vK0De+x)-H4{ zp`kic2+I9d08Rb%K?*wkcw0=M}|b1f7~pL8AbU+=fe~SEuAt@No6|LjI<=ufF|cOB}0Z zbDj^og&<7=6|)-Nq=9j!cQObmDR{z)hqOy!TDIb8@SZvZDKz^&vMK%_;8_TYGH!L< z_=Apd^69GyEjvr$^sQ=N@OnKMZ;p@XT3%o)CQ@MLEMjkZ3n2c5%+nmf>#@c%IHA%K zjwGo#;b=P3=jsPsWvTJPHc6G}AqrCE=zv0cw)t4ZM{yN7-L8R_cpDE%ER(PKs+-y% zWbB zlG;{d=&o%5F9b_gey`s$5>UF;(@d#gX6TAf-Ult@6pNR9!+_$49l>^MD2j8h=ec^Rh z-x+ZLX_AmQk5J&CACtlBD?h=*o{)5IKv}hl{{UiKL8^-ZOx`TI_0t-MngudvKzveV zhSvLuFI^;q%NFZXhRjKF;OPpCV<#4Dc|=n#>G0m7eXU2f)Xg-BV3v|8I3NDqq4h7g zvuDgZZ5QH9Nt{xc_9R&_zAuq-`nY&j;MeODyk6fy!V+~TIFu*ZfgOtRIL4bOY& z4drUkp-ImwQp666C-;?Ogv#QBBD@sFF{HJWW9VW6#DvG5$-&M#^;@mXQ=mm!*Zi_9 zDDTI!1kyakkvozU&hWRPl`W3tg}-FmM$YMIN@DtKZAku|o{{MXk`O+GIv&UX?3xP( z<|KeJaH@uzSJx=lw>*$cg zHqc#RRS0MQ$KjR!T46~Bu8mlNV{AEmzZtZHaxQ zcfuqfmj-v-LUam*YJ=yblo{N&;6qNiGVs2pbutfnL+7{Us=MC_b|dh|Jr}9a3M#4? zBj2R~L=b71R%Cn%1mb|wDvOObZ3GrZlqTW%aKGCPBk0G$U!YSE; z83QaPy%eIu#Cr%|(>SJV=X*eUJKKWBPq%_$l8(jLhi27j*nj1%Q)aq)>aurmA-tIa znba&#Q(?bR*BjR>HhqqUI!&(;vf7pLwTPRa`2gkn-I)Jk8ph`={re#{n_%tq*BiEs zOCe?_9m1vM(=*zW^iYoU_hsb9U=k;>Fpv2K(@?aDvt?5}K92)yV|m~8sAi!HAxbcu zB^eb)7}V*llV&JZ<+C_YxP(7R%BSB8NHSec3%uPc3WmBEIk;jExDNN8d6BilJwq-f z$vE06_58YS>%Lk6scnoc>Lq&Fzgigmj4p#~ri;i5{$zVZ_9EMT&e(n#psJW(YtcZ_ zA6SGR5m}XPp0Xv1 zy^ZXVo%$nxcfhU$k&h7X&{yMbc)01AAe~BFY|x>OVH&_cQD>;?`0BvUf6I0|>LX@z zu>Jn){LR^n%WGwrd{v0J2~cf}P|Glnd2@A@j6vP31V~Lpr13VV+xdKrIZ1X)e!JiS zB*ub~bD|A>T#d=S)f9zQd{Fs)m>4o!^cm-5i;JMwPE4yz?&I}nHB4d4Y0q|_m~hWJ zi-IFFJ?^YVduX+yw?HuuuDg|4nHi`H9njU*yWuLua=No{6wyn=O9u{gty`MoRg8x} zsa>NszbukJw)e|+dzyAPGM`N_&7$9<^O?Np)}cgRemwQ5S{1hSvzumWdp_+x#rV&Y(sUQ?;DE z6S^cD&D!u|!Zf85x}a(AiF83#OFOJc^zvwog--ko5}-a{pc zJx}Nj;11NM%pEt;4~8?6gnLfGuf*(mI4T;Hx7`HoH??5s`kyvex=d9<-~L#OeCsLT z%t(5mOJ~)|bt?x-EsFMF<1}g%ET;`3U-!MKMU;jIY?M>r`XP{R$;IUEuk?BYj^eW} z#yBCys1S|9k-z2{D|`4un#@fHc{tbf0a;%@s)w_9XvUGa=a|H5$Q3=IN5Z>=1!y>Ez%PW;_>wDgFhV` z90FZKO>y)nI>63wX`S;{e|4$Ov3$j z6Y)|*DJA(7)7%q`0*+iV*c;PP`|Q|91wtSQf-n|Rz+P+$kiyuShJLv(PON3DNP_WR z9s~IyFH7SEApyqS3XPZdsL{^Br`wpwCUsAwATc;TbGwiG z-xmdtBzV8d1^@ySIDdrwml_PF|LB(f3n_(|rIWJ@0sFso6wE&&3IfLeM>Fw*?^JfN z{g1-QVvC7|iAnvxqg8?C1)&5&7{d^u5exuCd_npCOXJM{G5#OE>c0S?Kk5%VmmeL7 z^A8#HUj$S7|J(Sg{|87_VJ7{5bSsSi^tlqfiV6b(BNNBJxTik}MuLA(ssF~PG7&H_ zv;I55{7)9+Kb`eYDVBe#_(yK`PlEO5KK6fC@xxbTWoG*)=YPRb<@o>OsQ#MhXb{oEz!C-84u+M8(K*t7P06Zk0h7_$#VsZHE zSzBLq21b$-id+N4TVo=t{PeW?>g{axUj4Zvv*OUIM6WZgXYDej;{375i(lX7dNK5M zb@i#i>smRpUU4j$4JAi4tcX^!s8HKJE6)=>mjAMQqiX4+#Hjg5A!W+qcEbIu%3#)NqSRzI}+jfSBxzkfWL7IDkEvJPF4R4q$`0aV?7t6wG2BH=(&5Mr7s|M0TFAMqnm+A2CcUY zqE!}C-H5Rn6z(7woA3iwJ%BQf+=$MwW7V_`VWMd=t!R=H7{q)GO&aB=zHD$}!&=(2 zCTD@GUhDaStrFL=m;Z@xmAk2j*Yltl<;#|s7=K;-E-oymCYlg`fN<6jR_RGHk9uN% zqu+)3#92Lfl{yTN;35}Hja{3GhV4IqRG(q&6P~ZmdG_OJHx51%P9RtE?T92n zf>*ZS9uq|SCE4@xLyq;sRFO*?5`o2Ch#&I{Yf5U^FGvs+!~)Fo!xy3w*uocn5))h! zzQe>-KJ|XG2Z-n??4LvIb?v1!V22h+dUK?>L>Wu6J|#H0NKrB4EiwEe@B!{gB=5Tv zlATcT0SPRnSSOC&4Im7fH6O1HzufU;Jej=Za=^&nH2Vp=$RJ8PJK0xkqZ$0bsyTgU zc#@m+)|UrhG#*6-hh}JL6mt&Y>x`t4rmY?e(4IC9rY{C`Sqgvl|0Ss+|IZ{<*AyHG zSY#HD87)ArdNaetQclVMA0#2R#m3ZPl}q z%6jDVy76ibL-Z&MzBd{}-t&<$C|NizNE@PTlUCDsE?6`?Edt<}l60xB9YngZWUy0$ zzC5>rvb-rEiW)$Hn9NEQ))Hdp^l^`8Al=+kHL2WFE5d1DK)mt|C+EMX2bBu?@$OKP zQ3`?Xv%d!SO={0*OA|yuaE!8o0S3cg7J_=+7!lh1Vj==cObI zM+k!QM_3Xd8G;0W3=l(LBuK;XOWL4&S(L?!l_S>!Ez%|>V1adcLh4;M5TI-Vtjw!c6bE80~ZRQZ^%uT0OXsC@^i~{IY|c{H_V~78qIlf1y-Ae^9DSBH)J( zBL)mi>BgY$T4KM_DaP~~)`7Fv#vWlEm;Q_pBqvt7Y_kSb_NDmHp0@TCz&6?WSXSNH z+FqOe@1az8i!(0Dp4pf;)`f75uMfR5FRyKX?a-uVNAMhJ7~Q(I?ZVJ9tv;Hfq}8cZ z|8DAnc*L_%!b-cs3Oh2{IR{1Vq<&PQNO)B%wM|_?m|_ZZvg7(esluX5ZPPw}{u`zG z^xsjcBWPE3V!9NGS5AM`Y1-5s`u+ND-w9QXXgTWWIUIHR95ThCXKwhcao}i zTFF66`X}*1e;L31GM1y}c+K5eL56}e4@MLVYKfRbQe9RQYbc}V*z)`?+%XW&qJdPAolwhgh%=8W=+tur=+f3wVja~En6fs-qO_ko z!EEQ1<;5~k327Lt~^JroVRdHBR8a}GpdA+0T>3k6v6Iz@%8-Qa|lEUnI5UBGQ zq9_P`1SnvP_-z&XTeEYOq(6Dn3UuR;epL+6p7#+hhIm z^;)%<)NF8pHPku)(LWs3+omDg?&MXk+^%@voLX{fQl{6N)W39_SbBU@>dMKnb?>;W zdSl?{UiVYG=G9DDgS6HX{#zmtQoKCewv057fAl|Ac>DEByZ>T^w_c0eVFtptwtNj6 zTL4ma<$u?9QXW?iI~k+jRzT?VS-N@#B}kb=COt!!qm7}8lF+lJm|V}1&+ zFb*$X`U5Xp#TF#eyz#*eAJoO`f1h#Wa`GfOo4cIoeZr=Yi{ohr+KCsQCl22WXuJBy zl?>hZ1J+d^_EB1>O;Vw&r4RwZQ6-dx<^+^Yy}0IJSqF3#B0ktNy`F zbjxn>0bN65xCNVU1a&o;Col(}5^VJOIuqa?p`&AWC^OWU1~T3O0U&C(15c;{d{?nG zQ!v@VVq|`gsFw~pCLpq{v5ct2qP8MKuf~zgb#5@FNwk>27Z;qq%rH-Rc!$?CH0-44 zwTF*swbBAp@J4hKf&jh|C~=Y0zb8@i0jOmAf&%R3_SSAa4NZTsnh?483*+1FIiOP=SKf;Y5xTEQX*yiG7qAJPiUq=BJtQ z`h8k0%PG^2BIi)*phs6;{x=G|s*060s$EYMH5JqQ)?9#X1oadYS{wp=jo6YegZ{7NHv4m_yEACEls0h?-X0>C}1dz4xm;|$< zjkqFeohhv8AD?Qf`YWB`33~+4CioY{8B=HN*<6P?{krazl2I(6T$sC}-nt*;2B^U~ ziH0b{={18O7iK_5utbY(0csL>egeU&6lP+&V1mg$YRZDu{ny+lwkKULU%+n_Mv4L+ zb$vlRPy~y}Q*6-3_8%eKPiBD23T>041qc!t!Z(((00Kq|XW}0?x;=0=3WY5Rjh)a? zTs4b)3J8*a6JViA)6#=2n90FWg4_B$ul4yzavVf8#wCv5N?>d~vK0mGG(OeIbd_dL z-|+UNPiv<~sh+Tw#|_0qn<>eWH@wDvIZ!#C-oYxEiNW3Hbh_}ydj{ew^cI^uq=fynINfF)j8s( zw@}pc9`t@1wdF!gK{#>=P)**Y$meFyqI8`9gE#Uta=u^;Qgcr_(TK6TR01CA@W3on z36RDFi4SvC17okA-Ni?A3gDg=a<$1A@#X-_apBKfRjmicQBg!f*mnw-{Br20 zOC>qIqr9wxUNml-lHr&j;1xJ<+OK#-ifv_HGn5#)V1n<y-4B7vjwSOuJsW| zv76BI%@38%Kk&_<3HqpVuBd$44ay*awS~Qeu-pN2CW!;vgAs6tY+1QPBAjH;?BC_F zq4)(2!2j^g+DVjK%Kq|4VvBZ6=M6+iMVyevhLs(6{;(~clVeRFhkCe!2b?ZfsUzm} zj%xTiuK3SvR^uU=NrjWiL|$%;uCp+>^Q8Qfy+_SJ3pS9Nk)1nmRKNQw!6BFP3P`Q@(3-m}zRoQhBBWCfGzhZb=9IkIi|yjN zzA(VJ*v?6xTH=ECO?3$&yusapDD?+8^Jl&rudb7vhlz7Qg&q1w+~v=# zRYq9xR|RtL0=i#9bO~#@RKh!0)aMy1{TW`RQ&j{Lm%J?c%Yuo3?;*f1e6~8pi!8B#?+dVDNpO%q zN~Xk;boWe!wCc7kZHmDI8;Cg6SPg4}NydVcu7>lYMcL?=M))*ogL?zL%ShO6u0hke z%`cdE96&};4S8?F4sOK&l+lDjU|Nj^xj~)zg44dE=TbUyd(}Lk?rkh>lP znufxEQ$oAHa2?$@W81L^z+*mq@BlUlPFy-SV;k##H-ngQO-oa&D8i zi*Sm0()|r3uw#BYD1ao0x*`t<9lA8jODAatUZA;@GczW6|OUZ&jY`AWni=PZ%@R zgFvNgyLDbR=O^uB-#C&Tk6D)Sgz_j_TA;+_?p5QkZyyr4T+z|;bhx~!TPq{8E)my2 zN|Me!{IKO>i;yUt*}8fbVfQouNkDUkRWi?5xC}}eki?1gp!DGKTr>ZIo>2g(-!298r1-w8MWt!fk#$}mQNo?V0IHu1RrRugKD zevFPzFIA>bb3jyi|C*(kkahy+RZda_q#_`=<)M~ED?w+9m8*By0oEC3_9le9>_O;JTL)akbUg`;~5It`B zY;l~L|FOq(9CPqBP^7#nMK5=vsz4s*ItRtUic@&WxYOmOXZ_NV)N3I=8 za)lweT0E&#FRIEC&T-x2#fD48lW=6sR9pj@4%vlmp|+mG6*ovPPqbsT+j)h1U!s*E z%KYZtMs5&DKe=jp0o$s>umKbn?oTb?ulV2wHtU1MA0!p3Z z$bew)xIpepj|+hz2BR}|%QW(HP zDU&6vN7@jVf&f4fWNvml$AyNMEiS+!t6n{XQZNgaOC9W+Tjm@kFkDn18gb}Ec`qu8 z*s^ox*^E!56Qg2MFd$WpjYe6)1?AKW&Yzw_XaGV142#7l7Vi1PL0%YZ=w2DYfCY|{ zrYu)wD^j97(0Bxws)AdG?k^k4%(id#DuP2c>o$9Os;Qh%F6yPo-9})eyhad580%!N zaK?Op1~jd1OXeO_wW>cYXWVu+4n+`@Y&RGxI%@v?&o9GDi+VKG*R>UzPEacjffWu=VMr3jc`_%>O?^ao=eP2>B zw~3UTWW4~9%N>)x==!@1e3fp>zO1!+hbO3fZIg9j!Pm1(XzxrBHMDku*a5dN`k%Lx zZrl5TAddPk)9FaiL;KvQfqAo~UJReR!{YY`VlS(`vr!v9IA?%XtfJbic^vX8Xb4*+ zfY_^d_VK?cr{cCB{30csfx;HLKSOq+jR^(Tk31#SVvZ14dD7=~ExhW#XEv~~El1^bA$dT{KC1bL0KF300kLv|Hbb7u z8A?=1*YB##L`8~q9n1&ERMAhNE@Z%^MD$4uV^2dQHQ{f^L?Q6oX>2g@r zWbXMZ;(mp8S8l2L9fD96hoqkb;Q)}kbO;4!_nh2ArQZey&kH<>|LiHl+*sDVWqbT}osAAUeYu#hP zcy;lG`8+=-I{PW6EH-`InFlc*c?_1>$y?%ifO7-&M_rD#w$Y4@nZBBFoy+T?*qsWM z*R#>~aZ!lSn#ppM5UGLya|7y-Af87_XGLhVh=ka=Zs-2xAtjGQLjr0KOEi2}qSiuA zJnJKcetCi9-%TYEJ$BD>v4Ssos_I*#i!<&O-thF(e(fH9cYCWf_bNL! z^6ROKBVNZi$t>kbk8E<7ZP&&Ev{Gm%safJ)mowJSynKewyqsSVmAV%o!X-Jk->C}= zZ@^Z#_6^sQ55QabE8g8s2{>mp4B|6t*sy$U&Q#~WE6;*-qrptfUzVLYnYiw@EWA)3x9wNN=_XcVpPuf44N>M7;zrbz^gEW)efQ^GE zJ9Osx6M6F+$F6M2gttgU5frPKAc;u8!b!0;RnBonj z^{+4(qhopRS=l+WguQn}S~h2{!uMm%_DDT*0+l@DCA?U#!!;iDmfn^6lHsQV6)?<~X$yLkQe(DNfQaBo3Rt`O|{ z9r|ZUxBvRro+)d}J6b1#$~Zb+X9N1 zpBQ%`!=O(tiW?4FgFL&mAUxS(#$G8LnkU`mi2=(jVB;_tVGcn z2#Y)gBZ(|nomp~NmEBwe+Q3jvZ<|RmhfJU@=(2yk564n7H;0g+s8>I;T2qak%;RF(U(W{8FG*Zj9qM)Ib zehk^`ILp{OY&@j1!TGREZeS?=7#9MG9zOv*i&F$Mxok2O46)mAxMiRRAC zPA&E3w;BH)2#GP3Xp4K`lHaH1*}v>2&1cmP1dmDc>_=fE2q`QhP3N4fR7J!)1vrQ^ zYYlUxUPpRRi{16_p~5PEilR}-MJvc*^0{1l_Dg0+8V(Uaq|m{sLqKa0ec&qo)U#f< zr0wmi0|P}hbppqj30jDTN|&~QC8Rti8RW67XAb?PI#w4lZ+dbdvJlu52(Ao=I~x~_ z3p+0;W-Ql4bKY1SUt-ffasH7>2)VgZ&J)T5ilB|G${Z~IryQ+LlJ}e=L$D)hF*V;5 z5n?a|?x~`3W7c4}r#S@@HcGHzlcY)h*AsLsihR5F{g^vIY#Y`uuyhYd&qUTx8Ni!2 zTj5z>N-Gg2o;XBC(sBwIONh@*D6~_E_V*Oe$vB09VVgo@XIXU@$M*S*(qOf{#z|oh z=sY_Z%e-bKRkJ{2lk&%f_$ezh$~vPQp+nZe=_QH&!(qha6l^`w7p%h+EGeS!j7jlG0x z(`qzm3F1Q34;fS@IlW?Zeq$zksWM>y8QrL0TEN+!uxGG?WOidVdtz1vBW6~>S_+vj@oOY7 zi)4n9wme))nOx5Twr3l_Er7J6uu$+9U@WuPwAe4O4g?(ShGwlXTtic7IN&UCIB_#> z#hg2V$GQCiE-Lm0T=9Wt7UPqylj-pn0pQI+(qPTnM^sNvBH^xhAe$=5p z&O2gSV;aiH7|Nu6mwvi#fZ~-HZuFu9x<+f^G|<4TE1{)r71|Wk&$UPD8vG%8_lu2S zE1(rE5np1k=FE7j2$vFHPNfpHdSrz$o7UB|S5>B;Fx;MST6=b5m<iYa-aG!%{hL9YttVflTUTc zs-K7Im3E(A#@bQ8&O)CqSRAt|>srm(@#4pwVIaT!GAxZ?6W0Pb5OroGEWljm+V&`7 zHNdtio<}(nQJil`gn#QN*eCUE4bF4)iqh-mTSh3oR~0bFwglra)7&ALe?EuB{Gw+? zRWxb3JckMCqMJsj=sP5Pc6o&TdjGh+4d#FT`TQX7;p_Ns?45IvB#WMK+qP|E+O}=m zw%yaVZ5z|Zv~AnAG41Z@ukU?#ci-;5xBB~wsH%v{sHn)usI1J&I=^$$ct3t^?eB-e zlRbYnOkeT!>~(I!B@Q=o4MvSDkT{*HLHHAwuZ(aQx^-#FWo}MC40*{NWomba^I&r{ zt;@aN%wOf!0$~wpNp#U0JoTTQzV@EOZrjekE`}iYHvYEgN6K14f7dB_h@H2~BnENU z{PgO_TT!(){50goDtVy87Iod4sd=3a!DOq>HSp2=%pRGn^preO%C#mi^6ctO`F^{% z5VKSEdj<9KE!P3@DXZzN5aEknj@uE31yS=}yls zOVsomIjFYW+3(<4Mv<8lEVu5RH}gGm-8W7qO_KRkG)K8v5dv`PaE^WCzVjg1n)6Z# zCVApMx#bKqI!LIM#NyO+#?%!msJ^bH*(IU51#D_xqIKNe)r{Pf!n>i zK@pS8*NhFOUlxT z^727*926poO54qowb#0Sbp3`3KZy%NWzSJrEU^H8-Sj393cnyWiuNF|O!dtF?G%^I zD)VX89yH1{zKURbRA~w@!HwBDCdDUk3CdZVaJ@rL!}qY-P_)V2dyrlAQAhv*=HEMG zmfO-jXLQaoSm0jlRo&-}9-YJPH~-=JIg2wuobL>bHL>5j)qdVT=6>qLEX9*voll*? z(+=TPZhLkR*{bI~tte85J0R+hQ=L*v+IyPhC9cNx{jdrAK3$iMBH`M*s1V{7Dw1zy z-|b48da+&?fC65PPPB}R>3coR>OE6`^?a8q`c8Cf`b-<>h#0lg0wX z2#CYrZCI3qgnsw2d=aylXG)>AM4?jk#P{no;{5(XC|b{b3D!c+t619BN%s8%^?ns7 z%(T?=f(6N&K~#TEKZ7+?6MVHWpuQ-g=OLR#<7t4D-8BFs{Ex1aEygHaC1YWcYGxTM ztF>8~&p8L!H3t??n(jG-8Z!ih>r(6WM)1aJ!SG-qymP=QDj+GJoE{uc?m$F_LZrPQ zQtnm3QHjIe0=CE>0HQfn+1oq8pD?`xEoeXMXZjo|(C6;fQ}uo$>H~0}^}qi^_>tuc z)cfa{-G3l2S^m4IeZBl&0Y#UxoXBLo)wHCjNgU^DheXKOmWZfSiABvi};xWaaoT zG0Z=<@jw53{1q8spl4zF&lu(?;-47iA3gg&F-+|BNb8+o3=+S6;M4+Z8|m42^QxzW z?VQ(&wov%$CL99w1BAchFwvjd*E7F3%p9J~?v*bNGxpr-!M1DV3(xrazhS-REL+ZJ zOq5jZRo+{bFr|wmIpM0)A>>HM=f&db{J1HjTbHh8`N^_tr=?{azQt{P^YT%a=ezIi zoubTd7RVgX7yYDJ;pBOrTanEg^6yG+%mPn;ftZ{focN0RXrF==Rdq{ci>8d-9dnis zelI@1Amz@JJ=NpcP1Ip*j-0P_Mig9zOwjFtA{U=K(w)grtj(NCAe)upVi$$o@@;}(rEFv)PMa0bHN->b>k67f&3VPquC7n$2j%Kb}@;$3-R);zEu%3U#$`DEJu zGlvQM7l(-*9PrRS+Suh6tq_kjz}{~5#bJW~$zeM56fypeu@FO>Ncvqv);FqQGZ9*qHOoo2F??^Mh-KBu_t>@7UjN;DOdiDNo`<_PfbILza}<1l?DP&4J2OE#fsfT}Gz{)NNDhUN~h!ah}7X&VTa zJNI{;LAp|Zq`}!_#3optfZ=dgzMMX4PL}!nhTJyxaIT-OAwE~@XQ(D zUc-KLF|=zw2N*+|y{E1i-C2Z_oiG+9#u7yUvE6fhAYtDwKhKDYFF-&w_9$+|u0KNX zE1l8Wkjq^cW{pD^98RcFEA<2&R%rof632QhMdWLYXai*vM*?Q)nH?T0(36pjV1>e?A^=(8(n{u9$gn`RIR_G^eOz$@_`-{E$emFL8*&nm zx*r0+1swuzjMMgj7wzy0en%c1j0v-HHsoZI8nRy+wH?YR1VkM|NO;GMVZ4Y}g#^xd z?Kaw|l;_ru$r=w)weJP#&=6xj}y6I(Qr5NgW*{yo1|FaLbNaeBZ}(lSSun}biD02PgC6m0Q?L1=$^3tYOodQyqpj0AU7o(7r+3yQleF$Au- zpu`1*PZqo>J{8f{O+*MT=RT7W+F*jrObm;5eDXGvh5oY}3=aTT3AioptkCaUOeXBx zpF#WB|5ebw^Zy>S|M&{pJADQ1zyGg-_GW(u?Q{Nl(4OwU3)*9+a8t1F*6uv_$5GXx zWwE1VvH#d%zw*-L55mIMk(NWxnuCh*Qnsg>xNx9gH>F@d>Ig`Tg^J-R+_4wMFkp7C z#Gq9$!8Kvd3<=oJeu{;HV;vYxKC2JPqn=Rx}#^Pg>P@8bhy zx#1tWGDkJy$?)*UJ#ptMA!FQ4T15k&2{~<84;2<~N&ey%PC0YVw-u6FRCvRZTsEOy zJ;~~_$cd0Fa6q0q=7*0_jj0}aI$w^As$ij9*qx53AZGa#euGVlzOWn6eEJ;)%`p@S zX^8F+QS~GVn}#z2Gp+!;UHyiaLIR7U58y8b^O5&q|G<#Y0A#o#rcs^$a&R)}j$}$5 z#RZzOh_`aklu@N&a2gpZ6eHdlZ8_0x9^aJ2=haX&wtM=opndnV@4pY)zZZDt-Vq$t zG|Z5HL70C8?XS%K4BA&>O1wLAeFg28|3T0m{~PN0)1I%JSA+KDLW9{odi$p1gM&}? z)+AkX`=)E*)->I>X1zr&xs+npzKpGz2gbC=s(_i&m%(`JWKr0eQA8 zY8@7J-b!9kway$(7k*7M^{FzvN!Xj49dr=4!$7xCOMjAA)#z56p@6#mx_~Vp>QNlH zd|qfd0JUuE+(&mIwnO1uxnx1(&C1JpQ>^&5$(9xP4W%U8xWQz*^$39vmg{cV9>vAF zwIy(K!hciNal#-Drkxxv0j~u<2HD=YI!!9K;wKstFor#q{9@WP3SZv2S8Jx3U5pQ) zv@qmwlVMDkx3!WSuH!41nLcFkw{cZ+;Xb4O&@SfbciQpgd3GObPn>;E zfC~uagQI32p%?!|q@NLMjX(7q9;?6(S@kMfqp?ikmkI&r3^rYrKhqL)<vs;+{rGUYPh{06ZVon!rxSsQQ!biXbprimMkBM zmzK@61IbL5cdy9YFg$e~V#pVtTeaLWVP6%UJ5CSOniV2TWs=H<7l%zZH<;H=kZ(!B zJ0LI{Sr`kOs0?MAv_+o52$htf$YH5?B_C^0)K4LyFDh@InPSc<*MLKEU*0Epdn{r4 z?7}@tmm_T&r7uN%HPa*=@F{>gD;PW>4oEPSbLnM`PcD&Qr4_v(LsX4#mjTTNw?G`8 zO6w$o5f_zi#yITCAiSfk!2D15)ZBaQJ?tR|)>hKZKRSf@IIG`~Epy`J?R7KHRxy)F zi<$Wd#1&0#$Qw|4m?n5cxtTBhQG1q`xT!O{Mle@k*2IzO3U9zQiW;x5Cf^0bV`w;^ zefP(Qlv>3h`Wtjy*EE3|Q-6h$cG>TD+ElI7Jv+_&)c<9LdNYa5-erQMoR;$G*N=PbfYdAQumDx`xx$aoD@uWrQ}fhsaE|`*mXJLRz9_t5S2( zTKI_g1N-M^oh@4qWj;nMv$esx(XrM*e#B`|MCJ~nN>nx)X&RqUan;S6*r`rzhBc=F z#7joM0k(&hfmQxnIWK}(W|MWwIh zZf*66DvUA&BesFS+FQJIpVV{w3^~{5+62*FrMowf&^a#AL~Fb2b-Ap-VypH`vZTiI zO4X=}<%VsyX4@(K*(>(VP*pCDM%wDFf^VMRVNjxE9uU_|0evZKiv79uR1}_bDkGAa zsPs_WKR3KUW(Sm27+yRwU-Ouegb*fV2Z0s&(|s1oDLD4UN>{cWVj7?i8C-wITOd0u zx4N(3z+4=p-nh9a+PGbTJ~TCFJM_3Cbvu1RfyXI9hp`#H#VN;z)0I#E_nH2;>*%0; zpl$W-Y!n59&DR+_KJa=fNpdb7vk}R7iwNCx=Oa!%+H0GtWGW(GUb;k0`|Kg`M#$zF zgi||*rC^7K6yGIOXZGhj=sk7x>xy6L`j8}VNEAtCjRNRHqLv=HiAK8kJ-3Q*Awt-< z#Ta@Se0;qtUQlSx4SVt|get2zdImp6!)43~c8}4J&*Ngeo~4Lhyr(W^!jEaRq;JA!s|P(7-yt7X^cu<5)A33G{&Ig8J+L&s#Idl&13JQbdH&L z$_o2=mNa-{ObjX7oR4WsKDPz+j7yQw3himG#Ut;<;*Ei9ZXI3e;v5&e_Uq1w4XVJJ z97j;vE9C{^7HF<=Tt9~riR7H55edzYGS(+hioR<9S=9Z(!s2$cXT7U*Ui|d zAI&$CdcMdh!7r95;AMeK|D#uPy>hAQmJAqKaziG^VO!Nt9sDF=hKR-Yz0J9V+xT5M zDi>Gl4Y4ZukQOD7U>pr6Cp+*R(s?essd(*1nTj`J4Be~W@ZjeTQ z$p9_4l@ezXH6B4^lS5z);upgF;B<1?4igB(WxYtfqK)ZBPhOo3DIMLx36pzgxg8#DC40*wkU_ zlVPS05hs!iAkZ7F6m8>Wub?*2b*dfbLEnr`KN+fgm{eg+Qfve-vR3est3KdWS+ZDX zMO+rII+{IXi0K)z#2V=(W5{NK<@ar82+a@#DFIMD9yRXdZVBtuge6zzg3ku2R~W|@ zvek|D*BBioe00tm-eQ36U&exz_8#Py?9pRM(4C^@P@>ptM{x zVpG0Glv^jc1t_e*2N^nTlgx(~ibY%o^^^!4|3++0WZ#>iR-g4DNv#C&x&(zUP8a>j ziH7RJyBmS4xU{#CA^hum$Y24AW7zOhGiXt?U)FSKCF&k5T0-dWr4#98KeaxbN$LRU zIZ)E!!FDPivQb`kiO0yf%;hzJD1BJ5Js;T(Pbt0r+XNrZk-$FIs#=k-LMoQ;J_*a> zi)t9mD<+Ev%1o;?scD}zKU)&E>73NP(}e5k{%m^t)t3qm;;70)73Q zR!w5?^hi{irR&>o*Y;95u58(?$Yh2nQhJa@bCH-6PH6mw<)so%=~OYpYjm%j$DmYO z`PBp9F?r=(*s+;5RZDVZBrk^HK%{b=>9}Jk73cq&Bh0;y$D_Cy-+0}?z<1U}!_z$q zI<&rgYV|KO+#jU=nHKT&t46OxjMAyNf z<=I44D@}wfz$^tpb}j15pVrkNaw$Cf{x29?`7|I5^EmHYzz*WAmuUDS zQzq%}H5g66=E@cVp74V9S6=>B$f)(XWJupboaI#*5#Wew@XR$<+C+m10lDLqMX4}P zMM{gg*N#vS*i!FQ%uOmGiUmGTC%hS9oYjFxp(WgLCL9n`8ZC%8Uet4l^Hl{?jU2|P zzj&1#5nk5wqmtEcnJF?As)^Ytt9NMJz=jpn?{+FFo8MY26kz+gaHUWD1b>C+e`vyl z?UJaaJyJ{a4K{ot(4?7h8mn2uM`31m8(Co%!zKH3m_-A=ODUqL z%|`!(>Y^hNkk2yzWT6#OA)2<5X^oZY4NDu4fpdswb)Ad-Np<9u^Bbi}*5!z?3h<(3 znGyW`@}YIfcWHNB}fLIb7$5l5*aj=;Q za|FUn5q+&*^{`UXt`kGKpW)>RiSmE)e?Aft34(Y)0y zoE#k@)8R8}DL{Lm%_2cKtVH096z;6jX`NEsfHt^z=5vNC5aFDM4Y$yS!esxEsnZpV z5c~R|oLI@}w0Y$PNg~_yXw`yHsGCRFji3fz;;+d>{vfkKj~%AhtDjq0=ZlqlW1F64 zY9Aacp1WP(&LNtp1#H@8*bg3TD^N2T%cRoyD?SC81RJY>8o`@<8brP&#|PaNn)=ut zI_aB(*QiVpG#||ky_;@Z((Ktm$87J2t3JEH{Xztro{=yzufv0(3&%#L!{GhgR~I(z zTs+%@qu#ghzc8L!XY@4h7^_iu;U(zsm7wI0{7`9x0~dKf^m03dO7(+b#Ipf?o}{Hn zvxCZgv!y`N9=X4{0O!uGJxqGTN5k*StQn#0XQlY}5so@z%rLt0X%0!uJ3N9kN=qx| z1f^I!$XiFJxO~meY6O@q7eH8IyxJ;ry$tfOgMHM>NeoK-WhOa@IF3q`;4FZ8gS>Kkt zfu1^a|lFr zSGSCKfG+K)x`0*VZL3mnjojbJkXCz1Z(^~J6DE;dY~88dSCy-Y{Ir~ztjbXWhnCr= z-Ia7N;A7BLka1RESJiJHpr@6PZ=xv9Xzi(&Q5*{QN)rqtzdVoPy3h^`{^$!)v+7tS z3dXTB{e+ag#2`zk@s!-=S*vd$)7D{Rye6#U$l|C70}j8)mMs-LK!0%8?rPOW7Dhxm z?3avo*dgU@nLS~-oC$|Oct4Qu1SS?WRbnvnO!BeK(sjCmTq1?xY-|?znOy5%58_Zk z(DGf!x|M`ZAD9IDP#HYx2{y{TK%JOFAbag;aB_E*)Usui*^$Wq%8(C9vy$*u9!xOD zmOx-N-7CR8qX8EwNq4Q3ltD&C9Q*h#lP0Y3wB0tMuBdnNERgs_DanR)oFY!?9K@uK z;mL&!lb;f6>jRQ~r#^J*CUv-%#|&hr$E0ruV2JzpNmO8)m=b~lquQ2DQQAc;o`0t5 z($&z`f5^U_B^ThWFiXceeJs7!*n($k@Z%6!4Q zv)k{VL^D0(Zm4$R3cgkRTfLrD^y0lPM$;q41`yQe1T{#YN=wh!WT*(y zkjKwm@67>{A;2yA@f2ggSY`U1<(}Gn>Qb?`om3YwEujTa2ls=!clJ)~x;TOxNwMok zCR*AXec|#IJgz8|8VVb_IJt{k-1s9t9;%D_kkyu|Nts3}ZXz#7p-@y%S6x+>01O)| z@5qLsBC5aD2T@DLxiDrqi0R8SQwLV^r*l*x0n7vo-;<-|#4M@JIeWE9wgZYW3f7?; z5nW@MH)Gs1+BBY{M&HfnC|u~N_4pZg0z)g^Fwofdnic7Z#%MeS=}fm@ znk2TeWi)in5vB3g5Ul1-Ed@p9)+q&+3w0tWkBM<>pU`-BHEwpE|D zAstR0l$jQkB0?$d7TrIlIqBAie~h*s|Fu`oFnRrob#s?^FcA(V_a^-imY%P|(kl$S z%^5k4GQP6fHq#5t7u?M38I_$}PQ%}$4*^peZ)io-kqXDk*ViRq)TJ<42m+!v!1$V4 zOi7CyPNK%4eI+nCfmoHam>OCm4~BRWzpA@)di8ab6k&Z|b+oMVy@Mnqx#NXODq0Mx z_qJVg8kL$oL|^qW238~glSYw9|O;LbmmNBByEEECfM0yp6P#Q*F;~8R-#1N6Uv^f z3n_fYI;gIhbkBQR?!s7}z~UggOn%d%g>f4-MYb#PHB7XA9ydG}WAxtCpa+iD$cA}o zPMnK`(S^zlORrt34FDE~Bk4ZB|32#(N8vvYfkR;nH~mfFOga!;PzI4(K7eeAUp%Rp zJ0^s{VRe;Z>-Dh{7b$fs)N-<_59fKSn{~ z1`1rwLvyi_f^Rds@F1R|ys_^EqCkFJnb=vhwuso&=ExHSgh6@V4g$tOP(&tzVS!sU z%128gNq>+ULC5~rx=>wtBZX7_IZ!kZhpXB5T(0y-tGY@Mgq69orvB3Q{@Kgl{oGVp zQmHozGmgP7&zJJ!+4)WNyV-$g+6v|=@)U~98&N#fonX!(yZZ>+jz&1>B!neW_0F(l zf#Ghd(QWM7r9U0ux@y8)Z?;X@x=6f_ggb)UQ|#FABXcu&L~C5RkqF$1ydMt zC(59`fttUFW!9K8W4LN5otMhd(%BNMG=-R^lxPAEdDq@?ib_mWVlo{Kyv&LLKciFr z){o<9!HRkCy~mHTWTjc9BhaMi=thxm)e=qtT1E&Zv=l@sX8E!MWfwM3 zcv?8UjY3Haj%3DTjW)C;SJTK168`FL9<`-8QJ?GghHtM0yiTVJNrocp-JEDn&vy9d zsOych!1Jv6%J*E6OlI2q3rp%{4w)ToL%c7VZM|mv;vuwN?3rNpa=;s*;U^xcvoweE zynnM5A@dJv3Fn*qw8%bbVG0^w#_@Mzzo-W3-3V3oqiMm}oWBm`$TVmN5SOnUg^na1 zf9~M{jH)1cO(ooY5)xiabIY*%?*qk{v&5IdPBp*b5))!TS5 zVah#v{2HR9L0Due9auRrufuVKbFx#XE0xc&?rgT<=_@C6C=F{#==eZMvSHq^6Qtsl z&kW)YVuOm5nc^lYe|;h+XnCNDX7#K7D}v6A1+@;~Cg_5s7FAo{E^}2SVUh&48Y84@33??O>dW-r*1N?=ms2Fg(dSjijWnD-h6fB}i z2JA9$n`jGYwrcP+T&v8KcLN{7c+j0(iAg_$JMxlPllE8N?o<}7(w}nAp)j31C>ndP z6&xCO`-&|z2J0wM$xBtT&@0j-q_ncfNDjL?sx1pd&ekiIw!Etdd}@DR<)Y+LPu6{) zotqu>Vd9ZuZ*lnbpzan}a6zQ;XX)3QXDMJS_Hku9<1aZHd4XWJ3M9m2x8CY)RoXPg z6Nh!3Q~x@FbZ7L*JRQ|qGG2|NF|IR!gu@WCGK-E5w5E>p_Nl0-DJ)MZ>0IqlPpLTf zJ#&7z#vlr6?w9*H)EKhFe~}pq<}5ZAnbG*uP8Rid?Uwf2XJ7o}l$Jk!ZH?}GKrYst zZ5~+ed;Eh9@h+|V-NMc{lMd(1P{Q}v*x%zvQ*Zftl!8@P`1*iEaDCl3jhjk3;4QmJ zPgi^=7t9HH621Ly%k?l9iq5c6}kDLA!Y!PrM!H?6lNQvxKkb2i{3m8}Xr;Qy` z2u@}$v*^M6Mdm{y6KDAFonIZGv;iaH9yV_FGS9?rUC`5Bd-&D7KKYuy8 zd3Ab7sAB-5ak^tciH*|JsAGdXXaa!t9hxo6u~n|ynW_hfg)XxJ%cfyiuNSH=L#aQ2 zz=Bl#Xr8U&19Z(7za1EU*gu;bq}qh?MaJ+UUMd_=g`|#is)J^Ji(3p;TQ_}t=JAVS zm)U9Te|hZi_s$Rh7E}t2+`8v7o7O1}`VBczdAUYz5oKE;y*u6$XG5pY=@*YN_=;y} z)>q`Wlmj%aulWt%w48LSPC5n7n5oJVxAxH>7`GRRw$EIfCkV6+dq|ZtXfF=RAC@dA zMU?^f#WC}}q{xgCUw38fdQBa36>z&X#1GkWB-UN1XGH4#0@9mDCkcU1|2E7rU&jdNY6E&X+*;-gVs^b-B-{=Ot1 ziidgR#}n+k*CGVf)NwmbmtI==rjrj$r)Uvl~W+y=!u(ek@eTKo-P=l%ZB%5 z1E0hY&&0)=#4}B1pz;14oDMd&u|(xnQcmx=#A#38R_)BdK( z+|NVy)=_4qRbzE~;VhcS@OpfTC1(n5d?&K(VH|%Q3Ndr3{8x!Fl-Ibpna>&kV1S_) z_KklCzW$*d{pYylf535B|2q+Cz4G61+!la8MX01v25=_o82p$iL-dGD82unaeiki% z+^pDwE!cn<3;}^)00=A4QvTtp{lSv{M<$o)ZwAnR)1)%~wZPHNUeL~6i~if!^RGKA z1LM~t8^_n94xN~zos0dSGSR;|Rb@N2r{$*-a`kTx2Phsi*L6iD_7o+|U!CQ95{|dbQb3^{~ zPr|=~w+sv%|I~k)X3&lAXTYD(5Dx6VTh+P(5CG3C!UH6AC;97N{imc*;1B^kRVK2F zzns$?aNB18eEt|F~)N0Vk*Do!78h$FHM;?BC2^ctdzTT+lJTDYmD?1iL51OGG zo=PfGo=#z#nQy(M#;)7tvRU5to4Wk;P>v++?$p!S-l}PCfqy!CIG<-TvwXbPxS<*O z3bT<_WL-tjojEYQrM8tqw$1mVVF%3pTHafHi34hQMprb6ZW67&HjQj>s$P;y+ZM^a zY1Az*WeHab8JsbdJ)R22QL)l?1thOkkDhjGSo%BvX%6Hc{!=TVh&1T>99ZK1qU_{A zcEs53hYQO`w_%yiRnIHe%0QfZtUbD8`=^ zi2l>GarPgJ>9of6RrSSTicTt_KvF9_ur_^8mFM$oH}GAr!}viI&1EK zM9E#}tWtpTt&E(Qu`+fP5|WP#o`BnjHK+rlb|xHqs-`W)_FHS{xaK`X2oO-<8o~gR zX{=qVN5fVlEX@vBU>!3KY1h5*8?9CM5Y1!^X-PK--vR?eKN|Uy$GZ@JKxw72-&*j@ zplSBuj*s-s(l!7(;&$$vaSN-3_%-xt5S%v3!{&w0Cu$G00@h-b0-81%phE(wb2}rB z$H@9FqY=i;BezxfE_CoJ0FZr<}55Q1d91$p@o{_fCDY%^l zf_AjDi0t>Rq`o&boS*9~@O3XQkqaQBo;_92Q?c!H01DXN5wa$@78p5kXI59i)Fu%) z-yi_&T7#NjY>6fci-jE2o`_SY*k6Vprz1XwI34qvAIJm4L{An#PSl)>kTA>z_6|J) zIf%R;1;5Pq9^pZcnOu#mem4DKg9fqR0)L*?E&|DzMofG!Pv4?C=B18+Vd|rPyACz&&J)`^p9&a~6+aeAQ z3CEHx18a@O?+y##pmkJI#fg(KwLHp=ZU!{NwiqO%3vG`ZVJCkKm(8tHb_(hN)uS|S z00Pw=oK~D$7OZkBfJ#ERhj2&&fv(H1VKO&OU?Fk34-E6!}a4;rXNG9Y#rkKys9kxV_@9CM!$JkpH1 zHwdP;8oLw^jzBiLNu}@9@=1A}!ggckx#0;=$;#?}VGL73j1gsAFg64T{;VipsJzSq zcQAHdz~;atXkBMEF7WudWI_<5VRSWIbllPDeG@C~QxDk9FQy#)i@Qzy8+ZFQD==yj z^}pe6oeKYsyKVY!?WY!au`4F5;Czz^3&TaXU-r|wFZ=1%zt~T4uWSPJGspQw@SJGs zp4&F;L(tMMUKyb#)Tx&H)^vkB;h8C;rN6@pJ2Bop1VC;jds3o|dQ&en%UOq+VhVGx zbylB+4?!1Sr@rnAo?6OAJqTikLYri{=R3EVK|iS{eoK;g`gzVq^Ha&b&$jor{ZISp z7hCI~`G@`V*B|!NMutvpdr3X}jfMav1JhWcUWTvRFlttZn*9YLXed)3NMWzmpm{je zIZ5FvGYZai$9GlxT0+^BAPiP0e3rZ5l$-f?Z71R&Rqn--#;KG=QFu2A^)yGg(+C2O zjT?ZrjrM&O(MGpdg z?+$&VO2{$z$T1v5yG0rHb8+@_3qgT?2vRBBvkA&vA#(;k+#SP`IEsN=OjZ8B@OU4L zES@EKM@e%P751tSJVO{$szKAi1&cc~oQ(^orYwGP^1Z!Hl<9?iC`_VlCsHlT4gV+b zwqyB`6gYnFh`so9PbIlonfo!>bq&tPpSli*j11Wl2jo}V%vkiF;H}Mn25*&u5O<*k z4SEV2lPD9?5PV^I3>3&7GF8Ai))2k%;aD@fp%S(_z7x{dFP$VbqeJ{!%o{qlG%HYDzgdfK@ zyDwwVK?B?=OR@6jEIX_jbEAYe{Jk3Vt^8%a!~r+l(>m(3Bct*fJK_1TnQ-MeHF_uu zR%#icL`3#RCFbH`)A4b$ooVnjo7>i|M)kbzDmBuB}1ewqu*E5_iIqVcB^Uz7Ibm#b@tKzTnjP zTF9w#k|LhA<+-n5>5}7G~MW>-JG7LbZ;}gFHiflUHoJ&yz>PZyzp~G=xN6@e}q%x zY<#>3+JT5jlS-vRBUd{xbk3vQnBGr|k)v^d=sq8jSmcPtn9yQn_PvAmY|5^#v77{B zt5O9C-g}lFv#i=yJuY#3^<;1N9Ca#fOS7}9o#Tf8&KV8s^{s}>%Invbq2HMs+F&}9 zvlS71L@-bmu!AkbIRIYbiMcCy!Am*>Jl%j=U%2aIcN(8VQNk^s3W(ZF^2v9K6aRg% z6-Sc!cFa@aT7O(HNZ>-=gmUo#w!1vb`Vpl?n@!`avF!~CS=RjxM(MWm)Q5mqk<9*I zh9mBz*MXC#-plG7by?R9H{$8tXdn+_Na7BP4`%HbUu!zBC zD{%XS!W&$eq7eunFtgJ%V{=eZq+FN06aY`9iC(Hm5RDNH&;Fi!CCUbY6wOe^9(t0O zZcxZK`|*ls(|$SgVCW^Kq@v?pAY8`AN+v?r1WD78$h>V01UTK)HZCqx}@;Z0Ize%OQKaVH7nZnK==84gid3t%4SK{(9C5|l^ zHXU$(a6gAvt(Bt8u&lW4_|BG13==wQ=g;$gz4nZDlpBCjct<(ieK82r*zrlkGksM- zzD4f^8OEGf!RMIYH3DgV*V+^~XmW3t$^(xQr_0!2z99;dm)RT#Yb0>fZXo1-Izz0y z1a12}_K5{>m$xkmU~8y`a6Q%lRB2iUwySshX2=jE!`iQeU(lV|i%HV!w=!E7;n7Q< z>E^1^&8m8l*bn>`{*?NgRTz2c<)@nrMr@qKo?&J3#2)Y;*d4Y%;G^OO$2ZlEZ$Q^C zk02#ayuWK~>jFRw05fifBdmlWw65lXOP+yskS<>~_epPW6B7>z_ye-x3Q#YWagqz4VrWzyLzr^f z7ybP8S(zKIy2VpSn_%FP?`@}-Ap)_=udvR3#PK9|ZAO0R^ERd)gz%jfF8^epI*q?c z+Ri(fLf`yiisnk>Vh)gbBWX*Djogw@0(_Hq>f6y~Y&S!Xkwdt~F0_F53VJZZ%ZAIk zgNROaHq?5bB5rZLDRV2A+#+kEH#Ao{JdYh%D7UBTy8c?O{`z^w)?@^>x9s2tar3X* zi$g22Ub)8B8<|U{SifHnxHL3E?#^L!dnOEWfQGR@S=1P0)n*}pRHop>b+P(vZ*06J zBmy!MK%VI!%)d#5bm|)!K-q4!@reWPU1xk4(GmG)4EBVQ<)eYQF^sl`Kd>JVc--t~ z1^KhpRRswXGSWi)6hPVoUDIailW^=6o9A!KmN-YeA`x#=KPo1_DC`V?W^h@E+;}su zOKco_koB7(z@OUQX^Aob%!)SEV;X8wwUM~1p!bgfI5voHq-|^H`C%R5Mz3qipgZNk z5}6%2zu1Ehzp|d1iA#I+GIHTGrA&g| z7A|xQ`0EGbo2U~wMJzHlDMIk4Vj-Tfl%x4RjfmdkDTSARtA8^o``u>WIO9bULr&s6htu!@!}8>6zRa31Qtw0ADYoc_H#Lc zb4{k0666i53Rg-b4^ygyr!>DoB-AjCNoXN9DaFrps>S9;vnAr4n-oOn0&u`!B|5w9 zfMf|yGP#A|346ROY#_ksre4O|Gt!<#iv4vBV6ed)P$%0B&P@qBMCahY;X{T2mcg^R zy>Hz_)tKUTCBAI`7Ekq{rHHbHGC2u*JhUYdIdq5_GYv(N6FY3~RXmp0STqh1h~PL- zK{aVfG==j}nXH`@XNl}c7w%16Z^L=4U>p@fbu6lo7E}lx1tGO=4n?P8^Dpj|rR>?k zbF`4vzp-q<3nXsfKsZrk9iMt762l8LyUip8t6@4}XeDtDo~)<#9}jMa@BqJf8yQ^& z@sN^<_5=7qp&R|P9$g^O+UH2Ubng{6@AbS>FVbc!M?7*3DlFZT5&5Ihk||049eGn4y~&EmggCdrDXz!qWQ2yh&Wngp}Fowdj}b^YU8;{Cd`bN zL%jg%ouVX2!u$EJsMUxBVczi>vG02#o-4!(ikXNeZ)&`v2fsh5J_%LP$VpY~^eEEVa#BMW_CVw!F zl<6Y04ViXUDk|q@QIzSLM<{d>=q68k(rtq-3PEgRFG?^HxMK|rMCUvGlA@_EhJti- zdBhYE*t!oK_UlAS0jmiHP2X9(K#-|NkL#(-PCW8GnXQ4VnIYG~(^ZinV=PJwR8vtH z8Q8)60asIDx*}ba5xgv2Am3(d<#bc=_iGHCm~hn9yP(={wQVSOxa(4_5wbjL?Rtbd zcafdkS6Mil!(Dum(G)7qKwxbBtQCY=65QV~=KY~*t1);P?^&BihK2p~L(+jOf^+av zP$_C1^tQr!!|R1i8gvB>K+WC@YIpj&&{@?pW1^Y1Q&`57jjqMh@w(CH;P4J6%?UrB z+XhwGQ=3rNRpc|aR&dSyV+5}JhlAB1c9;x>mZ6J+D{lSug-fZHp+H}@K+#M~kkze( ztyEctbd)$l3#qaQA$d{yI#PwHdTmk0CQ`a^<<^xW*Z*Vg9)oLp6g1(-wrx8(v27 z<0>Q|!z_EHop2dUaq7f|lDfMgk5Dyw(vfu4dm;q!wUpjjO#t~_@#FVj{P3S!1LRT@ zvpf#ujae)$wEb0;EM)mJc5Tw~a9U&G&+M-S8VysVAb+*yDHxrye?Hc`^V9LBP3!>m z^lvqkK-McZuv~$fRo9I7GtW(mbo3uKHFXaqvOOFYNC48<)ciN%jX~R%21d8F<rFID zqv@u2iCVXA$PjvUaIap%OmA-{dkTQ$CNP@6Wa??2`)}NieDLKT+Zw4CRUzdk(wi@x z)M<*nmTa_b)B%c9-fQJqQt)F9Y}AXxdlkfgG|?zeRGUh$w9zny37(`{r}48v>A}O( zm`j2v|DYdRkbW3oS}3h5LO(by!9vZkNQk&FZZRk`Q7_a;h`8=O7b$iTDaPXczRhrG zC-gUMJH8BZfT69?r)H{8zXYFXVDfL3Js^;Fj(Kb|isUmtum(cy`5$YWkKfnSMA9HOL6ZZJ~62QpM4IJ7PZS=tur3 zMq8FMZzkP^;B~L}Cr9h@qa8adO<<2IItzZ`JxhkfMFm;XB?#-~t6j_WagF}MB{kb) zDujM>YNvWsfJfTi4)js-4pFtpk~}rAX!C9Nok5NOuUS2>%IU>u*6=<##aF-c-ZIIE zkx38B|24lTk zn8+#L+~}jJbEEY}MOEz6(G3*Q+gq!zhQeC!V*BCh5Int8z4vf*FxRKxVS*2!HlMz6hNv|$XBgS0#M=CrKy!N%Hvp5Vp;nNvx-d)<&VUFP`wpw(V3!py&(+H5Hw9mE{few0g?GBayJX}>2Q`m!Lp7n$-C4(`#c&hnBz!ho<71o^GS+bj29=$ zQewHCVj2rXHvAJG{nQDu*P2NgtbhZa-=ZR=HmSxil2gzl$JA3|5s%W@8Ponq;I26U zb$Dh=ZerJKG~=Kr3(+{kZ)y-HF!Ul5tdi$S)v@o^|~3lm$L(f|cknhmXc+Ol^rCNR5Gk zDsG720bBoF$CCw)V%BNf#ZvV=lZI4GmN@{o<<+>d+7Jfu`TZ`+jkMa6*9>;XHoIKH z>BbuQOPPlwz=I+2oosFK6k%#%FOj4wHQ#v+IHaiN6>NMNj*3;+ojgmOK`~>dXSb@n z)hMXmwQ@z@AgYj{!p*QC&R#(xODqleQVq?895%$>Qj0h=Ju54K{nNuLTx_d2Z$5~0 z*}-a-DmE)dzU}eVpD9@R!2pc)hQm@ZIx-kP_Id*}=P<}HyxO+o4-lT2Y&Ou92li|e zmp^ZsEiIqytlf!1y^1W)GR&naQfPM6C?;6_&X z5P^@7jVd&*cTF{;z4i74uZnEI2Ky^qY7e~~RO~qpLAv8oO6p3Z`$&L^o+a<~`m6y% zyCP&$T5{-QwmR1%&uxAVbB9qRPg!J6zU~l!m=HSPVCvv6&{{+`@XAYb8-Yn*1Ws;b zI_YrNVRfHoKa9bU?`Z@v$~lO-qL}h9a636ExVF^$p0z`J<=051#y;JY79*qx!CU}J zyc~`E`VyJFFbd*>PwMqMgMkjErPM0F%Mj%yQ>s}WY8MHEhqi9B&+l5QhxfVeBc~!& zb%JC`1fI_~1NXR2vD<*MuOpP<3*z~{p#BVS`(8v~MIM_Jq*On`968o(=k%6jU;yTx z*MlwsNIglUs9gxq6>>rrtdTJ>^mmf4;(_06@WF&&0hS+>JAs(gE8Vq82y=G8R*_)c z4HJ=3y4C?{F+4l#pX{Gb0?3yJ+ErfI$}5^XN={>|>iUy3z1v!NHq-P&4Y77h4s+zA zArWS}T=GH@43kfAM$`7HvvH=SVeJB)LTg`&J7++K+=rKn8)H#%lXx@5Dy|30Ps53& zdFV$tmvP#M-g|}Z&LWHQ+y&S#nZsp;I*!C$V{Z)KP_&FT+HBEB3zZi*Fyb%v4?R~K z>pbv#W{|=14qAJ(piF!#Qp&#i$i>d*`88! z4r_DN&mx&3=_R4HUeY0wKTP}=Z2S8?DlM3KH{56%kk9)qL+QYs?BNLj%0_2giFVU3 z1rbH##vSTogT2jZFzt?UE1>=*>3)Tm*nxp#+T+Hj`bEajwB+KaMaW#opJgh1ANM=^ z&v#-AE~iPl-XCUJcv&*@2RtM#r~0S<*@Pi7Me`pAi?T(?sj}9Lk!Pl0F*C{<&w(cc z%-z2?7g50!nz@$6yHz7{dRSANAi?s;up-|T7=hfmOaENDd)pZ}!ijixTUAVBeGuSe zt%tWa-A26n>t1@soD*3&+wgKV+159!1~g>Xu3D;XR5!Y$Er>~8s%EY64qJaKDl6p< zs{Q;(rOT062(771ry?0WKg{C%pep*ekp#BZ2|M*u@lTxdlybDI$mp5bt<_#3xO|tGcV?Ao2;YyQb+VF3M0S~e-q9PCmK?O z4BlMzA{WNGGQK+gzQ}{ZUT#uKQ+qzz5@*%xvH(a{Gz4XgsEo8OypdX#%$6lUsKD|5o0sswG)ko?-O zm2>G4Sh;d|2@dd{hPkFVx<#1`LTyV9)jeTIpCwQkb$C$sTv#$O4-su{$$S1mQHp>q zbWm8zdZ5!=Pc>zhJG2WDo07yP&I;rn=5DyxLLK=Zk2T;0_PlIE z{naqm5oVjMGLiQO0vyW5{7r$hS_ZDzkqPu{l8;>YB06dJn7pai(ZR${@T>t^q5|*T zWVo)m+P84|P}?Q?-!Ue8#^vk|yA2bc38!|exu3D&#M?-b;B~JRLi=92RbQ074d1$k z0;8~Bf_{CMP{Xv8SF7Mj^gMKj-@y;g)}wBMr3Mtj*mR4f&$V2RdcfL`z4*P z3;1_FHRS2B4UhZn@Ak5KWeEGRh%WNrq;khu9KbiGIX}fyWN;tin$ewvH53U2s{Gpq zf{)*~DQ}qv;R!ImY7!fES-~>3TgaEfSYG=s4RYK=!Nf^)M8;8($bH+1{t= zPv^mm;2q-Ux*JBa4ef#CNwS|OFF9FUZ4iQqLDHT@?44`nQZ-(p&l+^6C}XYHtr?9j zxcgIhDqV+$^f8~(T{W){w{t={Fg;cAwx)9tw}gs}gOky&JND~OpJcfU(~oDuz-wea z%fjB1Dh+^xy`|%R37|bBcXV>&x#~`QUP2S4k{ynv(nymFAE2_E4lwOarF|oUs|;}x zi_>aqO-NjoJDI~30Sk!*?hz`q2p%;ynm>QHwe3~B1X0(@>vzSf9^5g&SP6Yx(*5x{ zNllZM+deEfrw!Qr-B`U$Flc%sa?y!fq(?3Ff^u1J59~mxzRjC%>U~O&qb9sv`>@q3 zkv<2u`G+Ciz9^+LB&!INwNq{xBi)#1R`OlJLJbmUoR&|VnqMS^hV&|h-lRZ-|a5c=B=YG3SeDH3Q{72zDfgQv3&IXHm?rP zp^Agy-$cQE6Q;r$ymZW_Ky~i=Yp~+KjOE9s-147I6rRK0?oU9=4j6rUs~-29wA$Lb zG~0k^@4*mK|1MV3C#>bd;?!tnW=Y0#jhuG#JBEHYPH#_t8fh_iMSv7D z!74v3H*d*YjNi^Z6=V6rfMCA4O7Vf&NvFHxD)7uhQ=tDKFTvOdUGe>~u$y@t|CnFL z2lA?)2(raDCyYLyPc}s=UJcwwv!>2IYz7bsv`ATnp1Z!41SpbVSf?$?ks$7 z)h@X`BaY#K2tL=4TW5OgHc>M;cJVXS6(=!c7&&e_L=SAp#WB;tQQWT&72duW_u<2; zOpt`%1ctLQ*Vbcl7#<9~H-=Uo%S1IbHk9|%BWa`VjE-3A9T36>ksay?vbw@vy zQDU`TP4cgC(r29I61EbYj*?~gBJYe$Aqvr_Sihs5W$L@sBbTiu{h>91x}>lXcisb& z@At(gd>c>8CA++FSQ;cOTdwB*nyGxuT>Zvj_GD z3GNzgCeX>QT;ijd9AU-;+g%y+NoJi7{|9%gt*zlq=GAw$$5q0?##7d-M)zk^XMvzY zq5tx=Lhvbx%hVl+U_)WUYKSOmx}bx;?W&mr6|Wt|1Q7g&J{0%l0$Hy2Z762wBkE7U z-3mKh6TfMb=v@5{5Vy`hv4lHIRMl#n-sZ}G76lIZsE z@9zG12QyRuq7Z|lR+^d2Tfa|(+G#`qI+Gww{lp2%p1pJ)TN51P5_c^`U)dyPGHE+C zl$~ORiZgM)Wq80QdHOmqQj*@@tBjAQ`N{XdYVyUj5tQ1UBm?oAE{152w2*`WNH8D? zjxN4LSW@jreoMwrb}klg0>(}Zr26)IXaXNs?cDknRwrRdNYHJ6ak|4@$x3H)IvwXq zb)7bMFX7@kj#4Iq*sAEA3P(cM)0YC5o@nPRl-^*iS`!NMv%W&31|oGzeitB?dfp%` zq9!GgWr&JycGl=ditW%d*Zkhk^mk{@VvoW}jte8NsDnGl*#~c{Yu^ljaA5B{Ywj6l zvyvFsNn%#E+bxDHp10^7zpG@iTg|9js4(`~FILgWoed;H6iu(3X&pqyT8#}uS%>o> zj9jzK1}D<7nWO&F$)Xk%>$MBZGvNkRQ9wX0xkI&cbYO*U>>^3pIl`HivF$K;MpiG? ze1ZY~<=pX6oP>AAEFf%}$Fp5-^}Z5Z-m*o$9IPN{mQ#kIY!g_2lyqST3txWE-6O%@ zQF1C6Moh~&$yHiZsZQY#vh{m zR?VVG$>{<$Z9JOXWVT^+@&0Ie zLDK_(ez{xtuj-Rbf6b%+_kF(q)a+zo`rl04v;GZQtzlsJ3tHt5CXCbvF~sP@F!`IV z3S^AY>xAh)4jm2(!2s}lgb4FrzN5be;QyCG=igV{|EbXV_rdr7JILzaU+n*lLgzn^ z+yD5UuxI_7F8i`sU^N#l!2z#D=?vqfb#LAD@?fp~+V!=#;-F!>C#A=t<*qE?(VWRJL_v;(SxMd>hFKZsW#toD$Bpg zsh(Vx(iF>+htkMaB{+C#Dc708clBiXp)CYyD-2B1tLhw~$T!bxG`~%G?Il)>6p|cUi0V#X+%AzljsU14kHKXDjPIxXhd&8Q=T*og1w&|67c&N83Oma zFeGsJ-j@PiiDcA+GvqI$(bmMlG=f!r%oG3HX$`dw^=_<4 zlYbL7JMYc+0rr>FG)l5-Uu0Tf4@6;O`8@LKSy)?VVsQ|khzA>}AJ52d3uG`mQJ}I^ zRx3NVT>2Sb5XnnrImwNx0yU1B5IUW!BFiMt56?KANP7>1QT8c+V(%=hjbG$MI|) zoXtxX#kOD+)6tZ2vx# zXx<}R!rbW9k{~rc_CLs}UIs$UV+5luC)PjZm~|+;y5`~0B~={_%D>0!wJ7%bp$q1C zG@FM~opPjGr?k5aM>718U7(}4U`voJd%}cz!sei&_d1K7m0tb#@KDpC zet6(OMPoumb5w5cclaB|P_U;Xl3~DZQi)0_tCM3+6y|KZ9ew2a2f*6blJr+AJQv5( z*9T@D9tC3)*6)_E7C1MSv${7+_4fX86jucq5gGzMcCd|E!f*zrX-G5A9TZ3+U%zMA zxu1D;>SYw_Wn`Jz_j`mS=aXb_J^!Xtje5g~?}i}BenbJ)Y-d3U;VpNRm7yMZ)R?k1-_Ngp{NIl;iR=olA|E47J8zSEzSlqpPkSZ6UIP+CVT zrPAsAG?yAM)HN@?A+|s{FzGZ(Y+&Y2Q{kdoz|mL=p#)7ahARb!Xe`TQ;Y)6?3e0Ill#qx;9_p-o3eOPA7A zR#s;=EF>@SbxmSojCf=pqbp*;rQbHm^&+&z?4d52^3oAo(|c|wF%ZsG_G_c&_kw-q zcZYNMe|9*(7wrFMhtpDYUzfgEH93Brzs>0%9ZuP%60f?|o^mutnY4F;ckh{MIW1gC z=88)%Gn)oX(%OT>D*Y-|)2)tdO4M~P5hS72TvcP`o}QnO3E>wKK?+_5<-;Ysdim9r z`Fr#roGlOSN@*g7H`;?&3^t;YR3y{19Gq?Y9@6BD+I)E)zKNNj!k#BZE~hw-=J5-? zdSn?RAfCVaB5`>=`!vhg*a@RL`(qd0!xk=Yc0aQ}%&S{&*yS>w;61ZbjBb2g6}Y3Q z9-*{V+?4>&9!|E*J24q^17HxE&P7XY+`J&ii?$-^rQADGL12w-+EV` za8sf50{wNzrXmmL_G$lte_K}BWUUp}c~v!?2U_kZqBuVU^foQi);wT66LbbmGYudx zOJQ$kNWSD^ljhU)qmNKT0Y9-et#{+iNRzB&Cq2b+(n`x}w#HN`;t&qB2qP%w4iYj( z<`(ZvQUO|0A1bn^sGZZmW#NbGLBKxQc?sWOFPgY6Qv&+6em!R;Aj(hix85cvSjYki z4&?deMnu9CNRtO1qU_*|(o1u(RP)4fLM-!&U^Wpoq)%TUgfq&T=>H z-BZW2+7(k4T5J5)+cD9?%S_El-ZeW5a5+qvjW0TgFH-QaS^lGnFA|C-7qjk9V;>7j zv`Hhsb*LC@L?j+S?=aMNksV+!X}e=vt(*;%KtL`IWwk0HfF#BxqvjvST4p`>HMx5PZq#3(;rO%U8LcZ7d+61XCONA zmcNIL?^(HV!YWqap^JZHau8Y0w{xQ?d1Ek>LvuUafSNt03ib59huNZl)xBAVsw?2Q z2jP@MCll(4_o`C-Sj3U}Nztt3UQI(1>b9thhO3NSDsd7oIzdlM7v5{aMuRh3d;qbw z`Evp^lL{#VU@kdjyyKi|JO32_8T9m`_4_Oy1@BLpgScD%4w(aAw93;E?CVgjFxeZ~yF(t69)_dCTR81>s zhA>x#I(!p&t~E0YcFT-&#Kk~4&9#G9WF|sH;tnTGR)f-it>85Cyy`X6f#W<9SJh6v zz+|4e#exPJ*4Hq{cR&X7v?ntu>^s>oJC;CM(Amc?o#C69*5xqD^7g$1=Y?Q9ujPeQ zjj@XrAHm@njBgXZrQ0VpJru@b&Yb#bj!Lg-vKIcS=P206RSFlYEErDhJwCR1to!vl z(VQaVmt(7yol$S%6U|}ns&?{=K0LlMMZZa#B~+=Qu>7a8NlE2ugHetl0!FsY6klwW z1#+XK;nx9aVvDV1l`UF%8JM-J8$)xhqIH~gQd{W(niXy#77w}w$~kwuq9Y*%m+oo4 z-_kP~0zLN?vT|ocyMp!V!jL=o zfpCJ{yc3?#zz#cc^8PCnKBx9U9)JU_v~!$gE>m&Y6>j$X8bCd$Gmu`V!SoA=Z?J+y zfe~;#?}k)5I~mRIzuuGFJoV2O+*kT|7%>O9Mi(i zw`{FDuMG%>OOuECe1+qCyXG_yZf@zsMIm_$VdQjrmyiM;Q~X0O0D+a!KX`Oy_vsL! zL{D_DPES>8jU$cj+QzqMPQW&lH@hJdpgxd8i5LEO3W64-7V}n*37=F%{Q}ijDI$rO zM_-AyH9P2jROv^!4Z}oW#m$U=;gl-=3~G+wp#BxCTx*2mqjb@G3v;90%v$6M3cUR= zPsqWZetnxz0DM#NG;b1#iqX$0`ev6Znmi4t4LA(wbmyV$6U>PFFQ7@vXhQ&5__mc* zS&|C8aVa)jZDt+=P+px}^Crcdx^Y|8py`-wqwFsQ{-Xv}L4zCyl5LE0?DeCVs5$$QtpXAD!r6z8W#NG`}v?w;x}Vxef7Q zzJvw+$!$IKlXq3Ko0L9qFw-h*e*IE?oKx8SIo}Dwt}?ba!b^&o=_5=;4b1Kkm`DCH z@co&J!NKm$2Vxs>zI+H~{RJE4Ue|=9oH31OS+4OwwO3pS^1~s;6O_{X$B2TYa#t!C z`$DE)Dmwivvp9{KxiOVOC6jkBybNPCaSU9^1lZ3Sr?Pvz;p4QKwsjle+{ zd3ShyBP$HB>1ltCo%UHH=*ezRLGuo7tNBwfaQnL0+zZ7ZJ@S%p$ih8H(t-(_F89Lx zhIoo>u%0L4PfNY2C@_zJ&0S(M?fwU&t|S5%mJWmEs-(BO!8EXNz&f>0MGv8iZEaZi zDJ!dKva7zSlmomK3D46n+;8nb84L4GLIbOXC$_Cx+wo%ZSMQ-fTVc?>$?`Ss$ZSe4EXO3Az!(0?pkFWYo4 zt1?f~$}7ZDk@WfN!=%L=Eo78@!(0SuHTz$v7K2@fhwsFkur09!ATy{6xfSZJhxUNK z(6xsG0d3nEDx8r`*Y$xM@ox4eQ1PU<>^v5EIiF$*`PkaOr*{D0WrD6H+S$t$kG+jb z5N}D5ex9kCJ9yE^2GFs%BHW^%3p6=5zGsMH*^Y{5M@{$~Rr001#mCP_6J^=qA@@g& zfj$Cx{tEdCBO&v;uRwAF;>DbMN8?rhai6e?j=H`#3S=3)g3Cjs++)!_iLye$MI2XK z2`(Xe*nA4e3Zc)X@tbN2O#sms!8G?Lh<>j2wmgW`uKMr}P>GZx;_(g9ad2jp$|^ zx=TCR^Urgu9nd!ZZJTIva&ZPYPKwXdlqE4m1G+-imcqw8*zu|z2ofBCx%a{a@dOr+ zy1gG_NM1HCE^`r}%)$!MeMGKmD8I*V-vV==S>@f_ljCrr1#>MG&-n!@@xyjQovu__ z&#vjO&&EIBrz1jAcjdb8?kVD-T{dBd76vva8@*1@^B#l~bY5debogZtW#!!WL71RV z_@YS9!dN?n6p(#oK zEHU^c@~fi>`;LIOqx$Hq-2fx+3*3*)y3=;yKSA6k7XVGT6-;0Xh$zMs#Cv}i51qt* z<0-l7r&n+VnY+Yr0vrT7Tw5#p((opkH>ag(p10gdbEc^Dn5(E!aCnJK3Y|P7Of8in>@|kRqNPl;cT0t=Ydito& z|I2s$PkU(pzC8BhucTb@8ym23`lbjR37DDw+9&&d;Qxwp@c&XM&h&%j?;~r<%0K=l zHvB_V`1TYOZJqR;j0qU%m7E-$zr&cnF%6Ccj2w(?|I#)1r)f7)b1Nrf2YOMf?IV$ruI5N-4trHu zn~VE$rBqaE8oaN;1kMo2Ele%D^z9m`X0$%OuJnB#PAs_CW^$|ZtzA_$Ub!qCF1R~> zZMN&^YOVV)R|#G^62^O77{U(pE5D`6Og6&rhb?uyzl3~LUAdZkJY1f-Kj|%E|MIYZ zcs#tc@Nx7p&E)NP-kqiS>hDU%mY9rP*`!-cqOVx-?tbMBiY$U2^jv1*66y_xziYhbO*G=X9S{@WuEx%?MaLZo z-_xRO?_LnysnMpuP5z#ZHjq_|&~L*q9TWlQ5_1{F^I0268*qJh4K5t7H`jR2rW8(N?1Tt4*9^4sh7**(l>Y?K2`UeqveE#gR6zpRSWHh#DM zs6MaN9CjMj`5bcX~E2e@c*OAa6p;kMc63OCZd{mZkx=F}or$$ra#tYzRqv8Bsu<*o> zukBtTmQ+{ZCJMl&fVX+y^TggC5mzB}g@DafgAt>i6K<*v}zV;Qs=;~U$8Xg)@`N$+9k1!^C|oumjS`MdUbq& zsddeN1ic+vza&t=w$G7zP6^<~g@eVw`%)Ul@POrhFuTz&0&q5(pw2gQl=vdgoUCCC zfJpaXtK&?JyMZGO_ffo20diyJTsVg+R7ef$QpoCMN{D)HztSqL``o0?hLVV)Gl|#r z2j+XxxH?csB5;KLrtxR?zbB4IgB!j2IotT7!HcTU?H~o~Di~R|ClYn0E%GBbi-ek) z`E3sh)t*;$%CT`3`(kjudHErYOc0sD#TxzxF7#_CN7Z*S?wU>4{2*ikAO!r9ze zQZ)0T)GBnAijtRv#{lcrT&fythLhYF4vk(m01{JBgH3`5i9ZfYvkc@!<7s}C!u(q` zi1=0wjx_G(OCrmOu_fJd#Dx5YjvKyJ1G8_{KyFsRa^G2WReM3+|LDDN+%M(#N?VF3 zwY%fH5iHGDl^-~N5jTLg`hz;ZD*Z(8o0F5BLrQLdV>3DfND5JnKUW0-q42Dc?Z5?~ z+}ZwMaPtYB<2IFqO4}70f3#h`mK2!9t=aCP3|&Q3m*s^vy2e%c5=w?pX@Vdq(E{2` zsD7B%{zP`3mW?x5IVtAd5cAX?Vw+$h#v!KvTQc{Cavj&xrs!M;Z6n8xPD@kE&1NBl zOTl-u16h)Nak??y$n1c!vZ}6Ix>=Ie>O#coiGTDLQSe`pc$f{k^mJ#VRE|TUW{$Ja zcO-sBGRgtlXn`byKFVMPyB9Rul%BjA#1w@-%6unya>1{1Qe&b`QHSfWWUKc5%l7yA zBf|-m7OL3Is@cu9`|lN8VFD2~E*~p?diInE_1wfj$p)x%!-0kj`U@SQraLIxtz&|U zG4;ZHqXr9RDb!~)c`e*%>DxkX%64`HBx9VvF+_xA9CD1`%ek9oA#zZsDk!bXoY_`x zzQ@EIC-uL_#NfG7_>(+5ItPof30gMBf3QS1dJoFTAx|PyWXZ8Jli^r|6-Ms;wj0e0 zG&~dNy)h+AXGZMGvKw6wL@o>zG9^i0N0P-umd#AFLqMnccdDPL*3=K8+5237!$VI%O;DEKD;HhWuvG6Y9zQLj^9ch zc%@c3xCn97qqv~zGtOM2RoV5CkXwsiP%+-JS=l;6u-PP5_QniGR{)9hK)}46Z(yJin=@o8eW@Fucg-iV5{&9PR z|Jc>JmGYo_b@kK+Ubi{C7E#v3=BoOZ_QrL0e=>mXNzf+-m1TA!EKJ7T&n^6?4Lmr$ zr%l5?zVW?zx3#mqB?0{o7QXuiuJp>48oXfZwz~?x)z6gU&G7SkP;C9~uR*a`5|}s; z!0`uW!Y_k?j^4NzQC{OBgf!$zaO^~_py-KH(K^oZ0^X3=myc59EH}r|Sa+`H=~?wu z_V9y6U2=@`mjgE4>r_Z>4__NRr_tUROfV5OiD%j-T+cStdUX6*odlay0-*t+Evd(jDYJi(rCGHt<5m$5BTCr6zpE1s- z#}M7Hp@L(b+!aglCGyO!*E7d`<65mRzZD>p=$OnW;}8mPZ7mCnI>b7~YY(<{N=xeoWucQ zxK9<08D}CctFfKs^~DON87J|6NbmX@5=KWrp>BQDae5csE|^@Z)aY^Ev6Bf{_$rOJ zR;<&4!R`Em{_f`@_E1#d7ZmjH`zi)@e>AX!FYvN2!(t>{IkVz^EbLX) z7N-R38wO>#U%NQZIhi`mqAN;92b5(Gi4^1lO|sn0{TOpq7AVajwXSdHl5>?)ij!~R z4|GiZYuVq<#C5iU%uazuH+Dj;Jb1WbpTF5+Zs=Y)OfQC*FN`TRF!XIdotr)n6;vok zgOd#GgM`5GvU_-cgn;=65s(+&rtx1aezf1$3%(_UOnXe@pn1?Gp4sWbE}jk^&!OVs zBI-591&Y1+yh-2*jvzLv&!;!E&v7o?&Tom5`;5W0^-Ol=dO_4vDP~;;z(~xt&op;! zTV%wv#YwPgkm8Vlrkg=DqLmXKKfE&8a?aJuvuh*71|E%e3Xqzw3TmZN4R?cQYedGX z77Pantyml?OAO0bW+b3aEx?fcfsqM6#kfrw0L5?OdSv#Egi}Q$0y7X*p1xc4b$VCC~C3aFlZZs%YQ zb*GS0V`u;LQhVtxpRTtjoAk7?+^9N~o)03QBsQX0K2bh+goSbH@x3mQyHwTm*(T$( zGgz@c{Rwc9(Hf#<6#-qV{=%h*KjSvh^ESl39o-Kp!>M8KZd`_UX~=32&|3M(7)^^t zSrh`-gPHF>Bx;Yp2V+og*bC_4pWSJ~211vL;2o483%V>#v4g@udk?0!l-eq`KLI$$ z`KP?FQpxgYHd(CrM(u-tH(UUkNd@N({ZE)IzoC&`hc`f$h~i9yow`fF`C4z3#;IL$FlRy0lX zbgp*(LP=!Hpv52sPZHHb!8(#QR#M&{3O87UA>R4YL)YKtSnQL-24CH{-5z$IEK+HG zX)`aPO*z^wWLg=xZ8y2N)8IG`YIX5yHfS|kN7k5zD?FfMkaS>ryd(W6dFmR(Alo!d zZs8x0>944IV59G9FwAg$Vn-nhCT939Pvnp-|k1yoKt30@ve9w>{Fr@yHM=;)s>NpwS?O^nLAxDZ66L}^==WSME zk&zN-WvJC0Vh5w1&|JKF1(~hmve5Gtabe zC@hBn=%4fteGaOsr)E*EIc&QF1eVt4!}G(XGum(E;bITeAVOuGHdgk|XjioZqP;#& zTntA)jS`%vVpH%^bvS=(m^=)e9y%*T@o$~AJoqrYpq~^qZ90Wqp!Gml-QzI0LnKaD zu;>65?TF{jML>mtJwRhAt4vK3EO({Tmsya^!jHnHNrf3I1AQ{Fl)Vg}wRziqskuZz zZ6kpeX9Xq^Ho)9Ch}^_ObT@r0xBDeH3kuKiR`L_DWV(OVFHho&ZxsA#1am^OL|)^l$kQyii;aSU$|%JsjD~B1G)S?STh8|Iui)`YC-nmS`GLp1H`)5Er>t%=g=ydUK~n7m zM>lK&bXM_rlsBx|P#}p=t(+NJ4{3-Cqk~zP7j`Bse1z1%b>9ZAiC(8l6Qt*0#HVA4= zs-E%J91T?ABVeC_(+{Kklx^dWi&djWWyT02LDuOR%3sL@sX|BBNCw(SUMk@@PNBvm zF5PTz%Z}Tb7Zk={!3dU@dIJX>k5U+4Q|iq1c{L&9x2Q^`cn2FD-iET>mDwyBu@@^m zae{h2wDy9U|9RVqm2?g_0=qPieO z+m!BD7?AD&=m!z!6?>SOIi?DY7c!D2O!joJa*QBi4X6B>XSqtO-n z;GSbq2WmV{RNtjNjJ53^f&D{5Ex6$Z54sUB=MhkjpYeKTKi0%xT0X1ki@;kZ(;ROE zjO{wdVY3nsd^_`P<7iG80cGMo0t*4Cx!Ej z>_4=ja%wuX@Jb-MP3BlEoa=U*dw|2f=q$}J5xk}`M)kT_9$79Ne|5m}PGG)9$-K&d zdLO&(nyP@=F>02xH*SA2o!t`uvj|6MEV?JIC5E;y?B1)WcxAQnJ(wHuJ^wXqTkq;& za6bO@r|9CJGj~U!Kw*<+Y*%aR(Q>W%uAuNr9!E|5j(XFXz%OQfQ8Q&Zxd@2z3j$0u z$Ta9+{IgMI>lieQ(x8LFZe(R~jeVVc$mp5p8pRz&*)yF`K)Wkc`BM$*#7wIhL9nvP zlJ8sE@q)}_YuYH3b(f7(R9qN3WDn-=<}|M}j}iHVR%SpI{j8Voc#VuOCe~n9#T-sU zoUYDfOf;o^If4v;b2Y3FqG%k`{OHZA;UHKWGc_4SYgAs^0{m_rfE#Mp@~Y}Zx<5XCn}somx`1rd%gQ8 zVX8wU^0+gmz4lUb4kY*ULR^ z-9CfMIUg&reMLyc40~3C5D!kHomM(j?+z={Y}9)fHc=cwKIn7)V{QHQ#)dqxu}Hp`ee zeU|?{2&+(W9TGHwaIn|PlW@YlP{V3D^89c*03|@OI`q8DdHMl50JYBQ9;}r_P~Nu0 zZ3%6X{_JOk-s}W(c&s{<$n1fP=Fk!gWsgw0-B9<(*<241?vSCG#7gLCNT~zz=rQ_& z_Gd`ewzdaL7ltgg&={sexi0sRNMyM=Q6g|;B+HJoD9z`cC0&B%EArzW`E6HOcs!;Eq} zNX()00LZ681B{3tm||lSVR2$97OrJgUFj#-`Nq!uC$}bzsjfQO|KRSPf@AL&b>GLf zZQD*pj1k+mZQB{KZQHhO+Z?e+PTn=&xz}8KuRZrZm*-UVMY<{%U0q$>oqxW+=cDfJ zuFYvHi?LFAjc2q9B=j8`o-=420rxv@(w}u6s=bl4N?Os)UZ7Ph#`wDQEhRgeAJw8AKDw+KaFZF5OQqaO zGsq0tOU$NJKyR$gM(DR%g8{wmxow?2hEB;}W@zK|KEgh39+0+VVLY7)pvLPz>>-FM zyi~=^lnW<8IW}y?L%QcqdfX$h3)G4tew&kkTQITYBU}3$R#G#1~a& zOTiG&U}l%aG_YzE!`beoxhhKE-ylS+LxQm}=Aqvu7wkgxBbeOkMe&36szp zhs8s4(06terx5Xe9l{lc3WSOho~SC<%)E1VV-8{dROI%`LaJNIq zSJXE_XId3RPuR`N>=$MTj>$b+suT01arDjbXT;uPl1UjhcAYA;FygbUjrNcG9_u61 z$z?FbE-oMs`9e8Q{EQ+!pk@fka~)AzWv54_ee7T6Vh<_ufdq1eDd>r7Jd+zwJY9Ig zO*vkQx{_@D@z(W>L6usY9lYfN<}$D*GqC40$gjjrVKZ9{llr@&oV*XVF68Ks=H(8p zMMmBUBbDi0r3Cgl6mpgPL`o^F*;+1ve=Ns(rB&n(M}vsVmA( z!&TO8<#wZpDlaG9N1Y!a#7n_PW=}~_J`wm04aNgcvM=?IKCsVrC*(+uB0>{PAuzC8 z0*{PN@dz+wuzgKL2bQ%}^4>Hf##SQ_>{N!S2P=VTp>mC)6R2Wa zZ|*&clGB}IGccC5^TG-v1nnzTk5?G)svIqTuubT|G0kS5Mt0 zW=j(6+e`9P^TsZgwY8T=K~c^AO6*VYPi`P4Xm9~Wc*Uau6TJF`V?oiZ+e$%+rq|~}-09)?+TyDfBVVyWi~;=wWN+S{?snl3 zq!apC9Mdilv|$3&a3?3#tdx;nU0GHcde4J5mEZD)-h}!L{VYM(*V$_*-z4-?8tb}4 zgZC&)yvsD+1Ufx->mp|4-e3haL8%w1t_&~mQz_S}W484pJd8t!A}3h{`^y;0X(ZrQ z0*&%^4S?)t5%1gRdS1>&!I9M^aE}ifDwW?L_^Y6Ao6EM%QU1IWQXdoF>M(hJCS}45 zQASGz(nNwu(0RSka@2R{2wH-S&Wf8H?pzPG32^~731QvWb?6keT3H^i9&@4@ze(UF z6`z`p0W{uuuU^~TX4Zu@&gr%LGA=IjB^ADOR(9@I$d;2XqiA7UU$gVNvB_4 zCz{d|=B_+EONqRLQuf8(bj_&m8laGz z5jIcJrOgm?c@bCW%wDE#Dj6LW)Cws|nJBoiJsuA8tPqW%`XNvQM^GL99^>T`vf^V< zE8+Lf=d89$^9p?GbC9~~i*5cXViNJQz1J9nH??=qF53+6WTEh~3H(|w=A3?>;4-iD zVl=4(GtMbd>}YjL{AbvPEwM5Pq^46sc+e|){+VlV)-ue@FGDLILbVy8CbukzuDrG+g$3197_=4GVexyicsB4GWKLo zQAd}ce1~C>0dw-Hq97x^wOlBI3jxJhg&0jC+Y@$McnyY%n9X=uTnoey6hpz*+|apC zxf(a!@+gqL$DmxaP{9Obz<+TgwO^07*mk?7DQQ5k;fYUEu^8@d%@2~Barsvlz53IU8 z)TNh%;wPY$;pPvFLo9ZZY$!8u#GQxMzbWYDilNq%{S1I}R}pgoi2E9+_AZ_1t!;io z0B1u}{{03`@Nb7qBYk=^;A!`->M8v-tll_s9!-QEIE>?B` z9`kO)wre6kF$d&)9L6M(WkTBTqR_&IID{xW&jNok}u_s;XBQ$Nc?N*}&B;^(T zmF@VOW;ef53q9?xZ?E&^A0foZ>8&4Q$g`_t$S0p~7~g>v_b3_m6rxd(n=VNgNO=G& z&V80=Jdkdsh%k|wwED_GPw;eUH3xt|k_lxpsrP=yzUZEav$FQ&oL}OoG!O+>4SD3v z2PLV^7J+t5j8I$cmS!XvCJNeTi55ZrZ2}4rNZOGNnp*b9hUX3>7joS$=f*kr4qONX z(oaVB3aIL(9_<>w-=hmFc(YyK78O^aE`0RTadj7%VUg#Sf5;XCm}wH}a&MvH`vgCC zXx?_ZISEp|Fh6mVt4Xx0Nq63neYj7B7iV`u3;C*E1ilS`NqZoUg^%DK4$^2b8JRGx zP-(W^NvvW?bsCZLc;>?sgJU{zVzjvdJwnF0juW*1jd_naju??`=gXnJ=YzChOWW{R z#AVyLUHcI+UiGc9DEdW2afz}F3IxFcw751urF>d!8w19)>}6mzb-)UE6bGKk&{s>+ zBdNkWQeFqcx$td*w8-0?ocBl2Fcm68W)a)h4^XRPlx1N?*>F0L6BW>EUK!8mEjWl$ zB+peQ(C+NtOp%Pc=G-P@vbU=$;FKkK>6+1_q2iiecQtIUWTQVgRI>^jCo0oLVZj&r z-u~3v>!|}eXga&YpUgFSk0uYuET}Ddfu30nFjFJ4EF2;|10eB)t+>8GS>!!p=Td9C zLT>`G1ohDZVD#nAv9Vs3-y~ECqRQ8>nPk?e#BBcT4zT#_<+u$hnu|jhA$cDL_0&9s z>fM3j%!+9hXMSH_A9@88q}>xfu@B|f)0T(!dK@g^tN&OJJ_+G4e4T0;v@U|Az>Afn zX;$y1rHFvcN>ce2Dh0@wVht}490XL=pj8Yr%h2d-OEJveeR|4Q!50H)X&&|vPFAtizdybOF)&8Oswc=AA;m>HO#dd+ z8fCAKjI41I*HS}~DWLXzh^GlxjdWw3ebNM0IqwPQ@bEX)<+Fb{|_Ni zk?Yw{nl=X3AZvOH`0Ve)NG(o^lZ$vewKE5$21Ml7$+E_WAl!`qpcAXF9F?+6XWraV zo*z*>)0tX(dh>UWhe-dtAFS21i+l3YB_hvWe`aQy>If3eVO-V$OF9B6`e6#ASQ66Y z48tsX?cRwQF+Zwjf<11zIm3|!VgdcNHVskNz*QiFTttioThM<^+=ly{#HSXc!Js8F zTlB?HqLW}c9Z2EK7(pR$EZv~?J?rFI?WlH|j~2B241mm;N>mq%3sqJ-ZS7gn*sFKI z;|=xaix+tUg@fd(9dyS}!%x-dZuaD)DTx8@T6i#guIhGkT`3SplKS>7b(@v{QkrjrIi48q=>m8s?jl)sXGu zdC6dz_;P=>#WZxT-Vzi1ga9o0u52J5jUkX7gRB`X%jh4#*~L}kEdB`It{713*e=wZ>=Oh1&{{W_xlvoJ<89x5o^Z%SIjQ=)u{1-9n-=vNV z%>R?%v|)quojUH+oQ~l3GH*OX6F?A9j?@8SGPMc-eW#AJDMYYwN~OvqU*6|R&NDg~ z3*7vAhlKeq?ZqV>?dOY4=%&9mHZGPtDs(#4GOb%G9;c3fc4^b#^Od$2V z{dN97I1+ z+)NGwWgnN?rDApyGOU*f}>QU67z7YnkT$v`PJjyvXawmO9I!D0OH99&FQ5kxwo$ zmqEOLmK!eC=pS4IiU%7l(zO>B`4#Vlx$#B6|DJtQ>xc@*H}$UL7&9vX3K7Rv@7Yb1 z#-x^_BgSm3=VCOM$_Ng4>=o;lve(c`yF8!kj1kO*rvUGHILyw{vch6~!&T3*BIMQg@WN%337` z;9DC#AY)_l&BZ1dWBx@r)vx|dIJs5gk1W-9Tnb#E2J*{s4PuZ<)jMsMVPUTm78V3A zuuL1HI}RNaN1rtUMe zuFP@!3ZlARM|q#y8mpOaTf zQrKcn6gwvdaFzo;4;AYIGXDoL_9@m2OkBlN?33M3SVwN*0%E^wKeZtvq(H)xBiSj+ zP=fU--hqTP3GBgpwDCe<{TVakM~hEaR-{cy0LAt~>Ma_AQ@W zYW~98x8dX_Ogk&pS8Syo^Z=_qUC%&5QRE{>;iopQIMhdGB`sx-n`bKn1Z{b74atwL zIJP?>oQwM?P{nb27~@w=GhGy$op}ADz8@e{tKSlH)jwSPw^-PyvIzsTG*(7`K(QyC}4pvS(6WibW|-S zb}&Gxe^iXRNd{;m7tLdT&jR7u@k|vQ z!e@UQP9p@kBovD_EUZpyy`!E0u?J+-%2N_Xbc&caI=Rq|n?E60s?Y@S7=Ftg#bk68 zCp0j3M3T@^b+<&1pZ~Mz|GT?ebo%S`N7N%qeXZjbz>AfHO zpN3Pjmj-gBaO0$XTHsJalAd75?sBvuJUBwh@H&-1`#SFuTLd;c%b!c{-o^EGo=2kp z7y!`uYXE?e%luT1%0ffz_P|LF+dXa1MU~}nm$QqqL2uZ|!6kpLeiO&H1?>21rI$C? z(1IUb!5e9I$i6|}_Xih6+hu;BL#rVJM%v_e?MO@9C!A&(;Zg%ae>1xnYG=eKjhDHrjvk)c@;dF>&?~3wjOTw%@ zHj=_WVEH9>$&?nDV*+t*IDh?ZIF&uKHFUHDbB%0IJhO3cZ-DgirP!nRNHLD=U$_il zYPi*3OwceGR%=09dLo|l&K5Qjud^adjm1=d=Z@8jl6iK=nt9E%b%g20NJpD2n#$oW z=s$Ow-){eDIF0;mIK>5fR!X3c7iwO=!6$E0bLjW%zI?_~)uCl_eBY{eIj%i5_=B*p zy`^T*Gv}dVJQeJyCNIBzCleZud06*ewEG#! zNivm?Ww4NC($l|lM}x6=a*cS4@7%HS*T}P4xRAvxb|04b&7NY01Jm1u(76>~T(c-*W z0h}0<71|rYp)6Yqe-8j)P{6n)H{XSkkOB9EurW|4tm`ic$hd&)^!J(En+nYTRXY-k zU3s0Sti3AqL&PZKnzse5Ph4Ogeb$ghz2xJM9c4tY4jHoBWk{+=gH^ z$LFCj96oJV5KphlC3PkPo2;qkd&pi##HQXonciP-f^|Os?ZoR~5GX*j_q$NIFie%$%IZrlRcl^U>RQGP}(zUk5zh0V1IjK42514`Q zsw^@_47WpY#Q&$^B>!zVEzAGIaC(aa+jWCqYRQ-uJcDPMy(qBCRUiM8+QS7z4C^$r z4jxE)D~&Ycx7K$V>$@Od!$98cFS7ZkEc{wjkaywV{*TL@^(uTRHS+5-cq?kdB^t3w*`?^WqD5NJO=C! z#M_e~uN`UR+%C}fsu;Bc%eb7ibG{2j&R~QN&qTc!Ef<-W1-ZW0CYo6zMK$*NH4}z1i8q zQ&E)(|B=4)$mL0l^KhaszWMPpPVb{BVtX}{P77fVVl5yQ?60iX$PfMBr>s?gT z9iNiPlY$_k{r##Eo^;KXeWx|XG<v)7Ff4-I-W#TYib3ogDtuyOfyh64d`362Sc&o$H!^#bjHT3BE@ud6X!tTFd& zBwI5)U+~xwkH?nUpG|JYV zM}mCZakM1_$!jscx1{?i>90y!SOl&hpa=$9khVTwqw78&_0gbDx5(i7Sn5(_uLq9U z^DFhLMnh_o6P*kX23_>6Ul|qOT-mew$OI!J=JKKLVVhisL$gU>v)$8UPg%yV9Zqc_ ztgjXwy9lF|6aasAnv?(JL2_i18|S1p4%MWdDR4jlP*7RaLc~9k5iu(z{WYcF`f{ z&0##IxPGTh1v;opWpR7O{@H0AFd|*Oq~0~p1e5l9L^3|-5d`kWJ@whRlE{hM(O;?f z5eK-ARWoTYo@lcTDfI)XWuSW-BwCGXw&n}$6_<0-VUcFnARpt-sfNxaWFXM5hV z6f6hkb!mZwOuv4gM?}9okl_(Y|Mm!8O(lL&Kc`sP*rpZkp}2~^!kklzpAy0bLUIZx zxwW-`yM+8=-Lr}CS{kI3fLd~mbkuC2+$k0i_Tu&yCP(8iDSiY&zCF2%Y;FB3IS~-5 z--p&7F!lS4>kT9#|E?7Mrp&nRjz?nA0y|dow(u67@^CJ0*9xI#g&1I`1-`@C;`L$b zC(W#t3cf)PkKT`o%NDWbnnMDX@t;zBB-9Ll)?>}+7^7%SK|IMKn9RrM&!JQu0<3$qFJTamw^6TJury`+1A3O8^A7 zLTX_HR-#AfsHh4^Qd@6z|EgxmO}y-->~a;VKR1IcL?k94x~Js82nc z!|GM5f|H%SkEGB)jYLImf$Pqc;L)LNEEg*1CY|@#R{ft$RQHK?sMh}RzoyJ&_ zrf=vDK0GMAkGeJUL%Ne|kQ0-NIFNf!J@-ALvLy$LL7{3Of~u$q<#A)QnR`qYu4U;X z*gRAtjs-D4BiE;~&ll`?y>N#s`dC%=ur3a1m{s9x!A&NshkBg#CkK`=D5lM4l9)YP z*Br2PWeKQgMUVQU3tXsF^gqQWfd-9nLy4+1F_rXto@A@pB}vAs7c+JuSNVqg_;;DP zV!QY{z_*IwQv3&!ammQZ>nu^D6vlx3{Jssp!=|U_SHxKbnN}AG9+$X7OnV}Y-P>_p zfF%7ZCXQ8gwHi#E#!WDP2J8B0OFwf2eK3lR)=aFFKoggl0Y4lenBIj5$b62PtaDFG zQ)M=kh}eNY^gkio3VG#(9xW|OEeEjHcR0I4!m@jhcIL2K-wmkM9L0LQf+!(*@6<(HDoSDLG1Y>sCA!RcCo$1%^z!G5t>7CRqA9G>3CsVJZVE5@no){TbP z2_)Uk_I0q9Hr2acUfQ zj}Paio^Q@_-Uj4fsP!3YWB_Ifdd{)~D}73T$Ym1J3NmKxVW8{Z6{PKlUj(=*8u*eJ z5!8Viik^WKO6Y(D5mO$X()lgY_j(aDegyU!ep;oE)gl}cW<;qB;_Cx;R#Jnl4ZGis za088nDI7a3aQSg+alugW`=iVY3z-09A$dtx(r;=*!0P<4yt4F;7y&rr4mtZSSBpxN zF|3b%mpk~Xg!$}A{q7NF92!-#er=k2UDXh7C94Fl2rNh?7?&@<}A+i^A$HHk|T9eI%Tz zYGaCtg+3@WsSQEcj$h?E!L?sEOXu13r>)XWR0MUY-UyItsN`yBn`Mo=NA062hXXu* zRklp!(8;Fos~l?3u5KwqgeGm04f#HjiXPFqP)5iNS@sr{5ZAX2m&8INSz`!m3i-zk zK@i8&5bm4i>fZWZ%)E1u*>oG>`K20I8oPASP9x zDCO~KW7%aKcc-);m$*E|wX*QP-r%qRpz>w(#nl9eF+Hf5MrCcv+krlNjbW*38lN5pq&sub(-+rSv=WmbcJ+qXFHhJi zHMlxaA4B@q&NNy?F~_z{U0lOjmR^d(FSc)lFq zJO&g^YxoLoToD3Lp#zxV`|)qEalynMdO_-bN;L$+O*72HQvhAGaW$Jyiug>wfcMzl ztINqNexlmc=O8oAT{Fv5%}TR_lp&Y^&ow6=S;8|TBIOtw$0d44v2NJ@% z>?7R+@2?>hpC7%TriB&|nZ9~*{?U#F1JY+_!@IsX_Z$LuWz{)i1!sYXh+&>fFKNxa z-9OkNA`^DjI(>HqfkEX(fl$gp%johEYCyNvIDy`}{!qWVcq+hiWIpTSWrZ<6bEwjv zk!zZ{cT24Yh-Sh?c&C-; zOuFxtC)F^nH+e*@gJpy+R$=4QT)`qdAsL(u0JZjbsS-FH^Jnv;1pc7$)UjxM4~4!! z;YpF|jJuzHZXR$Do&e*|f-nN5O9u=J1P=e-p7IR`WXTD<{Z@GV6nTa6Mq5N=7wJ2h zo<{uXwLqE$`AwdsyHtd<1+24+qxLU<%?@DFrG#+M zTN?`8D!&?Oq|WN%aG%5+k{Tr-YTZ#E)>FW|QP;2{n2~W86p;1V7xoFpU^|p3v$S|_PN8k#DBNdEO`@$?RK(YNqIoUJhs=lB7WA*7 zX`!mYGv1;V*fNQY42eD)aeHu}qQ65`>$0I50^;h=s6-#z2nXEU%FAg{)EzzuQU{CL zo8K^#j95Vl*VT87K_S{}!<51>v|wW#ISpJLFu7!=Q@+>AH#lG!G>H4)9<_*m5zv@u zP@P@Rr_m&01^=w@TjcW^#H=KSG7&+ist1fOjp`H{K- z>I36mVRjLVs=F_U>*_0ufM(yl8FAc-4As%U16E-$1pOP>4=ujb8F-6Fc-ALcSutOO zUO-OCB|mQ&$VKC3fR&^(3-!Y;6Ty5;wMMm-W&5Q%_$JUIWOK^69b*DR?CNfZ`%ybI_Rw zAtDhl>;Noma{t-G3s2pds`1rH9s(`pllmIVDqf1;g`J+1wv~Q`y})~C7ar(AV)J%@ z@zU-DW%Wbz=t;dg$#RMP`&^<=moTa5=a=j_$kVC8JB~?3BvInJyd!-CJiOp3{vk5t z_^0auPKv5OSF+1{m&Oj^3an}ki&5uDeYZyu63>Jass#8MqGrK)PjremG6us#+;Xx~ z;TEyOw3!7ir=x(RCSva>cfsttV|{o%u19M-|qw!;sIwFw>IKWSPwGf|6F?0XvP= zNL`T=GbT(U!lnDnR4>A!AM3l(dHs4UPlS$J?NovHFH$0>K2^kwcmam7pJhm$zKr1c zIwk&@lOL&~YVpk8&l=IemFwCL)c0vOpu%?Xj9sQ3JL~vq_AdE76B59fAKxKac90qb(ROC+%mo zB?a@RAbGN9TLqtAhgaP@5iTLUg6R#(UdjG0CrP2O*zxF!ErYb)AMg*0*ei;v22NDX z-+NawTox{~h-oU87MA%#O!OWlXATew9NGe+G{%J|0O*w%`!Tp@KPe8T1=dR-(J}>s zM$C+G5~)>vLLX9=YkeJ$)=iRl+ld>8pN$&5(cc^jGxO1 z>mB-~24$ahN!S|c9HC~ogo=|y1pF|Rn#<#$@b+N^7JbGV;vhZOr1_~-xV`atnOPz%IYVeK^~tIH6ohmT8wd0))TeE8Zw0}KbPOYt7OfT1%{%$$=8XJ=k6#=wyW(7x^ z&g}AcoRQI@oH}u7`N6vAi~8dDKrf|(073!1aK5%*K|EUaf%UeoeZ1rOE;A$GCPW^uCqX0FUF!PA#5+Bs#vYYwcgW4k zagfqMD@OhR8exXI_(t+C4$%MxS}Qh##ON@ba_v6`YxT`zmJOGl+gRVJ>gmMWZm7pn zDdnaiP0Q37n^4;Buah+KS$wI_loZ9RlpuVcQyM^p_&k|)` z$U_MWl%v=My}XLIQ_qG^&uuZJhlzYuk6guCFw4IV)mGK#Y8OXYOwu~^bNWcIt_K-a z>3*5pHvbMp)viw*VDipW=^c+jg`!s*cV#_)6+dHbd03K7*(@4!LL zn_3wdJq1KLtuYuBGH_@V{~VV+08LKJq<@fQZZ*T_OIchbq#fs}es9ok$kgMn3|C<&=3BE(ce zUL*MaHgcfHuz8Ou8Uj#ZN<$g8_Fk39Mt0*PSZ_71%#sgs(TN3V!5`NF79?CUQcnnq z7-kgiUd;c;KXVRb-jBu4DFyaO=~$_+%1eLlH*imZ@Z@qiN3zE%W0jr7z{Rf}q#0xm z8cPnOt4eVu3Y-`_>wT>?H5`6maMOh3Iv?s?UcuTv%lP@9u0R(Xh;VR_JV%2MoQuoE zqmQ|PW+UIE z9Q$ogvYr2+pf}|VI!D!;IU+v#Ft3CKf`YGj#ga{h_#O*1`fPUqsX0>uZUwl@fSzX>xa9A(OM2jmt(MUaYmEbB7B0xPQYWYLlujd;Luvny1<UDOH!9&}HGFzRGNtm(HOrbh?PN$)>CRY8&^STx#Eu4hzg6K7b+-=xUg7O zXmA|%3%O=7l;E?k@WXkE4)vLP#&^$abvUDFrsNK<*Ucz|hMd$q?&LBfJM!P~jb@(& z%;BCzAZgkh)LY$2$P(zt3Z$}X##=JOO@Gu4i#<$2HMld=0K0TIg(!hOMS%;_>X@-J zS4xd(R5;WY2v6(yxj%2Pp{`9$suUNw&8{w1@Liq)yaniSW&zPkOXl{qXi|&<`aZ5r z4)L17__;zzlv7%)F=tKWUK447+>N#cqB&4g$PsKDH(2+EP_o(Yb^m-zEUG4K%l};x z#r#+0^MBoNu`~R;hKprt3>JV8Mrck&0Z68Q8Ej?lVF!%hR@Ob|)I``5?c3w0cNFD2cXLg6M-%jB~(l-;-{~cVxR80;bHD@C0U~2$%S~!OLpgOY2oI+ zpS+;c<+ZV`rd!s0+#kAIa`CL|-qtF=KRVw_@aW;;bbl$I_C8l+qiUNE-EV-Zdmt@K zbh?RVZoIyn2)&}uVY7HGnHoAemj7$k{d)gk>T~Gncu%Lx%l+<6Y3dIrbT;OzUg8`J zRmuB)q{rsupYm&S|7+*kp^#jbPiHr0zQSITKfy{8hE?(x9cylZW=X< zC#-dfA#1bxGAG-?ILfA4E`UgCm%}F=>ZXEy_H3padXh!I)n>%uR<1aN8E}Ez%k8T~$(}kz-mb^&*%P zU!Z5!QyK=3850DmsF0IIn>WbS`mm=xp~o^(DFi~8iU}sK)eE6uMk|uRxj7Zb5-itY z=BvhGrtTBqDq`;c!)>Aa+igKkWBm2t%ZvQe=-X|HCn|8(DZMzbR=_gv+IjBY?qK5U z@-!$w`OqUS$XOlz9UhWNGr|AEPbhH+uk^O^njhsO$Ein#z&o>m@ z2jJG5h2MC`qpNj^K~f_>iQ8fy^+x+7+VcK@9P0}pC_uG9;r70aa|?^VXz(S6?zDAGGPx9ztra*f^ ze}Wc20?CL*Ox!?#O8<~J4h!z*P4Ggqa*@wC755${^-aWI8+9gg9YLR!_~d_Y)Vam) zC5xQ1h`X5q!gFLF%in>|*hy3y#=;??Sg@yKana}<@&b(7CnS|0lQ5-}MY++e(AcbS$?xM@7DANxOjQ_xC|LnLW~LJUhr24(62cOp-=_+1+EDElEC%R z37D3Sd_wSoA7I2=X1Z=GRa_$*_YI60nZc705K;l=8`O>)p*d`k<^QsSP z>l-dzPt&&IQ5*$YbSi|p#V}DA$3r4p56o8wYG%Euu~fccbG%=x#!TQprVtiK3U9xw zt-5D6=Isr^EW?{4&kWpa>j1r!2?nSi#i@q3&K-L&v^;B%#%QUGs@1_wT@a6WW(rv0 zF0jImO!JQckn2evlql0))QioeY{Csv`I#9e6;yL>c@NeV_;iWY$AfD0iT15>!{O*Z zOR&}dW?PP`zx4h$YzwGxY2Dn=gI6DA)ov>}Xi5JhUTA<}NPuAsHHUl6?kX`9oM{lG zXkbgk9Fp>~glK~~1;@7gSK;n1zj(3{gB1!I>s@g2?}ZO7N8%tAo~7c(>f|j^cvlIv zR0p`T2m<%b-yrRq9S5wUTL2PQCh4X2vqzZhyiy#r8s8grCgL2$Wa&Inw0no-kYXuW zK?gBX9QGtW+W-1O9V$}&%tRF=rZ8O7h;=7gtSgfs!glr$-B?;X%P|%G6h3^mX#R*% zdVP7tz;NgqmrE;giA;RaomW&X$Y!<9Vg5g=E#lv5OK4pr(p+4V-Dv}0W=dLYNF1k} zS_`7S@QXn%!>;=JIB|R`%m>zbZ>5w)s4_U`I-(aec*fKXs08!P4MY?U>)RrHLqbvz zB2ER@yai~Jsw(q%LTnwyIhumFw@O9Fnij3fk0}Zq1L1jf?djaE#oymV1#UFj7!XoI&C zQvrH8x^yhdR;e9e%(PwsHC~66wki#_+u2mhH%kXk$L9uJ%Je!ky4Ee|7Z+1ST)Npj z|B-Ed-W?w9Rd78Usaud&p22G80AZ$UV4rwMiudSdd%xCx9({M&J>G7sHh4=8L$)_` zeA}D4RkPz5a0#aQ^mj9HYC4jpcX1tF&W-hW699&|e4N z7AHRGK+y8lR%cboC&=ibN)i~(yJo#mysjY67-Il? zS6+dAnV;q&qv)_&09G!sCj1fL3XSM!JCb!Sl(kdJ3L*i1zK~Kurk?O1xwUb)4l@bn z4PS@!TPth#V6~zh%aFUzh0hnbyK!vZ-NMJich@(@F4CS|&O&G1*?3FSHl5mcod#;~ z5#Tsv9<_7N!}Tt}An|H+XOWZ^NlBmRt@IfGoPFE*bp$xg!FE&U@+ZwlYuh@H&GkwK zA=w84^7buWK;k;r_KT|}@RI^veS9PZ(L;8z5W4`Bs72Bl=9V15rVQA|4E7?@8DI>1 zCId|gv0%%@>;-;h+?KX$yMZZ@w{MX8*OX7v)3E*F?9Ds%+W`y0qYJM0P#qxYG?BpN z7QadW02>2_xoEt;dEwID!4CZxQANdD>RH_p^@S|+mi7=)q+crf0!8hDb0($qht(>CcP3adgwB2kK3uv>Tt|HO_-!> zxs?ascNUcMFk4EiPs&FnC`U4|Xt$67PyaiE$qlvu7zn^+M+hrtEh^)f!8Qubb^dGoq$x0PMJe2-G^ z9TgS;$t7DgfE`IFM-q8-=h0XmSvg%HkwB`|G$>pG_NXwKxE#g>}ea_S_~CSfv4 ziDDnIWERk0$@6;a@H*`YcQ@-t)~Vpj-*HRg~ zUxOr{LvZfQ6yzENEk9Bvk46W2+J+d^4hbJ>fBu#Y_Q}Ii|9xQu=v799HvElNge4;Z zYvz!}zbBw1Ez-!3!t^R%xS?MSi>XO%O+82H(pRHx)zr>ytMm1G9keSxG4I z-2HionkqZwBJA9_G>Rrgo>NP--0Z@PqD@YIT;``uuU2o5Ao#&;h0Ha%0i7ui51Arb zg0e@t$&$5+!y>t^%1WM@q61@uS?}d8eSbNDief$OD(f=e_U#@BFtq>E*a(vK6kPan zTGit_iAS@R?#LiRC7xo+XVkFQ;SO0}?Gze}+Ul3UkL(2_Kp3L?k@`zCU+ee1c(IpuOVvM_MWi+J zS7#@ko?|mUk5sH)A@)%#412Tt3%e zGsTfsG}^0BwPQ?W$|-wYOvCvCP$$w7ERrgGq=sU&@+?RJmh|7(@K%&cchfknOR<`U z;^qR;6*|F}?tcP;Vi%Xo;bi!gQ#8*<_IGQ?XOQS<>3Qmrz z7N1Wr^+j)x8zFe}@5sSM=nSMg^LfC;&4K;{-`uxNKZ>Gs0zP&FAs_nH+5{Nd$CCKG zBe8@$;=8?WP;)%U`6{MHV2L9H5=#D%`c~by2fkDm+z>%EIS|1mFa#=0f2SaR?pZqC z5W#kM!f;{7GzC;r0|6S3-g8mRJV+~kn<8Qkg$Vl^Xt4vm#VCLIJ$ox=oHO{Kf^*BD zf;Vg&=^)}JY@A2vLJLfWpy&(@n};?`hFC#2Fi{OXBA-B0i^*5|O}U;JRJo?Cf`GT$ zA*YxK1rng$Tz0!7iXLAK^DJf|OmVkOet)56sfc7wAzn^mhvuv=m$? zabu6i4a5$E2M8RdW1-o@VdOjl&jzINJq#hKBRF^8eKKPNqJDf zSLQT)pLG;7421oO6Dy`IJu@G6S1~@FI!yOci<8^+^9P?^Cf0f&$oUD5vZu*fWR$P_ zG2)CK^Oa#$c(xhZ`;)cZx$dn|nJ@)Kac5Js{+Yx4JkJ%- zRy6TKhQOmnXiLd__rF0A$dOe{4B0or%=hp|5{j0H@Q6h-&udtL1`2Bkieq*ZokO$A zwXdHyG>Z@3kx!e1l@=Wq+>03@Zt?QHI)@b$?B&2y7N5DC9A19|yY99e>}P3}fgI@C z&Y{@IPPVkuV&T`g3q1*mKhE_4%&>XgNI#_K&7*+nP-IzWD8cN*hc*~@7C1>ydoD2V zLsOUcrsmL-ETGj1%Mb&Zzv6Vy>#hrWZV}-}Rr*;wGOGx)&=Lxq;0|Rr=0@gHlxMEMM{aAzqoP zSb-d0om6g9e)_t4;X*14P~N(-1V^3%iqcdvp<-JnB%_g-OcUF;k?v6d5J6zaNWZ3r zbwDvH73MVxtO>-12T(J7A?dOjmmDsb3*AH}(DJji4AXm$P@F>ZYUiH*q8Xj2wJ$r! znQ^w>jV!4MUp(nR;%yL!p+I+84v^JZ`GVbIU4usLa&fmjEL6pWZ=n*)H>bj7T<*!HoyZs!rr<2B#u*k;O=Gp2g{ zX0N`ej&<+Sjq|YPN4WJ0=bsC+oyYNcd2vWcr?)Tmb^9CQ#D%Za`1E` zS2(C8Loi&K6~Z=(80ri|W*$T3~%OVSxawNblwYLoUoTjNMyrd0{f-`h=kL_dSL zo=Ulpvwt&{;&xuctao!xDGMU;(- z|HtF{frSKbL+{YV`a5p@_5;nxXXAWc%KOhacpr!Wsw#lshmBs84ODo!im=E+IqP8^ zY&j~P=~aUq^OjblWIo@CRUZ@rVe|m-KBY?tS6;3T5%ZHHS!p8BBAk7)Ap2fQaFeQZ zL5A1ViirpCg~z6}dm?4h2Z^F}3)1HDo)|QtwU;6ZpQ~=UWWmsGqJgF&Aa+qp29 zB5jh`%_tG$iQg&JOjN@01lyeswmY~prM8F}GL;de1Weg@-CYb#Sj zcJ&`mTucWr6cS^Hx-RRy4(3E1K}*)5N)q@MID&tME)co+e0RqIx9@+u?!MR(o$k$O)QL$QE3~2BhOQ z@Da^U59Dx(4+wAsf+)EPFTCs^Vp{j@Xs@L^V=b31mChf5G-ojov@k%tS4Bg%chn*k z{IF&?`DuO5!_Y0>zl&&3sWF(zqqQZ*UI@&o7Z2GQue{Z^$e0m&HRa11FupnxVS=dX zj6i;6o=6b3)=`$g&grpZTzsfiCZTcpepnTQ%4{n5Y9 zn*+)9kJ=wBo$tq~uU5yn^G*CTD1RY)&mj96nnzI9kq%m~bJxalJRiq@03mif22_`b zV?f>iM^M!jvy`))p2i%>;AA(){T~lIwF$ccrNaRJ2?VSvOiR*s*#kp0GUgn;}3 zWgM;82I0I%#YMliD7OmBroff=!6kvZOWmvi&;lIQ>F7WnFR_jXUB;;bA}icvd=^_t zaPXlQbIDSqXKvD(M#}N}V%^YKv|9g*22cJRxU3!6X8h%cASf4}6%WGJ>iz)_O^ACn zA+aZ~%dxy?z>)_nL7=<)2OJj+w8IspS*>ZjzeWr(+Hcj+odu}p2jm6;<6`-@7dV&o zYL#B+a>OyQ^b)L)`_pOxVt-2NInHT@$3y0=n{0`ulL;~p)^UIKg>PR?ubBJ`LJqTJ81@mogu7iFk9Ms$dLYEJf<{0B!m z0>9>zVwPRAfFc$^e%{dxWhf}@zoZYuy(6!oldBYN>fFn+X za5m!3j9_%b%**bk3y}GWT7<||YTP0lkQ$WuQo_ipTyF0`we{G^2t^0!i14UP)y@RQ z^jKl(7wxzMXNel>PF_*$CRzbqfV%bm8lyS|gEb0q6^<4CzCB;rUaz+HtMCP`qyDh+ zOj~fMeXs-GctouAR8fJbSF=rx@-ghL=l5U&`zdhkX<)~%RwUVXjjq{x#!uJKcJK4z z7q4wd2ZUBYy6t_1nm451LPQ_%gAiDH_MH+~TtaG!GK|dh@WBbLSJ5^`X7u~=dgU1@ z)Fdj3^#$|z*qYAzMLJlX<>V)he2!4sW2UaS3(&-J(#)zQ7t^fIVfdv@z7L+EWNZjJ?+k%YjL9kJu8gJoP?F&Y$%+p_OFB6& zxWUr~EG2HmY9AQNHH`ssCdo^=&_X}@?>^uP|D2>2pgFv{o2DCdQBDidaMa$-@!gZvHuF$*PCo-$*# zkQw9L%So#%2w;XCcROajvssC{Y!2tCvy;xm2(U_Pgy9aVlY=RPJO^ky3FFh2S<>Dp zsUbjz7FG*ITaPN9Sif&Xw;);xO^sJ`gPHmnbC9}yRnb4l->o@dLL-is(HZ&BEnV`` zQ(#A`>{{Qm*;o(EwR1T4k;X-L++i7VORCo5au(uUOJ^Ow&Ey*FV28UI!P~Y|7)I)C zvmusKSw-3BTK3ZDwflK_h1}=)!aHN)G-GlES))8q!@~SMq7k#s;>Xa=*usI+%ujxC zz6}P2E{4ezYOAm9`u=g&+_B~~4uWkQPZqQ;3G-8qfV?J9aT^z3UkHvdo{9@xqrx38 z!Ox20uq5OK#`nVrL1BU%3^r+wN4wnSiQ#u04v$T88l?0Tlv1ru|M+v=aLsmQT|~T3vD>kqY&fp2 z`_@9kT{#MP9m#i5k+&3^)b=|`KlnIcr?z{EBWhzwAZN{r!!rM!s7C{Df ze1~mi(iaYv8M7mgEK`rr9@YUD#LR(twgnSzSt-6CL*IM3=ae3vbq(C_AlR-mxN>X02ijkix1VmD%OP!nd&-v5VI;!j zDhN`ls%xqtHdcoA^#gSu@H9iT1XE_0mi_t!ndz(d2Te|pd>QbkZ67j5*O@sc{pDX} z{O)&vy6sYn%P9u^vom873RGSTN4ysYoM{jYY;x^PrkT^F{9_;$oTzw5;uI8+{8w9VRroKvaHjpIb7xAetx`}%ti)K8v5aG zx;tZUIUYV$}I| z9Y{U5V|uF{<}>+GeVK1#qSyKHRk#lrv@RFkNPPvkFeNF0W0N9nOwCZk0_=&-{StLKkAh2ttP?Uj#?Z=?hG`qZW z_$8#19PZV7MPf|b9e+$U`Q5gN7 zA&viDmSq2*ARg}MQP>`O2oTL&5WJcJs{`!9->mxTnA#D)diHpWH0y_xb=tR@2)skV z9J4@(Yiz;j0l{MivxL$1^Wr1IsTX-jk`)n29O@xpKT%Dl-yrD$pgd?Z|LtA>A$b12 z>;J@h{v;>=wJyo@_l5ueV?F;5AkTmJm;PtV5*=-4t5><}5&lQ5y zKg20XHr@7NCflE%->$|(zAOw@^=)e#<%4nC+Lc=y8s$}_r>l1kEN@TLu4sQW zOUTMHE`#V!-Kif%SEl|~j{m@Q8vnv|sK0O>^*^}I`s&`hVEF^^k;^O@ccto?p7dUV z9z#Rwe5(V2=pt6~j~+;BmHoTR3YJ`5u5>2pnnHWm3X?t$q{;cU7{Vj}3X}d3dNZ3t ze3^lL8=m&84?!O?QwhQHqSc2qEK_q_v*Z4p%w~B!i)4nIj|v(zt}k2%Agm$g0a|7? zfua9^u||NZ9JzkzKL0OVX9>OL53Yk@A}Ug}S~rZ6J4AsD&aI(%@;9ynnzCohdBhlH z;baV*N?mSAk(a>4ALDG;D|PzYoirn3aj0W@472jCuaoCXvt&~F6tcDKraDyY68%TB zWNCO$gpIlNN3%q556nKGUg7ssd(?r4O6q)Auc?C8W5#_DA0YvbAs8^8`qHIrC|CvJ z-1N(h>40fawc=U8aH*n;Xezx=Q>32v?B@siR@)Ol(iZC-R4JM9+<c4A)C}E41>QaJLMBx z7gw`Y6vi)t2Uzf%H%7&`0XXI{+P5L(!o}CHQS_?&Pf{{X`ztAlI-Ie|{7rhTzqY{O zn`BvSazehMLh4OPFe1n<_H!mTq&2#^M$sM-gz)!OTr(JO7|cLk#b9Ym@ab>?&EfMN zy-;ThJZtFizb z84_W;q(Ii>@fOg*GdB=t5(ZmQ=Hll*JXA1z<0V~b0&!AOK%yUiGFqg6gMdY5cAeG$ z+{RgtY|P+lh4iHu}$`q&<);qu@Fuq(Fs5Ot)MHJ~w93Gyg++NXhR! zj#kFl$f=5B<1h@Fw-jqP#RPl<4nZWm0!9&Dr1IUIdu?_rP35!ViaO-f+OaBjJ@g1u zUib8xtcQKWAX4y55LQH4#*I3$OfYD8ni#<0c_|W~Ul7R$5&@1eJ96!_3p2)m3CjTZ z!_q2cY4fqIQbrvfezY@=R3tO^jR?nn0PxDzt*z%y_9`XSBV0kmA|*XsrUH9*jA{>P zO5%h-a11hn0DHpkrvkcf7@=BxWP|*y1~4i67&DZT%V?rt>cEMeCNToD23VsYDFXO_ zH4s8z1xN$Y^BbVLX%xuwrGuCJ%u>dA!G5&32I`#Fp&G-(Zqt8w9nK;Ho!xiQCcy-I z2mFP9;dRio|AW_=E@tVK5FW=!=1}9-gd{bDu-;^Uum$*97p_E-QEe|?6S3P59?I^7 zPtnj!FIJfno~% zzRzZ%`{@I_0nAy1dm>mS3)IGL&3e(C9}qW=fs@dW6VN+i7=^lFh6!n$N9-|a4ex4B z#>PCL?1CGhBvUu%wG2=Prg_^~a4Ztdy3lr0*f`eQ*lFQ)nR%E-Xdu?8Rnjw{K!n9G z5gXod8gzT8BJvf2WblfZ=fOJA|5pt;2ijPoEyIP@|0FXfWsG}=`y=@0VwD~DxO>Q+_TKJVU}Hv(mS8ul-f zlAT7c-Nw^BAfmZt1H+fPIiYK(Mf6{Q9T_V2mzwg61bm4sh*V-6SOWOuk=OEJ5#V+WtfA$S9`KYAc&b4(`jD6<^Mvw;+w% z=`-dI3Gi1#S8j}a5j)^(B4H;Z8tnJ008`^)V!%d_C`&xwzcfquC}7+YlBtAYkh{w> z$Y@3i-Re#;@i@ItySnu+_4ucge>6*)k-QJ%7nT%XQOUBX1}(wM!-t4l;;DaVmT1cl zFDd#fa8QA=J1vSj9-E8+_& zTI_3?K%Op#hevl`cX_Uq4C*wmZyssWB)vbHKO7xdH@2^Cl@`lRXUzwLWFtPSNsfyW z5ACMBg(^Jp+akG^2d6c>90&iwb&_-zpb7s9O0q@<&Dn&`oOe>^$d7$u{IM)K{bgB_ z-Fu7w!gZX#a2;i#&c2KTur(thgmR)%59p(zWTE=C-U>7a>Ew5O&-I220%GO zG)*g!Fw53Ks+IG@m+)ieLVry^t@62CAtUPEUI9pGi42(2@*zi1IKy4hb+&_eXV)K_ ztg%{dRaHS<-~)~#LQrKd#iV}sc+F0+Ymnrf5$#2Xr|>n%uu7?H2d&IMN7WiWd@mE0NP zZdCB`OqMEX{gRx|luY{uOom?k@?z=nU}XDknQKZlCf7Pu3!J1q-&t&<&A{iAl6KqK z>Cz#3+=dNS)8YxueT6slz_i=CitQ=A{lS<$3olMYXWeXEERu>RAA7ELbDS(hUMk8U znBAjy*Mfs1BOcu-<3PvLmv?teVe0D#xr2WaeCs@G}3ghenNP^dI5hJ#_)jvb$l?G z?+O+8O@?C5-1R!$%7c`3tE!Ea0*`|#+avmmrHaQVBzxsOCyJK1+{uHM%fU0ssphkp zP)MNbClXC=VdWyD(A1rAT-9Y*)2Ehji$;B@(;ebgU;?Xf!>@ZmvZ5UvA!VE#}C(x-rm1!8koE{OL^>f{f-b&0D$- z9n4S;ByzJv^4FpV>%)IDPmMoSyE9`}310~&WB(z~m($=W6C0#u- z=$UyTD;{5(TK7Z`o>78L>|CfW#C@$ym2b!m5LoAgILJ=;Vo1GZNFa0$2^(s|SRyX` z`i5B>@ae#R|XBZ37nmkgW50nRg$Ur0a@&-+MysigPW{3p#BX8Yy z9&-0mtL!)KUSWeyrxB&+atL^#JAixuagFc%hQn#^=UKK@R}cxzfV^p|%)+ExW_Ncc zMY8R00C|p9_NagmwLnfLn0Bf@g!1;qtU0$c-#|c zUxdU+D86moE`lU>@sFP}TL$WcjEC4^=yZO>*KI8D*FSm+L9{fqfmQjz61~kFlid=I zSGAIt3cO!`aK2^7ps(DsP^GIH=Hi!xZ`sG67A~G9%b*^_sDSqZlrP_w1lXY-+{7`C z=*wdl^s2pc4 z)1P7UpJP~noat+c!mW?YwIzmJnBrZspYHvisA)|qW5Ecr?WJx<>A&`daX z>6eVLKxfHmHa=l3u1^HX)>zl!_1v|)DZCEd^+-50E{}yC7Ef0V*!QGTX}MIJ$m{ZM z06#;z7#G{L8rUo_NN9bkKJfGmTcF(0u|5bT+xUa!V+K$Y`Z*uUy^?BcVTG_! zwc^vYUvdEpqi{YNxwBNtG|g$}V@=_1P7b~DCee&${LbVU!UOInejtTzvI!r5M-;%ah^l4)8l$JYD=H* zGJu}MUX(=Aq@^&~E5T#7sVR$!yV5)QjYwS^!z%g%2-`F*q(Q2Zfv7;Os&Ku z%Da!XFbTjL1?tA^hQCx^eNA}DK48pN@o zA~}S6$?b?wYnZr!P1<(XR~dBw=L6!7*jV%cxhOh2aL=hz{A&T19V9(d19=QXl3 zKhA~r+`iTb^GP3+vr5UHP#+a>?-hBa$xeLlax>#5q)TzC@*yqKq|s8F-kc_)l9jHu zoNs`68$TzzP&aL!$vWW6Glb9q#XhY}R;X2NquLRz zp>$`mJY6p|Q))cHze?`vW`;Yu7M`FgJQ|}-(Dq(WKMnv8RJl-*KbDKqAWe%-Wu7Fs zD0ZHlbP>8#{%1`RnS~~4$x0;zLN1V82>?*#`&+PH?%BYcJJLhrE%o9-P)reEDpGD* zJP5uNfD-d}U1}w*Rl%^lFm^9!`Hk@-&+QvV=3^)6z);RmG=^ANVx6DfYR^hD$+x{$ z73%lobX0lc^(kuKyGUmVBrDcl)r`JpE+8v_KMqNH^haNIF)s7IdK!DYF^XIbIY_jw zA4EIq(=Rm^e&DGwcMJ$kIDQZ|tl14^L53Ob zqT2gpqQaSh$luG#k9BN!K=2FiQwr+MsrNoAz$ z;{vPem~;k-fK}q>F5qfeUQc~<}Y0;@p=VI5F!zc~z6=U8sas9j{N7>Th%6e}hX^`a0j)16!YkAp;#ZmT{ zJyogrj>^`%X^j>6V9FK=P+XRA-W2|}WE&Jqc2$Fe^(yG*1=x}I12=jWT{7x1n4s0V z%f4V{q)yR%wJpx7h2hmV>LHiLkY}a{Y854Zrre<3GcAe+R04K%E|(4%hsx+9{s5#% z;H++6knV;r@D*o!0Nq*m3f>an%A+tU75#g_WkD*C{iZ!|r6G)c^#$6T1~|T}fil96 zfDsw`0?--lG30@`$jN@CY>Aii0|*N<`n;%M z$3ZSDp*}#FxtRPRB-q0UxzVUP8%Ci!T8=aCWV4QsJL#0xpgOopA)NXjU-4HdN@s9b z3R!rZt!r|C11o@n1Enl5fbVUEcz~ zgN0wpMn=R{oRheLC{|u>}COjiv<8tWN%#ra-ZGg=rj4rqX7lIm|Z5a z<;;nYKqU2I~%0i)Axj#IgL(&R*&eY4hubjfW0FogaVzI2_ibZy9b zsuEuP%+hsKF4nZSjv#gx%=Dd5!1{eD{LLKbsxg&JnI07R zI{URyITlX5oS8tqP(p(kZ(do(9?bkqSxWKFcOfZ0p^nd)3o&k%P=%W3C9^G$7*jeQMU-gc^N=3=BOGmM^fz3j(Sg~=wl#UdWxg1Jv={N}QI(Jp$W*tXl@}rG_ zw?dP}WOfO5s!;}Qi{jQ86KfKeQ{9xC{g8v$_ucX*co^;UUdK`q0jivbgQ&zElL@z& zi$MKin?{yI2waoajir}jwt+qqi}-W7gBV^e1gUf|xa%}Ti+lJ$n%<=cXUz#RL8BY+ zMU~Qui%{B~JYhaitHv^)`fh7cMa zFTX(v)&u4u@ii{t5n_#1>_;-VsTZ^z_iRTc@f3k zkBlP>pH<(6+zg0@KH8WO<9t?%i6lm4hV1|XPF%b*MBMbXLNK*G+TTFfj)MJTfOUYiGNr;v5VH_6g1B8GG3`7_=F z^QQMM(Zb~N!%KlVhBfV+Cm>o@duBucejx-`iRrMGN_|((!FQz$*VirZ8<90vrNy}k zHI71-wCQURB!8@9pGM&WfD4zu3ja*943fH^zzZL!%Cbm2Qkh&L;=qt&JG#gc651ksXI*Q4x zNo5{Zc9fP{`P2i%GG>0h)&usDZ?k$>Tk_ZoZ+gG-@tTa3m?%EwJGOe zlG}_kJ!av+-N0?baEhr`AstKTm{>01A?N4;h3SgKTLLK9P-*59H{{n&Zi4@y)#CZ6 zeFxcF4nd0iLlQYZbwZQ|12qg9SJ0HBoUTk6X{UPnj5IrG1@uc-YYd8ogX`RBz_gquP%~-OF z-!dKa;IRWi=U#X)Ih(x{Fi-j(HQVVWAh7KVaKM=#FmZlJ9ZTb|7R_UpvF8nWKJ2%r z@)<99UtXx!xoNN3Sa;94;N|=D{|eHT@nj`9ckpStD`N`WOs-#3m@}Po7L2*I;~eV- zMmop?@mctf z+@7qC5}~S>&2(Y{BCi8g>Ph;rJ|=|7Z%YzmcuMd0srblH9^Y|}81Se7*&XYlVnkbx z8jKQn&@87TrYzy3no2X@6J^$Uy&h453$V}uDM!!Bb7ly(5z{^fa;W=$$2l|aSP=qR zYS()c@|G1YeCrczq*gY;7b>jo9rwxqT=g9lHN|WPvuKG=+j>CDQXW^$hShq;J0a|) z=attuaM@gDL5KJRcCCFzul3lQdhMw1Uf4vlymCPUd;5)BmM}zeCg6^8PKr|&1;}Ya zl+FF>Xi1YZJ5Bky!SH~y^8&@7%uM}>{%H6d@6&Em2uoD_1{BE%z+pO979YtAcvxY< z7F8~66TUi)_o!r!*TiGYc;Im)f)q?BlpVt5R4s{?B%fd{x(9RO&CY<4)KA^ zE!3Pd-C_;Jt9xz{j)b_#?FnlKxbK6BXzPU{pCW_M6*G`#MUE#?79}yZCyJ>7JIs~) zOg>XvhO;GODx;=jZ;q?#o%D{G)bPUck(vZKttMHCrg5$*dEQNb7>MIT#C6XkE&C|q zXH(6KgJZ;SxbSdGooukv#2J4|!?noZD0v%W0{i#Aoic=y6i9@#oBOGDDGf&{v;f(O zRWg|h(Mjz5Uew}Y9=%xw#h_<2QwKIPRrZLXbC>HJ{TS)jIR2Y0EA2pARSq?d3MNKk zcuQS8CjZ63Ommi%ZE80-bPtAhF#*ti*iJ|GGdwQb?SQHcO0vn3nJo%O6eSN0`*_4| zO!fNM;f}i=`QadCnz}LX$O<6rr6aF3iDQB}Wo~#IGqmjyn(kpwa7PQ?OcwN@a?lKz z1dH9*{G87by_j`YrK)h=aFj*R10b~0JEhjPrV?a8ZV>CI+A>fjE6?8$$<>MNc>6P6 zql=iGg)${(iCbyNOIVwpP)_v^KHO>2&&0!I?ceL3A@z`3h1ljm+af=m%>}n-G#q&w zho|rk&KJB;8wsvYfGi|Fh@K_~qtZ7H_xw*jRquVMi=nbqy$#|~y+h&+O9e*@>jtu_ z0y2~54&0%Q0|9=7@4PkQC|P&A=t4(pXwx&43@U2Wy^X?pkv+vDYi~1RAl7*fA+y{H zebPe@vVhxyhZy!|qqlT@xnd-@(2ifjuF?B@5JKWAMpgcBueV@+oDgN znHWTAj0UZ^$*I8y3P)kNb>RqgH9pmuKz=#bEP?|!yLermY~O>U$^>0p4UN{*kOAiQ zTVTE$>tkfh6|}>`0fow8X)Bfea?CY~%`aq7#pE&6>DKB`$}A)8LCuyS_Xmw9Y6Dl_ zt129a>+Bu@`FR>##z(g!xC-Rg;Z6PVmDXk1g+?ROa$jJ}UNFWavx+J5oIp+A_=+Gf z$)$kNQz-u-bOeKf6;V-iVfE9gYbSSiH#qN)!v`qL1E3>k1&0ExW}Up?YH{IawIJnY zMp23&6aYIIJ|objIFLH-rER%2uP4xzF!v1HQ=R?7!ZB=ErwhjPbCqZR4)}35zLweU zT5~pm(6!$QuoGOhq}MMA%b4MyRgG4~((1wn-Pa8WnJ6NJG{mTv-7MVJ%$SuBK~9kk z@`zA?5@f~?5lB0JzCVdgiAaOnSH)1il*slz8XLE}*0nI=gtyD$bDJ3118i*WEaWRb zHu(q8)huPS4AE~@LPTObH3cc zT5e#V4%bE0iw!08Ze=~=;ECpNPVty>y`9-GZ!qNBrW<7XbQXyo!JFq%$%QO|n#0>L zQU!1TGNj7oiPA5*>a&%TV#*SOx|N*1GOy)x{>{M2PlD90M9(xMX6o0ft5lh>5LK@? z_uSLl$1$bE~ z!e4%S!+KsVAn`>gTQjTijYBu?1|jsxL-hNJkS2I1%AZZ!=zM_oFPC71sqkzD+N{c-mNEb-*~ zHG_Dxc~)DtFOgaE4KE8k3Ec4QW5bq9N2Odfpuai+@{`zu3`*p~Zy@Enadqajn`>iv zk<7xLc|Avr`&aOhxH5;v%_7dv*^{sbm8t4RIDRK%m46-7ebvH#!cL1f#!Ev;x@=QU z5b8mD&w}0{L)Ok&Sk% zkNYIT@szS?e;K4*%Fc*2NK?KO@E%yNPzrZnSj2Q(kz_%!7-5%?MEB@RR4FWa3>dK4 zl2fmka#z;qke|G{Ghjwc>M&C%~t^77xB4`TVfa7I2I-0QJ%8B zk2Yu5H``o~QTG?kC{~it&M7P(DDlP3z8O8s!z3l%L}@jy$>n#pX=p>QtG-`?W|*v#={X5(=~`zIM9AyYxLW9Lb?fa_UbJA z_k4!x;?7-@5<=TFuZwXXl>Xm7eLWxh1PI|1_}K>Z8JgwgVx z+Gd>{q4P=g1Ow1wn3zOD13zTxM6Z^o5KN;7yubE>({zbpS?r<p}Pn0VZz zf}JVPu&%n`@}Re|PacWjZwre>-E(ym{pDoE(gu1m4|K;$Y*wByE;Spn$wlNzb(qZl zld_VlbtF;_xywl1csF4DAS@mAv*nXLC-wu1d*SL`KHKI`^ln5M>I?k`6%ccAMW+u>enjg`1~}0TQYpIaf^Vg{+22vpBB<_ z-&ERNn(I?#@DHT77ZwK;Jm2w%OIaq1PT^_+YpJn7T!jyxPv4Ex^pWrUXXlaevLsU#1Lo`M@5B=WfSfTcH$S8W^7 zyd@2bdH8Y-RO+mOQ|QvCReCR#;{#Oh-NZJrhdZZ3*%yV=N0gi8G3?oE>h3&ljc`O9 zs%GnSEScHo&iXV>wD&!_pyPA1y`Sy0@8?Ce`?#P}HeH_xTtwmVRh-48aK1RN$Ec9ytu5vUi3EAcgb65Z0g`gh zNOXsgngbySka)jK|B#eO4DQY1zzp680r%+SuMT(6k}s~xf4PL(2%OKC&1tk!G$&^& z;zCoVgz#1aGbA(e1w;XElN-fe6U$ZvUS%V#?_pQ8vMBS`Mgndx_ ziVPPN0>--zHYO>5j$-~YSuTxKjNzl8hLR`&NMW$`8yKmI#o+g6uaAsM5XNFm$DR1mkYo^aml%90)Vq5EBHB2!)M$3aUzKP!?yyoN;N?9?vk~H|DzC`|n6G9r& zEgQC9OcA-nr$`9McZf75{39eurS8yXoU|zu+pc4Dy1|~3t>cchRaU%Uvk!0OTrxt7 z+`(+^$vpTm(*wcpEdxe%G}cM0!VM4m;X;hLY-B@ovhsO=7|PXT5GRC8JB z@VoHEgyls45fpCK)>J>nCW+JqnJTq#&Qs$3XCBw0eKN9+6hnB{C@KYFIJt5_M3(HQ zEXf=_Cp`k#t*iu8*g%MBywL}z*s1Png%@;&GWyiMV*x0jKogheZ@b4UzAsIk;Ujd; zzvWq3|C49^H|*tqqi{Kx|3?ZpY84a=7ZR{0o z^$d*u92DvCzYtmazqNmg41b;edJ``%-FH_-04! z^5qNsn)$zFalZzc{;%?wSBFGO=^Y;EJ>e4M(R znay)yU7cK>>3*Z{-Ly`l%63lm;M}#cRqk?kcXw&>uvE-ylpjZBMb2A}AgLKAA>O>j z{`H4AU+1=0t4{fg&hmKqLqHnc^X2ei$oizcOZ#R?GTpS!kCM@D(%yP}ya>j$68koq zu9A?4gKTplWXJLV8di|P)9T*xI|5MS8@hrqEQ?5uP3a@_WQ|H(hVIC}@~6>MNX0PW zxsw@FmCzhzLoF9TGP<=`+1I3zkf7^d`BQ(I#C`)7#I1PKtffE{26RJ~Q_)v(4=N8k zLR_En(T4-FS1tiXBn_6ys(KeAc@~0XE75QQNU-{F}R{gu! zp$1LA?>Q`yY$?WJd*EPb7ehd@gy$l!s7#P{<6vhJ2jAF~=nO+|xyXFug!x zKHcyu0n9w`FYy4);8#t)xLojmaJg7Ie{;F)|1Y^*?7z8Or2oR@V%KFH_Rk1VJOl^< z4kQFq!lCJz=|`PIcsU@crD&=~1GJ@#f$4|gUQchnkb%+@cH)=_#tLZnON2AZ(6|E_@<1=a zivy4wGxstu5PykfM2B1kAqz&)Gv{?uXu)STLo0Pe#AL~#UKpm>ORBkpLL#R28$lSn z0wxh&*rKhRJ6%RoCFO&n%4*nznvrTo4YUX|UZ=R)^s8N+V0?fKP-M;lL@h>3wzG^Sfoq}{ru?B zxzspp!jb#?o(HtBKk#w>#iNICrXZaH;biS(FPLQoMF+7#3CSDmF|hKtM=#>vdGw0Q zHx;e&TkM4Pr?- zGeYZ4keY~M(Tq>t{O!>L#8CuyBv=#${PB$q`|&T2Ug&@I=rR3=NADc_%cB=9K>1IP z9?h3W&n`d|+kEqRPUCQWZHuMramrpgmZ3m{R!LXC6fqLxXyp6Wz2KKekLasd`sLBH z`{U7L1?QXk_f zavj?Ypr6$8X%oahsk#%zICQebQ3A_a%cVT`BRzoV;JH8MczF`>C!H0x=zisZO+V@wr$(C zZQHhO+qP}n=1i;d-)rr?tInyaF;4q*zgCPdIx*h3<9ft177~jiRFu}`?^qSr%{HEY zRS(){rb?FD!OvdsQ?Stf%%5g6%Mr5Iqr!SI`##Vk%FCz$1v!Zz2nW)D2LZ;+%NL94 z^S>}T1`4GuS}Efk8j9R%v94NvQHruZe1-LnDhJ4XGP3E2qW9I66H%ecdf0L0afUIV z3T^R2mQ9)4v80u+?YBVuN0%E>F}87*fgzTYJ#~6FG1($5l@-zr{ zmBNp`bm(Thh3r}Xiw??4eqrSCC)PXHGnTwqQuJaW3d^xJ0eSyxUQA~)HO~}i7Kh}S zMC9Sskm~tx{LlU=T*t=6k$H)BXKK!s^Tg8Bn|N1Nj?GV)o8`{J!?WY9Y{R9NybfWZ zF0fL<8(h3R+_sE3e{-CYvwhROS+n%9apm0NE&K4R=d<<0wnOdOx&`a;XWyJ+J$9D? z2+zXoHFS^_`@iN-*$POk-rR(pyxcuTXwI1sc3b_88@X`tRH&pZKw@! z+j&vWHMT$Gl`R>^jwWY(+je)o0cCWxxqgOcb^uY@t&ybFtEY|%(^pw%y{+~SucL{P5pXK1E5~_B8BqOO}>b87CA?@>ofA^5HvT_mfXxwz|we>hwSn+z85r?VnF1%7=){$ZY zThM_ZQn;XM&asN6<6x`d91$^9ImS9%;~6;s4!%DsoqJqN4F&erZtHi zflM0v3lw)FEI=%ivF=a8*IPD)kUZLkSo7+iHAEqt>|D#gFp$E$t{kt9)3YbES$OnqUsOWwXp7k7cM@|&SU@#dLRO()b0xSVpBfen&ZLx%R z1TO*hRv_a=O9{GekR~T=IQB(GbhT2Yk3!n>hr2V2#f&)~1H9g@869zf_Lo~W;TQr+ z{eoMIFeq zuVRx8CY)Px2TG9wG_x(XDNh}R6*~nRS~1ebD47K=Y4S^#qQQ^J*Tu_XC0t7jQXe%X z_1{ZjSZDd4RG{ti)=AoL!)Qt;?2R-QRMX~SE%FEkJVYyX+>``=i!`k z-vvBXT2Xgm2s?4C*-^SEmqC#lBvNnL8$yI+v^&)(I7Drgz8{C|xql}+ymOncofsqH zYZS(b0)6&+<_eC-HsI!+$)ar;M_=I`B2-5#=?>T?@a{ojj5Or5TBx(F5)n7XWE!*I zh$Fz=47iuTK1xF&D26~pA`g_0XVq-kFow9|l54-1Bid=xO_9lk)g*=`XMi(p^EcBH z2v8jXgd}QnNU*3}su=MYpI5ob$jOJ{5^?fKy8jZ~T+BL0L%1Ry5A?eu zf;p=p+~OwsRQxnNX#F(F22u8R8>hP{QKXkVF#=noyg>`BN15)}p*!Qt!y$|B;&km- zP{6Pde8O*S17&$m1ED-ooF+@Eb-Qk?Bqm1a6;rfXNSQD;x8kD4&%f=M&ogEwR|9x#$(ysdlBdklhSupfLNvJv|&*3pe zb#+&7s@Jtcw`U$&eaG?aUIMPWY`nlPQ>9A~#sgchJf80#)5n2pr#_3W1nJfzzsnVQ zeI2(Co>++y#~4#b=I4A{)Gny42P1UZB+(i6g~dV?k0czM=9{$zv0Xn+T zXo(U+X6hG_i08|Fs4~mk~^0F4x@p~jO~=i)-kH$^7Q0Cx}Vgp?o=D<-=I{qqN4B&8FMkl572r?N`slT?d zV4qIpNt=yi6LaBke05GXLHnh4@`}{PRFoczsjjQOXxtD6n!iOLCq9g3Pm`-8lnUOl z<}n-hEy0rkNuxuIXw#$~*;Tc5H)ifE14mt;2F=bdA@&}R0pOnxF+VORU8Wn*m^Oa9 z@CD$o+;}&dS$hmOLHGWY*irbtA1M>s%!^#bX&i+JmX9UofeYz$E_}i}ZCDr2XTx7( z&_X~I<9M!uiV!VT9|i7G$9Xaz_B5=GDUDa49ZQeouY6v%XH%mJ@kXSUayS56VZcPc zJj&IEKDqTue`YLCqj#av0u$L>ZUe8(xgK#=4lrrD1QcArLa_aBBwcF%6xpV7`Yvxp~7wh<93}gYxl10>-Vab}4t3r@-g2m`sy46H*)U*k( ztEL>%jkGS^>PO6@^rJbZQX=uC#yyfk(#Lyv{k+b3VGheIB;^YsBFE-L52WjeY!0|c zdHsygkPvTmyg0uB*N(~oqUi{=nBoJ}hVp9|EI7|k3vFsOTA7M!))ZM+bxiSWUTw*0mD}2$VFs|KF=K8wsSP+7StVOwbo{p;^eioV*Gq)h1bYdO2 z9Gc7^hkU+Fl&C><+^s78iPBO!uEF3)Z-@OYal&oPkeny4eC)xCN%)aR)>sA>?prEx z(cxhkLef0=<5<~E9CbIoZN0(hfG1@LG z-At`rU{GaxI25jSJqZROr^u_kz?G)BCiK}mIqddt)6>U-J8L3|5GjJ{qzKx$IC^SjAgc`uxh#nhYD?JR8JFvO%Gf zra~e8mIsHNWG_?HO^uw}AmU;pf7rXEN~yY)(7bCwSHSOiVW{4h`^$k}=8g_e9lgCa z0ado~mVW2c<13Fq%mA(@2v2*bmL6f}boe%RPOzh3;{OrtwVd!FR5#97f8>N}?o4D<5Tv(&=HjmlGLB zeGC!(=LkIlpZN%!Sp_>DVa8@}TLdCLH z(+0dU4yDTS`(j(<)e9o6=6o6{^5#b?lvv}k_m+71KDsoe)8J&$=?2ZX3NUvQf_!sv zFM(G)53{C@M?h#!sl0x_2>BjvLQ#*RbujSg+uMB?v0GZ&Y(h%Ciu2!^mE-Ve7u=ec zXS9{jYSoJ%m51Z-&DiJVgAA^u8KX45yF!&hO7^>vAbdB1M%1Ss<$^haqnm!ImbVpw z1|5ou{>)wYV3@-s*(L(>_F5#z0r2;h5^_lpNl6&Tg8@v4&5jVQfjsMpdD<^T>uq|d#t~C;i8WN(!h;C%PP%@L z9I9%MCXb~jn$iiL+EH#btgk6X8T6aVVQHLOv3?%euHTVI(N^$E9#hI_96UuxiZpgS z__df+ES+$fa84XJ?OUvaR||UQaO>~8g|5@o)Mj=je#r36pVu`NZd)UJ`KLd-e{&Fi zWmF?liKa%H|mciE9k}0v(nWK+^+RX&{|a3 z1{y(x9+ur+10(D*i%G|9q_OJm^@BpIyU-o_Osc5a{74wyGd1XkW5A)4jxsZkv0x*r zK>gH}K}7g)SiP}=^2n6Yrvb}vJ0<1q)QA-zeAQr7VmJBT>LF_hl`UezW{Opj})K0AP^h{2$6EK5k8mfm7s zUMn8`Sx)lWJFmX5J*3{Dzt(}noE(^W7ej=0MnwFGvIfuzFH5tU#9+YMKA23?;!(3| zcN=3I4lOnCn!dNPS+fwYIy2gsCVU~QQ~p7qLNDl&7C^{FMqx*Q+ff3fRO9{x60e$3 zT(T32;!HtWjT7Ek#~88%PIp;|ZX4)9>I0d2dlImJQ%cn3s2&m7jeac7qqY zY{7jN6hDw3BiYYLr6ldn&*;skM3iU-rh46@-W;(lAVM0W9zp=W4qkQ=Zh+bIZ4Tss z;Rn)L%sdF!1u6$jEenLr2h0s?*IYB#)RU%Y1`p5j)n}@?$y!{ur1jD+$0t- z^C;nLaj|~AYf<#E9k8e1(rrXyY@jco@?OMKRq#!5Eu%tr2Q1x|xd%#U&sX9Zfr^yT zIQ?}=>W3lA)CNePn%aY!5pvNii=&cC<}4nP7+7I48*u_}hR0vc7bEEI)GhIM<}5x8 z7Xu-mVZQ+;{6YI(uH(pQo~p*%O~X|G4| zlw63$=ijkJi2|5NXTT7CI3#e2An zOa1*!SMgP^a1e<+)}jDA_dPKmG&qD3yfI-!wQ!qw_E9)IxkhExc*G~^&qUlUe>JCO z8_ZLpsAv3QF@nTZ`|Ho(10c#JM(k0FuSTho^P^00X&$^dAU%)7J^BMf)+m-W>Qvy5 zJ9|Uv*6>){w`6JJOysBJv5xK-nECf@j?!E50NvPELqPozu#VHF{{ts0gb03ZuN zcnWYlhk@y2#$5;bVRJGsy$ICJyFu{J1?Sy(=hL$o;jul9=5PxaE(uEqc%8Yfq2A^a=EfDRZEKTm1HmO9$RjT5 zTBj>ef^&{>;XI_&ADAW^NqOhWQ&}y-n#pdi{^kXSNmmy4${Kh~0%jjR7&M|Cm;W(U znG2oSb9E$6!3kLi2n8$wh6bCKds#tcr9y|-u6hgpT0qVN!rUsaZLBm)+T4Sxe6s_w zEncyY_x)0$?-)q7@U+2E*m&dZ+92v^e}euw2_KF=`wRUyWjjtU?6mjmNQ!V`m-p?i zuVK8SV){=&>w7OL+aCG7SkGR{)mpn0q94g0iincmZR*KZS>pGGC8NDnw;$1~`J$E^ zG%G&uD^C0vT3(<=y~Dg-u_W(PjsTZV%FL%&^%tLOob}#>RI4^5O0R{;D-v2NJH$i4 z7^(gcA8=X-_8b*@aXHF~+aJYLxs<7U!eF1^ z^@beuF$w_;GyxYF7@AZY@-WmTNA>~_js1bmqycd%Dw*39gR$v}xBdRN+y2{tdb|^D zMB!tD)05kXtCUp<^Cz|4zxm};h2RT_(#Y%Hqb6E6iCh~WHn|!a3Kr6FH)pb{KiLPc}h(Kv5O-AY2G;%fCN41u7V7Lv-K4E1vk3Rio>gT}`@H|oP z_{pt-v|}CSv7!GaSbX<^1C=Ce(SFxh7gK2!V7tPxCg1OCA;t3uBqIGfyU9MoDQr?x zzcvDDtfcYlw8kNad+T;aWEG&o{h$I^)d+Y^5}7$S=+5c7gu%5|a->u@4pZb4pEi;w zo~;-}HlzG~JnIxye!Plw8L*7>mkkJh++(nTGRc4Y#phYkfJJO;iL7)Z9H)(s2vIU( z!I1LiQ*~$+@{HziiD#qC4g;6o#C{Ws$71|(thsRm#XOh&L%c=a_vi7(G?!16)b$6e+XsLT^WP>5@rbfc>-UIy8N zsc+XGw(8K%R9?mQ03m{#yC}sIvP?NwIW;?)k znWX1ZFlx_i zQ@z`f8Ssk=zgnCGVhMDZ+i;TP6OG1@_>qBZ7!_|G&EE4P4Xq|Q&g|F9sRP%o!JCv= zroblWgX}21tlF$YU@Bj$Ha9%^K^fyCaSak>hN4b&#{3V%<8xgBBj#DdToCJ9)Oc(Q z4R`*u9O9ul23ZQaG1m1!w($!S&Lx%qRlbKq@Y%?$OP!o^m2xui^faXPz3NGC_%Z83c$~ zA$6LuEwx@e&N?T3HNt%`YBg)Jd9xK>x4*?k z$jGr+#pUzL@MrKNUJ?5(my*zoeV>Hr>VcT%)>r0f%i8@XMOPu_YMqEmVnKcazy&zU z3@mcBO1APqtZuTmg~80PsD!4js%mm!wmMYu53YSL&#lvuQE9Xb-SU}O&h(T%gV77C z3H+s84hRNmJ^F{=mr%F42W%+K-WAsbSP3I+myRk~5p`S+#!G>Hg3rh(nFc~cSrSo2 zwT->qa{NY!N&}iybW|*r^ryauV$%1@m#Ah-qnDHfzRRpMll^&vA#z=1d69=HMV-A3 z=bm3(lBS)RV;+nl%2NJlTYwR>hdoS3)#d`NQ3r|0sgIG#^^Rn)&f6$r{vE_MEOE3M zV3~bQ5LX|MYTDxx4qVRtgY0LTGSKc-LHP20vd9QwmA8*6AGn>Wg8`vhI=6zvWk%s8{y0 zegz(M8k@~^MNCq8e@bzU@)(sktXdS?E6=z=u2!xIHdjJ(-S+slPU_S!$~cG7Ox`|v z!@=s=AyF!}o{>$HO|OP_60qL`oc2f}W3AE4M&=uQ&xC|VD=ZTqH0gCs&33y%Z)!#7 z&6{UJqe2pBJpfx)3O0h<+*jONS_``IR^QtwJ-4ebJ7;8HL4L7rKQyw7uA7}Gr{~dr zNSCliin(FZurC=LJ~ETNjRo|9)1Jo|5>lh0h}8_mz1j?i=x)Rbmi2oJ(Y}PtD7v$< zGtm%Zr$J(S7M&uB>9I$(tQ{0p5l>{y=X9Bu#TD&^0WhCUM{EHTv`XM+mg7O&bKIPf zJyli}GquNKNFR0y!yucy8l_F#iSVz6*X?cZcO9dHjG{QSteB*bKOR>#<7Pvo;pH2H zg7!L7|L}idM+#~~SgJ!DH*&#?Wxd6MfLyNzzb|wp0vo>@6$;AcmhhRe zU<-p7@q$ne;qw8j*04_G8(Mywzf$({f_G)Ku)z!)xaZ*$6pb)z zN*otoDgY-ms~bVp&TF0v)yNw+Xs>|p>_2Fh?$h%i7MEyg!+bhcFDN&V=4O(8=0E=7 zu3Q`<-jpmWb#{4)v-UU4Vs)e8SM&3w{EAsM4YAuv#A7Qv^D2tgPN>_nWldE*NcK5M zf|i~pmRqQv>R=3}o@!NdNd4V4DHa3g+VJ$gDW_RQIq%iHrktH) zfkmJ1L_o>Sa}6=F^EGPbxXI_(3(~jSL^j%zvxW`Y8g-w#|1N6p$SFkeN)t=62$mX* zSJT?A_y~PDF-}lZ;d`>eNk6(DWl4=ndwD}9KYpZ*k+wZn>PcYuVnUvLVapqVf@?L{+WdUf&=1GM^HB-K(X&T`gfL-u!G=Z z*kbkp!|o>Ya&Z#0(?kRP9R>c8+(m~UaT()wc6qJM7S;CD{yrE6KhVChGnDVu=ptL- z2kHnqV?c4xMa{8e5+d;?sg6sSd%rNY>Q^H&ynFu%VwM+1z7>tG5LVwPcf36(<8NftBaJY^kMt8pG(t~4dm5C4*fGeRLtuvO0});h*ybP&M3Y5K9b%1M3cm(zbgSU z#c05wgt|mZ4>Fr;K_ATZS<;&BM5Y6PAA85{B0K0glB82UBMjT*TvO~DK`SH037qoAWl(Q+LnX#AFNs@mEe z#~JqziDgCl`^IN++F-#kHV*P{V$!t_D${bIdfRw^PT~@zj^+%~#x861@y@{ay;|-K z{CUXL<{~#HR+1$=x5vKB%UNFc$2c-i;IjjDuc_{GzIAO)Z>Zc9Opm12t?a82G8iE+NwPMLt!*qO(mGU!ZU-K=rc6rE6v z3s%;)ZmrjJ_Pp#o4o}TxrRttu`ZpJagzA;d$t8$i34F_^8IZXNHv=_-zPFFsd{fpq zFG(TsrM}LV4;_H+4M>xu*BGjmkUyTnR_^3xiG4UVag_5mka-b-a6R7)3+C~USqT7e z6{E$=C!6lo(70RhOdX02V$-}=IJNC>#6H`Rz-(#0-2L)3o)SmDk#aU)k{Dt$n6Goc zvLP*~Y|!B_p)`Gb#j`P4ezY0-H2;Are$WAF_61Tq=(688cZ|{V*BG(I8^VW+VhROV z;RfyV1IdXP!@mdeR{M;y4@u>A#|m7c}6&P-z>Z86+ zN%GWKv!P4uY_w@cUR3NCsJQ09C7QeIOHiIYA`Ft@8wmynBNV;zqkDeG7;Ks_J9syD zM11eAk0auFog*&kp#M#3yjLu~x~Xyfo9%otPN~!Y-{aq{{iPltXn-5QYM1|Q+|2g> zCCp}J{=bIVQGGDJw9vpRW8h{I77d^}gI^Z11k^Q|nJ@Eis&RHRn(GhYFrd=A0LO6R z@k0C)zL*fk1dL8jxs$|)Wq05)Y|Kt@x#~w{OV6Kfzt90jpQ%Crch}5F``_36-$C|2 zmG=J}WHbJ=jQ;b(|9^t){~L}>Ps_;ge`~P+h=Z`;Ar`HYa&t)=erHA5p;WOle^C^Y$^Ia^{v+WHrJT^U@JEccE z%xEbY9!;8e8x;{OD=lan7abZuIP%zo!hhh%#{b~R3#FOY5j5xC4DVuF^FUiac0LTB z+1thKxu>X~*auWu{IGh#GE2kJS(@?%oa&B9&TXT18JvZF33Nl=K<0GUPlP@F6Jh_Z zU5%PyX_yZ5Kd_u`>`fNmtILMC3S*+V;15@g(Br}~4i@6z0CVog^|@GEx&(XU;8)Q9 zC&D%}&nm1lHv#bPlU}_JjLJlwq>}k z!#i9m3PYHQ1EFR-0V`+7D4oW-JD0}quR3BJsx4%!8y?^&X%zY|9J%A)II^_iFz5XD zqas04>-wcn0LT{P|a<< z5&y=K6Mt~zVOaHkoC(qXIjRIePn@m;6HZkXf8PdvHT<*2$e#$i=+94t4XjHi{a+FG z>Axau3VK#)ncVVdy6c2&oGi46cZ}|bfvo729=JG`uHKRYA21iA`-3BMihu25P>(r5&-3~7UkNJ+g#3!^1Os5Ru9siR6g;L+y1V|> z&O1?+$h%-pa0m$egCn=LS^PxU1^6LA@YBKr| z#fczDK}x24gaPcf(F|?j?#*#%RR{Xw7`yZlBImTpJ{bm(M>@04G7^u=uBpi9X4#Wf zkJoME5RVM})g$`I{ur`jRnIMJRskp(XRowh#*;}FdR8?2U0~^n!ueXjbD5EjUAq3x zMcR--3_FlbR$(x6lnJCK#cSo_jM}7L8|NWVMHOsx%Rd1&b)wyV(EOS3zinjewhM~n zO)^%!mfe@FSn@j5OjhJf)~a3BYY%naKujzx$r!ZEdB|uFSzGeS%O5w{n3VOn)j#1G zGMX!Y*H$=Hm(jHXol4Fq%aAc6*#98w84eN_HqcNEbU;{7j!4H8f@f-miG|;ENWn_{ z!hFr@uWd?T5ZOs=HF*3xj7;uC7n`=hB24U}b#WLbm=>-A_LlF0t^f6>SY|3?~m?_V^sa4qP($IIgJ{Ox}y*o^-4$yLA7{_x{ur2np$ zD=}%*%F6okGG3$+^e!=;un|jQ!Hf1ISgC+cax-rc4f`hMa$-7HTX>=aj+;MbEjrs* zN@`Z*d`fa&hxPKKsKX{9K{Ce%`P)7_9u?S_;)dQqih!dA6~c|x>y`##hMoo-J(dm)7N5KyY?X$%lPo+*E=d#AoEGfp(gep33ipT ztcMd*0Vf1TM7}ja(4t9Gd)m~J{^LYsKY{S?tfiw%&o6tv&663_zb_~K&(c#_Q4uQ@ zH^vX8b(+jS3AVDuPlCPl=jc5VjQLwNkh|)~Fp&U@y%Wkm(;qRRc=@YS-TOZ8{^p#0%zY|Gihr9hiT zM*5n&!a*jv$hkj#d-jnmHm|J7uDT`g@5RyDNKT8+w$0*wjf>01RF``7%hl3Q#>Ga& z)#Fx```h0`HXPAg48NGBMoK~iSp924@h#v!v0W|7Qn3slZCR}xot@hVcRpa-&Y<&+ zseb-57RDbP*)msc{42GG6M*RPM@Rk@Kzt{KIODsv9XZ;gE?YzUr`u0@4Sew2_hLG@ z4Z?V*(wVkmNPXcC4{eWz<0XLc6PU`v;=wUd-(6*MvyKMyPwF23Ej@(Q(23GL<^>-J84 zzGY9{Y76=@fb=_>D_1@7Ty)lo8z0%zj9jm`$G3}A!tOn|F~>M9yL<)ac-*yP1>o?{ zCZGfvKsT_hUYN^Yud|J%M0PZut3W$Bn_Au&mAg-sy6Gs;td1nl2xwFr3N{4X^9>Hr zA+ZWU^&Z12pTh5(AIBvY_b%}s@2KIc$8m(~F&Pz8pU2K!7=sT2AkF+@BEsiDKRe^G z8<*0y*CBydRT!C1zefCzL^+5#3`Os0P=9vF*2c{)J-x+O>tieM^fU>(cjBHdKZmaH zO;-{A;@>S#)@7_%LZdJaKkx>4QJmU6vSo@5d^p3Xh{bLb*zwnBBwty8t#gAu;Jc)9 z<({Hztkd}bsuX>LR8>^m*9Bs{1}X($YpBk}+0Y43B z`vDB0T;-wZqKyItA#vE*h9R!nHMI4783!{U6U*5rHg3__{k|KqeL3!8sX#3*_j>2? z)X>iy&eI&G+^AD^E`(+T-;twQSYWA!N?Y4)Fn76`?n<_LRwW{aWB5=C9v`Wk(IKhe zC67*&;!{PZ&JA~y%3X+!&t;9hU&nHy?MmA#mTP(s0q8zx;r|qw{ zz~&2Ax@qC$(d7GHk%$PSB+9ut%5(7utBH$3Mgh+~OS4PR7GS36 zhj|f}IbkF&yGbHL2C*)s-kM)nvvCN;IUwEB3s-sTAzt)GQQa-50D)L;E2bUn1B)F5 zatq7yx33yWEh}GAUC}w>C3O2|W5B?-+;B37`W{$u!+< z9Pz5*0lnRc{fBQ~|AmPQxcB;f?xElJ9@2w4+_mY;ynVOLR*Io5U+gm2wEn_#1T7GL z-Y(=~tT{>PHyKmfIRfW4Jz@;(ss=0T>s438t`3_O*=Bd8u>=GT5oFWp= zRhM|7)2e+1ysT2-wmz3Q(~wQ?h!AcG3;7wHvqh{0`OGcElKF&FtrfShvs8WYT)*2? zI+{)OR(3up%%q|l@2{O!ZGIBYLU9JTan86VvE$j}cUrLFSv%48gV^>W+xw#!YD&j# z^$uT#_HOev%+S^$`zN17E=TSFuG|-jPxdEOmY+k6VRuRd2cqnvX&K`P{o8aW;9UR( z?oZGFe6$;d3&&E8GbLk-Ix!EE1WBzRBMhVp?9K3VQROtO`QX@(2^{ z$-dW_1Tzpc8zI`;efcx$13@QRAfv3bqQ7xoiZO&3(HhAF@f!FRK=iDC#eS#o0FMD2 z;>5&+Rb=g;kn)e`keOEyv6`|%^hdWN#gXW{9X1?_vuX~z;vfl$E>l8Kc*?cl9kR9U z0?xRm(F0`U6wIcZRPghvS!sin0*3cmB%g~Y9AFzf8kEwVZ)k&85g#q@iH}4|Nw^eD z54#dXYK{}G7{UXY7z9rjm%byHb5xWnA5P17>(n)L*k!(?YPKEUX20s5V4pO4W_;Yx zeU(RY_-LULC=xwz$Z{PGKM)=3L*^Ezo_utrcMZR;xHiweY@}d)m$od9yVu4SmLWUa zn)Br6`PB#DlFTn`&p#O{yJ6RTW8FmqoJH1Ckn_kG84hPzA9s5H?Bnf? zgYC?xyswst+&#Ug7gxXX6=ExvE|{sS67LFaYT;T!_0nnh%k#)%8DAT8b*&AO zj=<7b0HWTV#LH3Q4wG_BN6w^X#-Z4LdKwljN5&L{cjr%1wm4lNpR@X^D6U`AaY>s2 z1M~*+RM_!20-L*xa=9xny(n8&g{fa)0hYUvRtsD_D&7iADJO7oII_uGc?Evei&jSA z+jT@PJF>{L($EX#qIU%qi%FNtmx08RN~Ak6MOnM_l%#7gw_Uj`GK-u?oJB>UBRr2K zYmpytt$)xFjI)Nv2sWR=NKb;{@JOmYeXaEfi4&+2!i%v!Oa~;a%Qi8qybD9wM~AlQ2!2QeA+REAXH_n2PdkOmIK}RCIVDNsVyQ??m*0yivhCE!L4e) zAdbS6W%02ThBi8Z!Z1$sb$kVuW}9{CITA7j`UiLifn;OG7I}|m{Jc5>S$Um$}qy=h_?gvGy3>3ja8^=g<&)LAssYk({l^$;( ztH-?Zp~G`?&)G_cVN0VzPSeck+kAy~iEuYNUR0U2WaXTjK-vivZ+rrbvOzZTjFCbW z(gJH+$)ROEd5dN|ep|3tAvOT1G{--rfy1-RNpg|wP4; zUQ7IM(|vGeV!Vi(RirPl=hU2P?i$ropHL!Z^dinu<>JPKs zuC;77fckX=rZmH9`>JgprL?(Io)U{w)8VD-{hwKwdBEwJU?3VUEN10~^ z;wg`b@;VLcVr;4*PM94+Zz4ACyFS(m1E2x8eL=_Q6J7&eh&1j8!AzWz#vMa$lV0)c z(X9@5n-iwlkpr}vu#&}ABlN)T`Kt=L(xfNK8GTyrMS{`hHj0!YvD4dLe2PitbTY6J zl5b^1zB6SDsZWSue5qczsi@gV$1vW~?mycJj1gazX%@>~uR@5L#{!?9Ei_H`WJRcD z+n8S=V@#3byu(ncu%xG#u6o_9HXo8h;uV$xelUb=zz2PTu0*_X=n zGRu`!?tFIKv%K8yHc#uv2Z0|$3{~!1+@wM^IUb+#z_f|G13rB z#`iaHXy&NT(b#4y@qee`RE+kk{4V9zQ)r{Dmw#h3Pn<<+Pc;Qe=a?dfCgu&x6-~%m zK@SH6YVJFL@o@^?Y+jI7kY9kqCg*PNRFQ5o>_JIG#-sk#{QV1RpnVCdQq4rf49KR| zpl!R2fboU^J4JJEIk~<#^F=T8_Q?Gk7S7K=lV7q!bANx0#{eX_rI^9R-i$bfevqdP zcr<%v`%tc&=C*(`>++TK>lX11#)gkVN9Mw@?D)cQrFD&xrmdrPn-wvVX@pMpI(rt- zXnB6w^R+;uN;i1I&pyT5n{50_?2hZLzJZ3!Xus4m#XZ>b!a1kgQv}9p7te)^V3%eW zMiON1@F01<-XIgup;zh#?2FEJPALSB2)VgX0)FNk9E`PtKz=+ZfI1M|x)lYe=j48n z6$y9UI@))n6NOWx7jbTooq_#S7Tdy;zwlWLFJ7nTgw*2<(%0cFuG9jN>UGk3V0g~H za%1&VC>l*2T4i~15bBbf`Lye~tUN0%4IrWtE!W6*7L|%cDx3vw0*UGxw*L|CZ#T_~ zF7030N}jI=@6XNR3dmm_O}IfFBC%#AYcD!olK17~kW%Sha|@OLCQO`BV8KSkvu?i7 zxqB6daYk(WlxrTrB#`Y`jS@7^GD6D8d^5D?IhiyD7Y{HIRZlUirAdV>DAvnYU%Me* z$R8vjA%q_)BEgChtP#_wFCYMa=8f{MPd8Xl*@AJwE3?yBiY>O0Kmx5Syj~g^#On z`{Yh+Ag+PH7cI=~SqE&ppg1(yXGdH%hHkb6Njxtr3jA#smQa}`!=uyGCUIIvulOWU zLL)j)g?3}}s)m9eP(Oq4b%&MF>ES`uSCD>{V;OULx~(LkJW+^*iOq5cR~B@wVPnHf z;v(isEyP!i^{Njr-wF6tvR#kvT773c?%>!U*afPjblrtPAt53o{ZxL3dE~}!$JyLj zzzZsGq8R*T=lL?V317X*4dz6;uVeh7_>i01_urQ)6V?a9s9Vn}i}et#Oq8fYBl@Ue z3osxM^L|%Dlt7G&gho(xq(aIgr#&)TDqW)E$|j(r`pnEi%MaTQGh8DWYZi$dm`1r# zexS88ex2EXZs1qV)%>P1DYamK7ck`~ru+OK7t*)(iGX%p0001RI3tV18r>}8DrHQ$ z1P!ym+Pp^K=5w=+=d8Juy-9K&!LPoR*@7`EVO*gIM9p%^D#kJTwoyIR28vW^YP({a zKeGOiNTmDb2E3zs?l5;2C(d$t+Kd`1T?agE)?mL*D7s9-WJ|njeYzb^2!qNr!oU7D zSc=n9r7_?rdw^l(j7)>x)}j_Tcf+73IE24Gq9@FUlRb;#_o_Nof$|Tmw+~t>0^#wa zmLi=)O-4Ox;-PPd*)?{t|0$O%Fuk%X){FV7>FJcF6i{t%BodQamV^?QkiQ+r%_qOf zBFH2{5|7A7QJ(xNq|R*x(F)3OSI(r*Jp^^C?J7>LGI?sf=~t$!!=sfcsTOH=;+i_9 zX+wF81{1a21jjY%{_M^W9;@|M%;>y^c?FF-^2O-{4=AN{0^sRxmS3W?Z`Mgzz`9mt z#tw<)wU|J42^SnIjcu4;J|)NfNe#awq``u?)DqT@J7^^O!-Vh$Ilk&yGmZv}-K259 zHD*%LvPhR2EKbClK`X?1{8)Glqy+<(e6`Ok$Jxo?!N8J?6g+AK0<>>T`mx z@WC6hS|g04O9}qWr7S8bDzfe7{i|a^tTVpCQ4?!l%3;#}4VY-r&U4f_4LoZJYQL{o zFrLPc+7?fsp*)?CwX5Z53am9@at9f47JCh|8+k@UaHyJ7;x?dB{38#PUaO$nXT+}! z*`D|OujM9~NWuw|bA-ydp}e%c)o~}JlK4H;U5jywZXvC@^BPUGs>x(a0pxVUp?mJu#dPafxn>GW+3(WlC_0!oU7j z538X3)|gsa%T_AHqFuKJf_kK=?UgN8ZlLwteh5fcqFWIKkup! zDJ{1$C2D&19&c+bMBlkX%G^X-+Ptzvhe|P4yNAk=iblOWQq=hdgNyI$DiuQ`HtqP; zqV;l%u&*j`5QMH3Hrz;|-vBEtpi}a96aNnR0JcCtif7B{qI=R5PP_Gdh}h2gf6jG;+l}{KG%HgZb|{*aOIZ{4hr~gfJUf zX5ezIjZi5;dRoi&lCPw=XQ_Jk?a*y|YdyyNVglB4dXlM2W53@7HSTwJ>#!^%pQutP zocagd>>j_ar=DFB#_QWf)!Gk;Us+l|0e2wgG*Evg(!Hv@OD|j%okO@1)kY#w#jF zi2_gfio+9}b3GKjY}DH|HG!Abt&tr$mD?zDbQ8n+o(ye5Q4EGz2&7Vra<2jJ1;gz_ zvTPyEQ^R(}E|Vckk_tvNiePuC(k=j?WF#TxwZ8z8z#n}RorbUWcFLV+?%oFqN5v%X zonzi$V30h-(kDw5P)jN@I>Xac`{h4l#@mP9*pQxq!K#Mh*rWdIQzS625`VM!ZHquI z9aa%m^5+}d=ks`KiiD$ZDSn;li_0DI`3bB z7TQsfF&99)BS9Brv1E)6;z(%;nt+Pi2Xgevq(s78N_--=McEG62>ml820iOUl*UC8 zJf+kZo+(mf10fSV(kpVgo448IiBWC+iLZ39iCO2kP`tD`!6(rnNV;<8+0$aSeCwP- z4h6%3vs3P=WwcC*Fc(X9c1EkL&_>y=$wf356}KSofj&y(akZe`a;IUl%cMqH(+S~M z;YFW^AE8LUTWP9x!yvA{WQXZ31&9vQ^PV|-As&aRiyY8TdsNg)YkcA5W~lJ@(wPQs zIC7Y{S*?d5>W5x6*I?O-w>mX(%AppuSoC8H9`{ln`RGBT3Gcaeh%D@~<-g+ijKCayF%qg>u z0!AE(cqg#XF_Yo1WnyokoApNSoLq?B+o6>3q4l9JsLGqNPsygcbqdSr$7YdY!We^%6QTT8t)#MX0kjp zTkmmjs~@N2DG`iZLs$c>z8ILn#Itru-mgq3E+2x67W6hKZ*@}aI!GK8zCU~?KA+?) ze)(mGiJ@vEuF%qKr9px1hgCn;NEh$ zyRZ#n>E2F&o(qp;0q_rKx{7-6B!-+f18P|br*9RQlp5xw8AZbC6%rHPCX(I*m*|+@ zouu#Kc5-Dk&hVM`_^MzYX<5Dt_^$?A;CQ7d@b`7+8`?E_){E8w&9HCHXi~g2Vaa<+ zm;zMYyFPW7fLQLoST=YgMd$22x3}NGl~3ob${+#hOqLU0-WwccPcn;q+<*a_7=K~L z#7wE+Z9YGJ#|o^X3#zj0pwhJyibRQOa2U4%)V~Nlz@$_{Rf&|?A50gB|9p}%f;J5G z(l^|=4xvv#JPO;_V2VC<6iPBkb5}!aGb$e!C-PoN3XuBsp%LzLZV`A<)LPTc61A8w zf!|?P_k?s&8Kut-%GRANkcC^`T&zUAPA8B~YPb-Jz>H^v#i-g1Q2*iwSuZVd9qbJ< znEYcN%{sK-RK4Enx%Y-=(D;br4n=u~c$Z+8f{6IIVW_-6)#Mv0M*Xv!-3O9Bfc5R} zzYU7BF#VI6`8STe|0Q7LVET`oPwX75zXAlXngNLUP?^;)t4%-Hn6cX-(D@?Ly3w}I zySHOh9TxyFs8xS3kc&Pb1gJP9f%1r%i0k2lwOvLBWOScFn8})I8~OGb#|OrnbGTAz`L=ZPYLpRwRk&lRI|5k zSUtM7ulp!nr=p{G)}7VJ+l-5ms;w({s}iQRkfbEqb~}U~>-c#1{d%&fO6|2?S=spO z*5K63kF6gs_N`tDGkJPlUEdo0rUT6c`7lga6px&>ycJ(BFRsr5$WB$kwX7ke7W9JD zPK2Q*_w^)FSeLPy+w*AY>zdZRhu_#ZW-*zjYkRVpo46P*r`w`HEnkx@ zya2I@iCQ8YT=b2yXo<-nT4poVj}$S6z!~CZ5qhF(_*24_8POfIshYLKk2Q>^5=n6a zgII{8O(uWXnGTF;*i0Jz!@v0C{SW`5*WdodhJW}M%(~ ztp%_@=uh7l`qK#L_!s&kq894kIPeGkQGm^lSV+Q(xqME-U!48lRk+h4Yl;dxU%9UaIIB zi@Ljc01QX2Db?>F@ir1Qtl}V$u=SYIP&VlNF0jAJpV&zgs)H?P=YUcz%7D_U5Ds_{ z_j1RXDV?&UMj;>3ZAubGA<%6>Xr#EL{>s*TDI`Sua0etH1oHhEc%Y3Tfx{P0O0Wh@ z!@lfce^rf+77m6{tnv%oAeczJ1qre~7$8W0Q4A)66awGuV>*BZnT&KfT3hf!114lv z80TAz@p%j3MGn4c@vHe+(0NAOSEv*I2GqjjEZ_pVrQisK6IF8Z!?n_zz`mNqU86Sf zXdS*9NL3=u;kL>C{WYmC=aBvRa77q!xU!K=ihajA?-E-iHapA5g-_q&`Z^D*<6r1c z!yoh~h|A(sfyz=-{Pw_E0owy}&sB}(aF?@}vJqj}+R?Reu3;1B^E=q_=Sm;qETfA6 zx{?pl?2tpF!6ye7MLW!#Am=6{MzqYadVfx8fT`Qax!d+nax&KULme=+E#!(4Wvh=#R`l(H{yuTN98%PRxZ7j;RC0&dKB5GR(l2 zbe7F;NxaY7rlDS1v&u+g*xM+I5-B6&6o>mC8YU?Qn}6UkC+kFz?y z{B=E2*kZmLj1@t5nEaOdS#P4e<5=0ZWDe@!*n(&iE5YM{udF#4?ppZ-wPtNC-6t3G znVX@XO5@Q?@p#zGNniZ=7eK(%tW`YtnV8#w^;o&^MEQsP;rTE2C-#f|dHTctWdD=> zfsJlTYcG>c4v(!45zd3%?TQXwR8AEz*isBe+=CV}=qqYUrc6vn@P)-SP$YB7QUT}O zK=ek3W6R=)PMq%YBVuS++EwnIqEkf@v#&mrs6t)T-I}D3-;WkmY>gMPY}DMEIkv2S z@e$iYAWob<^L%ps@D!Xsq5ookMh05{Vt?GK^vv%6)-S4J|6zZ6Q|y$lhTd!t*Nczy zTA^1G%ijmmm%qB`9)d`{o3s#5zaNE+rc+C-AQp(oe^H4)y;!im{(LMkeAoSVySqm{ zH;(J`+1A~@;j>Ejqp^l1d9?+sP96|urY81@rnE$_mhOM;?|y5SaQ|+9FNTHWBfhCm zO^uU?{=qo%cNhH}l-1(C4!ZLEzSGNBu`V271iajLm5wI)Lm*i9xxrtI^3~|=1tfZL zp4SQnEN{?_{1ztNX3GC93-l4nDG}Q|twx|)t~eHLvSJfA>!13?PSG#@q7&6$`o;FY z^ov>_`v%`v(&}{Qv*EV*Ah8xb9pSgYppubiYW!D-86QpoIr3>c8>bHqqk9XktOR}L zyQaMP5)G@86Rrqj0dZZ4aJ}E}D#JS1JqHc9*72giLx1(juf|oke-7B?L6CYAs_N8p zy6zqw_!1}a=Mi9RcstVpUdhH~eO-Hy4vffQw>&t>q@%9#-cfK0P@OE4L#$jTY|VbZ zbBLx#Q(brovrL z5e?kBLI*kV;gCc>B^MS(o3egNNPgK?Iw{^kTsliZqdkq>^LwiN1n<3hUb8wh4if}k z!0u9dkW}56e))FAR0eIe56@HmzJuKP{s^vQ5-(+IT)nEVt}L%sqEf=Gb9Q=ZKJPZD z`l7C1t;L99;J?2o5wR-$l8ZG;iJR=Avh`umolk*NoiE09IJbxY8${x@6@3*!$u@_Vk25!)Ei%#rFTBFBz+sck?UT})eU!sy?l z=3N6Kr*n(=y*xx-9(6Z57T|ZOIW#{GqgS7ae}dV)>o-hviQV!iUqEi+2S`gh&=X*$ zYfiU=f0Obr0Pa_2pr65oUuUQUUC7^do}lkq4IFc)ErwciqRkpVxh-vfH4ik6ydU|f z+->j&4HSOPO%ALu@8A;U3smg8zEu20_|^u}hKk%2=J zX755>Cz1R^I!FKw6eFu%pZ7LycJ~VszOhgUjtac198=Vp50dAJUeQ91Dy0su38FD@ z@!$$W9z0==!sGH%b{2Q2*HQFz$dT(hiJ3xq2P;~brLhG7`q|5nLw-N5d-IzfC2jWg zC!>Fd00vomdmaVO3E?6@)rL$W0_IOyOG}$B$`F^6LQ`3o&#bLy;_k?B6izmotNx&? zzVWn#dwvfcBi_JsYhmlkLvm8Zmf8Ipwl}cIl(IApd7}s-7FIi}Ts&ytGN223`^bq( zMG(pr3fmr7P(&;S)6(UB#yEg>@=d7T7!z98{Uu0a4h$sqNthI@Y-Q#gVhe3)N}H6N zFG2#B?U%7OSrhW;iYxtjNnsXR4$ zYctZgaNB?lP0I*54a3K#If*}N_X=4R?m#35TxYxyJ?g~Zay}%gtOf2hF6xAtTIpBr zIT8v!M&p5uMCj8ZF#vN7t?K$$9j*Eb95W;~TV522ie zP7pd)cHaF8$vo%$`6en$%$0brw&&2-(bpBomRjKFhBs9QZjIa*9@HBbbRLx3TTdN4k<;|88n**HCCavRChV+hF2s0!g>*UN z-5?lQXQ2J=>bXv4A&%b{hwiB?DO;(<)p>Rbby%i^gys08K?9PTSjpmovCi=NX}cIk z<*}L~kx$_>yTE5>EX>jwu>4T(gZoZV{5BbTmJ+uQ)H^_`yO6se$uRqblGBY$L4COy zCPNs#Nji@skU1*)milM}rKF=&t#E+dKa!|(L4$O)X_}jdgxx|c7_aQ) zT}M}vddVepJB*ajSNSaM&th{D8bU z%y6F`_O@!1ZkZZx9ZGCL+HL$LmzNk((6l4zkPdkT!-zh+(~?y1exR`D9>b$k2EnTKeZ8Lsr_91%2rD4SA;?8W_M zqV&u!wOS9H!fpspFc|#TD&GzGv~FNg+I)8>@6^hPI)4xXyN%r)Dz&roBY(prc@OLEZ34JOzH?^qgjx`}#O}{G(#y0M* zz(>8bmT#(h;GE<8CM&XfP&+Qw!c!p(SZVtfU|#7}#|&gD9Ys7;rq)ubZNy3#WX2al zHnro7CW;*Tv(!hTu4+LEj2Q}#;|H~ylK$kqr3?97@*gV-^oNcsk?7|~ToYak zk$V}AT;J+cq4@-#aVycEEF4jqH^*>?0atITcY8Q-tLF!xVnctLS|gZmi{^&s0B zhq!BB4CHMs`PtjZ)R&c@X|;0;SA#hehRHR2U3rY%8A&ixh80&aI=X=AEr`HAyX2VQGrRW{Zlgf2yOJQr z5jm!HTf8|&I(Y$(@k#ZQ)%j+m&^8%=a2uS>=a`EUGJK@#zGY%SxS_EAn$4iN(Kxgf zrbj+}DkB1_2N32+9)+KGT1=v-~)Mh%|C%d%V4!g6I6iR5BWHAgkz-ZilG0tyI# z(TavRH<*@w(7hA&fHaw&K#{libpFA&e$?Jje^v2mX@m#Br;cgfkdH#g`0yqudI9PI zI47RTP`9bZUoR0?D#5lV0xYNhnM{(*(#r*Yb{yGasTehH=e^G1z`V^OGZO=z{06KE*hzyo2r| zQn#fjCxcV(9>QIt)7v7&73$#%<#ctoj@g68d-M)#ef5)1nd!pEs6Eq{ z-Y{XSpmhYz$#w*!1&>ero>HaD$2~^_O{Y9__J^&!UcyOZVL3>oU{BY@n>ly9SG-3X za`m{lOQ0(3lZ%%VANqXj)4?7bBAfZr9@vx`v|-KC6Xw#(r?o69X1tMNbMFb3e@T^YZ?@mT9Q}7SY zx0Zv?!-|fOY#n9Efgnmvhh4SSh})z$Cs8dgC5*_&kf8wa8&CM|sCxaNCSy@dD_1nD zma2yP;P4k)+bf3`{<|t;@D_GG-qaWKvBh|9VFltTP!PK`MX;$2ii){ zYsWG!;xC(03?4?JVpg_4L_MXM1jyJ&`v5GHP#(%{@EH1IPL5MC=a`Zb1GsiMXURJ(RRPO4*nr*>JMZr-@^3X0p-foiCB4hhgf4s&+XOgj z-VGv~N_mgIrbm`yfX%bmb+{oFY{U>EFEpH_K{0Ad88sP2g8V+^32a6eNLNnJi_-M9 z!fFz2%T%!wJQDlNxP49x*x}g}v#1&>ju};-mW{TG+RWmIL%K@gmg|6b&xrg4O6vs6g#uOdmq%5wfxps8!9yN64$<|?z$pO zR{u?3C318@Jm(}`*I-S!M6@yAT4h$3iojQZlvr2j<=!c~Z1B9!em7YNhog*$Lk%e^ zc-%|RR85UPnkC86pnB`_8+UtdoM%dWTtzf`%%ZX}QCVlpw7D~2BV{JFdDpR?@aXwh zpxYe33+eqCVQR9~xUhCW%w@>Dip6g_Y(!~^xR$gDG4Z4;vVzX{@mtUqT}dSnVlEj^ zd?5y#xI`C{-Jos$Sy-S!Cr{nlDg9AdVo zul*4$@DtgCyD+(VB__!)NQ&WC7~B^a79xHwh$$-wa7*QcWw~?#ku|N4>Lq@)$b2~Iui^+p&6DG+K~)KL)0&a+OK6* zi&A6lB}_2oVKn=cQDxIczvRT3>Y5IlVChzx@WdJ85dN@J=~&CjqsX!Gk}_gDVN~dx zW9FnxM63q^1&yPRX3T(0eKhh9>jd{bC(Qh&){kTB&EgT-tW542YP+W&L)+;iSGrV` zQ=5WK$)dO)v?F47U|ET+psXUW@~O;;%KjLi?I>F_^yT&NG*jBAiwhH+BxtN08Xd5p z5ou`lU}+JpFv`1xxEgiPZ;BeC3gY2kb?L|xKMgLuU|bE>I77&yBFG=lg0s^M_VI)p zUDK94F)djZl1OxJjtrMQ6^~jj*FDMh+_*YY?sy;XPR2sepKOV2l0FkyCvqf+NCcW$ zOg*6=w?=J8bz^8;O;Vaq{PUH9D?;?u@4j)~GSMxz`e9YN6qT5Ch}St+BFpn)DfO$$F6 zGH@Ox8Kh8$!0TwOzR4lwyVa4*B^Jh(XNVn70Nd@e%JRMpWrigTCA|hPW?fBlpO7}6 z38)2~wh#S8$|sAIJ61}8}%n45$6?dUpgfz-}uLs77M9kxE6~Ry*U2cY;Ku? z?V}n>@&sKm33)ofx-bF$+~~PgjF8e4ntpvhg?jY7 z=rTKkzVVITmN}zK!6P%*oCRScS7jo&xsBNlg@lbP1*zQ2a@E-_E7mk3e)Y@9Qx7@f zycL910LqAH)MFbGRJN#o@}l|x#av1YC*Zy7RsHib*$c=l`DWMPEb!Chs&6vj=oulE z4o176h=-7i4sFur)+}M!A?jUbozL0Yn!98}nIWnRyqjxovicJ2#^5>iMklSKQcBei zzvLdeAmQ;CV-Vn*ms5dNu2@`ijP;Tti>1(=)a8yD&J=8gWwNgBYHPa_2iLQ`^8w`V z3;0e)sZ)o$U0s9L!GcOy1Uh4zf^I8cHeokd_2G#E_*N$tU1AEd?GpiM>@I(Gnq#dH zWqCb0Y!D)2!+4NycoH$()(BhXyxgTuT4t=ISltCQk)N*AQ`$gCqzufk-D3_7nTzvy zm23HUo7tqB!8dR0Bbx&F#)>Ja1%Upj8*Wx!+oORLY@Fuuf@%c6ZY>C-x^b%E->x4) z12*Yw8KjqXd8~G4_9iGO0b#F+t7QLKB$ytr&79s{RMRYCe_%hI*ff_T1-fjYgJOt*o5lHVn%b)e^XYsQ}!Z8zRBt2G^%!ByV& zrltd7<4eMOO~>9A{-}dB>Xi4#v6#0QvLsnI2os4#!m250az_ki%g!tlqKU}p={hHn z+nr;_@6LU_z0RKEz8~vd);e`KIR;vjbF2ox`D2^uM+f$vV6&FV$j#Rcm~Lub+P+g- z_(b|j<9my~+xU4rAgAjf9@<}-wRL$2Z}uEY|JYq(g?+DAwY@JzsD2O8Tx+sf-AIm& z>)oW!^Wn?BYXoN@=+)mxR|&Jz!BA$lq^6XkO^kYaNSMUI1~qDg(!Nz9or%sjDrkbnbugo{kv7@&A*6Uw3 z+D(U|XZ!jcIp_F`<5)=0Kk@b+^IK)pTUsAn@5xVXm@=I=T3uRehsm9@5FY#WR2YR$ zxQg$iU?dtFftDGtH-4oLw8rKp*o%Xn2lM3=U~d8|W^LD1AY9&!r*ETv&H6;-E6ECp z-YbVedyv1NDr$T;9TCa>8FPSAN` zipkD=_8nz4)bHCY1LxC4i)z&1Gzz(|y{ESAHi3?wS1}zhXj7^%z;*)eSBJJKH+Zj% z;h*!GYdi3U4k|9k5#bk*xOo}_M}jUpi|oAB;8`rrd|#9A&4yJnc@6sZGZEASAP2~9uB@Sg(4hkiC{V{QHdr?_R z=`FkgTWXJzk*{B@!6@RzdLvA&t90?)!Z|IHkCKxeox3V&C zE};pguY8jWY+lp%(gjZjQmD&QtU@78aCdwV#EX9YATEM()KCOBcwS+tM*t+#4x33u zNp&*?R`wo6&7iA0r?PZn*VR_Y!wU^Q;a@C_*%&ziM;l%n$~>AEU( zBDGxG2obBHCzRM2OF$~>N+ow+LSSebhmJM0?J7z?0fk}XU;n&_E&K?2Z#c2Q2=Bj{ zu4_vWCYnz;w$eZC3l6SKjHx&R!;nC)jP#4UY`p$qAzCpwy!?vFYQ2G#@kO zRaRE9!4$bs6|_#2bUCL#LWH;eCk`%eIE+P36!MFtZb6tjix1(J{6sv6BgBl)~Y{#dsbxOOxG^Pf)$kkOMT+04{SXe|^!t&e zB|7>DMy`MJ+o-m_rjxFKXsBu+@|~1(s^=PF{RFZly#%UE;*cU7^&7PcAr2rJ+mP?s zJm77Cu*!uAlMJbRfi1*d>G(xO0FJ)Sp;(#zQ>XtH3GrZRX`*>mYwkt1&{B(&cD)XX zF08la5#(0nKq({&smOIpUXKhMT0*+kSA_0NTc?57$jSvw0cr>*TB*o zRD=7}U619tLF}E1(i`2^E&EUZGr=u@fYBB=2OAXq@TE$vwFE0xX~2M^S3PqyXrL0J7z; zM~3liX!8|xZbin-{I_rLUxLp6ba4HViTw`;*WXgE|M&U4zahN8n#li)&-)wQ`_FtH z$A7kS{rMdK@5|wDKJP1#%<;bfy)Qi%JJLt3UVofsey3(nk^n*u_zPVYdG#uwlm!pGoZ+DM&P3zfE)X33_ z-QsUUk7vbh9US}}AH@?oS4Im|n=5Zu$=~svI4aRTCrwK%*B1+&m-zVXmbcGSXNFF7 zXwtr3jh=o!o$IhbrQKXc0)eA0AOpR?BJ&r-=AbgpIDwySbK zG`loUiprtQ{Pes~&-m-TFm&VwBGeWcQOz8JjXLmCbyhDwo(a;*c?_ zhflIJs(glB$w)Kx9l!n@%mllUWR^AaflY#H%!DQw%ukOCW`~dLc)D`FMts1{CI_R* zCe>tGnpftTpS8H9X=K5=&UdTgk<1D$&uEz#4#4Ex^}Xn3@G(`n;D!Vq zb;>pI1;Gk_HImhtnV6;yamk>URDUw;&Yj7CGp0@axlI^t@$Xnv&8paQ4 zq%>gvX7uXJz8F1OiO%ZmId$^Qr9HN>6xM0pr=ICG9=;qO!=lqIec~pmc9Mg%jF5ntv7$89lL?evZxmn*Z%W8{|@2O;R3miv`#|@HR zSPQn8XaUPC+lHBhk@y(u-(x*;MV4V7CaiV#2g_aqT4s^1(#6qYZPOp)t$yP2UlMdM zfMg?114wQ_Ujni?ypy2MxdPAxTli<_Hb%Jv(p+?g8;FzlS~Vg}{Djn=V+PmovsSB#Q8lv_|BGn3#pn$CT2;0ZCrRe#p8Goj*tA&TQq5=I>P@&peVOxDKS9J(;;n!4a{!cAJ(Khar~ z7Xx$uru2v=F#m(lKSghoj_C#DZ1-Y^UI9&;`#2J{^0ze)ZCVFxJ z@?z#%gxgUrtas><$id=MDEQ^R_Xv-AEaa$U-{&%1Hfa!lSl}no=}C%dLVE^Wt~M{Eteq#ov`=)2~YMqYSCv4Mf_ZP`7jZ z?$^=B;;boN@(KXKgsdt}=0ZZd3~3j4!1RJMF{#4JUzOw_D)!Aym7HqCGpIXN!U#mF z8#qk_k8Bv#E>M-kaDU3D0Q2ZhYWwsrlxR1i@tilR6kC z3Qrl@3;<>b5&$%PiMVfpzv_A20MkqF2c0eLxe;KCa?13fs-uUyaXz%0^Q6i{qG*;!G$LLUEx$@RlV7!W9%2xI=LZf)^ADNCz>n-F^ck2Uj6mHq z#R4-3#(KQK}YcCzeP@+Yr zVjxTl7lUy+B(mcw@NQ2*3_muO!T-S$Cw{?{)sy?FfZPOE7|9say z1@p`%_+8c`pD2zqHC;!emQy%N#?=QC)Rg8+&}BjI-41?+AzrHcN6_Wnt{>Rit_>y1 zv;*a(jEr5V0j4JQwZ}lv$Mx= zEGO{54LWuMwgN}0A5ypn&YQp zKO;B_&NKvaG?*P~k!WRgQncy5f_=8jm1KW^D2E10;TnbaVjsHZK;6knCN^QyemzTx zNlW$e`@b5x;Qtu9w7(2p-xqC%Bd}ii_zy~7A5|>xN4aU#wPeaOd{|%n_`gtk zK8$A?OHZ6%l->)&A4)Ho?HdmswT&0^11MWqS6+G(y~FO@S0tI6XpL3S zS0veqY5zdrPb9enf_MNuc)(xWf>N1;k?`$|+CY(Oc`7mp=K`WOG)VHLF0eTBnI1%} z1P3p#jW-1XyQQmtC76$r;+?Cd{7<730?6F4?+&eZb>1D?Q{NbJ=aSB z#9w+YS)=?1FQNxedT8_H2L56Cr@nC9twz`W-J~{8q!IrNxwz3@i*mK}ByIUlYY@X` zau>6HZLinWYEy=)e(fz$c8Fdp&8LV7pNOxi0{AJ}I`IhW_95)m^T#pxGL{m5YQ`6d zgv|mqlW+a~Dv&9Gmp{qxCgpylX+D*lhl&{QN*x@^U8D95hOY-Y94x-D9MDM1u z8;7t+RZhU^${#$qbkm}daXut4&L0?yPQ$&NXGaTm`jp1!uTrP*)zO79Ur}vD#yXb5f78oaG2Ai#=Ek*Mi;q@Q7s2nP z7Fy(sM;ApM9*w@8EU@_RxHQ)-}kZ+O{LAHpcaMPaWG- zw4jI0c;Uu0?RC+)xU>SN5O``anKRR>re5Bgi0g#jxHAPo3V2;>H$k&LtkAtX>(f^X z_+o)`k$5A;fnQcMBRgzbH4JeE$ILEk3gO|4sch1}B&J%f43y0@W%b*e#6qto3qU5S zOY5jHQYv-H4Q0eN}5K5E{70l-|2D+ICn|Y2f)? z?EpQqa@=Y#0{LV&H%OC@(#z){H4^-O!9A7Lc4L=AkcXsz8O6skz@MSZ13Xvk)~< zwvX3A9t0xjkdPCrK}7ZpoaQR1msSRwHg-6rQnf&LtV$4B_+5c`Y)f(60F}Jco(<$R zL)A~>u4_DdthingP9YVJgUP$Bsawzf>XrsOO&DN8$FWZy6L4+qrbSQuXVssoXQ>-M zv5J8ZcbiEugC6HLNSCkj7uK-bGi5rI8j5f%E-8<>_h$WV=a6y8-jVyLiHUHpC$zo#!5eqHP-doH0Lv=5}=^*pJ*A#{az{d_FHT{!wg^~I6Fz+Xnom-!~=P$Sd?`t(I+Qu89cL#0y~lu% z9}cKAnOGs(9TC{zg+sk}U`KU39e1_#S=!UX<}A$^A+JiK50M=JS{Gg*q``WYOrZz6=Z1=`cPTv|IU+#vadyqq;W0IY{? zOIq^EJ5g4b9kvA-Vo^`J!D`Ag@i49&NX?2&XD-rD-SSGE*!I$eKy_oD{2Bnak8!=5 z*jAAo4I7qJVZ*=O){)Xurz0b!aIvVks=bsH*Kq!uaWM;Q$h-J!ZsBt8hJ5is5oKC{ zu$A`tJag8l<|csEhv6HF2DNNEm~DAUp%WFL^3Sw}x)^!Cj1?R7@`${GVYm3ev;M4( zNSpK(Uz!8;Hl4)7#sOT`9V16Hf;cuFVa(voI+uVu=(& z3m8g!mu)rrp(zwT+j#W*j_>a^jAsF6gN*`}Jp(PI%?0ZRs@kD2rVv4ORbwk5SE4X8 zpxYJCD)y<`1@Pv=`8sI}C>|MLU{fV!^*@6dR^FKpsuWf-AcjJwY*c^4JRj z=s-@>cuhvS`DltHk9$NLd7`f@Mk0M{;pA+=W-0yQ`qS9BViSZ^FOTM2eXRBF0K%|o zyrxcvQYYI@tgrCprER;~Zsox8^1M2g>-A)>E<|&yKLSwN$LupOQ_ZbvF3|`xo_PN_ zx!wT4(em2f_~3Tv2gja5wHw?BA^Le)+$tmhA%39phi4jw@9>pP5;Kt>MVHL@#F^V}l`|HtY8Y%tZ7HmDKH5 zfcWQ2s51v!W58? z&TqP#EAzB%oxtR>ZKvVIrR&*yar|AhFIxpMkm1)G=+%ob;-f2*XHrXM( zcn~WTQwtJqy86kl1fS6=QmpX&?3ITIVQR`YTNpxOtiP2fg%S3p+wszvh_7S6AP_m> z*t7CQvh=F^+;eRrmL!d-Yq*7fQEHi)YAHz; zPK09es)l*WY^#F|Fpy7UUmS8}xX>zK8o_1qyeIX6P5@0N>OlMI}<8F2x z3mcTXq7kJM0RL*qc-MO;#cN6{i8bwmi33d*W<|Aqo;mZF(%acw`@^dFBf6_?^R9$s z2BOkJ5$h(?hd2UhkI)ZofJ7f|~l3{}Tnjm;Q3JznO z14NhWH_h9?ziXAGB3)?TzO2(*bt;_15soB|&8HAe%gah;35h+HIiXM*n*IB*}uh97%?P=GI?m~1w8HS;)SN$_eX9X`&p zIyUeHxyk&A*M}4s^jbsILX&fs(56>I>IQEBHvSV%AzJ1AvvX)^Sqmf|Wo?&%Az;QC zeBrUvns5&@k?c5v*N%Ja%CL$U`fZUkC)jsWi_Nm@5LUmN7L*`f_PGP4 z>DX+dUr?nW>b?PH*wI^}>uCR0PBKj+#VP~e=mU)lR;;TuTupMY6|55~)dzR;+88{E zsO&l%GjieQ0pnpuM8gqj6p-xjn(Uxmj}3qSq5D_I&Y`VMx_$Is@Z&^LTW5{b)P zGeG7UJTFzj{Vq~Y7nCa@Z#2Rlk@vgW9-$fupi$lsP^{=SnpdkRQY7_5ZS>?|BFRa7 z8#`VP%+?M9)B+t^9c-jp(GOPhqY4t(8jbxI<1>-OKCDtWX2HZme!19h>thL#M-a(M zpOYZO$j6T6&`p&BQ$1lMAE^aG$gLb?jyi@zX}^NDHmGai167R{4%VK);coi+)>>nU zI0xn6TDFFI&+ss*D+4iS#XnF%AM1yn5&LgQhy!Ky(eyF6JG=PJ`bfbhwm8e7JEclv zw(P?vLh_7f67&w{FM2}h7P&K>?@oew!v%j&RMPG1MR2%aVn)QuANv@ zJu89Ksskhz9QhsFB#E%P%^a%21NHvuv%Ichn=O&}t*6%zkJq2mRDNa%z~ctWN@Uc~ zW)+Cd9~Of}G9r*t$|@q^@mkqTZ-H1V5s{o8>Ex`ovi2o*EELAzvFGPGv60Y1Yy8i1 zlb1>Dy9RFrW@@gUiI_Z_%7UoP)yag6d+D)X4ncT7#zFOH?SagiXH6z_n-T36nFq|w z{geuT8`%no74?4#g(U}}p=lcp*5zn(-a$)yv{Q}g`}FXH0}1#no}^ZBlSVD9 zew(Wke28pK=cYv3C~X8HV&}p0{=}*ZuBqZ@E2&v@H9-=BlQP~8Gs_Lxwv}VoV|!g1 zZcI>N^K^Ky)vc~Iz%9q6s;oS>R@AP0>h0p-tOqUrKjhtGaAl36w(HnVI<`ADI<{@w zwv&$2v2EM7jgD=*V<%tweHZs$d#!I*om1z>smh;OH8L}1QgcT4xE{N2da0h=Lyp7D z%-x9TL*IM69mUBJgMV>Djl)^EF_apynm%}8yJUU$DZBpZvU#bBscI_?ZNG6@ndp&a zkDPDGW+cuy_rqCsT`eL-L}ODzBW1LTM64@ne33Xh+s$~6DoX-L?kJ}PkF~gbd-QoC zEjujq3i~#%<;6p} zNWl4NY0U(=RotyQ1nUrSw@!y}@fo|BuLp`86J>wz5ya#@x}204Gu4iN(!wJGBiFEo zM+={Waf!NPHfI9+^z2aJuLAoL3wD`?^rnyzf5A0dI!HL_3?6I;{QHI#$^bHR=4Rad z=Z4KEXR2=w%`qTK_a~wW>-T@8%~@4 zE@9g~fR~0hf)5VzAEut-Z%4xv{&)U;!4wT09Q7?{EC)`&sbAnQKboZUz}aS?hhfOd z1Pc^XT7uT{30BQ0MR zFsh}4@cq*R*iBe zE^Vlvd5rLgRLJp5bR^D*M7hM3{@|l*&qBQ#%BTZ=IwNJ)6*wi9>xwFlE2fg3YAFU} zhSC|s>7+#Vt>zmrX46vNrgI7i5xBeuz~3<)ke(P0l^H#6&m{I}jP(V3YIdn%E9&xN zrbf_64+|?Tr}kjzA!efFFK$|;EfOR#9uvNCx_WY?Fr2R)o`FhLNbGV1D~;?R-)5Mt zA>YQzG`|u1dygNWmipfHL38 z|BE{E>QXyu8w~l_Em)UpLgN0g+;AFQ9fY7+TTOB)$W~5NU&Bo#rsUW0OR4sV09QOS z=y52%#K^3ltfh`9pb)$$j2=X4@LW)~wJjf65n-9x?W{VI2BqbK=-da%^(Fqt@c}cO z&tbxT%dlwGmqmTR#0`8kGtb1vrh)<1I|;8&CHU>HKhP+&aqVi}N5mm?OkX1>J+kwe zH?qsQcfSEY1V$~BE(kU09KY{|6K~yuZbVAS`$2f0F{+BXY72z>yFq31bca0SFZ|g2 z`T6s%B+$o~ODGPQ^$@@ec?-to&nt~37q%19hb;n5;SMpaK!Y|!pSf78fiKJ3mc$RU zEB0a)mMmJl%36usQ)At9U>78zixS=Ri(6ZxB-bFsw{}MLL`!L_?2Hha&UXkf&lBwk z7L13XCGCCM1Hh}#<(6-nduDg4yHez1b^Fy9d#@BhfTzj66=Jzx`eh4H`JRsliNq1> z@sE@a#H^$>cKph12;h`qpEE0Cg1MOHvk;BhNu$wy>-DMK6`)+PAveIxQ5CR zu90bGQxUaEFUUeIy4tn&p9(974wE(c+cpU-=Y{(DY6z0uI{2wq&#v`!>rTu2vWT=B z=Sqk7oul=3Rjs~n2#@;RT^ZD&r}OVgXk=uK0Q~F|6F2R(4ny=q{in>Zi-;%&-E=(e zL0Mjob&I5ad1_3p%q-XhCnFj$z+JmJyh-RQS(Lvn%v&Bt z((v8-Ml5=Rkl|{&t-x%d0G~>mbI1Kk=Wx-wVfCo(zyT00 z$2ciLeLT2of|`or@P4V@b@AoCe>5Quczm5Ess^(6>b?%rb6A7cR(H=0TPGC7Jq?kb z&s3*8tRa+9I)cA59C&Scw>K$l69wO}EV(IeG1el7stBv8K<(g2t&r`$h8`|VlCgGr4{F2AkltUI(Hl`i*+?^*&Wjo22_v&h`sc1$(~ z?3*-2sQJqFph#Z5a}2WvLKAC^$7QVJ<7cCh=*T( zO=4o>N2%oP7Qptl-^Na?BK8Z<4(DD7`%?kfn}Vv|nZ030hgBRjpthoqRn#~kAUDHn z;rG`T`RF)VevRq&0W)^V7=VE8MEKrM*;u46xXrDa1U+4cd}_ufw&I^uC_5e7`qFNR zk?@tzsiwM~Actl^RiN~OL=4MPs?6xd=9%b>h(~C}oj^Me%}UDbtjg?OR#9RzeO9e# z6f?TiLdv~164gGldJvA=d*G_GGL%&ZU4%8A3E}&8O38o+x}5`TuwFkUbMqtyZSb6V z{{j=t;CV;Ii=&J$`nnKThF(A0ox#ZQyhV5;ykoEOPmP2gKy$=sf-CKbZ}q#kw(ly> z&!CFFfW^ppY=@9=5dJ*VD)Cwg+>Rt2JPQ=Q`G|4iQ%+9~^79_OCNct@_l(f6>`MWe zz_jWdr>rAd>;BDSiWQV^A1O*FKDo-m5o;#R5+mm&>QC_=cbsR_a#s;-k{FQFtpPezRQ(DYRQq?%(7#9l8M z;=I~O@`Hom{x+|^Nukh;EW>a`CE98Lk>I)0DerH041*dLuF>VFG4DYA!EB?8Mp5`N z$a+$V1K)qyrCoWsChJIw359GnkrEhi@(n%Iq%d?EK0m_GTzaU%FM1*y<72QM|735r z5`{J`)7yCY8P^R0(@D6OLkm)n*F@NAMKbOhSeTF!_&dnzcJS0dbLsYXPsoR!eQ*_< z84r1*>7NuKLW&nt;?qbjffN!klerB-9JR5W64&Qt8A?CJTA7 zE1eil*EVEbukNvNpjvOD5~r9zD73CXkD)$l{KeI4IN*wR_SBu7fN86X!o{=T3dMrdrdhhaQA==Oug7Q9Q3R=jCwB-=}o?NC^ z#|9e{riUJ(VqKkD1g8uF!XxYN#vo)Pn*k#vVEtYiJHsV{Wv>L^Jm^nkV zlS^#>of4DTy7+@mho&Q9l^46~LE#rV;17KHTHGO^^PKK`Kt~%j-5Lu@v6{v+p+(W_ za}MlD7@b;6aQ0inQ@$AN$=8FAhd|JYK{{WbGX=9K?w;d3}%n0y7 zKC5_;1w2p;xSePEKl#iXdQ7q%Iel>Fi_)?U?R6dJv3voIbWfn!2u?fV#=k#&RF7Ce z9~+PouXK*7#t3uif{MKPuV2YGo!@@>)K6EMiXdYkIsy;|5rH&zOvq*%m6Lmxd(mC* zVYPitZ2J?YIJ?4^8!7i)eQrv~ZO2BP3VkXz%`&{sHJi%49^@a3uDGO+HHbsqoiTHaNNHJ=S!c+Ku*PX@1iGM#jEjbBd zvN}wWk+LPLh)J&}=aSWP42K)&;DCzXblyZ$*c;4Er*hggsB^GcW-N%^BC<-Rd^xjO z9!K1KQAbQB0h17KOy0&W9Y=X6rG-b@45y+YQf5is*kg?NpTwSHy(7UXv{}?bVU`4Z z*R_9O2j*->-O{zUi1}Bg)y_U!-lAcZT;#{J{$f4srL`hG$;9JrTRH<@m!ZgTA4ArP z5=ufFXk(eLWQvehNyV0nJ%RAu3>Dt?vAV$~Q<;LRaD;OFe5r^`IvWTI2jL2$Tw~Eb zBf$0FB@IZFwp}5w#lL`7cfscX{B_!B?cWHH~-)Az#LstsWuX-ehsf zVG&ur&)qn#VidK`AybSj(NCEb!`*!{!G&;-s;s)>+W^BrVQu+{m$-$uVT_J{|0taVxO$echOa0~9MtkCOln(en7h=7-s|w;+^;#$B^5`bE0Q z1>nV3mJc|D{uGEYJjiNYD&Dy&v@#lliGpYdIU+x@hT!LxWM|k`*_v;%82vn)-c(MC z*&WlsU;`1!t04C57vy+9Mmx=;Q{?w{HX?pxk&39pWQ#8u7HWmql6a6M;DeJJqx9&V z3gi9b9p{OrDJ%7rvwCnkdzvo;L8}Q~3c>N_Q*tLL)ZM z(BAC<{JYAmG2FKj0aB+lYl}d~&({G4?Q3wY51@8fv9so2DKR(^b@(X96Ob|9F?gV^ z9yts|y{+s5=EKpI@on}&^>#sX8%jR0-1~v$=N9*_C?9q6e#<=9xk9D2t{nrqlf$~W zcG(=SZ3R;A{sX~`4W6Xfl5ilwZn3~yWbKhSC+M!XXPx+`&`8AAqz|m8w6`%|BW`5x z-yusBN@`hL$K_jDCr`B8GY)eF@ys-9-VBkpn+GX@dv%&pYQ&0F#HKzw?(;gSD#k zd%p(a9bd>8Fq>Z`HS$p4+*yp`c_Zrv=-VcY|Cc@NZ<_LdE;RpZu*>{!gWd5lNC12o zkZEOkzpE}Q*Do(N0*FHjxDx15VyKp=m-}ma;II&L;Gl_#(*hne5M<+^|D=>B7_%lvy-_x}%*x&NBe{P%?}`@a=5|M=AX&tLz)6}oKn zEdNYsu3BS>AbB0C+!BEg!@PI{!T=|xOJR3In+)IsV)Oh&G5T69SS4t4*0uRfiHniA zL5{7Pa4EQ9yd*XA;-pexQML z*?vpvn*%B*^mPw;-VchRww%tz4txuBdWOmMP4=Ha;8jzm)`WL{7cvt`j?(OzyA&)Fb5#9}-p|R^;;4qP zch{VMV6zJR2sS!nP6a!zBqgJ=@)`tsOdeYor z!({(+KXy@0Br@&_@D+ZEW&;d0wpJq+-pV|e*YMz@Q3P9MHfZ7u)Y8vB*F822ofQCe zm#Mp)<&2OIAZ&<6e|dW3a)q$p&K*d_Df}w>?(B$DFN#`y=Oua{QW?*da5MmTVKvhs zT9{|FDKjg;P%mg9u7C~^SoA*bCM&0$xV*`XFnU@9;5Z9x1}fSaVCEeo`aaqVNL1Nl z?;VSmkhb{P3FJ!KZhTp)Z#tjr6VV*}-y)h$eC!#s@S47jG8++(aM3dn+qRI7ti)fC` z*a^&i`%j2wy@7x8(k`@I_fkP3}H$Vp}!=nnhO#IvD?S6cF2D2=RyeneLr{NANF%k z{@l;~6u|zvpL@U{8#9D0LDfmanw}#>+SVBk#F#kRP~C`@vjHq6PBg3YN1pq+pX>6u zpZhz{Z8-l+o-1Wj=AL`SfBaJ5-7MRhifqs!JGjk{L8eWfak1RXwU7^OG~;#g44=40 z$)?w}_4xMB1G>-OA(&ZKk~3-83TW9bV|T*l0V;Op`^LV+3o}U`Y`nTrnf5e z>je{>Vy7)Jp(0&2cqrJG5XdC>VXy+DFrWA(9?iTfTM+pvGtCv$O~%agg4>9xB-w)< zg`(GNTmiH$&W|zktiKmHGfK^|9bE^c;gY3ut7l2G8H=(NlBRP03R6241}1zvaf ztmhggPm-*?SeX)XJ7owi0gSN)zj5H48ST$LSMCt4UQ;Xm<8wjxv(L@`>~lpE;Ngq@ zo1&S!_@|=D^jAd_?r#gaWPe}K{ZusFvaSA6(PWbSt!OIzS4ETSQ_;Npt!OSIdg6U5 znq5$_8|^*>pNi&@0?*`R;-8A<7|EQr_Qc;5&68U7jmd*jwRe_0+Ym%C6E}7b4xjbt z+o!M~+%Be02lER{%MEIuUY|dFqv*C)tTzl$!;dAx|ZcTJkr+QoG-foHo&C z-I!H2nTfA%qy(y`Xt5&Lu@Wl@B*W6T%0Bzt)%~;8#lz4$54*0m7WE33ljXXBuyyN( z&JE4drm(tm>!uE+OH>n+RoasZvN3rMJt>o;XO!Web1Q6%YW&aZJ3BWo>x(j24UenN zZL5FjbGg^nDx6tYIqqJcmL)#>T*lvh?o&uV8@2=9f9-QO*RI3dg+SOg`0myVYh<5+ z5#2kIK$*ms@ph9$bn_!G)LwLdiFx|`p3j~9oX`EE&t2pF-RC+HJK6NCf%upzb0p8} zS02aW1=yq|dhML3<{mp<3OJNakjGFrJ#O^rR!!-2RGCCkc`K1 z+o$C`T|7W{fui+5pbCFT1GKIKe~>e$BkJD%c~H<-;Jc26?ThVjEHCt=1dKih{6wYi zv5KDl)Ho8aB&x9!Y{ME4hK}m|JVj|LuX9q=`W&f(K)%TY<^~jJ`o{dDj|z%#aDIj` z)oX)TJO89mX#t!+YS?L=?@c<4`pkgdQ^lzCx#gnV)UJ!i>rK%`GmeTZcpz1Ku%NXl z4rBot7~W8mxKXA9H|cHK;|fMpOFK}z>_O+WT)XSri}>xf)L@kphD2#;?Bx;Qg@jW} zl;wK4e+mQ}ne2SjV!TIOx+aRUlDpPCeBG7}{@v!d%I6Q8>_}cyD!=H}Rbgu`JG!|+ zAc4@aOi&|zL6U>JYl+8HTZq_{Ip1F@DANpe3`rRMy=DrQ4Qo#3*o!{@FN+Z5cI0vv z5p>E$i+llp6r8S}-|I2{70f+dE=ksw=NEIkq$f)12{CAs)7`}v)8VBWi*;9=v!!Mn z#%%O5D^K_eVGLEV`WgTyMf3)tR1~F(Ro>u4bS9@hk`0Kq_$#-beShl$61h-~y180B z{ME0WM+F)DyE}5a1e9u>*X(vfB-Q-=;Jm7Cyb!<-sin@)S znGnYug$b3sUVXVDS?me!d~ACl>(|_p%1Nga&wA?{>N5PbGsTf6cQ$$t? zNO=)aONLfK<-u!jHNKlhus(|eeutpNrrXetfnrshgekl33A>(sZ*>AR9#Wf+8w?Vb zE*B;Ai*uSwYg^fqPm{L81%M@a`hmIq<^-n8|ES(OOlRGOP@CTKz zU&$wWK*9=C0PPZ9!x^@xHdWNw8fB=4`EB*fo{G8ZeZ zCHXj9ssg%y6y3voayj=kx-}xtHE-=Sv&H9@`mN#`po@CimvrDbNZw(lu1`u!W;sI> z%b{2?u?9lDW>~!Qz+?-I0aKkJl-X!i+fnjY;L-pQ$^BT8##n??cDltID;8m z4%UQ2lE_kQd-uvnE;nJhE>R`%FuqL+>IjvW<-E4~g#Y7%G(Gz#lzq?i>6hsin~NL8 zFa{}O>Ypa>6@R%J$GLVm#%SaE8o7iYQ~b8@p@1R|G8zr|Enq=**O-RvM4soSda=#r@m?qbH zhM~P-uI-ji6?57+b|(o-cVoc>G0NB^N{FQuP@E3ud9-sFoyM0Qln1KZ%K|S`NZS+Q zo?2{BxO+3i+sBor$l{$ysIKR~Dq^<8>C2r=UmAfte-8)6q8S`lcZ*dMp#Ko71B*}1 z(;!NQPi@E{N@3J7UMN(ZpKOm2F!ebtq53gRpVS(sv;#W4Zh`LQ| zec<3H$`Zoy4*9hn(QqTF+a8K(u^%`aQ7bNR9&YAl-5GMNk$M2T@Ym>h|Rs%tzswNc+fz z{qb=HwhpJ5A1|AlP>ULmKw1THPVpp#iu)>ShT(jaIfKtJ3AdGUus)sO^Z6>ka1@yq zCn#LX1(|!GVr0&nR`|0{)Ulckl*{?*0}RilG8oflr_SACbvCNtv4~?%374Xl_);U> z4Q?+}ZOXWJhTdzmR{Q~tDs>>-l2Que1R-SzXAg+Kf@|0f`&`fGyXZ2JUq)#My8)8z z!h1>x5fSDy0lJe{P;2gV&9U&fU3390pqdgcc#4hkJ6!a>0)8D(O}O}g>Prx8a6zru z6zfH|St?jF6R6C($t^}T!-7a*&&MD^6gVB8Vqx#175vHD6sU8Y&b^X? z(A?=j4Yz7;zsA=?!I{2fYIg)Id=}%nvp`2>Y?0JEs4KE74DY9~9#py&j6Fbc=Q<*U zN)tojmP&YZp$h_gN{^oujw;bzW3*_k;dX1IgaeG!3l+CF-@~@fz3S09okN)#;>elf zS3zn5Cw^gc#n&pJvciiPzi=_Zc3+bn)9_~Jwd4ulVfeWoNaXA&_b_qaw(uPVfXR294b=WIUM3!?%D2dVDSLbmTs7g&1+R0Z@A2g_! zJh)dE?x07EMLx=QEo(-ntWJ$$p&m=%>qh+Nn(b<82VN?6<0c$TmVNHBeCC`d&xENU z76c%e!mtocmuCAJp`q8F=NgHC1hum`|2v(5UkncObi3mE8wHEf`RFP(Hj1&4@q9jx zUc$4A)Lie=s7nPC_#R{osEaz=y#I?@&xP9DITrjD!zRp;#z>C61xG?i0w2?9MjpV0vBfI@5%o9qhc7{MU|dpOWN?JlQj@%Gt@Woc^(U|hP#+aj$qqIq$MP_tPqjZ$UO|(a0gnD$&ZufLN-oaC9j5>f~h=UFq$ogqBRpqXLHYf>=c{V z2k-6_P6~`}YK=Ron7*Hz2bm9HxbRC%&ayiUdyci-Ws`ix)5n_d)|+Y!aK~_`)2=lQ z;A)L9u%+7am+hYSyP@kbR4ij`P-}9QJE@XjfmZ@SFlyEEt6x`5A##l3^Ljm-Fn_!z z*1a+e7gE0*m0{F_i6e34HHBr*^6O57m zuvsChy;q&L!bx1Wh)--2DFm+M=miO!3vh`qTsmQ@he>arqdWJDr<8Ly+OeSf%CO6D+H_u$avn7 zfE)eiH_Pv03i?im)ISy{HysLm@+5mEkQ_EfGq!3$Eg19T>%%q2(`Bn;g-jH|Zph6x zM>j11lMYqEJ>9%xY2mS$Tmrh^Id3MOJjUUO{j)lgw4bf!c+iO6Tk7g@*8r`TW!TE* zq0-9qWwsv5W(~5ipzTm7FkbxY544+=TIE=CgeZ~C(q}3zqXoh8n*u>IfsqRkqmT{2 z%C(eyg^o_yeVNn1%4T1!1Ov+7R%XUC#6w#LJIYO}8h9p$Y0;f#ry# zzEBc3iGJq;)D&k@yD~+BQYBZ-+c_6=@d0WGv_a5ANcnjn6uWpV(UH$JF9C;*?mF_~ zuh|C9SGV1M^lnXacQsCCq0f9{fSz_Jd%i7LG0k%Q;A@!<9`zJ8uNF3@6LYa92xr`}R`Aaq|n7OZ~aJ$n0epIN z?T=(XGv&;nU4AAu*!p^z9GsX8YkLM;W+z5td;ELQp~*MX5qq3pvOE0l=&N|Nnwg~6 zgd^fuFrd&&(8vSB-cbjWFX6Bbq3fJRNfyD6KVS3R7ySg2@2{+GL~4pwmZL^Le!d>u z@tD9&azCj@ehwf{tdYdmklqqHGu9F@(QIqh2zc@U=b9d@UT!~pm{u)puvk+)t2|Bv z_tyzbDnQ=~ESUbxHfyW?9KQjBFyyi%iYbrSb-lTK=nT#`-g6Cm(^s1#adX2vOdsp- znYqk48o><}OTQ|w)?0#BbWENliKoINaBj4L3~XM|YHVd)cet>MTASRMvnM;ni(Rz- zQEI`*Obos)A^_W`v9l`1mR-i0_6GJq@G|`bCjx1&flG;J3&>@DfvR=jo;dF>Bwv0W z^X$KNUis_gdw|TuJ?4%0(u`o4h%Yg<&zz|uUGh${$R42+{#`jnUu+WHSeF8G`%lbF z@&*TmD1RN*W72uTA8W52yq?d%nCNbHbuMXKpq_44N=e*EnAtNIE1ThlnW2afe2bB~ znMbkBGHpVWP|FJP1yh|B)KS3^DBbcK4#5K;*3=EWiPHQsMvbrP2q?a~yU+8rFN&@i ztjD@q9}q+OXxu2?1R;@lsmd)%6*dJzJ-+LCYm}tQC2a+me8tqGSgxu}Na6Io@_n+A zLQePZmQ*1I>~bob1Z1ScITWX(A{c41s0JkUkg`Fpr=UID$sgWdc|WYB-R8W7-6WgA zVHaKUe(^rwDi15ib0REn>D*>4oe`Nc9PPrx4+{y1mC9)}M=7OUw9gk+?q|uB7nvQ8 zVC&vK;y0I|RveaIW$WphPI!(Tm91qJsA^% z$5!_pV8dGYNAr{-ddZwDZ-W*95{#*BwvJ%$j{wfK!7#!HL1`eW!P@R}eA!$AW z%@D=7bp*2@SrKAGajVw542+Lg_~0ee+*v~`(mpd2(zNSi@urM1>dEbMvvYnTt*@0O zawo1x5qGHOYqwr}WV581l3owvrYFe1Af1+BJA_}Lx#KeQBWhcP zdsmn!p~otGp3k{e6L~qOtf)(?#I$66=R>wOH6Rwt1%q@&pqaCeFC8#E9ID!?6#<7G zv+6BGmQ0_yx$uJrVoQa_Jbem3+5xRl_!*k<0S$T+z*#8GBtW0eamr}4bo8lEr}&@Mr&dWVw+${*0G^V? z(c4{GB%xw}()uz4Z71SAT-92#iU(?zqR5Fv0PnW2$TfN)t(F9)uY*_IQe}p%L&T@0 zSr%j$?~aW4LhsA=%=%1E7VNUfy{`q7H5b+Q-2-u(z2(4>+G$C*xX8p2%2NWK#d6>L&jYB1P-$6lcfF?hF zsfxg{OtN^ke6MiL?UtZ`B_b^MT~Z`$3RRF@#P4C=){UMNiOFjs)mxFyr(;d`G#u>IX>GY6%4M+L+qT7i|d3YPP{jWL)wU3fD@|uOcK} z%w!Cj5wh&?N%W&jfIgX827T9`mx{QQc|`6kB{^l$&GjdzaPI)VFc=j|k^wQoHpn>c za3*B&pQ@Ty*e}T*0XjJ`DKCV@IT+(dT z6+4R(39CXD4to#I~lK|b568P>YDZnzPO zOi&oYLYnBvPd8Jr|LP0*kuuKgoyfOMuKMoozJI_jfKIEX&mXxVk%l>{yY|o$OmLkMzYoCT-ymSLGIaGsE1ii zgji$a`!}1?+6V6K#TS2o$-<*)48rt%G^fy$b8lLfsvkzm)B~I6&LzQN6pn>OMxdPBZrX6m(G9QzEMIErOn2R>=YofJYwV zLR~mDp2GF6E|%6%Ti&vAe07+5Ps@9Onq;iQLwUnk>@(q2BWct;GR~3L!-R~ZUz72KIpkuC+7FA(U>gJX)d>^;D0!!N?*;du00cF``T`2%bu#J zVXj}|-!rNIwP+NFW`303qBipCmQf|3KKQ^CuPFlWax9X2UTD|l>xkJzrYnsV8Q$K~ zGtG3RJ;7T(Z0<&ggAScZL;}I{f-p_k`+EmKVen;D0V)mjj)Io6nT=?QxV^z%f)@`I zu$Eo>;H-w@gFM8HbW*e_!a_;N6n{V4wF2L8{3H+x_{6RYiTSJ zVJa$4LMu91y4dG~UvsdDCX_%vE#`Xwr(iF|jPrT~UfTYZa^5j}y_o2>ZSo5ZL$N-) z+0Ly;FlI-62A+9gP%9PaVFPWMu_(l`d)2l6sLRC^XxO^N z55?S^Q*skG5cWCD3bM*94s}IeA4ZAcy#ze@4Z-{>;;;F5O@nO`ufYhyD6T=!a>O%X zyoD-ZG*E%OB$^1We%oUz5bOcU#fMhQ#EiUI#6OW4v(~L3y>d}4+b&4l6}B({9k&SM zatUEnf|fb>ys$;SYM}(D%Xw$iQ#ia6e%k>`MRz<1M~R32xMKaspV4E zr!7y*oMXk5V49!WjCfVXo||V8Oz=Cu^YNF8HnKL>FYz@ZyK4)omyQg$B!DT9C;hG{ zyleGVnvlrkv5_J+V*Q;@u&4E8xH2(wh?Qrd_(VEr2D_}-vjKpzELzdQ{XU0!&&?z$ z+{WNvH-@>!=);`GQOnHg=n}0}XbgBa~Y!k2+?M?ONmIsl_ zd9(x#;$Gz9UI-P7=uhhEy>;}d;KA!0GRx>}w_I&$P(`d4pOBH#qQ+AQ4ff9l>3L^ja!S`~ zCBlL#O9lnG4<@n$&@z(c z2!Llf=&=n161!0a)IoVamO*U{nRlajPF#C+H+kU;`w#V0Wsiq%d8d6j3B$=t46N4MWAKb9fk&YWhV!f74`k))~l^UrH5>2y5h_#mICOD&B@|6AkJy{vSpODdmc&A~#7;3_&SsharlnBIMj!|t&(HdNd zL$9sj@@ctW34ML29lc1zVIZJ4Ux>JSGPHU{B<_nPA>IbIkRD=WQ;t6dr*3($6@r~D zT^ug~`*7#)JLZ1sIS)d&7&g6T%8)(cLT8MoC0rca26sgkcVbEtQ50lL$s>fYd`NgS zoHberPJ4CfCz2pQ?nn?PmBa}7qQDtaLpdV;%+-QSAQz}Xdc5?=x*;qP6|JphPi=8I z;GX0%i3TP#$im>esFddQ<^t#oOy%U=LJoq)x`F;#iNx6F>Inqbpt!@Cn9M0HK4}L@ zyx~WT3nVzX6ImcbDmoBf#0)<^vH%HshD#2%b`~dFvisv}uo}sn8XkH3{cw0FhlohC zulTCIU}~&loA03w3Z%PUUxutv!2FZs+_P9AhmToUs*wwU&3t}P`v!T z>KMz7Jt8MnVy%#!*eFoy{Md=`R|`H*e+|S3l=Cy&Hhb(tig)B8 z!&3&=rDod+&+TV&N^>sMS{3qDy54!y00E#hT2ox=UTg-09<=ILFb;F&5YHWx0m~kk z;AiHMgX)9}bVg&2_YA}WJo8!n!MQv8hos+lMu>FwWo_(Q*b- z2U$7j--*_8ngKh&*x}=k@Xr5L9ZM|HGdm5|N6`txjFMkbZm#kYFzZ7a znDb#48-2x214*y58R8 z5~TJc$p-YxWXi={Sn}omTx7<*34>9rB9vddr4c>(Zp!OWLpa|RXhpu(foa*mXS^kj z7@S~baio>rnUcSg_SNf}QMX;8HM>~~Eg-He9e5ihD!6V|QtjZB37ix7YVSwJXirpz z-IROm1XNI%mT?uao(1tb%+~$S%HvpWAsb>;Q25D1O)7x{!rH6NLo!WgRQ^yw@qus0yWng< zF7DKJl)MBSE`Stwm%l(b*{S`|oVk$#`8Wb>@*;5Bk2xB&--^{!OWlL%5>pjA-aDVu z_5ue$$rnoz7{9`SZS@=a8hmWcDCIrvd#Mlpqk-p8_*kcYUmx?pSaWhOcm1_*Y%6?UCk?<;-@{mTs9FkIPAh6Tv5$SXLjQ)l08ZntsRED zP^Ty(Pccy)NywnwaNpM})dxk=(OVHlUw72mi@cL6so~%|8=vysdc&j{Bz+Wea&(|y z((kkr5+MryJ?eODauQe_WUi3RNbWL+Li=)+;!6^{LLLBQaNLKX+%yr0KS9ju%UuV* z^~h_dqSI*^ary!gU00l`hddMoxGNXsNuPZQ&q(cs)yRvxL`JfvlnkWm`w>D$lt4x= z)c!mp>zKYqhD7W5Hl6bP#UrnV%y6xXzGqqj%&>PC|1hK^S%d^RLp!YGJIObsuFANC zZ4L9agE>g>xg<<;LQ(~VS=~f_NF%juXMu$@!c&|XH%fN>^TAPbe`+2C+X)gt@O9;7 zKC3${2cV~R6RX~3Me{y;@#`W2Zy}c!kuA0{B#IxCW6tqW7t`5@4TB)%N#SM`^G%*( zeDugRJNKgUh52^I`i1N>oa`(z+onv=kVF42*u?P@u#A)O_jwJWN1={*POKoDerRt&ppuh=QY@$sus^^-&xUpF@+#J(6VH~`k8*A*8R?6z zQirVP_z3aJ+nmR8ekw093X*k?U6=`um+RB})x!w&cPj_G7B|_kFI^p7kFE|bH61No z3bZ$#2L|jdkp^AQ}|G zL++&QWYe4#&|mdwhTU1hVd9*X;dTT$-xuo2mZxrA0t$)i%~Q2z=Xe!v1Uc})&f6oN zW!u7kWEpGKERC4v0g2`Zmh0G#7KUSxCi%jut7RlJ7e?^+Jg*z7>vRtmi^A%s<6xv1 zkHIMfU=~SY|2mS)@mC!(4$&4u)eY@CP99^coKK-PtSzrC2$7!z;9H3SWi0qOO|i9C z#=4=QG^wA2cJXSL5;v%Lc-dI=P#G+8k+F>T!?XMx0K~%Bn2wG!BDe)+>sKrH4b>iT z;G&c~9nxzor{VbNK7fx94@Vya7)N#PQa%`@3~_3@!xZ6wVNki`nN5GLtcz$WwTn}z zmiy@I3%aVhC1$uT+SR*2BJHUTU+o{ilT~p-p5d(fYfnclL7FF~cwIZ{Y| z^D3Yo%8SZ~KV+%u0Z7wqHy}9c>LYQkP>z;s+2b=w{@miS06iK`4V23}$On)XEGlEF zh79p%ov|Zk+{F>ahtE43gWMW{up%P{sj1|9=eP6wWthAJJa2=W`yO_%H!8^Ttu8Z- zM4#>4pmkqL&M$l)Ld-LI6#YrGJjj2>W4qo;sU)j*RN8QmqHQNYi!S%+NUPUk!CC<>IPgjzD7J;%*L8z8yOT)jL#0F+w0XdTD-u z9l_TtK3xqM!OE;Sef%_fuyH*Y(}V(xFe3kQ-_Z?pL)qJ8VFo~B1W^F0-e|xQkgHv$ zPfXZ&^b-@d`^1FfQi}Dme`CUMSh6$z^`w8rgiR>_5fg6s#DvWs6j%R-30qj)%#Hj5CVZ0ri3u0~i3wZ%4HJGW9Op-` z8(ID#JobqR_hBY~{KkYMO8~V*KQUpnp^2ck-M?bOL!X#%%3m;H@)7O2Rp88(5m;Ee z`HUg_gt&6YP3G_AJ&B&wX8%+xXZ-JL<+J}*EARiOTDj8KR9d)Go35u#o;Hv$#U^m2 zu|LQ(kuTn1)>27^Nt@hD<0Kfmpp2b<0on+gCf;r*aOQXr^`eGUi#*P{<$*YSvn{4_9OjvG7*w=DI^&zB$<>H8w5m+;RrIdSc3sM&caZiM|nR1 z^HGEzZ2rTql8AzB$|vF^PjR6ib^N9mWQGHB*D~D~7G4?S{7EA& zD6-I-GX-X=EzFNeE|FbrjiM*`=qHQ*i=5ghl$bO?M}UZGG`~^JDLN0Qr^&W@`Bf>J z>E=DKdssP7=8cm>O$@!Krj)2cS=PgeIgj%PBdX9kKUB$-xf4fP*}zE?Mxa2r=(n=5 z<)_zmzx*j3>Z7Zuz{`wO4lFc0WuEZ^IfGUzpl-Q}1t2HcG9Sv#qR&?Oh45Bv0*@7X zF|If`kiJ93;qJ|k*t)bdws8t7RAG{xR>+$cV#ct%=9%MrNc}riSq#Fj+|2kd;tZET}>OvWB9Q;+AixZ zuZ`mUw3nCj13qe%*ZcRK-HG*q`-6As4o|o1R2JgL@a`dXb#6j>xS=(n_*QVgxb8O9 z-l(;90$(;FhA#yy=72g;`n;RA1ZmJ5W%9lXynGfK<+X7pn|p40 zo7=Wvk~AKsUK`Z8mD%YnBtu>G5JnJO&Q&p1?(9?sOAbG!KFM|~-1{MR9Q58#lVD)U ztHHCQwf{_p&2*?}IT}T4FLPvh?u4##v=cverZ;NPakh>hfu&M*0k_NIsY-D6E1a^g zy@ietwMKPG43ReL8hxg$xNE`I)uUd6z`v}Rwk&bi_}D0^WVx_qd)e~=gPIE+uL-n1 ztBXcfU9uDl7zp3|xqa3NU3!-B+J|4F%a?10_rXIR#=f&!yPcL>$xMCzs63h0zqQ=W z16oj4y%2ja=>AeH(MiP`-#B@+^>GH zAmus6ir`aY9WHkGR`w^!{ED`!Rmoy#m7Te_OJi2WrV^G{dGjUV`t#)n#5W#zN;a@1 zQ9o?ZEhx;55PV34V>GUhu@Mq>ahvvQ(6{BXHHLWZ`4fsaa)qJ4=LXFfbf__g#WfI+ zF_Vl=pBxDnynzL8eZr*2x2p0dKuIH+nzR}={5IS?*0cDy$f4}{S%o8TrRKeE2&dzi z%25FR@)pk~g4(+Iw0ar1y^DyE|K2r7);q1*$Qq;|Qa0??F*G+Zy_w^^OSD2xoml^o zN!zzv_2ne{IBH7U?&sO=X^vrc{#sVugGR<@`~}9K@^_<+#BeJy=$S$d?E0HRO{v(yl$Cmpjm7Bq+%X^;hmL^lkSz?V;r`rg6n( z;_J7nuO2-*uWjlzwy1EhlO?PI1aoZYP=#PVvF1Q%W|m7B`r_fdw1QizRfTq3Ci{TU z(GOhUjhO_C-YuHHTa?Hes7!wlrI?uwj?GA;Aw`Tn3?k%(J)CEqGkRWv@s|sS#l+En z-Sh(|fj#rM@e!J%>rOKGC$xDC`$7Zp5}Tuj%LVe;_%}pJXzoysKB-r$IdBBhKwm8b zCt1Nu(~1M4E1Gya3KYsdM88gB4R(;V>lj#){NW~=S&HQzovO0}xrBx>8OXHZETEFp z!U3%cr$+|emG-J6gPD;CHrFIx#V!CUHD8bCW@GUqvjMikQ4s(dY^Vxd=)_7ylZmD# zjSu25p^4XvV{$K842H?@^=~;hexO#(SX@$xowo+rUqsk7RQGU{FXzleft87LI)KHp za!*yduWst(O{Sequ*wJr>cu2->eapVTO~{i_#iF&xHb5mz?Cud8>Z;j;=4U5-DMV@ z)v3>kqRyzs6Aywkkv$ZO;F_ecGmGwU?%4Pg2bz4Nry+*?JqG|YnDqo_Eg=ybvT6Ej zyrRTOR4UY!NJn9lV9_*J=@Ek2=Q`P^<;AC&DFw|`btMiWTrc$o`z{;r{x>NnCw^w76{COrm&W2i2lhOwANzCao=9B3{$epC%*5Y_gRM>yw97U?TNPOU?my4_Tcp+`A`KM+ zj2a#CRE{+Yq)(V8(2KcEII_9N37d=hpk5J~$a!m6$e$g^q@T{nxGKZBDx<*K5JBW7 zvWX!+%%IwT6ku>4?iuNriL5rHZ-Yu@n8R8;gKD z71qk94Yz4k%CQqz+;+#cNO$e8+X_bm3j#}IsZX&L1kAB{oYp;>28p{DlnQ{JZ$G=x zDEPLb<6^$2-nPb>whsoNwSp2?z;f@5Iv=WN(-9S{n!=V5`&X1!RL!R#`#ZEg!N)|1Nxmg2b8qssU z{irc-^r)E8DdLtjV8((ZxmmA2hCu#_;(~&N&e@+c=EOQxI$+7zIn8vP4s8>i9@CLC z#ZVg1k#|@DntuIXy0yN};-X1&7Z}l?aX*_E4mJomorN`HT zaAL;$k7yw?o;kqd(i7UCyS2x;SFHdP>H%TFYweU+|EINI4!Iz5hhu z8UTXrkR+ZJqD}gsacH!1#Z+#Y!P1$hzd`^LfzL)yQhOzb1a+L#;628f0kmA;^gH1z zk)Kxvd&~3=ybBVESb(A&6pfWLg)606Ds96p939ft;>$m(O<))Q-Hj}W9jo(%#tzPn zuIDt65El_#6{$Iy-nO|xD&T}SQeq?t~+oEg|wFTfe81&)_(kfCigzAv&99=1mjO5Xc93S3XRAiycNmtQlM(a% z4y76z>_(&`@H=Q9djczq^VKcVM_!uX$?t*lj|M$ccOWKwPs3*EpN@Raj=;1 z;;SuO54McP6Tp)Ut~UACuj3QT*f46aE@Q|Gby|Mo=LOiea6GhA6{d`sTT;d)2@z_t zQBc1o{6a$K==fkB8ok< zrzm{JGrxXPuPpNwLAE3SP|E0751-2yp};Xkf%{i4t|Q+3Z@!31p@@MEY1DAp#b9U* z>i!VsE6tzq?2`M_nMnAVgCuy;%)p6YP{^885#PtzEGjbELx^$F%oMuvvW}hR;0vLV ztFm7^Y$srlu|q+Arm_-|BEKU1!q__o;`zfVq&N;jQ-5VD>xu6DrheI@y*mfPGgqLm z?cIJ<`}FD6eJUX75bsKr?Glu7T`705$&TNoI+3KKl7o}Cha?qFd;|y4IaZ-CD07od zOK_UtAcLcD7_;JKyW}@eF$Ex5w?sD{a;ws@QozS6cK!Bh;53{*hns`z^TDSjt#hO- zpl$$AFUbEmz?mT!pY#O!QiAenLUrGFrg0dc2?^#T9Wr2aGN@C=4)ei~&ShAO^v{~Q z|MN&Te9`)y(_t}KFtv3=3U#WP8t?}f+LAlI09)nrQ*hZb{r5ND&yCK?H)e$us`7Bu zqEF~z%Nm+ae8*$IAREfa&m9RJbc)X+XFcMZWF@vx)Z26}EohNv20LR;-8QP0oPi#N zCHLAX;;FUoT}0g_xJi}ScLAXb)Vz&|B|cq;=xph1yd+eeIeTn%B!LYusAP#1=j2*;dEfFfr;y`}*@FWA!kG z!iMJ`HnDwS(p!5IdXAZ;N!xwPP->vaVFN=~2&6>iz|I`{czufrmgI_)ALWCcw(9)4 zyoEbV#lM&#!+$;oD@bBiIfpr@m9#L{hg(2kv?inc+Ry`Txk_;;%4#|yTlJ(TaF8b> zwCh?GuNpB(u<{-pNkWDmhg0@v-coCBrML(aV!JwS1+2>nQkKQPce^KC_eUB|y!=A& zXz*1KDJ-pwVTvy?kn+(7kiAyvujF0+NB?`wnbDU;) zR^PeEc)EUf4K{N`Vhl6CM=~7xFS#=gq3QDNDKWzZ!fQDY!h*U`q?Fu ziqyoX7>0DE*mV88QThR%{!_XVzMchwsiZWhFA)c zu9(;zFK7;_$CSjm)`-{8B8o5Z9?P-)u9K|&oqPr#AWTf0(i^CCto(&#V>b*rMeTgw z3D{gMK3F7_Kp-?_LgZ!a@-<+Q-Bx?_F(46)0_(9mr$cFNf+7vgKid|}qx*+M?DAg# zWgF=;Fuws5>C+bibc6~{c)O7-r+f?NZ75+n@gG{hjOmllgm-@il4wBBWs?d64N7f_ zERIp*F|Sw(1?7xA?+ET{iay`3Qx=Yplv^NSOiZQ_Ux~RQE1XFFNstTSJv5?hmJA1t z=6|vQLv00fGvKhHD8*%9tArmR5H~}zfk8wBXf)zag%RK2$26nR(a8gsXHiF$#a-5> zHWmp~*iLZXe7ji=>LH~oIrZ>`=rbb}K^XMT2-4f0iv@#EN4T9GGYoki0F9a6)vZ~Fr|7}GWVaLdCgZ#(BbqzyZV+N?meT>xnR8W1lU zM|WFN^0nWl|3bua7zv{a&1cr}YGQ8bN}c-=F-0J)5Ij3OB1LtzBW$WSxPvnyOM%9QWT+iIv5cTaj2IT#>A(x$@h7I4%{%I1`LRuV>s=b7A@pQc|S?-?+JCJGO%sv z9GaAq&dP4n`o%r6avcf)4~4x`+q?!p%PrkmUz^1MO)1~&yVSR6)b35>EMgSbCWH0~ zv$lm02#|E5zRwvaua2v>b^n;M0>^g0OiRI-d*)InoY-HrIPU?zh~nNZvLWqf%->kw zJkceutIIVJe;zj5rUc1xya!!JRh(zz`2+(Jeu6>k4-(oxzdTtld98uvVm&2yL1Xi; zN`wU+(U&umrIaqYHBiZnWR44oj?5$_KyF3vS0pj>S6y#%;Ls`1alg8Lw`7;%-m z1zAGIeJ9ItoCb}k3Ldbsw8|PW6_S#{tu!+$m~kmKFvyeqo;R=)2`GDr!tg2StT)?&y1Tn;~4E$!WC@0*At%oGfKQvCJMo z?TX7Y&|jp*ao>scNi%(^__F0ymr1xB|{0~F23A!jVrJn04z(Z^(;09htUeqJ% zFtM(^9Oh=W6~m_PX9#|Xyp`Ro>K^HG@8%Mh1;UnpfS>8mlRd#F}RIyd^*1bxyG1>An#$o zq^l}#0cqZ}({dLj{~Fl?m-V$cX3%s}jNE{&!yT}UX3NGbxF=h1OVTr*TApeM=v_BC zhJSp}+KEdT+Wf*-^VZo4S6*n`Ts)j`^b z+DuXCdk*r0D*qp#o#YM zU(6MpH)*_G^O?7=I}`tAu%HgMa#p^9SCzC4t54h!((3u6;X`!@nOA4NeD1$QSqww^ zXgs9xmM}2GmS$9Z2sBUWC4d!iCNI2AF2GZq32xqamOIZB*G4lh?lRQ;#_L8%m%fkA zJvDv_=lddH9xI92RP^MFde}B)Sb$}`r7UBIJq>54V%L)=7+D?#Fo-$GB!>fl0l4Pr z@0_Hlz}yyZ;*`Qnsiyc^cyf8JheZYmY!z>A?3Dk8~k=u~9Fw&#IZiwTx&h}EUI zO~c9@xU%jv+@Z=h-9*)86%{Gk;DOTbH4i@G5Hw{qQ~@aS>Z>ZL(TP=Xn396CN;Y)0 zCMgFCbuapp`R=!$w(%Bg6Tm2loLsH)2R0n(v+ z4g56?kT=h}ixN-W$7lZh^Przdi_hM(xQNcezGY0f1=o}4HUyT9)R|7kJ0*zk+0Q)z=MEG>-pE{y~G@14Il}~CU0uUQ6jKB#8@evc7 zGLr%!$%)USGLJmx0*x?fwQ7M<*bH0AFY`S>$Iu(!NTKq88(r_!KP#?luC9G6Y5}4( zkXWeDkpR_SC93368`Nwd5>qZ*vrXR~$)@;Rrize?nCF$7z*&bLQ+95;+>F)R&?cov6VZ#c5QVwB6s6kQ5#R;KoACt3t)jkmeWC&OYoJAqLOlt znW}lx<;?-qw>x@ch8!wa$^5E3U7McH*NoG=7I=V=vv9`=utgh)D3n82Cp;ZX@y02u zwqGKZVrKMMz1Dn%HO4D%7o&8ahSS`xFS4$lIj_?$Nzf4Tlggl6yb}tZ4;gN3xoitM ziYY+BD=MtfVw6h!%~FSP;wV~)*NHgMcg->)XC-#rjRXTzFmVX z$}#qF1e(N(HRukjlpZCWrf+>t*}2{Y#${AJCjEUxrNJv7ES69s()5*vQCC#d*e}m)+||mtB{oM5*GwBzGbKLnKU)adQs+Ns<9j1M zQ@4)|+IgV+-o4Yiw_dWUR^Mx1V$VYSmd!3WiZlXhW{SMW9^fQLJXpip-z_eLk-Bmb z(p>#nl`tO7ia8%`;7At}X{lt6`Jft^`^sZSUJyIpeh|zldGd=R1qeSfDWImHe?`G8 zJ~RQh=oL3XFzU2KnXU;~9aL_nwxRL}$##scof`@8;Tx#O;!zb#p^`mcx*xp{0s*)@ zFxppR*)UvMV~{;-+SWJdFMJiJyn0up?<&*;sG@2i+K31^PU=K6g?6H~sC|ZlUy^=y z4LuI(?wpL6F`n=u>+TaB{DlSL6l_ar&B!4!O#WZpnO}G_wPuso@O80UIJmg2CNA#s ztev{g&(BPyD&;2~jO4NLsIviTB1Y$*kP~Vj9?fyligVYFy=vr58A)ew!r`YAn?8_* zp;?_iABIJKOHOrLdQYwLVpKv~DJMBzz;rOiuNc7YkUDh?2c*y!z{9re%kTUQ1}YS)0iFP_^?XXORD zNwFB5U{m-Pt(&@rIl6<14BbXg@OHlzW%hkfk4jcgxcTSPzq!<^4`cD$AT2)9J}sAR zFhT>odWmrr)saY6`PWHqe>q=&e~VCz7gvN)c|ivL70M^}H`z*XBW~EJMd;m-my3TO z0Zs7$Qzr!|gxm>cwEufGOGn~Vqy)m|u{@E9k9IHST7unj(3VP=vzyst8&i}d)c^A% zpD=hE8fQuLe%Os__|SA50gt#NM*va>XV*GOXmLCrRQ>~CwE9kzaTL9^y~KMo0vtV& z^E|Z4cAhB-@71EhfY=meYUJX9CVhpgEmGg69JB zy1|1LBo@0cfKa)je@=1#6{4>pCSBxrT7%($*Q1?J0O*bK$j-$tX0VUTatJpM;hNb6 zqF9gMzb#_qc>EP4s!H(bY%I|RK9G&NJ6RyVMlaC3Wo}%4^=x_B4yXkL&Q$5`xEQ@1g#G^*b4v85xx6<-=K77+JvP1)%stF{2HDjM4`&O6fyE zqYWTU()*jU`WMqN`!GW3!KBkN5TgNG3DNNT0Dkvj=KL=Y?;lF`pTqkfRPNu9Oz20K znbMp>x3<@}dc`KbI<&MZF_x_R_4nbjtVcs9 zr(V@My}TX{r6fPuKr_*Q;9ShaY3)lPo?23G6${O54qWRBLUL&@THT*8l=PwAcuI?E z7E2GdjGP>+mXGL1od-y{^OUzPV%G_JY|j4?`s4?S+I;b5vydTY|8pb_ZC!zaHyJUT ztA5|eS{&Yjk(y*+s@~k>q)&En`#W1(rl+izh?%7HzlA<${uBCyZ<$ng=dy?zmJr28 zr59fNcj#07C-gb?Pv}#^=uP8aq0e{dv429J!A)9%sjH1bD3~!yq;T%drSbTG8!!vi z5-`*E@Ntze_cU`>1xY7qwIaz6VGxRuGZ^9?{5TLLjawM56A6o4bj#28Z4^D{J$tWx za@xYRLZ1p~UjGVx%0k6G?$>L&rs0}*8zMkRhG7f_ zOQincQZ*9d3U+R`&mL*F{lmwp;EFsOp*Az+?dL)JBfOzUbGX){LBBBfY@;xT1PE zB=OJk@fmqlr1^Dbq_Gns{|7o?3s7+`01H2O@lLrO0OG3dl7E*zZ~s&JjQJ^j{uerz zm^cwF#uANz&=asdp0wjyP-;TS8_2Jme3>wUuRn%y!ep{C{)Xi(Gon!lqD< znY$3pyi{MQm0IvEliExJ11VXNj~s=c+Ty45iQGzB+7>&@Mivm#;_?iUA5~#|V?sO| z`V=|L~*b#GTV*_OP zQMwX_5~iZv@B_G`8|jexk`UKqLlK5Lz+Rz;A*Ygd5r38Y-lII~HIu3lHqK={Y)~Wi zTl|`&`4x#|Of4pEC_rg&K$L(5CwU#R(5h16J3+~{i%E4Ii7eY6Z92Ce{8{~rjEb4# zgxEgZJ#jZx1*IEcCcK43kB5LhwNP~5@>sD1_o z(L%-WB|o#YX>n)(E#7*g^9n*s>~Q-bEvycH#DB4KV<(C-=@2fqE{=k^Hqdqu8?uX;KqWOzPp$OBM_LcQ4o# z0Im|eGr^J=pbicj_S5g8pu|a5PVz7=u%Lu-9ICbjMkHxriKom>yqiT?Tl4U$D{k=G zY~zymT0mpi*aIsGIUAle`SX#qzZ2VkpK2dl+)}L~rwEoD3xE)%V$6r=#c1zJ(-P=@ zGXnq3jy^TQIlc|oA$7XhhY|3RUfWVm;(6LKZGCQ)KmM<&&**_TiX(OJOB!6%;Z*KKUiU>#*%YT6@+QV2uE8_EtQB?bjfYI z$H9>KrEHAz5Jouk3HCd|Q)js!Jtz93=dPTV{pt^7`yo3)N3TPbBU*Nb3U-DZKt~<6 z7r=$f8|FqH9Q!GJ`W{y-2#gV~`LkyKU}MVl_j z+jrf6Y4rC8#8Z#!|40?f18ADvve)(lQHUD%N*N0sn$8%!tHgTxL!5b3fxEUnNauF9 zQLE?({NHRVt_Kpi;95>^E2(7(mWLdl{ z`w!Ot!slZC!{> z1~ty7B&StKZ%2|kEMhzu3mmYUw%Jij@W;#n@ z!ie#{x`JQnDH5LsO9HX3AlqR<(?@ZE#cbEVAfju%uh; zB6}YanfWl~dEZ}2H~5mpW&LnH$0FVC%p7ahsKduIMV%?xYqqR+u15n`PtR`=9rs4+ z7UaLqp|x@WFfuhUPB|pSd{ck&cx`)ls~0cio}aUpiZZ8PFF*Gdrac?l+x4oJ>*Tg) z13>Z+U(}^036Xa3k7x@Qc@VNewh9YKZQe=>I(WO=_kZ*p*iKvMS(b`X!2=oA$)f`6 zEVYT>)IWNT^Iv){@kRGvtxxL&zis(CdXk<1Sq;dcGyn5Hp%su0+tsf0Rl}MKBz*L} zcA8HSRYI|)3*GQCHLO~(*6piaE9aZ5>uk-%fm)xY&*k$4Y9`78{VI?tk(Uwfi-UtU zLYbShSqcj#$h)dhp&J%NV}I$MV@}5)&S!$H#juq>Kg4Ba;9gs3wfqR-N_}GoSzFAb zM?dIbBhtcNJI)*RWPNcvl|kd1%%5tUY*#?O62ovKMw zy*$gqM3LTRb>?FUYVO1+kCRK`{6X9*BJb!j??L;2(<;-tpnSWLUOcZZiuU~Zs%ur_ zsvaF#*b6ZWyXZzx6cRXPJVn_KK`mrf%bkfrA1 zYWs|(pnR+?+Gl)^CH%&gOvXK2mlGPhzAh^#?}49S8kpE^dxeLPBm5GSz@b0G1^M#} z?Oed4v*<&hzZ}z!P2*)_azP;1uSu__CI(02;r%P}tvocLw5sC`6)C_duD^cV-)O}j z94uy|b!wtD;=L&~G$*%oLU7qc4c)0kBl3rnCquh9Ba{ig~;0MI*5Lln}7B zAsW0woEQ$U(ptj}&vN(zFBz#BVwxfwR-I$8>F`|;p zAWZ!m=tZF~eg=DY(49#k2~5Z?a3K@)wks+Z)&@mNpZo%9@fi3%VrEksvUAEahtR2M z@m1FEx+VOAKPp|YiORk{P^mGn?u{>>!8_|EM)5Q9v~XDew;~+@h(Gi^lsws`xov}* zn(#ZzmOS#MD=tVX{xUR8tI{cwnRCd4TFh@A7r|vE%faWd*_~aub6jjN-U{~is!X?} zpyb1Ea+6`^kkHZai8DB=w?Qg}oab;$Ixc|<)ZzOJVqNOaAwjt_vrn5iyZy=Op*gH2;`d-`irsbWP@_r2aI} z1Y(ztVNu_7uT>lKPce_~1($PTWQM^<(a%h%=&#ay?fTF61O;fuO8@BiCyOyIh_c3J zL}myHvogq4U#`VMiwd<@W@Fd(2x@37XVfMwy~=8p{6`HlRgHE?b*9=PIx?AXTbfIQfEg#^XV5Vm{qeiga*CT` zj2W0q6q--aADyIuwJp<0!14f%#9fSPjphQTkK{Z98_=uQ#u>B_ziNYCRrU%?o4{iM z>MG+#uS#_%K^}EFs)vo#Qq)yRdX|=$#gq&D+{EIl8rmzYk4tUOc>;z(kJgJ`;vxSy z!*78s^+6^DxzMxIueqpXdGeA!@c2p3@GB3C6dAoM<#x2X+&Z9EmAb?46c+`NN94NF;kOM!`_EeP-?= zz1em2E+R)}{-IHwegfMeDgYUQYIAgHLga9&0a>}KxJ{JfHmGU?ZF|;-TOL95j0hOJJ{cvVLdo?hz)v#W@4sT|^m0 z%!h@&b6l}w=zZ19AlOMR7*_@yr4~-obAE$;88q9=yioAqP}{%sdtr|eXoafx7aSWt z4ol@JSPM89uyYInJdZW3vZk{K4|uwqfjHh?fa07pVYK{QF`kh8vcc!AomeL&Wm3*d zD3ynqlR@$By?q-6^Ae~GXb0otMzkRtgb0c&4YuRhm#`h-wpG5&c#1kuxj(ov zm7tHk6`)7xhPjW^+D5uLw1+gH!7(84p{tL%+RJrN6QT0)ZKuwja{Tbx+Lz34cz_& znZRZKz&C3D6R&-JJneG7Vx1~e?v-V^+spe!!ZJzY54HjzVWx_b!LWV^*1r#y_-ohy zGH%BnY{@boFaRbGXHZ05pywFVK6Q=%B^AQY@r$ZuCLE_}VB~{|Q#1I=i-V&_+&({G zXNG|ze&3HsS06{F$UdQTP22{h;!vEWBcR%WNzVd23(^lp2|)KRBER~iaA}}0n$K~D&cML2aPEChpq_0^g5$_6{{VT)n((Z* zOcc2E{=ZTr_e_fN{W^hz%_qRx5Q_#&J$}-b-gY+{_OLet>qAC>@?4hDw0`EsgL@GT zAd|sIr|+iFqk|)A5hYVAFz9ty_|h|OzC_q+!dJDMl(CqfTj|Ivz#;cag2CR&2*r<` zZvtorOM*PVIKgZ)+>(SnIlH&g;q`VxpeL~%K6D1or#5A`#E+)JMgU4qeW#CM{j>22 zA%lI+i#JIoe=&OxewkN?y$d}Be$k6YEaGX~(8_+1UiM#Gz=LNF4FOJ$ZJbab|hTlUU+fw3cokN zp>nEnzYOM%sf+Hzra?yp=Fy<+W@|`VBm7Ai_$J`o!>tL)2+uTo!c93HrzmXb_p<5E zk?5&YwB$jO^(s#}(cJ;J7%K7IelRL%qcNU(Vv*8c4e>_yL$+`9NSZ@-5_MiJHFLbH zU_4+z%X(Z88>|KD*5vi6--CyT!t86}pEM8G+8BqJRl#L0_wMWFFhWro{oS38j5S`Mspv?T=7nr0I|+ zVc=eJUpC#~cS>*kNq%8lN*AX*##Cxr9(9lg(CKTDQRE`fd2@;(ySK(K!I~?-Iki#+ z1|16VZVOd;WFSO#I)-r6-S2>VA#Qe156YDIa^!!*!&iqm*}CrVIO(vRb&>PG4A16C zVp3_;Am#3*K`Q*7E|qYXq|gI6KLf3|HG{pZ^xg!S4-}0?+xBO0%Vw7l`2&zQphxDO zmR?cRJzMq6Usv;+)vNl=v(}{opfMTD{mjRwG*VETRXyf_^bL6xV+$zW8&e#H6o|9W ze4tMEzT2O+?;h{fGd~oEO{FWgHZ~%=%i2u&f)G#6w%OQs(6AD`(A1(*BNa4kZ7TB+ zYS39ZHe*9TKz8CC42w&xL#1pjTh@oO$piB=9dc1FKhWUyBktwS%NBcd_r>cAisvs| zi)=BmWY2HiR<3Djv)@^!$9SG>8Ke&LQ^Y;m^H;6kpFsHhii8$gj*QD;YYct>ApO_i zvr7f1N+~WSu4V8`EL_MC!4G{PSZ7=tMUEw6)aQ|lopOirg24n~(Os^}+kmV7nj=Gd z@3l{63-2KvkT~VT0hte_6a8t zszArcIGP$-Re~z@RbW~)8+hq~KmegcZpxthK0EVOMpTjWLmmmr4pw`3Z>MiyPI(jc zaCR5ts1*-L0MHMbd7|TbX*$bx`+2o)rfPdWgYPUj5Feoob*Ol0hF^$`xw_oFHy1)y zq&&?AKNp>b`3G5sKWM3b=!$-^DFBpNs(}jkG~ybMmKJ{Y@aU3I=(W3E)^5F{`rF+Y z?yxC-d5dd*ENM_N(5t8Aw9_ZAKkiXcuU-!4T-l4~7&UmISqDgS^0(J|=48C`moA{- zdA%Y1jGNqCVI1c4sf6eIMQeL*$!n+@bm?So{2F}i_)M_!)TcHbUJVQedu?;I^$LO6 zShX2mgnoOk_`0wBnX@`WB$?$V-}T$+UH$v3oUMEPCi1D%v%4=gw&$K!ahD!cOY*Qj zX6?P}U^q>|QM@gm#xh$U>IH4Ws0iQsdh4LTvk%4}IBtEUKa{8EvqBC{X333En*s=_$W%Hg&MLItI` z9Jg0nqaRb}L+jjApk)KBhxN&-|N6oe|0kv^$tE>W6x`)2(RsU(;z^p8n{sa;AO35lJ5d-9#TRCw8)dp=o7Lj?v4SH}D|N2-01eNO^*4Yspv`Mrov zJ&VX+L9JzI8>={zLAy25D;k~HW=*-5gUhUH8zdTRKF@YhXh!fqVI^bbdeCtNx(j!L z(Xgp}H`H&piZGgPUwsX^qgQQ>-~F3X9JSBV@_$NxXqzyr!U3(-iLEF3i;ITAr7sJ) zjacizCo+iU=QpJeOXqw|)oF@tqJ%+7mZ8{jOSih;Xuu!jgV-cd zw3B?{-AK6^>u;Sxg8lR09G-mX*Lw-WHZ-a~!vZHi71a;SC8YCZ6wcWE7m_?@{6+^c z@J=itzJBND>Gk;e{P0>YZJ8o}qrG9033%d|`>w~wkvCqeMp4oE+YSFox{UhKJ@|6< zoy$6ySf%J#*TH>dw^MW-p5?wT zk^w%n6gt%pTb0#ntgT~^=YWsPU631OA0^Z_%bhNl2(b=6&k_f;)B#sCA0-v=G{q{F zRjf?ssWJJWwlYHDU4vh81hnBJSz6fnWaXPDDyy-#hrF+yy&fN) zUZ1abRlTj8h32cznO|%le76-gH#eT3;PWEjCL|jS#D%nY%VNuZQ40kLK3cO#up3qM z)VRXGbx|b^F6$f>k!6xh6%r;w@Cc}wO+z2nu=}}?b?rtr!L5a%UDhFM=~nA zyv7Ne4?UYdPnB9Jx8#OY2KDtx7J6?CTzPiM$-sb=vGDl#=Fr`){jOwD#|T8o+6gNB z6pC+b8ZvG!ZL^<4&N~VM8|N1o4g&hOd>SFt$XqG^iYspQMUYk?y~=lI{ucAek%dJO zL*^|lnT7;pK{d?pmr&Qok2qLTI{}Bl^9rimY&KR z5^<5k59SXKGQmZ%Mz4IRVmd*P^dkyM19Qs2fkbA8Y_V^_g_=!t!9_bS$o9#yFs~KHNeu{n)0PIW`YO(W|wO8h)OG2IH{W0+6gj45MDJ{hIMt5Z7utZy%aKdUKJsVR`UbYPl{Ba z@6C*H-Oa!4y)BKLR;1!0xlR=t((z(++hgmO6ZK_=b1QB*M_0~&p3RcK{#g2ANOzrh zXd%;w-~x0s&%b+6+mUmYxPi^NLL|+%U-9LF0~eBAEX2-U;y7T6XVN1kdl_bCy`ta1 z&sT0B6tXR(&gMOv0_mn@E3>M9k_oa$6WsJ>XVD}xbLTu- zhemHdd}ifG+>mai*fC`JCqBLIn?*H;P{12dX{xt8>a+T+n)Sg*&wJ39p-JvaK{x5k)+7%Es~+`dKeg`U zIO)s&_mLkI#Pw~&H$$*d*{fX=GX>@cxIZIi#wnTSS_7?G10i$GSyu*MX*S8a5p{+& zaIk-au>Lw(fMbXES%W)%%@uZjdQQ&2ht9#c#ld;LG;DVq-4r!1%4Jak68>EtzMB1L zH+uq7SOYFA_v}tIU~Wg>f71Os27i;^CEMr$#td_QYZavU*xHH$7u@Ght5m5|B@T)- zyk0tiwBPV?T)3|=7*jT2@ityhBRxudu0F<*wf|5gjRv*>=AEy_8 z=3UYg8k(t~=3TO9;F@WpW)pG{7{VP6;Z-qMo*9^EW{iV|j7;2UVYQI}P>)F&fbt@4 z9AM^2Kqtn~K=NirWc*w?@j5@_1Hk$jAOAn&rVJWWIAiwLJAXXT{}RZ0KJgasV&6nhVv4^W+UzWG_v29X!>&ScAHB@&)vW)I$R*F zqSG_<*x@D8sjK5Din0q6)U8QU-+SF3p8Kr^0ADIsk9b%38k}0bIDufWskHp5VSqx2 zFsJcgQVRKy9d&#ONHnX2*VKoNWkaQ}V%&**k*R3PDdRLC7fSk1I(z4gn!1vJw~CJA zQc(qS=sQngCs=(ooa8|yZMfi9mhd1~L*Vh&C3k;zkInyE^ezu5*fUbtyms& zm0;@sKl0u&xVAuDw2W=rPIheDwryKGwryv}wr%X#w#^+UFZ-N(`@DDi_PPD5|MZVJ zt7g@zRg-H~%^Kerfp2K~G?GO#C(tSphnvJtJ3HdZ)Oo zB8x&cLO!8l*}4xAYvd!SZcx7nQt`>_eS$}#`YefV2mx9HB^-l#9#n zb$%6Wy-9Kh>w#LrjRW{d_u5f?idNXJWb(G08Tj13T)F}tGIf-QUs-b$7A#wc(`|M` z9#A%8#kGVo!)D?-;lkof&$+yb2`suUkIC8H6lc6A-T16SzMTd|$`rZ%+?-7Cse7dC z?%3Shxt~WS|Haww;KptiUN|GlQUjiT;4N{7(aW4F*CGnyaa05HVp$;-GhmR_dmADT zJlr5J71g3H62Sy%SKAJ>=P~;O;GN#rE8^n|8N;r4IWzKds{h{2xHH^d%v>w4Y57dz zOpkj69UIii1fdmPuk*QwOe4Y&?CVj3^@E=8UE&p<>Gd431w7@GpNDuZcRA_AI3)38T8ffDSCZDlf`Lt2z*jH5IpK3_Q$G))HqQT(3nQ&uDfiyf z!Wp1fl*iE_VWq%u*unlyc5o_2PvGJxZV7>_D3aK<;XGhv3|{DhE&B$guA#7Da7iqT z=gcWZT)?_aRp~eySLgzPAGj`&FJ#543T^ODl?|!kawt}KwxCJaralWohqQa(BFo@Z z#MKvRvn{DBgi!&evs%eIjBCx$wr*(WSkoBWWf|umGnW%SNwbrdbLG()MC}?XYr66( zqAdvDM-pHyadK3Ifkf~fdw-SMQ_h5sZ+J4@7Udg5^BPxeeU zZV%ArBq1)Smav=TGH&3N=`xe^F(0?s)e}eF_WPU1l!jx4(E;9+1|cOg+a653B#RaI zNE{c)4FASarbT?g6STK;>K5PZ>M`U=cUdh-YrQxzkqfO2UALjBwTU>KC}{>~(z zy`qDJRN7E~)$-oj%N;}INQ0k#RO*H+4jA8uj`4lygSD!-OeTTbZhQ8wpHOgYp^YH} zlzKR!nL2bFSsU~?KDof6aAq849F?*5>eNnCNR<3Es8(A)QwSZx^85$K2!-~VRH2cy zaxKD#9`i-fO5`!o*wkTZXqmS3Q7a}DEAZ9P%uL)upR^ON%5uiQd#nE3yoY?vfBaz~ z!d$T?&g`m=)=Nx-@h1O0Eo=#EL`wA2&-Zi7Fqtm^{QBfD+5etn%Ept4?v!urF&0Iu zY?U&*L&}7yu#OqwWnD+SbXuC17hX?<0dD!=1D;{EcQ>Fdi3~XqaE^`B(5U-OVME1pK{N{Vnp@mh88I zP|NuYT9@mYoOiqThYAqxibuXa|BTQBsdu?ActyW;GF4Au?2^-K8Y0ZiLme+%I&URe zRbeURT&M~uP(isgKB4|~W$vEw$-sX;u^d|M0laIn`tl4bN^XjqZDn7M%B+PyG)#@z0t^>-L1c4swi`UVEzWrzm?7YldM} zl(BBNhH3tLIwv&5(F~uNKbEwlI{8X^ zHi#ITXfFd< zc6oviDvSn2eLzs-f<^c8rErXjgkTzs`CIya{X_$cV9WIWXE#8s=z9Ls4t{dPxmOrp zGeZYhq|f2L?`|FUr0wap>>z&j6&&H=I0(SdVQUBJx|c5L+~M%q>Gy!`zl$9z%=~WV z<=bEoJQMj+&t-HrHF`QJ8uqtHVu z3X(9U+=r+kDFn5<*;fhC+qf9FW*sM< z!Xd%!ftJBYCBSXVoBNUhSi{Bb!+A^_;@U$b2I=+{afc`SO{kPS+Pd}p00DAmel-2J zd;OOT|GyFT|KXnf7h(TTm|V!w&R)>YU5lRfTg3Xkure@yuh}@h*E)1!j&?5g|M0i| z6PcGWF}5)HfBdw(f#Wy4Pr%Hc8>N{{8a*8KY-nr>Fnd{U9EGp=M);J%ac-rB{bP2IRK!g#On+YnuTedl?}Dh4Gmu zpH$VGpdBun<-6@+>Uu3cp5kGT_#m_&#?{io)p9>E!PM~E%x%5m_x+%^JY%X zwjJ$4=Hc0&0?!U^j<%1=t>=XjYh}kG_#rb?!&50m%F``uGxN>W61^5*uZ_~fZPsJ- zOs*#F+xd!nH#N57^@?@u$A{CgdnJ~)CmMIvgFpq*`O>VbNV+pyrZ$>jP?>>uX(baOHET*auz7yvY?LjH$emyk1 z|B!8Gca94giq}6;dL*AErg)yRBNH8QL@S0$vw?|PbFXvxw#{>G=EeiOWsdYC4mDFf@jBBNSgma?JbnGTd!%&I83BalnVq%LUs|p#X2T{{eigc`AJFw4c(Z_9UPnnuqt=NCsaxDtHpvSZdMH76#qXo zv_8<{9dx`vB$+&tU$6vy(3YOK0^MpjPOkIz%ogAy32+WHWk}nGCKmPXhfY-c?c$=&-S+pc$mkNj#BB zK5{t?UKpGd3VbBrEd5^%?KexG^3Bq7k%#|f=|R3(dczwNqQ5NtKQ*+-kxrC_dcWS_ zt?2mIR0vAGyg+FYg|%cq>mmKWL~%r!L*Ml?iZ@? z=MW^!xUe~q5ahNR&^Mo5z=M%{4|LHk=UYR&bU-ES${G2sp+!pjQ@3#^_E$p-^R1z! z!tjvzCF(clWU$s;zM!UILe6QlsN>MDMqlt(L+jHVI|d~K#|h~rO!Q9;EfG;8KRA%w zJ;i$;lXk*6Mqyx3&{}kH2rnA_9Uj0z>zL%CBj;b1-t0eFdR1r#Jc=9o!;H)h)5HeG z*N9Ff0tP|QSpjUM>BIrc#yrV6f}OY>6439b1V0y~`H=tMm4gzz9@D88=g3c0qrI6O zN*`AF51HRzl->ZSk{}L1J%|{%6y)WvhF0BlT$r<|6*nw+OuCVNX2^&}Dil`X_?aEQ zRZ0k5e8d@tdcyaB+L)3JoUvUQ61i-uN>+AfwfZKcw<=-Bs8uW~T8_F;QuH@YDu+9_ zmIN97H%Twelf^F`{eM_!ogM&{{%N5d6Lmy6|KCXZzZTllJk~qLfy-+3(J?14CDZ(< zp@R$I5|cI#pC+)QujO9cJR?hfbVhHanIVS;gPt5*VOk?sgnxqcS^og(X@m)XP8z_w zTavRe4=%dq1ges0oOW9QX#~pMH5E!231eGsKF?`BuCHymbpM%hl!@n%|A9^gQMV8! z3g>hbz}6Mz)s~D|YRXug>YzDD?4~i%C+7t6$Y9Y~M)vbRB(%ruo-bRbp+7STRt_1X ze#Me5{pIM>@7n>rzca9bSVHi zGyu5-6=%d-PAW{^sUY(7HbCjyNelm%q9^{Z6uoH>q^wY5#5|J9l7wu71qJ(-$5-CY zP9VERLSL=Yb7?$XV^HqVHVd1e`H+&0xsMqbjssp>15$9#V|&iTS~z|9Yluk zp9p=rgc$4DLsWA`!+frp=!fW@oMrR7zqE&&FAPkZu1V>HQiq7>JKbtoh0=6JQ!GbV z6zd4440?@aW6@rnUE{p#3AA?jVO*MYsRzQ$c|R2!)ST{-!No6QXJcxdS8IS{6S6`B zW7wo68(}QOZVU=o_mt*42&6=Rd?9T0WQj{77XBDGknOoX(|a?4xs5k+AmUssqqD~g zV^WKt-g5s&&AyAp!zgzoGn$C@U=+pN#WPPSJv8{Iv>oLV$p~7kN{#$n$QaZRX%Fnavt*c(;_E{8QXSf)#NH}&_kO;@NvrD zqLH(0Szot$boFp_eADQSx{K7u=;M$8Zb8u`**TA25=BK7b2(9~?6U1W@hzR+}S=lndD>|}APj_qJE%V2KsD0<> z7BGxn!8d!!rMEtv9$LE&He*GEvB_o}4V#n~OL)E}D)jy0jH|V-o$UxuI*rNv$X)Jv z7MXRh4_Wry4%EY(%Z7rhx|Wn1=Ds*XE$j+%$pv^;&0vIbU_`8hThCdQy^FewJuqkK z0IBv1w*ja0mUzkkd6Y}tO=QrJ+jZ;0^XP4g7bj`l$FAf+9EZm#5BG5XK-cWSD&4&1 zRa`fTSKXtJ?-A6jt+Rlp#A^Qej{PhVeq0wAnS=^1CWPMg&yKsx?em?KSS2LEbsT`r z7=xL0=1Yak{W3gctj}ZISAN%8%0YAVNzKH5OhpJGKLE)GS&cTST4iCqVgh)w6seSv z2CBxnIjY_#ueSg&!74#p!`#5t6rA6cXu(_7yu~-Wy#!Pv@#7*!Q8rQQwAL~SZ**X~ zK$`AHq-N4Oyk)k%hPkRyocG1n)-jJ4g}gV0E6OBk4t>d((sIV)`RICi3`x=19?rX` zg{;90{Ti7vCUZW(LC4S}-ZEsBZlf;(um7(OU8H;2hT@{`3D+8*upLMLGQcZ6^^E?7 z$(NG*k^9i)|N{iGRn=O#g;_rka%dc(tz8Pc5#sF^3AS6!n$#%Kb2;D9y}2Z+;7 zGMP6(1l+La!^C|^JC{coH$ceFgTreFOt&u;#_3eAKj#(j8WT-=M**N_nWr|UlyuHT zltKpV;rR<;7P3jfsn-!mt0vU7Rra-g1g^n#BU?gRf4Z9;wfpL-P?jHotr2mk(l>0Z zWR%vocD$kQ<*sOBQBgc8@^{Xh^|a<0tk;NK)f-3WOWkZG06DVmVaiEzrmE{4c78vV zCVH+e#@nCOxqSwX=+rS?KKr@yik#yg9}n&9g26tH?XSBz?83!h=~a4ZkJof*T!#3l z%3xFdMk#=((+RDqpHX4_NH6k|yeP_^n2%Oamf0h|G*8(VoyQ8Ve()m%9SwHEi|jj# zWWe!ec%4T_=U@EsT!%02Vtr5i+`FVcQ()yQ|Ll;fLBg_;4P#fIaSL_U&=5MGk(|>+ zRfW3z1xGGk%yO1&rQZnnd@hbWYQym#G-+x>ie&teFzcNCFm;H*C8 zsX*5yXpJ*&Yxr9i#ZarIiGUSAPKmU+%R$8Ow*%#1^Ew*xI%8soO;v&jN%OCp9B40S z$uCFlm!O$&!A~{8uK@%fGphEf_@HXkMWo$O$o^0RYirQm0dXb`(A~#GA_$L+3W81_ z<M%C+Y(w@PFHOLuagW05(-;FWu?$(0RJG+K`z)mw>8u# zxfC{rEi6!gRV|?2wvK7m4irfBT%DYuMdnKGZ^jD!10H%?lBs(TRo*g|7z!Q)wnAZv^@e`|uj4(-0WfZ8Egpf<_kn-A{{~slR2@198g!N;un8rmOz&-{ z$jQb`joR$@P@OI4Nzgb)$TP#nBCaMEA^Xd&JgyoKm9fC?EKU~^gRvOG?Y&)&q46gg z2Af5dtJ9E@=3Eq1S`ev@Z+W8-K-hJTX?6;)6lIjx#978(*eYlsHA zPp@{sNXjlESIqz#_mEtVkiGWw4SMb{9Q&9eIM(uWfd#x;bUrO06KzI5?eIKE{mrRN z<>y5NcbY^;CfI8(YY)JOT|VUYobqi<`|}k9em+nB@-%)vm)MCR0HFEhJ^5YkjZoad zQ=pwbPB@xg{*c@CwYy!!4D(bH;?!SUDRoiGgJXmj-5ji718_ zX$3&)$na3h#$b8C$+c5whK>@8x8hbRCCS|!CGM_Lqo<{!)ON6N0K%<31Pk-hhle}d zz4sB~$;|ra9I73Ar(q5hxGs@syHeAW95?+6_Gm`lKF@A%^Uj7yDu7Z|c}`fbkaItW zF?hV>j@!~;tgEq_l%XVLu0}@J1wVcR{&!$hFE)+CM+Q34lra%=vvEcojT`PR*X~VT)z74#+w{_276s9Q|`VuoU>V z8meA-?F9i`(eFQGiXt6$60~Bx3v}v>X}wg@muA$ltd@X^g@$Ox|Ge4RZoj8bbp!=2 z1(6MgRW*qZCeFz$c>rT?dso1L=P(v+uUcK$g!1#Zd2!4dr?PXA=14K8eyls3ePJaN zai2P684*NeUgKZ%#D#q{ezM`sqBev%30DJg_YOn!x?J2!=yD!s2Ju|31o>oj>odx5 zjn=QGFA`|LCRVoRTaiWI1=x3pG=`9W6#Q`VOn9l<_3I?4YE(upyOv zZ%A`50%3u^nrf;Z?D$({Mt`Oot5JX$(YJ>_8zb^ zFw`iE-e4CVwUh%Q{MepH>GJf`$ z1j8|QvY6N>$xDnW?A{<4unZeH4qf7D>O+g>&QB&$m*ZX^m*RdO5s#B}$Bbt1jssbZ zTxNvF6A#^EDoeJr`neB3`Si`~JC}&fr0dCC%Rp8xXrUew^I5kMHlmv+4v8zfmTRU< zF@NHy$O8JJV|Eb)9ZWa#w_V{;;zIdDIN=ClA1}aaamdsU24rE77%u;C%z&AHtvwf& zsLW2WoYV|_)+Tub`}qBC^QF; zMoETB)7W`#+AkyR)RlJ#e%5@zc=&XCYs`=uH(!_U$$ zz4CO0mV%S>PVW&cMMN6{%H{DnX;xc~2JCQ#@?+TRyf!Z#s2)f?0cSl-xlVs$R*}wB ztaygIUOdO0;b%nNc%)ysYOG*$Jn&jG4A5+0L&Lcwf(3(!0uTPT1{0X69VNAS9R`ky^Y*rMJz;4z2DTzU ztcrz7SN!m_ZtU%(f(1Yp%a^m+k=sHzY`QWoKXVcyPjfFwKVxZ<41}&X8x1|m@aSGZ zY!PLLcfu|E<<&fHR9gNh5Sp8x$0#{GRA&4x^3*Rexj4|XzTcYL&)kEZ!iiKAysq_) z42&^0(5ii$Quh!ap81@zrGsgK&eUIBmJxb^iFqOvFpMn*$RuX4GH#oPmdPH^QD75C z4tG4SCyxTu;K5GhMsLsDxTu-SYG3inX)R%+rnt)P*b^Tp#WEXUv6mvynx(d9E>~CSE;2wXWH;_(4g!7JfK??fSr?-ALl^ z?Jfn7SMOiH^P`?5TuUtP>3^~5WIjDSYG=x&2T$9b++7T!=n@6hrKUfyBCk#!vl+K| zCa&Dvk};Xskd#pRrx*PQiq14FcwRH)HNCe0W|2g<^EX0CYjg)fgZn|+bABF%5eCKHhflZGN%!;# z^ntqLg6p68_1NW6Yba;((!5{o3s9L1+3E|rHc$e*B{G$ZRfcL6_b($ zg4M1OmtS{D6PGjDsh$yYPI`MGu7uo1N9w<;jBV+iHYL(4sHfctSv$W3iFo&eQBFO| zc5Qt#F0_9ZzY=w8ODVCXej7PmZqxB{AUk{rwV18RVb7I6C|#Zo%rma+AFm*Kw(#Wk zch8QA$0i0Ej6$hEQ3ou*X;dOc$T%sA@GHQrv`%-%D~6*Y!MV*F&CMq? zoA1r2X^Z*!4*lhW`3Bmus5JE>LlZaF>%9(bJ{_L}9+y_;`65Ae3siN(Djlv!{>GdS%u60WX_UiYvy)nNiLtqbvV9C2TFfT=Y%{_qCdZ z0`1Hs4bVN^(2yWffZznWlVg*3`R7bbyOuX%`6hAs%_K1kATzW&CrJjzx>3iDS?t3T zU)<)&p`I1_iWU$$y5{p^7HH+=*ZQP3jBJue~EYd3Qhc~JZeuf7Jlk_u=$6vk~z z`8eDsepOB-7rnh&L|wqvWoX#q$|*WPMKNF^!>(Dr-I^F&f|{RZV02-f2B%heidwu{kAiNAa5cZgT8Wk^2@%T!7R)U{6 zW-M+psRO%zrkvx7Fu|C;eSaLEfGE|8qY7UnM>VMn&%`Iba`la+TpUHDJ1qga!-&pb^N?J`-&YBS_U7I#1XmybWkd)=3 zws^Xe;$Uq5qLf4a`waOzFN}zA(kV)`O@&cqM8-;;BoS^))3{qA;pCDTb5Re=6ps~B zK@VRaxR{1}x@+T__9<4M0W4cj?;MrZg*t?xs?iW%2e&cRZGp@Xvp2{?*8|uAm$d?Y zyJ8S+K&N??d7x@@2IPiU8Q$DwyZG2TA0u+7yYG!uyLkukd?y^IGnxG~HM?;BM&r@R zPIqKz=sg=wjKvq6iAvY|-3hA}5Obc(qeXtjj$269gjmJVwaM6Nj!QI{RYzN` zHJd+*g`0(#bmlEDTxG8FpDZ<-#n**xX+D`$N3Mz;94CQA%owy0bKp>Ho? z-~8;^QqN64JaJxIf8&tFk?RZ7g6Heg7~%o;4*Q0A>a9nu%J6AkMUw8J|U z0y#$XOC}zo46BRC6syFtloh3^;vmJT>q3c%m_nEeI^mux5?|ZK&uW8uiu*Rzj>_Ya?f7|WqC+s5 zYwP28Ek1MPE!GA5Bz%rtb3(2k{E+T*{Q=^LYv8ScZQCX5WBjFIr+E>c;=CXO9k>sylYTH&GDfZr?POhm)8k=w=}zm8bk3p^3{Q@6$Kqs1ju@$;bJb6 zc#l7SkTqd<_lr}tIpT_RfB#%yG_9P>3v#KHCg5mlDM+Pr8>I8gFuxM)gDd}FdYVf0|63MkgOe>(EEms*hc>B%9&ZAH3n2tuJ!p8|P)r2rykZ z9tS<_&WOpGLBiMkzKXux z{K0i-mC7LCx)2UgVavya91pJrg>GkF(DZajm`Hh2A!^55nI6CTLv!fu1APG-QKsti zhc_e3i!{?V7m)`HHFQ>d*|FI@i)25$@^HGZYbZ}1;q#XJAbdWqmlQ0IvkK=VIr0gm zsk|`V#1H$P9W4at{d5#~QB>8z-dW`b)!#WsbE}LDa5e>=aY5=~A+2p|+w&_Bk`p$W z&C3_$;W*Jrf#6H$L0vb(kCSc_ybccaN@`;lk@QdJxXv)kIgQ(o%kXUKl{;_EkN1bN zD_*hM!L5FH(XvBIe?m&df}%(Ewh%huGEU`Ur(5)BYjO*6GD05(E>h(2T%y_XNCz!F zdZ9HXEv+T0S2a2KuPc3ii=8;}8X-E+(p6Put=Zfd5R+F4O-{*XcxA?VpFqB5#YE|> zv={0)F~WJB?$dwrUkJt8<2ajMkg%anO$igU5mrsuMxe3H#$)@2(A?xh^7Td-(@SH* zhF~)NAr3w3t$^e+(XjhXV$R#gfhHeLPS_VW3Mupng6WSl2ASKB(-fKIzig607Ej1! z0Ew)k`YC8kDiQi3CBXO~JS)2%A4RtTIU93jd_f{YS`p4AL5pOUxOf2u6@ES|u->2< zTP=L?LS+=LQVMuu$1sqDBldFkB*CDwD~$O2rP)Srxs+yL+Z7sVv=%3GboMC0x&%;{N zOl#=xnHo^t``*~Yf}a=a3+lczT|eDiO=9|~Br@1{X-%O6^|X{lYc}*MB8-)FtfMb# z!GJ^M`3UG+Nk635iH%R~CC<;2o831mSy@vd6Muu1R-jkMtTus1Y`-p+5x59BZV#=*HkpLUXNovI&lw@CX}?zx~3Rk>HF26{+;8V}D1=Kx%00G^U$ructg zj&cA`KdTAMtj}q=r#yLnf?YdO^TG=QJRhBxB_pWASCuQ6r>q-uG^MwrQJ%XYW7`!| zq{wt;tc7nT!A{f^_k~Ayg>f3t`LJDb%`(|`G-k?*5zT86F&Nb0*p(oSy*p+=h=Ak0 zL9SbD$MOZUqBYN-+JDMV6C%fwV|R>2 zC~xc!0?eu#q0OV1bIg=>174SqmS1W{1i&owUrlVp37VWaz{bv*iXP&GOu>lY`mdT%0YeF%?O_Kkf?lXsI^bw(38w_pMDj*RWtQ@*2*V>s@j34BMlE8NCV5k zkuVP0n)ys0Re-W*N)f2mU2)X~n^bgRX&3}Tdrkv{54(l#|21zDss{(^on7%Qe&CEc;|N9@RN?R=hS#iJLPg1COU{O#Dj^ILcw{A`DXB$>-6gNooh86XdqNl`$&pm%g!J^sbx! z#-AO%JT1hwoo$W1ysE&!3KnIg{3bey(5;jZu({=g3b#jX+BQWkv>FW2DRMko`6$5r zo*j5d952Bm8Y0t6#SeP@E-x4i7)Dxp9G#7-U!%lzE;4yU;B8&ZgN+^ zV>6K=OvD!H7PsUPn;?LENV@8N<@n<-y^Xm7hye*JKILzaa|UWVbtS?449XfHv$)9> z@i;0wsrlUi_vJzL<41f%Sy23AA>ZB9q241ncQDx}as?4eS|YzT4!XQzC%eODbvzs@ zyr7-_E~5I|-U}rD1zRJaMTf$f|NTrK^aX$GJ#zPq{q)@0W&)%fk0jrFBz_;4@34&M zc8hsp0ErSPS8+A>@94MiPc%u?=K?+F?3~tV z^p!BG`DdiTg*S;pd@n~-9ApCVj@WuC1NWX-U2!%;kG-J0vd4)5Sw2 zju7=4a_BOKV+Ya(!#gf6;SeyViofb z+VjT26hPNuy5o0{fVn;AVv(EBsaa-3#>n7D7P)+scX+r_k{oHfZycjk zw`kA0l=Lr(wi>rU=yvnOsKqfipS7Mq&|QnVgRY}Quka#8Hw~E2HTx!txFNB-B>M@i z(=Q;|3~1P&@h2yROEOR*U-l6%kuG;8kpBXNG(?U*xiccr#;SnjXcM}V%|baTs26;G zk9jqeAW2Z)%X!!rT@WL@?j%u0;_bOzMmKGFwYp`?|HI`|Np}6b((F8Y!};U6#UCNq z;1X?7+Gl=ag}Dx9L2*<>274oqa_VA1*t*_bXkIfOGFOC@$HsMZh|&{9QkK5Z`khE0 zb-SBD7PjAYI6-L}kU=CDe@7tjt1~tMc)A9f>{Tu53G@s|EaSKQ(!qxAT?6Hkb0Bfq z=$-`rW&vBco0i8-$qLN_0Q}EqlM=B-QFUOga4{R}6}co(26gs~z8T%Q%(&tE-;)ETJ*%5`35pPvhwppkR9EnEGW;zXi?2=TQ6?PRmd-ZbK ztQU-Jlqw#2S;4O$;%h})brfYI@Fvty$zEEUDaCUWvwwyo35^E`#mY|5nw-iMC**@H z4QyKJ(afVrQv+YcoB(!S?aygWp6lb?V7u3*fwDV`MF+G=B>X(Fj|k;qE315{UJF(q z&jy=VegqWCqOtoVBK2%bUJKdY04pi&7V@0ea=bxCxbr)SVED}9t=9-11fGK8Y6suf zp*AJAapfoHlEgm)#}b^VF=9mm>xV{|vx}>b_U6ftGZzZlV`+Ke@dJPkPNRbK;^mOL z9D=)mR;Y3Z6E-dcRGC90hnS|6nPiB}7$ae(3o9dF<0bukX_wEd9)EDCeWHQBh|DTk z$15q0vzwz-?y(5(C7Un8t^d`)DJ_tZDuc6U&=k~%d52!?VqiRto{4*~;M|zj!UHYi z82X6}a}uZ8((Pmb$2O4-z4%!&RCEAcwDX@G07^-Dq z2k0vxlas?NOjsG_gUa4z$J5Rbd4?4>!$OEqIiXDtku2&%Gd@uFogILPAD{sivf@z% z4``j`fm@r|a-cgjkaePHMhYsEgBC$_LaiLS4DQnpi{WO9>?U^xcsxolpKKvaw)1#N z#kBBv+0%9+x?7^?s^8{S=ysvz%%yt?9r&b*N0a$dcy@(zL>COz=w3>MdYBeH2YPt4 z^_68#919+Q>z+K|0D~kW;e2k45NsSu-p0|rhq9{K?nj%Q2hc$7ahK1g?JYq+$;Ja* z?pL@dPb_VqwB1*7rO$}#6@;wGQobSq#D_gwqM~vvme1#a73Q;{koVrz5i2^l*c%pL~42 z{2n;_j7p&Fm!l%rCh*~ z88xaZ1^WDuh$P46=$Cz%^-bA?j6BXfpv0*-zcxpD=0Q>{Xi8gIb?U+p@=UOF!SwK+ zf>>~B9g1ums(5l1M0(=}k24tFGSedxY+EArZ96Zl%M<=e#Tc;d^ z+n$n1eR6sD^-7Pe_76a~QJ%cH+s3nmmv`3+k~gFZF*AK+GXi)t0Z9E2(JV1a3ITm)dH8@i zq>f7P1gv5E6#V}s5qYcSINc>de~MQnw=zS1V)KnJ`c{;tXT zA|Jyv>n?LJ_A(1eE{!R$!nbGZ&NvyZdGYXkolmB+3N_t00hl(mpHRlXsRYT0b?NUf z6(&;r|E!f4;3c&c}9p={_+*hU5eS@s1Nm~6_U!e!`DOzqau&>509h{1Fq*>5#d zW*J2g3F&tVlydEW0;)D0Mu;+@3&V;`A(19YoC!4op)kZSFMUrMve++OV#sim*>X&v zxRQW4%35l)vDycekywbwoNp^qE)I*TcNHU2_$1+ zSvcE}L=y2liFu(5M;MQrOji{qSxeD5fqkIC!k94498$v+?R1^E2ga@pPz^`GF z!0>eJ##c6<8*`4}uvD`#7v^o{v2% zxufDxKS8ANgLK$vfptAY87N&v!%8R*WhCmT6Mrp}ie@rU{@ErOqWH3vl~PJ@Z{_3$ zf_D(UdHx8lQC2gE!+JYDqj10B9Vr0Y3%*2oHpgJ{0;w5rSl-~`t{U5DIlYd#lbhe& zEC^eL0OAlJ5QbkUM3oUWAUHt)g=VPN0S>H*qQ|FSrXqTcrZ|F3mjWAkOSh^}QW0+%T2g zX8%Aul@mo%lH-huO<8L~MnZ`JJ9grD6p?Bnf9{p8WG9#wL8H-I2nubz7oKwe+gB1< zQk>olHLYJIID|zL_FEQ_FVn2)aWohQLMCKGMwu!?$#1KeX6{(#&+<|#P`d8HVByA9 z{9!d&Od4j2j3s=@G@&U#7crq+s&f})$w96XMwUvYUL#4RUM7_b zq6Y{DV2(2W-xhFKzbEbf&A`}ynJQ#q{dZG^e*-C5@ zHTds)J8X>qZcy+a=kEW-pdbSa%YPjdM3zAMyquS#+hFx%hL<5})$Y8?uTr(Sh6fXJ;fKd-a-_(%D1Z*23=>zQGbN-W-w9P1YJ zik5RJmu_|2t%~Ky#KcK*mn)^zdWErMT9jOsup(-SqCzgatb7kMeBV_cpB~l7o+>)p zWjD*ZgO*fuyJ|bS=H*PS$LHIzd8Nho6386T7yYDJ;pBOpTanGG%-qEvGXEvGGxQzY zvHA|~xXjbYPB&_L_rBA<29-QYdaK8?o2bLs964WUk18|`o1ohRMJ_&j%{P*vSerQ& zLpCeJ#i|f@lFxEi!BQI1j+SGJhDdNxgF6u6`Bog2dx-donM(>*6t6yJV3}K9SsV}K z{T&jtOl7#$nMaGvj$)8A&N=kT-`-AC12OTu^{PRH z{C-k+AdjZf$#-uD`@6RTnzm=pdBhlF* z`G`StB`sI+V?;E6vGXVcOq#Jpj}Z%NqoBGNa*4%%%m_wXv;f8OTVdHTOd<`z!*H*} zg60TsML$qq=y-3gO;Hemf@xREs zr|(#wMp4_bZO_=YZQHh!8QZpP+sTY=TQjz8?)=uf-o4k>xBr0epbvVCK6plVkE-r^ z>Z+R!gL>Ekta05w420po8$mRJm|aNiC5B<|WyiexAlw%7v1{)XGWD*JaB}1)bvw^# z0jy65J08BVgkVffxtxAs7=R11{agZNq~*WNb3aB9W1i1ioGPR#kl=C!#^U*>JUM0= zyYb6Fd?z47S1j&&DTbrN!iCvlB!&ccy}l^JQ-Xt&BpEZ-5Mg@nue|`{W1@Y~OxSsw`O^*JFAtkm~y1BWSx4a&XlLL4NDax^;xLm-yYZX0= zEWvIw0-V0#KS)q;nUw-pWu!oA(u|EzYaf6L3|9+Xq2lu5c^MX zhm4;|B>sBgOs;2_hlxBkU7{;>!;Hg9K;%M;{#(;f$G%{5U27s~enbejEE? zm0j=vgNVdhfI!j*Mu7y1urmTk#_`EIqWf8tNCzoJ{`EIcn-qox*5MxhS8pfwKBj}& z$=^wOq`v<}tXnX}!4ZG47%ENtpWY78bW1Qgh;8!VfAn_tEkM=B%rW;F!DG#+#z2C0 zmZS4Sk;C%qTO`?aE^{oghoZ5ud}DZ57uVOhn;k^w<8g)>W()Is134{DM&Du&vG4fKNQPb2dMTtT=9Q`wO$@OeaKngH_aywaQx1&0yjyDT9Ke-(& zyNWS9PdldlE}BJ#7~SqaYr9*dXx5IHWurzhBxri6*rMj~WBGK2b(m6zn(BWe<*)ro zi{npg{mo@XyLIXN{$YZI|1iO)-Vo~)fh5O;_lg|zPWd;V@?W$`HHL;&KdBvU^8G>R z;sqY9){zXSeChU)8ag+J(w(5qfPGh`ia|~LJ$d`Rrhxs{>r-UH#SL>KkJg=}?wwXo z(9(?m-3f~R?@rLSc1K?*iyD&Q0)_XV+RmEXz2i_cg66Gq<~*mCDmKps$*w{FPi;rS z;m;AEQybfV)OJ#SYC8%)wH;ss4+wVe7G4;3Qve(#e^U4+@GLKQd#5!(99QCLzeKQJ2_-;qNP-+{_X4tV7ntIKH#fJ~WbPucE;DuDA18P=GJlh7 zm>|U~pkSyB!6OuAVkL0oFKnz+gK1NGW8J zvreP9=PMznlkKr=^&S@}ZsC|U?{r%ssYQwRF3DvR+TEM14(C4>l<}|B4iV9%37$DN zNgy{@Pr7P(Yj(-p;h*aftpMQ>qK5(U3BL{CUg9eLv0l%*dIE=0E+c;-~D zNy1i_Z-IevB}0iW3z?Jz5r`^m(IJv0T`g9>L{>fd8JeQFj_O3FY01%q9`=tD1mv8U zIP!Wjl$|$|J9>Co*>IJe%8I^UnfR5dv6x01slQW}LdD=LKe3&3t%x`H;fU8>Wcq8_ zaV5U2qm3b3jp=b9i`b_#CvnXz)iIjGDy4=%Ix=gg5@+pvt-<|iPr2Sl?y6-)4ZX73 z$GzU^{2=0r`y!a<7cbp zmvhrbo$d0Wgl%2j&bIEZRb4yVrTq~yISV1iT*UkOl$2QUr~w97nja>Zo*3oIP)cg^ zWFhP9?c)7&-?Ehz3WhiQT5fMmzlEvr zP;a_iEiHb7zoTks@QnX#Iv^d=eY@JlzH&(Wr?vyJJ*gG*Q`-qIS@4FLs$wk^e%fdR zp6O!u{z@WMW5R}9=C4*#l>mpr2(UNN7Mm_SIy$2xG`V$9w|Z+v8Ap+nD2=f;2skf; zERZimrzUPXDPD#&`FLw=7ZHyxH-uLz9xu^1{GQHPG530uaVQ*(rc8n}@kf)lf@^!~ zIDk9;sd>d5^Lz##Aeml`sce%xLnCtAibR`ites^!nKKdE3dKwFJ9LP!lHfx*SQFiT z#uVPZv(O~j>M1JsU+aSW<%QCllNs*IMCw{L6`uYC_&POqe05jXeLGx60C^^BS$0{} zyav6+@0v!q6{|dSBE8vcK6CVpkba7itlQ~vrFpUW+l-3U6BIw45{Nwe1?i)MbhnH1 z{c`#)M{j$)AVBFgl&FjcrsmPVFxxSY5gW)ICQ`0agFta4NrfiDqh)XrE%7(d%e^5Y>PmS{)E3%Su;_1 z?xZIHq2pM}lyN5AT>d7@OaFEU?(>oQSaBMSEmq(wB4*`p8(AbS0eBSms0{|esNv~{ zgValZ!D`t1m(`j~^y_mOosU>iv7-yUgG6ztLT#wx+1TF$tkz&AOltph(6qcV&sQ7K zff~(bgGg+mq*a}BE0v2*vdxS(NXK|vFJcmQlAq~VRGqqQ*O81~{ECsUpLJN!R{SWm z*$Z+a{U(xxkMN*9I!GU7v}ay>0gjhHmH*snK256T?SLAyzbqQgrmrC0{U$QSYj$X; z?G8?17j?%QmS zmAQ~>8L@88J)rjig?Avru#u`+Q^chKJq~aGvQiG30rWmD+8tbcEk4jZ5^nMcesMx2e2J!5Lssz?6YbO>@a%^p2lmBPJ+Gq7Ise2S@!{L zDC^fe1M^S%rb2@-a5xW;6S1oP^K;xgapj^SJF!Q%DCAw?O^lBV*w*zRmj0y0YJk5_ zmAzmo1qR$teaFkjycG58eLG{9ERcyd= z>p)w9p%&%o=TGtO@dozV*|%qH@boH1>RpMIvyUGOOPu^htvWI!Z)gmmWHmDLfuQ1B zCL|jz$z6?mjfXX~SiZ$iuEXy!=b4ezfOFN*2%@c=(0#FS03(3=x8{@Qq*vp{6#)~2>y}UXcgogte zIQX~~tV;)ogD&K0NhW1WB1|uKg2YD{4OGTI;P?P7x_2?8r71}x+|<^B2H&jqS2LP3XE38>ps8@kh=RhQN?k7e^s7r7&|dXqwWk;Id#_nW3k0#Ou$ zO6(v{J$TTjaLCM}vIrDOqb3}p!E47=|lxT3HFD7^mSBj<`s)_J7<^iSEDQt8?yJ3RRtflce>1Wolqskx@& z(4Xt`E*8@M=5N{(0ZP!>>Mmk%QqAWMtHHA+ad^?jOU}zQe)A{sn#_UGYcpZFi0nZ@ zGCJ!8wDP615|u1P?>nWJGOCT!y^3WL?!z%QfcdKOBNkk_r4E4h`DUGu7gBzSCMOYx zA3N$frZeDs+sX;~$&R8f#IWCuc?ZZ5+h?0PDKrCtG*`PaKK&I7QtfjG>vxPTcR~jG;G( z_a}K_$q)YUik?dxtTa_(+eoaG?m?aFX9wIe{yGM zbY{5=w~Sh!gJioX25G@$_PP3xH7R6KR4YI>2~ifCD|@UYFTc7=re8bWRDf&Q+Y*ks zzFd{MmMad?{PeEL=3<4wYUO5J|8$gYetDo5!fT&BTojboy#5ZZ>aER5whbNyGXcUG=NLC(3mblz*bd zt9@bs$qdS458{x8?r&!L3ZrQOW8c-NNnsY-Ygx=@m6Q+*(MjbZpTRM1?~@2-(W?F= zc@NZU3QYf-AHhW~KB^_FzRrI{4Y%eGKy-^_Tbz-&@h8%TPc&N` zt+dZ<*}mNgId6_`NusGpqLfDcve6-IdSqp|Mk6jh%OJECC-)wq7Us66QW%kZro^?M zEH^rN7xiV{F?O*VX|bF8n2OFEM$C#%U^7c+&#lWEXJHQvQ*2$t-)SIqZoNA0F16@XMDHtWGq!l6H!{wgh?-tE8E#lycss1&Ss*HfUugKkVd9yPh&_Wq7`MHEn z4Bi0ZP!y{pR}`wSjApS7VQQCt9zydAPiba=r0+rdCPlO(U5q8$#*aRer?jck&OKs2rZUx{+9U%O+P9` zyxE$feNIa(0P?;fD7XJ{;e7o1AOH1C!e+TM1Y(ImwwDWJadsqDSC;v4p8Jopi~JHU zx*0kah8MDVpjP2=GK$Upte6k&wcpR_sDdq03;e&=@?rJ+gPPM1GM#$)k0;3c%vsh8 zjny9!1nHJ0;EA`xf;ua5tP-5)^4?+U?NPh=F@6c-ZHzaIL6g!(elj73cDs|<(p|eAKwbF^Hh1uRRd?rxA?)g`+&wrvOMwL7czbP-?Nw&F15ipQOm4=t%oDXzYpm|uRPNr{!SLatSttfF(7HshKmlgAD< z#qp7QwKfj$_DiGi*5lVUILIi`8ZepqCg9LaFe2sv?&?Wh{`8~M46?vsjt@?b3r&v` zczSgck{aDp;nh`%LJtWGsw7T=S6OVR_Nwww%+NLI*FI~t=YjYcA-J{V^X@=K$puPG zj2Y|K(osRQnF0Y^<7P3q_ytx^Pk1v|AGJ?BTAXsL7j}7lYfvBQE)40KK{gC|oSe|V zYH+*%Zm%eB^;GJyOkO8axp(jTvMpIvli&S%cEn zG)GIsH=ko@Dw9qfnT=mF%M!>=yG8AQVJ+bIlDPtN5gvf zEcN4~Pqd+PXho*`9LU1w90()Rt$$u4;D2-l-IsvO-_PppBe+^*JQ6@VC8)oL@bifK zMbMFCruB*_@b`#3m{~LeIz1=~wJHeL7)0}oS*u91FsJeUF%Cl!R4NVQWt~e{)ikl^ z0>v2L{yE=TETMA`c`xe)M7a#4+1PQIOk!~I45q{7(ircixX~t1BGJ>VmZ{9y`Eq_|i{{${O@694)ES-P z4Q_0_U6Ph!FgN>Y@M0nZR)6|TLIXUNe4&WRBBbV;h}b-7{|^5e>-~<*Cdt@)`gW#H z$S2nRoN0%&L6hmw`*Mw4ua8&8^GLCSPPu9IJ@20<_f(<;Jp2Xfdp<}*jPF#TyL525 z4U^xi{ZPQvA%wwuLK+8tylEzA>Y~<6Nt5drfk=;Zbr`-SpSUawG1}HdtCj@Lu?qE33(DsUdr=Yj22BLWYkmx#oF= zFU*4)#wZae;u#e|njp=^6G2H6U!S#B_V=~eVBdjkI%Koky;L5PYpo8%w8Q79U1!<% z{98naTSkGsH|JgFu`_tw@h7uJr^e*mb{tul;4fINEj=I8z$MGlRBWJCnD+c}DoF6i zzv|Yrzh<2v+072bSWTsej7VQ!(nTR-Vsj4(w4HAbwcH^bkKuAA$NQ8|yR#NDC+_M5 z+W77m?s48djQEqXd>wlKGNdb8EBbCC-IQP@O2oVnrYl3l@9}6OF{TeaLmJ<;r8XBD zUq$E{ks2!kjW$_p0bHg@I{>7P6|oOxI$u?uM?xO#)lO{EOa^!vcVKpFPKbhOCrnmOm8|m_mP} zCLZ-jTB`pcUv@NXzofD_D*@@^*MFmVHBDM68IgWibCH%llVp+|7)JCt5Ke31%H|J zR1h$Ooka~M;k5gvzvxV{TBQ-TxjziytEOHqTWYy(0>her_36Pxt-&82MB06Qr=CT0 z(V!Z%qRY_(`ZL;NiNW(Gq`)QAwq0;nAq)Mw?zC@)INCtwF1GkE#*JMbmd8Kx#m0if zGNn+~QUMAVA#j>bM6<}&4JA%-h6yA{4aKm3&*9oi6gwDX{tqfGUB=IW=l9B!%yqoK z7!0%BS8w$Zlmsauc(LB0Zm}&S8T2ljioNBsTpC4J%alH9*yDT8+7_`0s!IrWB;;Q{ zS%|9=P~^3@9smg*@^ml%OdwjK6AlJaAcim#J>lrcg&P_n$UNHuySPs!U8ir z&n$6OAjdi{kWE?4=NQ=A>EJT{b%`HuIa~8HhniK_S83kixTqmz5ZW>cv|^CxoJaui zX7)%ixnYmQ5Qbk#(6m$#@C_cj+WC~Z^81{Ut(8aQmPJ~?wvYVnvIV_mzX-icBW%-_Vc1`vX}t^Y>6hMv1*B@Bg2D6{_|1K~_X zJwjd5rYDzU50%Ly0fZuaR&}4=6D>V)l>e=tQIJu@R1}#MQnpf{$OIAUZ*+ik(NJUd z3eoA70rD_sBV_5jgDkprf-<$24y6&348gmU0#pL#6oM> zj4n!BVJj^=JF(`zqeG(0%+jw*SxgI+TYbp@)W)3TIgO#1e-h_dH~-xr zJ)}6gZzN!Qn2U&H=23mx&AT$arTR`c3%F zq6N$O(Z?h7(~K!a((KJGczXAc2KGz=aONcBm-Z+ebHO!i!I^B~&u(L-?PPrafOt?V z)J~-DVQ^Vl5>9&hlkW=l{e2yYNBr*u*F~_tx59<1ijHg6RGnqSpACeZyp<6X;5Q&q zHoyC#=88+sTTe?k_{Cd*pK&ixM{_-%&bMC4M>3Jnz45WFWi@r_}?Q;%SV0StdTJ$-s5w&h~*3?IKt+Jh`w5$Vb~UIrG6 z2xlLrGbwE^jjvov3Ow{)$|m#t`{)r+yECQ?c)HR=MjmLvBLP`3|I z*Hud~(aKOUGMPG;o8Cn*Sj#H0sTmvm+VO)17qOZ^c`m$EzN{4F9e=*=MdTJ>k#T5D zP<@5M1rUqyjplYgiN|UConDr9-UUP+KnH-jitoySew6LFgZgssmD4}Xv7@3pz7UoD zj+)8pJiLW_I&lBBs($@IM*E^<*3==}q-=ZV3=kC%;m(9`@JG+6qhi zRR-!Jn#8PFU*hsrZp@j5bMrmms>mcaAnPO6pwlF@UX?qXZcZZgPtD71_=2(}CoS2sPWtDAAw zF7cv4f~1T#@*u4GPJ};6#*sWkH9&xX2^@vw5^)JFBKu$(P3ne(z#1?U@?$9Jm@;XU zO~NpQG?8JewkXCzBwk##Vh-H{q){%WN+zZXlomR55)Sn@&h-hI3bDr-POMc;#6rRO zW>O_g1v1%r!<>$Y$MJ>Mk47KAzGXbzlj04x5ir61V~0%Hd4sx&Wi_#jtZ9e4Cj>n` zz8$*cTX;`5m!9-#kj%EFw07A6@d#qH_KlMP2_Y$sY*l-CT|vXRf{JQk=dYW36j}Huglz!FOH)&s3`dNM^fu z?kr^JpM|`DiQvVID4eJ;5QQ^e?<))d&avl0L2@K;d@{gqLDyQQv-7aHb;IXO!pGog zw=sEy&#zY$!%5HG+ah%prH8vUmdY)|c~vw(`Iejh5i2u9qs;J&V8ZhIE0oM0kE8{b zfpjQPC;aCi*X#x@*IM;h;k+})WFewwI}8A5$toCSJ^4KM`gdgEI2n+a7S8!sobDzX zhoPR~ZQT0PMQi^h6Xpk2`DuI6QP4M`##j~ic=ypG-4)m1H(T+QJ=!n2m)jJJtBK3& z=wM@7)+!uOnHYT8a68pcOKj50?XuYSSKgX&{jFxC`o?*AvSWQ7$q49AK(6R|!7qt8 z)IX4}(@pS^XeqxMej8%5-XKX!Gkvb_zQ376< zpMNQ6JQdTrD{IFq#c2^xU8`QX+!Y{HvoC!{x(+CwVT_y~d`FeWY%w_DTgOyqWt`%& zjAPnf=di%Q3yyV*CxP_ltEy{A!!*z-9w|M!t7FoPOqJu_X^|6@q9XLVAVgb%ODp@w zd?dK-Nrw-19$Z*2c{~*MJ_&R_rRSJIu^wN9UF_)U-J#IS4=P){`%y6#Yk%>`YFHHt ziMg%|m#$7*Z-oLQGulhz6S{}b32W>Q4t+BMZk?e5RU2j~*T{a#8m6e(str?AYgBb* z_PJ*K{VR3wtZ@%hKndJH-QLu{fZ3>7m<3ulajq_9VG?C88f2Zypz(+hLMeORq;~0T0ZiN_p+EwV5?`{juIl4k8+-9W!AI>z@oSk^9d3BEQO96`N|pb0FJ5}URWN>-MWZ|||= zTkmcY#$d)nvuY|-H9Hk)Fyq>$Fvh6|FDfSUBQBb04^Bl`FQQw*xS;Y`^3wvz!m!a0 zQfMW5|1MUTjIHG87woG&#|}4720c&?j+3_&g9UAt zI8ijl@wMX}vhjmwCl0V<5dgu~;qj&^=}Wfs{~7?()AMwfLDHV3-s$&1yG#GsN}A=; zXJ5rm`CDyYbe!rXf%A*nJp_#tv_X3KmVZ&Vz`qqLy_|goeh?jl>ExtsPi02i>#j3> zq?Zd{=%o54KR7nNO@_~JmX`NI{h=tpiP z)Ns{`4=L-bY}yf%13#HZ@Q^;4vJU{<=&;_?;L}x_P6Z^Q@W+gt{zO$X;*%WlEzkF> zyPR+nepqRV1xG$iKWejbFOGMvT1>uQHke*6UBRMEWHFg=?Q=;qr$B>DE_{`1*u3w0 zIc=v}#20?kyZbX@u^QdBQ+k=Qs4R|GsgDfag|f=U0T>EY#ZDRJ^=)l>lsB5gcjF7= zzS$$M5*$~~ZVC7`_}64hD)BkF`wkaypli(&9pZfPX*O_lkSwiu&l!~8 z(Yq8Zn=XUWP^*FUr2$ci~c&&~mUYoe(}Wq4{|o8&(ziA2b$0~S#~f~MI!6_LdZje;4W@!J^f zpvbB&o=oMT32zmw0wYz6#PNVKr%w6Cstdtg<09-)N`OT=D%zP(@jjZ1V0|sy4{~KT zrGa)s!m{4J{iUd5P56-Q)WC}w!QItu!d=Q3c{HdRET-%f)Rn!qGVFfX+#M0J#D= zLm3yZd%c0#ORW+)>(Pr3!F%WKC9KIz^Vj2M#Qu~tm@E&~uoeMiZ5_FMl-|8z)`hQe zzax+$F9FH9P#)9(tMV|jH`6?bX_=swpvkn>ZD(@i^S$=ZE&TS5CuVKI5a@fdOZ;`0 z^HvOGMKDU5reXf_(M~&b2X|*j;6Pv3`&+u;5b5x)F#*oaMheDl4!m$cUxS1TubBk` zRMP_v>Emk}h|USTwdc5Ni3b zswS&X^))qK-m+Sy>>GO^eUCY$0|s(RZzj(UwFx`B?sE8fiX@q#z_|Gj?LxvXbb1J^ zAGt~zeR_ZiTmhAYrnV}kRgsa|P^q3sLSpI9ENyE~!0`GNytpt1$#no2mRF80oe@uJ4Ituqu$y1u1I;^OT> zv(4u%(p|E-mPSuJ5Efo&x9vS4;VwiG!yX?|%DZuvA(3+`JqlV^L?Z{9m>dfWl6(uY ztnt;!=(VdMj~r7O*0y%dGPUtAH1&6_vook8`X4?fAKHW9Bhm{+LYN*58j4_}QHZ~? zfL{4A2B~ut^O-;(r;$ji4hz%fC&<@Fpf}=^87M>ZC;(uTPa&&R+NZTo$ehb*GME2p zoi{kOr0vn-v|;u^BO4Q9Z^m;HN%b=m38k&u2zL%@Sb##403$$KuC(2f6#X7~!)?++ z&l`=gQa45_#B2z05$P~7XRdjpZ7x4IH!ekGMk*#_R{U#qEY3#vV8~hRym>}3C7D+7 ziWWtx=AYOyDG{BmL)soCvacaM4>Qih2_tg8XUeBbU=`^8>xM5h>Sztm=>&Ys1IL)D z!;gvk@*+~|1DidyzHm!CB-eW3=;xS12hUq9h@G-YM)$zZ5quxwBpbRU=R zbgth&HHl2zEx^hpPtR+_Z3{kifLg)+SEUB4OqX?(Kj?Pc2H5gUNNpcBg0wTxZWIr# zl+fO3+q-X~5$y)BCn(uPNcfBRoG zFe-B<8W0RtGAn1?R-BJ$pr^aG5L{njS7L=cSwdaV?6h~7%L4aA`Wic)WD*IxMO{iH=1mML8V=Z+%cveSK*GrfV|I)}(*4>!(41A=OET4xsLWGH zhz4O*Ee=iIS-*E8rO~5=WGR66#uy?&_%=O7a#3#!LW?1YYe)S2`Y0aGt~hq~KNH`VFWzPQ~*L+K_$ zuYT_X5?V65e58DBdO!x}mY71Uo%|jK=*j!_>e3|1^#K@Lc>PQtjrTN)X=%JWNiQVC zubDMPF!#<(tgZ&-Qm+R-Z9a?GGZ0YG)aJfmno)4Giv zv8M!SW-(cn-Ff8y*)Qb(e3|p8JFw${68LsJ0dm-An>%YV3G96lxi?hRKLQ_;J`#IO7tOcMM|_w(vbh zPU6t6#6%QPQtGT;w`x&zXqPCaR*2{U;`GV1G(5?REWlfs0AM`+r4z%!8WZ_sbU)YS zNrD#WQG-LEJMLYOb}wyyz3NpCY*RIPS(Rv=n;H_q55D;c@*V?(paHeD{kK_~gf!Bw zp{EAu3mC5N0>6R)*2{$_D$yOfaWM!3_-AEUe>DY&-}!>BV$pJ@kaa5MfZaHukR>$5 zbHV}>_P&}F{rZF#6!GPA1$TME0|$gqx?-A9t=e7Ahno?f8J=?$OQ~{HmI=wvAdra~ zQsD0GVeU+37iecbDG&`-ObB{42KM=31WHY;i?=+OSEy(F7od?jl;~OURKMb`roFU~ zH&YkR6i_PD;7;NS&8cjR86RI6^70C3-QlY(1@<$*-Ou3 zzm+;=?X8R(*CK@GHNHq!ij{zKgIO>TAd_eMi6rNPn8 zgXlBIDm$owZLB~OU>RlB#By1ywM}KEQRMYO&rkWypIbBN|EQt<$))|@t_3baWRwAvQJOzSJ-`nW>&}2E_&;94KlbWBFX6ucESCSj0j&QAxnleO zBv=2uTmScuQQE}T%*h;|fq|Xve`&M*wZ#@g@;+93AZqJ{dG`T?0ZzD>;#8-z4if-^ z=nu#z*YYRvAi=8t_BmI6oQzX0u)n}x4Q-w*&&atttNB}g_B!_YbmY;tUST$KME3X6 z;nBytnD!`gO3ayqk7x7e$aCIi3hZQU3!z($VD#b&#S`b9LVO`zrt)* zH)mer{u&?9Pmk+ng^g@mI`Ftl{hM4l|3j|u zERKb0|4pt2iYU;yFm03p!kgkAp=H;S7zPgJ8wIE;ksF7d3gzp3*wUBL>li2%12N3N zMN8Hi22k>cDUdxqnM-B`s*Ko#YYCz0hjyJNO>kDuCh-_GRM!-RDa`Z**5g5$ioYwv z?HyFH?`f&bnx>(jzua<@Vw$(E#q`c~Fmtwe?i8T9pX29Zy^P(&galH8XW@qlrVU}0 zA0%@sB@8tAo*GS@*BGkRtU(xyg<=ePiKX6gE9>)7`#Ce%W%sp1vZz>pnM-$|x`Aje zxCL6Iko)NG^x0D15Z~Dr{bbpY$QY_U*ZHM_j4;(f+D%ybYYJUIqC!u`<94g;(Ndf= z%R=(Q7Ycu}Y+L#aF-&PoAwtAqeR~FB>TpgG9VHOIVdQoyVi>L(bAYqSF6u<2e6Ab0 z`_6b|h12UsJpTTMn?DhAJAai(p`M)exI-Z|1kmTh`i99*sM{)u4~gRKkNdI^(qt&Q zgthn{jdRKM?vJZ_>ixtF0ii9sbq0CWy-aJwHWN#9xlo_a$CavROHC?NQcS-s3qb@q z#eT^qgfu{>q*l5`gccSthsPKI6avei391YK+;L|x-*>}hhncgLDbQnHhZOak`M5)C-+O6mmlgQq?Vcdjhk;Liv;a>eufxAThh>%8Xw}a2<|*2K<27% zF8G@^_<^|fyBBI;2L(wNdKZ9{l%SxC(AXvcINyK~cB@g99@o9GZmEoef}X5lK?Q3S zbdUh+ls#b5EtJG>fc)J7NSIOaFC?Tur`1mrK^7qgM#(GhZAxg-cP>jieN@(L*|AX= zW`MUuYYT;BRDC*b5WGApK6cO&guDwq#HLd6GfBmTkV$oINp=;KC?j@@xXSDkqiXgb zFR_;tNg_lGt$aZrF&~r>!7ZbF2RznZqJ~)<91^Y>TL#t!jo%#>=ASN`isOHD*<9&L zpqV!%A(?e(2Ho*{g_5;wuQ;=_P|qq4vw_0mRS3A5$>E6kQ=Ixf!s zPna!aENbzeFdO+lVYdDM8fJ_7&oG;yPK95%^06uz*^af6o4}5$#Kk{hHryOlzvQSC z23p4(x0VEHehAx53=ejH3@oe4poOKY@)m`S*8JP!lR%m3>M126Gh*B^1!`y>EGX`> z#1OdRf_~13UjqU*2PQ#(b>`v{k6%hA1u+`O*5YL+92`G3vC_WvfL&p5mCQ~AD`FX) zes5T>ZqL90r4n#K4B%o1(j;=I*_WC~(IbOiu!QnVDsi=S6V$ZdV-zutNdm3D;{c4WMB4vO4K^{7am@Tyv718zNPiYd&&mR)-m9s*r_o$~f2 zcuGk+?2#Y!8O#{dDbKlC|C44@_(`*IUA)1{U7=*vY1x0+N+zpA%U(ds;;7l-rZej&)cf2|-A9zgVT>BMp=;K+lY5#{Vbj*DO7FTfaDF2DU4kSun3Ul?|G>YW!r z`$h+fEh7^6a)E1%WquF%-1hoK-{Q1`1UdO6 z3{=4p1j9AWICp&I_40q(Y!_K6mG_OlGEr~AtJh1$U%2IWSGyQY2Oi1!jB=M~6t_J^ zr8TlV*G=Bz0!2+6vKH-bD#bLYvEIemE#7)NlGLHS#)4CUe!A$IZT|63jL%Ks33Kty z_9u-7>~ur~k4Yr*nyx4o!cU<2%sUF2<0$x~L3%=B>PZrtrvGZQ6$efo)iO#PZ}rU5 zH!LA2*^!k(|I1tr0a?=3kOd{O8!0T(6veGqq5VlL&!F*1m**UWnAOmhp)IL3Blh-S zEF6(z+U8_&W}T)*-7;fpC*?Q2_&&8Obq%kkOucxhL@ZnI@xzUfY(e>|DKULD!Cb**ib zAJ53?&4w8B5*-=Q{FK=+e#&f`N$uc_WSDjwg`_rb?xN1#9*z@qXQ)5q3hswoG5wG$ z#QYV{|0GwO^rDuh|4FW7{~=c_frs0ZWol^&|AkyppdOw|k%G8*$B31comR2Req0l>#n`le@L$2QJ2u;39^sL(d zlU$|zkSnY5|4y!oe#q6!TVcD9IPCu*SF(Cb=3ehA{~}jt|0Y+N2aL;?BF0Uf0=_qq zry7r$oVg;imkB_DcMk6oclZ9AuS;SluCyo{zgLVsAwSr`tdB?jIHB9ug}!XB!~Erg z^Y_iMt?7Q4kovQM1yp}Ey1br}vCu_-QoMpm_+{Re!{&){vcR+fW|E%9`%gYC2F zBLiQVy!M+?M*%L@zPrqp=QXkA3UT_%?vfF}$@P2)K1dzr_ISJdxlWox7yr7jKt!dVl}apVtMmm8-uG0n!yE8FAPypH;4~ z@5$g8E>uM-Tg}v{u@kr(ugkDNCVAM2Z;MvXH48eR_{x*j)8-*eOG#&3uf1hszg`sh z=#|IV_?K=hdMZ9rpBhf%H(2{`^iKDk{4Bx>geBT+vLX@L0WUU|(T&Uksp)fMT1G^D ze9jV!$_mJ*dQ(qNX)7w!DS5pjH<2dk`K}-!BV{s|#mzO6qEy{%Tv;VM>=eT)M|7CvcT9QZXB&4aafv-gv#0WRx(i&)sVq`9ztQf1t9lU=0k5`m|WEbQAjIcwg!a` z-9Ob^(@R_~Q&U2PF@sLIIAI%<$*%EcuSNiu^Hxzlx#qYCD}TOm)UI>w^=87ENggSU zZLH2UsyFm0&0MS4glXasJMk&&GN#DjChBniY6lbR0vlOk3G-85{(J^}zg{3q3pdRm zuprcN-qT7DM?40s?MHUTZEU9ney+#aokJ?uFev2xJrUHr*sqwp609<#`FiW;kYJ&F z)cmNu9%y=btYYFjCFy@PxO#DDH*uNyKoP0If@yfT0msI>!ko6n@ou3E*)x6&2os zd|^6Rt}=t*U%KRHM07<6-82%U;-ut0Ra+b6@WNkY4Z^qTq)6xvgiW(?F0&sx%`62= z7qA@chL;_fCbij-HAUnNj8dfI{n-`9^S`x{t;wBJm}eZqrP^p|+->ouA72Y2wP`E# zn>Wj#B^5Gr2@*0XyR8{!$XMDOQ1#rE5T&kd4yir~%4VHw~BPQ`Mbh|z~slb9PhFx`W5k8PcX>+>V(~H{li(vij z;T?K7o{uXBBCWP!K##p<;2yP{HrsQ(^x%NCiTK}q{Jj`p?fokj{Hy~u*17OS=*tK( zmKB$sO@^*&9hSa<)V%ZSWrM5A&CFGEL%m)uX^Xj$3vf7MdeZW7I9`Tsc>a9b4m%vh zT~$xvG{8FBToZTai0yy&7IjobJnr)~7-okhXv-qE%!daAPf;Vra((pZ)tBRt78x!X z5aLSSZLUgRLwKy2ws&&dIl5P59!+8351ndFH6=OvC6(}kpNPJ_S+zJdx5|0G@m9`h zX@k4K&OQ|J_FpgnwO?(YV#WGt!P5lpV?Ziw>-+*IUKII$^}w|!cW?8+g6=_VyZQM- zMFAf)O2-?U-5-`(<%J)mQo$$o+%C8p<+y9@@^lXTJ}xTO#c1!0d~pViZ5Qe==zWjJ zE*E{8%X$QgaaL~jou>!q`g&gK0|+I;vwgm!!#OQ^m@umuVe$%QCE!o?0nq@4C4+=Gw96d#aJi~y~*7U;r z$EZ0}x}wog@^svS!Rhwl0T}OzQ}x5*a^hOm6}ry1pS5N`CB~*ZbXfEho^RdwQkfpb z?uk>uXI(@ybQ+NgnLofLm$s=rSiQ4%)#G%*N-MWhEu))`7jo09;mmZ4~a^++ZxWnEOCn=DI$K)K!rhnagvRYP}+T z#e=b}VJXI)Pq}_*l+~4WG6>Jws4N+G2gL8CUI=>D0NfI_9NY_-+w23rf+p20vE z700~7y&Y;s>@`oq1ZXw|T;G^{ns9wc?<^@e;HI@99u4b8!Y@wjiV0HJMPsE%lSYXG zc>|@9>e-}@iBbm#Ha(*x^ek(r65>SgpQjLFOf+$NdsLJoLM3O3L#IUWESG6tkRAeADx1CC=Z` zhI-G)t%9r)I+6H`$;mA+rstxg>GH+8b`MI{Vs{kf(|l(lrbz2hOxn9HfviW78d5h7 zi>1fX#6CA|<1GWoqk1@x9@|*}jGk65O$E2bvo`#)CB4w^?l5EXNR;LY=#5Qr@O(T( zit5!e!EF%-5bX~ry*SbZqh@^7O89rOh!uIOdVS%Q?>hP`=@v-N+dunQJOgAPFa^jG59Fujf}7? zv2MWOpPhm?wYmCA9}Bg$e+Yc`Vd(X`O)PCJug6dxbeQ@a2Xb>MI4v2Qa6VdT zL#-C(lIJ7g5L*w6LNm?$+?yWkd0z*&{x&Lt0}qzOQ)&)|j>Ap%&Qqdu8nSux&)r)z zW&N};_-v4K8gH@IUCzd*;$9aXu&)MhjmL!L3i=K4ChSA-J!7C`7rdZOj&zZkh5nNui>9?cx=3!eySP|gOgxG z3L27F9d_ha*p6-}%DU3bZcfD#kVfB*5GK0r+J;d3t1E1*QY~|*XrE^GpJnC$S=OGn(&Sg=OUW=_4Qxs52E__K{CN9KviYAn*!)`>>>5L zMoWgkvtWa>?7xCZ!fIk!K~j^uV(Jz39SXT1jzDik)wg#9f+^f3eMrtbsD_<)L?BuY zQsd7^X1yI&wVbHHwJ@P4&T=N)`@H$j6w+QJX}J^|f|~|*PMPfdDy|rB?}!vlW0=^x zghZK;O|SDonV6ylBL|JinIuMWGl``Fwk?IawL-$lYdv5Yw<>d!R!&Tzjd#Qem%uQv zvhcN7HKXyY1FR`p)Y0x%uKlCYvVk<%jySKoM*uc3!hjl&>sKa2QIj0m1X`0dqmg$2 zG3eL<8g&IuY2rtAe7yY$>`Ao>!U82pmWPKP3CSJ$kqg;;j8n;-=={$2s%I;Xi`7 z|3oGmvh4*o@f>L?k( z_w63RX9_>Btwm~%aH$t%lK{CqXFG>E9O!GIg9A%?u zTB>_SlR!AS@2^25f?liNsDOAlO3-0-&AMoTt>y2qLy2EG1)Mrw7%RQ*c9PT;HdIeCjZ3}&lcS0h6}8QEO-R2#dUtd$zUus_Z@(HoLX5N-$v9LXc9G zo#yL}JBiODVnv1+wSM(UxS1JQjbd^`Te(ccC8we3G#9aS-zZ3n7Y+Ta0c>N ztK5$E%)O3kc?@~gj?6JgATb>|mo6&Wc*-y${AOsXKxlTbw*B>SnopN!YhXQ$%4--t ze~t6w%xhKdTUaNJxS2*v$K+zbF}wb%B+kbSCc%2K&-GeQCQQYqp+4&w`=Zy?tS!Cz zw-ZnJ9`)nBRcG4a}r;;}LGvLxE#aw?;BEW?K9u_VK7iQ=}b z66nNMu>mAnw0Nownu%&otu+rV+qdjQl5_A!k|S9n2UW=Yc^ynB7a~lNs~9W(pzNtk z6t+Mp$1>9}`{x(D?S4gw_)!X$yCv-+CT9*x?jfX?I}{~ONSStE*)zzmTqwk-n`{IN zpjXt~%qZsFD=4|2&k^^DBKHY2vEODB0f}bE^f?JB%wNyE!zh|8C@%YCFYr-TIvZ>p zhfqdsJwg~Vx(!YP;v{l3{zN35yEj6eU}lQW`Krv)sK;_qxFV8HbJ2Rg*b5+EjBGH$ z&Uk^sD06xjLnoaeDX)%TnJ7Z4Yy4gWS6VCU7>W>D%kt6bzl9j!t48P@6iFeV9JlGz znym|O}|l>&@P^}qQinBBki;QB$0 zL-_5r^WX-ax>fV!^P`KIm-%s41Q&wMP)XB(FVd5nw%V^$-F9ZIb!3$BY_Ohz7|*_N zFJQhn25QCs?*GmYG}~rOeG2a@$`n*$JABslEdv^_4(th|4QH_U{ zp?xuzMv3WeWnz0WA1RVo$fBg)1&_nM;mU z;PP)N6=p08XA!P$vb=Ey%>!!zLptBXDVw_W!O9sxi5g#qXr8mAlIIJD;QbDK1k!CU zH)1ldaq4im*o8>0brHQd{`fvN1qE*s3#JZOYNd+6bVGt=(Ksm_4y=@Ul5RG-PH*aN zZT}G5VcC-u*7oN5G)m<&)ktw_$#-d?xzg5ifsK}$3oybky3O}f;rfpsRR53 zw(gu$Dh~4^7aB>>qOyI#yS~oDiuuVdM>A7EY1Hb!7&dEitFlk-tqOGFP$98?+c= zWcEa{who@CD}-|a*%F}#Ul0z`lnA*BOB2*$wk%taS6YKc^_^v=(|Avw=)oMp4H(bK zOVcQ1XYmusJ-tD?dlM|y{=c<)Du0q*V+zTAbSLg2k#3Mc*7CeYfh>TsFuD10D0&KE z+mHkEQRz!F+xCTQ1jRF>9!6&gP1roitkq?|$23JCn*AiEdJJ0xk$If#fF-PMKZQDG zDrI0j*CYc=cmbp`9#MHKSZ-&mYifYjj9I&p9)RPeU*WdzXK-wP{VJ)PgI{FH%G&Z; zw7Kw0b{g#22nfy3qsP;DcPO)!04WLV4BXPu6tmNSC8VIqq+(8C40&zM&G(Cf zv!6!;Y5$3bk7{ec3DN!I8pM_VP)}&iN|WiCm>Ps9&@nW3bX6+)#lp*A6~Ra}WQD<8 z5373%R09oPiG?(NL$J$(r9@I1fN3a^$lIKD@)YY}YfDRAdx!U~<@clJ%MvAvN&D*U zg8Hhv&$o*^TjIb+*Y=}Y_xcK~=Z_NydUV01r?0T-a@?$?{ST$=l^laZS~~cyqR^$k zd_CMNQzQZI;m|?kd!}u+zjl@PE9q324pMusfFa?&ZOU_QE@<5ppdh|K{CKo~99;J7 zxncl570_wU8JJwR8~fV+b{a6hFT5Uv#3xF(^(`V>FndfMT=Ko*#V|NWB$SzCv*cXd z>DNe^Bx3gW6N~JemBW(rZubYSnDMjO^r5j=j&_22ZaE^}NyDHoc`o^zNV z5^=H(^IiKX_-=|@qp%yLT@4GQ4imQ5)Vh$pqu`g#xAzXNj%kPiO^?S--o4n=wlPo~ zi>re=LA$@PK3;rp9AlU`DzY46hrRL{+HOM!gu)Vco_b3$;enoCaZp1>K7z_89pJS% z9<-$jCFDZRMVYib-LPF1~{P432?j-9>EBHn&$}e8Co!Si6^-oL{4u6 z&Far$L24$^r)X37J?uTl%Gan`*^sszcDB#^36Xj>lxJUE@SpUmemsa7EL}0Rjhfl8 z_u8D%IbIZq|9yITZE{YO_T!LGx=X%Fa;>Wlt+gn&s_yPv&RoF@BZayrh4Ai~jX4(b zo)ED(l6L2@a*5XGoVZ=F))uoGPHdzBzF8?xGv1RdQW}~-6jm)tH1eFs0lrIOCY>cR z4Sev=P$yl$XvQ_AX3DRIQ#wrvKR<<`?o5+#MiSYVh~P#jnk6jdToD4(LyB-tH3ZX3 z&8Ww_cecN5YK1asd1|q0w;tHxN>XF3 zp9uYp_?_y`YNiArh@ zQJO#3moNvS`m+v88cqZWhfpIMLfvU8OJfH-r21{)nQd_L6U^ia8bU-Zdq@>!X#0;@ zI(`{8g?-%I&{S93;{D}x^~8BEUWu|juKBz4T{-x5AeLN_Pwe*>l7#e25Dt{C#(e65 zqkzcGn&G+(PmPNaY-ZHm38N*-V5)sLTS)mF(kAc_EqPjgcj=;}GarM9j-aKA>jO*H z@sbotfA2s!@D~ZESijv0&8BpKX29=zE?}AcBH7yo{(9g_Tze=Y_;+HwWqPYWXAZw% z4Ki+|cI#~xcjsfN_dw)>?1Ye(w7nXhn(pi=N?Y7>ZxNDgfuRhy$EUQL$$(dvmb$w< zUU6uMJ7_raDIbPG;=!t`KoX|-hjqSC*j%9fg{ICk+VaJCmK5dy@FeP-o6=mbY=>6! z+4xDPRwp0VNqzK!w5Hd2<9*6SR&DS=$=iAJh)v$ur!p^!PO5V0G(y@rV)g31f8`(l zUDOz!^r%;b%`0rVd|9-wNJ$c|a*bQ6?(A`9XxM-rBs6__Lcnc!vHvb$z1*H{jG-I6n?#&c;5*f#wV|K80Vz8hCVokdgna^^(E_p zOj?mjv)h6Bwfm__=NaJsmdx+|Gm73)z-_D6>A0A4(60=JtX`0ala3aZL~bXSNOOi0 zFLjoFR+dFxSGZPRz`YuUZ&!jQ2KN9Vzgii>K(|^5GRcL0FHaW3ahkx~xgx$4`bRyz zrbBibeXU^13mcAkXKPC{2ocrlKLj?LgH}(zqpsODn5&G=~Fl@{HzoNpvjKXenzJ6yD zfA`mkmSbdGJ73{qUULd50)*f31VB;ON2^{q6*$|jsm*9=WC1FKL*BS-E*rCR2O1Ov zIr7@eqHgk@p+>AV>(s8l7TL*p$jtFLiyyN9uKj&+(UnRpCx-3{cZ=9kJRa_~ihr z7oOY@$~(%!I;Els?U4Lyd?}O7T}8c$c47zslU;+S-|fM zwtz7&M&Jb-7E2^8_D zvTNhWvjDnWedn>jAh6&F>}Am;ZhuGWGuDc(qN5x`4XU$CB5a-Vgf#0EPiTvpeO>ck zi12TSxLXK|VusCAF=iNxWcV%rqa@bKFR`q$&*UMXW1rtIuO#}G&@%ZT#3a*5KoEFYU zSU89Pu@P67xi3!zjG1f*@}^B^SvzYhnlL_l2TU0v`c0Ed22CHYD6=9|=-m`PII|XR zwX8|a46dOJSJtV^RZN&PXyJ9U%STPKOD9bo87Q(ug5(34e2Ef60L%sm*UqUSi-X0b z%@%f6?y7sqN161rLgp?V(&q}b%7HX1cz2@(D-X%z81p-5Zq9i8S>>$wl}rNx5a$o? zFNBPyev>kr)OYP`D{>Uvz=sB>f>_}?k`n!tr-+z0=^$fLAwkkm9v&sy_4*fhTGq+0 zq&>KWmVNL4;m+~v=?Qxu!DtI3Yp2?#c_Y+UaRG%?rNQqE7@9XLssA7Ob2Vn+x zQRq}}sH3Uh0)timr*e54XKjHP)trFjUWhXmk87Bsv2G1#^9}3E*>*OgbIEHo;5`iP z=6Wg0`1HA!vcaWXTH#!|l;Mcn{6)Atid|Ahynzp>c>Ew~|N0Li@m=}*bubHr>c?w< z4g-?PZFuF-cG(u36ITI4L#UfBxsaerE!B3ydViP+<$e$sA(@g`rMy@uXi<|~D99BK zHXJ{wI6qnSinSF>mFB&3n5LL8c@RiQicpOzQvcf(0F|4o0Ly>{L&#)@(2;q}7-<#t zls_XQO^IlNUY`1tKq@Xvk19aM8rWb`Y5va`CTcv}^?*FvYP$ zM5&RVu6c4VpQ$C{>0pus zU+L1GQc}c9SgFo@)&>z+nd&-;qivi&7I5{!nN`D^KjO6cui^cTLH zK*-Rj{uEH71)t&gd(4sdYXZNCmTv z4ktlG{p=P{X9$x_q~|q2I;DcFJ0DZ&MzYekAG|}XC2lRuYg?C;9h^T-`BP6Apb}pR zrP`>Pn?W9jY6)p4-5WHiOp=x;sB#BGQd)>c2t~ffem7i4N89+pLj<^u;8=v1=i#J1 z)YMMeqN(hTY0pdwxr#;YKOa2pJm9<) z{8{pB5)30-AsJsn6CM}B6Rr!R+F)t>dtI?Dq)gCECHDaF^`B~k#V~-9|4-DP^}jLo z|AG3m{a-}=|5HYwXyRn&;%H>z#LZ17WM^&XsAO+oWb)5Ii5{PYo}T`n8iXI+ixR`X zqkoDb6nS~+MBJUll$;Hm|A`d)iDY2p_|JS|{}uS}=qFIr&er)SMp^lv81{b*Gm1Zh z|4jCut5a5H{AX&0f2aO=kLlk7as1~%KQk!W{c{|AdO9U%M;9Y!c>_liTW2SHMg}(a z|6lO`pV|M<;6D=!6Z`+$wSqN<_~qMY$d?HEyZx3f#DG6BM;TCi9VO})!bdk0!QFTh-IqObDkQ z^=)ruT-4D~m)+%d8LdfCB9#s~Zxw=+TB4+c+b+A%)hsTbjo)jhLR6o%Dq5CTi~66X z_m!2W8%r-Aw<_H}USFreOh49vCPKVu#!S%@XEkqym#kAU7b$4xvLG5(kdh0%D6umk zDC)z$_)7C1*^1APY-P4tlTY6V&1bOgNs^~pB8Ra$l=pv=t?an_{3BcOo;{U@wyr?( zU5y#fReoq>Ee>x%U!9?6qTbx#C`W$!+h$9f1^;*pASGeZ!rZm12rMEg-&Q={2r*pYEn(#0b@8nc{yCj@JS6*eJfM?`IAK>r|}0i6yi-< zuOUQ4e{3tjxHS~c2v_T{3svJ#(hlr6QW#?`oK0cUsXDE{7$!0B#r2FwxEH^#M2R`7 zVm#E6nKaKt-n`r8B9U22dpfDtbWOuC?=eJxkPO2f3YJLibt@kUbqzl^KVXh>!f>ix?>1xbsp%)G&pg$l zZel)!2jX6z1J(UUx1zS*MJyqGWngVUGT0DJ2(;6Pp1-!h^c5X;Nr_;uN(@b$ld1r0 zp7>adz+emTqg(OUuwfSt1VDWe8g|TA+HMg68+!z)*hD{4TKLhe1mZOO=vK-I>FVDi zh%2St2|c%@;5N_W{;odI5ooCAe;!j%4}*;RGJazik|6fTAO}SA0*QY!#;f`_1IItN z`8Ugrp!pj&iCy#o61xk?)DexpL>kT7V#bo*?5i*G#*(aTOHD3NR7|@o4}}B%p#K^F zqW?5M=s!pyVJmpdfj@y!{@U=r=s(lw>OHS`dSP8l#8=?~Vhev0$iKRky#LazT!sY$ zg_Hc~R;&kChmqD0JRW&30ys0M!1PLkY%1U_qk-pas8KK}NEQe$L7Z{BQ9LI!9D-&( zpnr)Q%I^*)?U)nn0-vD3wWy*X4m7$O9Du{NQL!aEcG`sUXam|gAXSSZptLT89sZYl zy5rD{j$Kj{<6C665jguq5Y-8O`hxf6De zw_Vdvj|{?(ZAElp49SYR*OqO1zA#Bh&oltT>c4F(3g`dYRyuU)3I4II6#i>l8UC@Y zNc<1mO4UEM703T+Tj^2%FWbslt>4i^P9U-?bnKo?1(MZ3gwR055>)I_Z<$=Uyi-xk z#Yv#jTi{VHN;VXe7&cTa22?CZ_5Lv#=oqfNeL5m3JM03btdz0_8OKa%!M^+1XH#Gh z{GqV~*pTc&k!bHcl5eKp+BQT1id+jtO_fR8!jKLUF6k_RRs!)0RxW>3i^!+0|6A5!fI@iuoV<=isC~#2@rO_y~?Tn;oi8hTVhm;BSKcG+=NN zVzvzGN`49Rp^n?EY-un;w58C<<`v2C!-2E^5ubiBYB1nf|^bh(^xZJ>& z6l=XafnlR&M&@S|{T4Bdr`+^6SGvQK9VAq{j#2rvV&@0NNxNQNsW9tlq2maTW(~uT zL94TDE;+nkHZQxGM{7r#;$_H?f56ZG0cdT1757XJt&2{akE^mjZUD?qNsAASW0Kdr zBU%XmHOyz;*VvpS5|;+^h578Sl)mIs1wP*Azw_Z-xBem*_k8&Z=pQc|O@EumrXz_# zP-lrxl}hjFwhkwA7%eQ<5+`ucps~x$$fWbLB*!rXxuB-YeAwvXu*k!ixj4gf+T&nx zVx8rq^)okBFzT=d>Q|sy1Nzae;=QpW!(!Yrjxq16jFW6`BYT{Il$3|DeW zki=h_qMB9|uINKNZMJZ7iFxJh`mXV9*o{MKJBnxE-u+F&3Lbd@JOYsh3Xg46fQ1Bz zGVXx-M&4KP-Se^3#NYJkYsh7oC5{8o5il;R)Ih>I=%1sk;JMNr$dZ+V!dIy!KPO&c zo2PgM&OHEHm!rD)c86D0%ODV-*9oxFAmtjJxmV&9o^kN8Fe!HVI!AF$JhejMfQk+6 zTgeTlnXo5T@LcBtIifUNdLzkh_D)WROFnJMEF!D~!eMd1pPc!oD|q6j!HRA?*r#6C zxYO=H{l=@O5Qj>yf=H5>_-4}y4h92~BeBU31QL|LRZA?2&4s+x^YOWzLP(-mN%unUtBBL=iq{*(+rFJ*AShd2WOSK4fvZMIOq_Hys=z&9zUzB599O zXN0X+qC=MG`$`vgo6gRSC)5a_b$$w=`Xxw+->)EigOI%OLf3(-qhk~5zYZLuc-irU z{gk+-6PCd`m~l;K_Knq;h_}vM&skc9FwdspV1%_AiLgy?_R%YGu!lP^Jfmjf<>#jD zQ_ocyh#fOqjD~v}7;r9{JRiZX034TLnbxD%v}9tY>(F~qG|syo9Gvbr41k;@(%tnq zX^*{;P4TD6@@E)KuDDUnrV9PPQz5c4=v!-rbQkfVSz21#6L9Vt!dD(v48a{MQ+RG7_57c3p9hM>r!(v@vvZg0TIOQ z723RJ9pGHXm%IWuXOW%kiX8mEuXsg&dqe_Uo}wRLhi5y`sFWCMi_O>ra-zAyoY~`A z*8i}946XuzeCuNxnk{kCc+hr>?(f4i>-={4_*)R zl8iquYof-(CXc|lOUa17&wyy8OtY!~$y5qAi|V&MQH!RA&qLp2Q{Mrwp6M5KAM1;Q z94td;N_;5Y#Zh_mX?EA&jGI9UFW38>8eq&EUn^vuHl9xAf+su$is~c(2Q^$roNocu8 zKXR9t#G8pxL_%#f6p~>oi_nV@kM*WDIAWJb#FEx@l5pK1GWA>~ zG`LQ5l-y1G$Fn|RNLZCFY9@I^r1e-TY?d*JM#)k$5{|k2S24H#0hXkItwB&JbXVqM-z&C~-p@!Zj(W;3)yJ}!K~dJ2r+u}(iXl&r31IeLgR zp1NZftJ&Kn!8~h%@IdQ>k`~Fkd-QI`co@JB*uHz{RT#ORg-;nwEbBgl<&c?#Ck)?Q z1IOsbI!1q9buD~Vb`^rPy<2qr6{;(b(^$IgDs#Q4#{KbaqcAHofft>cZ4aAxWR*2+ zRgMij!p_4f9-6Ay=QNq@4ZCC`%W{iQ$1~sq1!8$?JJi0AQJifFJ4l~x4*_JL1-9UZ z3@b3byPjY?21SwArLuw~FG$HH*yS%b>D6EA9zDp$evq!K8%f?`YB`l z`zxwp-YOwZoD1{{uR@_Z^;;}_3Kh;vZh{FnaV;bw z2s>-VGzi;vpLXo$z5SnqIc{Mt`)N(InZ0t!uo%%EypwxY0dJBqp)|KOQ5PJMZO6?~ zItto}1J!g29gghp#^cO?!|cqCK=kr?34Z}ozt34h$IbF?50($yJHmfQ`0A-_;o^BG zMB)7fAzfH9;trH;bUBu6EnVVREfXzsJ@En#rK(L0OJ`RQ*KSFd5q{pKIPUvrgR; zwQz^D0baV(5z)(fhgYpERkxj+}Gea~}br@a$h=zPsHKIxALp!Gl{!QddbPVqWhdTlQ_XTNC zRy_}%N2TdUE{`Rhlj&r3MeY(^3@5>JcI_S#1ciJE#sr&I4*TK%p!MokXILwb700Yd z>RGFBcB;1M5Z3oPfM*|7>m$aI&ws)vTIZ!)2CtqVv%NtGP1PlAarb3C!qh5rgU~au zCwEPof$RNA8`coVg&3#$(*v2b-A?%TQ0U<=k4~}&u7E`<#v|zJR|7m1RqPr4nbCdv z$S7KSJ=x4rr^_-KO4-5W-M`kCfI^|E{1q=-dY9K`{By|o$CFQZ=F6wlKAn5y1W?Ro z4>w$H>7TdjO7#0~o)S29t(WXPrWtJlq`R92g7*VNlS2DsV;8<<`KQ#W(4^%^McHifNE07EL;%q&lNXMxm8* zmJca+2juS^)Oqsj)JJ`b7<=~%;QD&H!0mY~DD4prxKfXF#p}#fEETtc zhhbc6ibis7nbB<8v2BTKMv0SvC4F=+>7B8ErgM^wUC>OkW|?8}z#o*DLzt&A`3HC{ zPYXug*yCG&jZa)(9QJbN34mAZA*!-?#NZau_;ZeQP7`kOPa_BG@Lj)+km8;%vIa3A zfS2pDF>_TMbr!Dv5gJ+XdaZR#v=NnDW(;9gESRP@KsY4!4ql_jvZb=*e^Emr`9SmS zu8Urc%NUx!eRKI`4G3D|usOGRKs?&Reg{&u8ysL(Z{r+}Y8;%_t6I4@-`G_y-a;;f zvm;x!nDW?9$9S7B?vlK-Aa^$&3rw$E8LeGoqf%_-1dkcB5-DqHUw-cl(6JN$LWU{u zT6&a0x8Xgvkt01NWM0kos0uN2*?A@^OFM{N8wG4xldzsu-^?K;jHhC)$yo%?$@E5h z3-stob*q(AQJCM>HGt;)2v^qxCuemg9j1M-_ByK_<^YQmC;8J%zTI`L98+Cj|0V;o zhp2bHBs#ZXwEsAV0XRfpxu1KkB7v(gly+u?8J4vzkS&q2FqFxpRE#bHx0glj_*x!r z7Tp+N)CGhmJmj52z%wZLF=jV>aQz%5+>k>db%Z}XkOD_jb2>ykb^;R9(l(#ksvlJ? z`lV4O+=Yh_q_Uh&a3hfFZ>|i zCH?Y6gF;6h-v;fqTd=0(>~10K>@pi@ucU^>Y~4KahNk5k(Fm)ZTD&ftHbjBYt#KsA zpx%v_@j(!bz5Oi;=AYUSg8>q;MT#s&B;aqcx!t+d9(w*>pLM@DvN6OrF%z39U7X0` zIx*rBCCfyGGHw6GtoWkYn>T+F1a#^okSYAkra{!DUwZY5@XW~EdhM#js6otS(6su^Z{%1j@Eg{od= z7ru#FPOxv{gm$WRqq_zo-pLveyxb5e0MNu@YApm!{f$G|DcX*Q!#|BX=`$x{zd>qT zfwH!~4~2m1Y39QCQC9P|X|U3QIo z-eav0%zeQxdT%?@2G8s=T&X~x`;_+d`10PT*dc2PFgBPTT+-ZxHWT?wF6z^u36 zUbr*J6r#Vg^P$_9YgwVGP1=}qW~3;V@Fz84vko_j0`RGYvLZ&V4V@CvqI5USBl`~m zB4BkM+=C50)yLi0dRP@gQ|b@d34VyreoNFP?y$!ad%mbGE5Jr)WoT$**|O3Aa=LR1 zA;$yaLS(5pv-Mj@)*SXfydYbdu5L!r^(c#o8h5MXZ9V*Kp`Cn; zG#d>z;~_8|GrDth&IVWUMC`N~N#0f}KO%&q%~#7gGYO>)LZ`r(W_a8IXVC=np7C?S z9AnBn*;g2bf71*GqkSSGV^$eBdU5w?w|N$j`a@3h$WC6y%{xw8D^gjC!>>si;tFwF8o@)pL`ktkG>YEj0aIH- z^9j=W1k}1Y9QOIRNb4sHU>y@hBGMmA1kh7YV5SDevwUZLBk0Alsaw;$Cc0_mECDN@vz?#GP7u>5l^@N|mY%B_Uas;-@}q{b;fXQBC{W5S zY&-eaapAzvz8UCxV3y-RI06pqps<;xaELtMlyuDc;DIMX&H8e5?d>5<&8-rbKx8*T z0ftaa!TNM(kyrhC0gwA=tYiWXQs$<^vOns_f-@plHm#D7Kr~Fl^0MMV)(OA}JK5uW z{zo=p3O)@Z<^8673cZsqpggSxZZp7Zz2~Urrq7S8amrGQyBQ zs>L7)!Y*e9*s;KxkjdKVaswxmw?KB(v{NXa%4%FX%FEtSf*mLHI)N;;7F@k1O=~6F z5~&nWldgiK^`7x?rs=1Avl-vtXlxSVJFGv9)SreGl9l6z3-Ff|roG>#0Xe&~- z^}C>Kp;mcV)4b5U2fbL@UhZU6T69_3-|Y^a0t=@JFbNO02(YL1`e|wU7euLxbSz`# z2DJei!M{d)c=I8%KvyLqP3NaI1TxXIA+KdTw4uxv>>0stf7>Q5clApuL$=2fK59Gz zdS!tfQJ%2WzN3K<5p**uGov%BtOg>cossU1s_&QFkfT>-@DR+DaXW}!lC&Et?$<4t zPc%UHR}ir?LZD#h23ws6^GLkt2ADbAs^}e_vK~#(eEQ^WTz_R`@z=`q&pGxHkLYuZ zkR+Q0PYjY3rn`t{kS_e_4hr-YnD^YYy7k+ExxmU*J48E7{9@guo~`B`*)5u?Hn zL7(3f)l7d-Jool1u(e-{*{ahGqE}RWax?+yK^XJX)H!n2C*v`+w@4rd)jz}D4<}_W zSPe&ZgnN_|mpewT^Ee3KIkYthqmV1_HJTN7qa60j0Yn1%kBb9w=!I&7_HqF<{`#N? zqs)h5PDnZ2gRaAU|bwX??g+{2nOV1hH@Y9Gk z9E1^RCU)tS&KaO-({NP^lA#|HKSC{vaHCE3;hX9UKK0}p5U&!*qg5n{KsLVBpyGHt zP=(LboFOmQplaM~sY#U1#W5AIRrnEGPvpU~yi%m=In;9*{3Sacg7P@A(>HE=rSbB~R&N;2Ejv z?oFB%go!r*%ZwCbLhfZ@jd#K{(vh#>3SjkH4Be@izagD>fI2s?!+c%_E$n3hA{k+n zxZnV!*FwE>2Jfqp6$h?*dkt)q4sm`J!*$j#*jjZ!V_gioc;{My$USRv#j_W!SYDdD z*QQrAq-8?2JwR+!$W+Z9@v}_w2K1kXb2D&4qt+~H6}lrh?Bh(o+zIW%jaQkEi-fEd zP_yc5gx=TdhjzrL8_+Vd6bTjwgp`_6Q^&0I$I8G?Uy8dDxth31w&ekjfsn8PjDZ|vmz`}b$0u1q*z$8!kNgm9k`N?qi==p`73TRb4R#0$jN1X%iVq>_w zEe69pv8#aSOmSo5chocDIDUG#E`MFdoaeKBrtC?stgLC#{B^|YOL8os6uESSx*##M ze=%>N+o}uJhby)RnNDIVcJE8*6HQ7Xb=wG(t2CpO=%7A}miVwG<}hv;s?5fNlbA}aoyOf+lHAR;Fn`Gx{jgM~UP zVXhr4I$9(+bmRb=RWEwsO1)2QJ9apEsp;nAmuJS><#!6EU>Q_yN@19&+t>R+JABhO zy~U}WNEVLH-%NZFxlqRs`Exu4I#-A^eE(-2b9S?rbU9G7?O@jMqJk1GWtzpCbspa0+uJaV#=AFlnd7rP{}MH?-bRx6 z?p6TRejHP@+L9b4LHNoH$x&ZB>T+Ubd+QUJBq8k+aRN^yH`a})aw?pN z8#T`Wq`>?@Wy)W^`xmk&NLhr zX(%Y~HOEyG(Udbju%Dlu!l_?&;g<9Ropl1Xc=iDSPUNe$eJ1p)HSIpwsxgYG1^Y^^ga|y z37ZI~i^bBN#5s+~E5?RDpa$Df?k74j(Z*f6WhiSSCIR4!rRK1lCRLAqGaZ=;cw)P_ zI9Dqqm+z$nay_6I_u^AW@c;-wfBsv+QBR62xrBGTS#~f>sA{Bor5+ED*O-eoS3aQV zlR@tqKxGjyc2W1Al+pT(e4hGnZfOQ9Gpox$-v|FhBSJsIXXj5&|Dh`H4*x*Z{8nCl zoJ2B@vu|l%KYVBOpjzsHx8Iky(@>_A+nY&@jk8B2#LD|I^OFF6pN2l(G$AFScyTe?mP z8Bro44kd;q#pQ%@&aYoMfI2lf(DM+rXdQd3CeEM7x>_SCh5jM!^yy<@QY)8?_c{V4 zSI~wk96iPGo)jsSjLy7hpt@c8$}%!(S!{#SO40Ny+2{%woO6syM5xNjz*MXZM9h*o z1h^~>$ieGaNSO>EAonp(aK$d*F zar#wxA$in6GWdUS=xX_>!xjvke2M%juPA-nk09G;Gb@ zZ9!RsK0&@ee*bdG+HOrGc~gJGq+@{YdgWyYaD#xZK_x^2;X6U*NVJBwm;qJ6rN=7}@~r-no7MIDIO}aH6w8|5cSs4gk|*J;)+M)R@KUYD}qOR8nbh#;z&(!@5w z1A{zc0s?{x?&&X7@WHLP+a)4jF>w$p+=hbE=8mP;1umkgck zW%f+6n*Q9iS`KZc+t3QpO=J6D~I6ZZz!Xf~6)hjLFvXKKqMa@U!=q;AFNu$f_X$IyA> zUxSCXd9!quw$`K+R_bt{jssQq&f(hlr3OVpCWz>{D{`;!+18&+=T80x zo{w-PA_fk0-GP}0d@MZj3^-f(xTQZvsu`a~vn}a25lnbL8#UK|F-SK3wBK&m?hw0O zx7lakSi}IjH<&TM?@5(z*Sap8NV{P0hI zmv+uk$;joi46^p{1JpSaE{oeSZpcI>!7A~yNK%t-Y4!G2n`~;{QMlG9*qdtj%;Na| zsohjo8%t5OFn#PEdZORvB6=(~0FYb>^K@<%9+%orHq2;aGHe9qaw`j=G>c{PQ5^J>(aSO%D9#j z-JkKf5bfqXpU%2IDBoC!l_8i)W;`%^=K{)?$9-W*T{jAz8=x>Dj|kp}I~hP&*o&f$ zNlVlOie`bLr=yxR(+aa_@u}roYHJ!Wm&Q)C^7#6u43wF2y@SOkbl1|kD~O{+GBd3SHd!^|&A*RN2BE4)Km0gCtxX1&zcd1l;*Pb8Y-O7A9q*HrB&@dRL)MnbG1S#(#HNB=pxrg+*wN2HyMxJ%gcf?kJT`%Y|G>v&UUh#vj(T-D@Bm9X44~#!7wOAt zAw602{hpQuUNFQf^#@BF$g&)+#7uV=+^W^f`)T}+WY2alZb!?N!?lSfH$R*zQRb+_ zIg^_l+Du;GO2#MiI9p$8avg8_N`8W;rk7%Td>-$U(Jxn>5vet;0s%#)<52GrsXC-?-QRd~lX{D<>3AZ}^ zsHUu0ZT$NS2pDGW*!a$kTOwr%lKY%N6NY#fcH*4OP?J@*!2mV;GPjU&{w$`)c4d+2 z6`jl8;PR)#%0N247>BN~qrr@jI5&GEu+gE}_r7u)fHL~wm&4=Q?)QRigorI?QaE(r zR77_L*)@Fng+f`SW_=n+rWA8nXsEaZNHi@?o3&cCLmZH-Y!IDPbj@prHDX5E9K zI+A=Ep>9k-avnq3SbR>-)uLvYRh2M?>vBJYO0QCp;U8LO*t1*r zQmo%&n=YCPsl}+cuB(Q>sM+N;EjvLR&{>GB9RkJP8bSNJ$d|ph2!{3M;9GY1&=RQi zzUS>zMMkL0wx2thSdft{B^*bx9)^%>ljeCW^_C%aigM#5-;MA<*+1zHo9;`cUA*4a9V^qp&`r{3{5!^F&>a#x^yhcFfI|k zt>xhJAO%c&yOdYHPFvtUZ<^{2qR&spS1A_vOd_!=5w(tHN_afczs| zOX==+_(W_&2&|PCMat%Ac~Kd*N)8ei&>9bha_1&t zfIWe7xnq>bVx^lGBENP}oI7(fH*iiM;3(FpsD*@0nd)cNOAsh0}-fP(OYpzzs&Lk@Fl1Tr|n9O>U61C_go~s zo{?!L^U)hi@M2~x$-}hcuT|;=02P&SmM_3Ua~#6cK4$3UVBJhfL6*ZoH%wo*VP36p zRq=OlEF>RVs5IW*V_kUMg`Ya}H|=n3RTF=Pu>zyn%m~C?(w+4sP(Uw$ShrZ%EoK;> zlB2G!xVJT6Y*sXeUIEi|PY_0^XF_MOjv_T|c5GEc|MyO$Vs7yjsDgBRG?KIG5(< zHI#~Wxio)g}bbG1Kq0%*pnR z{Z@Fx&JV_^lHz~D+-p4GBgYf+`qsA0F)BpGGdVH~ES{xVx0gSzMw})aQbPrq~C~?fLp3UvHEP_{63g2(}2pn$VbL71&wQu38Y3G}Z zKEhNJA|bzWgk5$6SGy1mv!45gv{q|1*x*HdNu+C=>v6fG$f`m@L2#8rL10C+p;D@W z(l7p(o*p?vZeGQ99y;>J9@DrR&T%}`^n2SgYabjJD@6H!UB#8Ui7f{)PMD86?2B;` zx`)QzVU7i$*YHcoJj2j7q~s%>l)#J8Pbi!m2b$h)F?4Z1fTCffqw?J!dX9nxUO#Dg z9z7vhxIhEN%s~bi_ncwZge)Mcp~B<@ECNo13<7ovh6u_*jhGmcp>@a7gq#_Q`*FKP z_Xq?6Giak27c6NOg{TZsRmQ-{#DHMIZ5?xRqhb@E$fgxSZ*+df1(5jG28RjzKulm) zl-<%w$(*aq2_}i3AiuK7If~N(U056I3z}S^byZ58ff6|${&=s7jvG$KY6WbDTJ6Wo zEci(k0fcG5{5qvT-GQP?9u_-I_4$$&=T%X2-%9XbA{_X4(JG#W!8I^7 zJMav5yp|BAV}}KNyB8ngK^P~=7+mPlfIu-nn<`fxbX~poQl~5ZSy3fDF-H{CV^)K@L$3u#z zq2?^ZddEpS><-xSKD~|O+8teuU4f&ujpcL@x<}`F<<=UG(kW zL_gi3gai`|Mh~H*$+m~z5MKQvVyggo})+hc*p|`!1 zaO$8K);=OrV(p$&jVrMgEZ~t$+O>|GuHrMLdg#AHoPEDis+ul_gP zB4FX*j_8s zZ`0?qS=`UhUK&1@qfPsGvU+?vH*4wX>`{!z&*$M)P4<@$G8g2-G-XlzmpyaO9+N*0 zBRiFY(6)h;TG+v@n+`|K8174;vi`@O@oMJeS+;ydyy`wc$zP-Iy@s2$utn%MnEc1HeR*_kQfs0^6Kya(dXlHAlD4y5?L$3v&PtgpD)ln^!9 zChLE)GuQu_o%uA;qj6(eFaLpTNqB*g(@1F?HulRrSWS)GEY|Y>l%1KBEezHewTsXb zMK_27oMlXK)GlT6nlx57{)$kV?hk4vhB1@+yMnTJSj~2@1^3&^{kbw+dc>2G_s+E60Qou=YUPbpqj z!gQbrM+`a7e#0$_JV>XaQMylz9uYPBlOYTw3|1%~$`Iv!;NEDq`V!b0WMj82KSs7-%SkQx%tHaDE7P1?laWq=BKW_NU>g5fzRPmZgQb zuL3nZR|kkNN|ZBY3HiXMw;CpRS;CDLBm@H^6w(zM;RO#TA~xB=F0VD%LLxhic5GHM zurm?2?yo>y0o3|9;c||;Gp~DzuU8~f7>%i*9u@VrCjaYoPehL zL6~oQW`qymHL~&00{XURBmZU3fc~*(r{DI>;(xYhY%Q&9^3I&ZXA*Hnnx}ILyMnna zE)-~J%ZeYE@K(h1#GBVqVL3db?56008M4!HE?Q~X2Y;6PKmKC38=q!m6~a{Tjn57{ zHW~W!b3^KcT@t{$+rYNU9P13^rqK?_pw_S8J~7B&9lnLNpHCYnj1y3Axyuq**OTf? zW3!7i7cR%r*QoZ^Ty1TxY-`q1GJ%&L34xKNWzGFIoYvVb#TZZ5#tO6mH-5t$73FbC zU&H<25LduY4$HP`9NpfSbg+kUjVVt5W82!-772FJ@t|_ZIEoxyHvvc7{QGb=U2!$$ zmlJLEm1z01K=OQlvo%3ha$p^X%uVg0bfLttc3~^rYQhv#gp*y?zq2#;(!TzME@&vm zJqjaVLRjK@6uEXbdq1rgLz&LPbpHa9xxvUU(Q*5-l}ML^P~L-3M$vFbedVRU?V0h< z1pruCbI`#xT8@=j90w}y0tT+L&cK-Ww>?vIz(f-Jf9#p~w>|6q$DZ{B1;aTuk_^== zzmzA^H=FQJ&9JfySqv-NN?q7!+Pe6shlE2pOQ@5KZG}P19_iuNF`k2KSg|ii#0JwL zgq#uXHrx1OI>;b!lmg3MTY}{HAUL?J0pYrn><$IK8uyPG1C1gC7{~p{Q2`=<3`iaU zhDR3S(Y%w8SGqct4u8D8`AO|50q13`0dmIcV`W_NSBi0rG{1m?kt$^5zgK4#ONen? zy~HM#*3RNliv5V6yjeAU#4Ec$Jz}t&dd3wp%iN+i?3(4>m6R8L+mElCWr8e3w5 zbWu-F4)Q;+RK zSllHi+ERF{SNx-{6mQ1AS)p_lXQNY-t|aGnW-=#BD!%N*k@>Y|CQoO>GRD(kzpNn_ zh{#{4#Gkxcb9lTxD>eGa-8ZWKf#pAWwRqO-)Gyq#VO)DTI=1ZW>z1;I$HuPAU9ZQQ z7UeV+usZp`oLE}erd`u$KFu7T&Q`9{5pY^O{JguiHY8)!W$5Zx52o znh7&!B3?D5C&Woc_A*?M{dkKQNbqAhrOic=%wTYZQ z%AwCv6!MSI!^b3Yb(&vc2g~noNb=xJx|!8~RAD_rD<|Ptq{n7x;7M$0GO*5Fb}c>G zwPaX#9(wP!HF19HvqF)DGjw6)DtD5`lRP|+>!Y*iGM0|4HHms~tK3E@E`|OK0T0_$ zN4(egx9kc3h=SoNzYfa@$}GyPBssjyU@NOKC|SzQQ#fiU#w?S}fubpf19I2kS!|_| zpQSQe0YOopJidy>caQ25aYWpe3S23WS^~CQCL8u?Q>$$lg>!dgJ-{am3+LjGK}E<& zL6Fs%QT>T0-{bdOdDCBT%cED zmL|;unyzUt!!_MJLQu0$)0L#}Z(qT-fr<4PbaL6=;{8AtC-}p46ZZW5_1T2PZ@TJV zjmf|}1-drt!@gHCXHTi#Um$y|i}U`aTGK9!@evA@3ce9u>s{eOe-wIth72yId9Bsv zt}7PKyzi}vs5hgo?HI}9Ki7Cpuhl{c7|hRjvwc=hh36_=KjcY1`diku;&sFlzft=< zfX(jM$L;H^zUAa-U*3w7oyDdgpw8mi@p&cvy%X@KNI9sN?D7Mdd8xO-QVq(Ceu zWYsNY^aC6crbQLTQ$w1F@OnS#M}L_WBBR0I+OTvuqXSqCTU6T#q&!c^H6BP-`6%0^ zP&3|d&lnK(AGWM)!nm?psC)(?AiiYy-VzWCmbe*4}1FwcGr z3&>Ab2ro`}rEH@oYGgyu}<&5MUKRytM#b{!k80gL_kazffNfU${dryoS3Na~oEu7UI85(dv?`1B|(`nyWOi zFD%E3h5=4p~GetX2Y8d;eGw93IHd^Chbu4{RkMP@G|%Lzjb05ple8?CUa zGgrBgRD&NTUwuIkSc@4<)C_&>w&iO&PXSh%hrpEB{o*pz2rVWYt4z|QPQ!3KOne<9 z#FQ%9d*_;DRurzB11nYTP2GNxFql5Sq$bu3B%LR44v}%JclMIwc7aK(l$L~@7Lv#} zoWL*)m#aTy`de$~$GYo*WJI9dG|=3xTg9xDlD*1Dem^Gu!5C+4hl(G$XDZ05q!+Nq za5BuP-Z`C{@fp2*GB5Z$gR`5`>G;0=S^ zmjDbasSSODwQ7F=n~l57afcuOM0^TlQWFj!F9hMgMOYEC`m3G@6)!=A0@1(l?%aR5 zaxQ=!W8EEiT(1HFdlJT#kVh~RTTBq;Yf}3ug|b?ts>eHn{U?TEjC7Z)y-1|aeTIjL z;k~GocG?L(*q*Bl(~y>;1aqjlEsBv2G<4BOSmC$i@B4~m4gdxj-tb|+{{9@YGcQPv zB`r88u34Y<>7+Cx!A+8(4QF@*zG` zVrSc7ls>@VW_gC&njtyr2PXo#$SC@$$Euuhn}Av09|<7d&E3$$6z~+mha_X8_$U%s)@QzHj#RuKUK;)#Tpp%v|0TXSmQ4-e`1sKR+~$5`=Yv<@ z)!#0?Jgc-UPHbgr)38&%Fm?~8ewnA|Bi!%)F^}YX4Q2E3s1Ca+u5aZ11E-S?^%cdO zkZhFrfr<1E_z$wXGyN-E#?RD0;$F1e6Rb~uZzBq^)~a{(dj+}zM3pxorM=IU!y!$++@{BpCb zHC)xa+XbHicm;k*HqE{d&OoohuzkFyM&;Ub%rXKJ7+<_LIxt-ZBUz&Mw|aDCZ|8O* zo#^9D4`HXGe-1QZTT$ZGv*5O1&SXXAjlQ14pP4xDL)cHBP3E_RXbq%p4u5ISZjFvU z{9GpaDzZu78+d7I6#eYxq%jPx+B;5e?*O;s`aPcMbX>B5%MDyZ{wX$le)Xc%s;53p zn2Ej>xrSIaD2x04pi}pIKMccoaFkJy7rXJjkiBMsjn>Ia_`WcG0P!U8((b~9bGt2gg9P4Gq#g9On=O$<`^M+_qO-y7T{O#jR~&~V_7mo~;+*gOta8P>ME4!qs(~v~L5-?hOA7Nhz@$Q_SxN-ovxr*= z$OE-J6(!5a6n@8=t+{}NjvrojFTsz+*KlT8QdQC?6<$TzABSjUha@m-?3=0LSXz>v zCu+HO>c{x^rU*m63)0)wM=I7Xj~4s^F(0*rcY#Q9(5Uj+_(H~uf62i^Xu@P6Qz_6! zHF)v-sX&O5f$;*MOw7s6awC-G{z(K3jgTm-Am;0u^<<``5CnLTQdwlq4dP{&Q>>j& za@2INx(9r|3@f&&kYwQB$KJlQQ%2ytGQ^8HNI{N!EcPZ1+6i!s_Tq+pqB4e6$ zGrS$E5$wbQL}2q(1#pj%hv>hD#@p3DLjx1RR96p%xS9UWN#_u^bc^!{lWC7aTVz5| z+oTFt*l49`r_4%WIohh+nh&~z&lT0YRMa{X_f?t)_yGEo^RzuAu9{CYTz!X(ClTwr zB@OP(!KNO9z@{G> zA$F{hA{5X)vrG#yq~J27NWu!zq6KQSE9lAbrwVUybBNe(nnq}ZT5(pjG3@6ig$R`? z87dt1N_ROJCsa!mCu?9<3%Ah*Tngzz^}W~;Gk@zbWEA^o3=id$S!A2DP>QV3Z!8+? zs*IZE64+RY8ftFZV+#E)KPL^hUe9E47HlTV<>&CkQX&>!+!e!`>d4p|=?9qJoHwvi z=4l)dirE&dumEGolbHm`-_p2obkA-^SzyVfSn@D}p%5eJR0HQ#3nIiRics)XI{ig) zXQ=z_j?n9pa_&jgZb_`@(RuI?hv)7_OZ-XJD<5ges%t zQ^h2-B*Wr)OHf7gSw6#aFzD=F9i93C4Y}`~|3Y3I&^>mh)wm^TId?y*5vYxQ07$&Upl{d9crU^p6lfB9^RK^d>T`eTWEvXv9|*Ir0@h(xU8IU2!NJsy{(y2qHf|b!88@u^o0UnRCz$R&XNYiN zPr{V#&kOvqbu={Z-YrE?Ua`VDs@0NShmQ1kLo1bJK7R`z&5uP@XW!TKV##S_0rP<~ zpG({oC7GYl(=S1lZ%efaLP||AYdBv0BLvAW3zvV>jJT)BJj1fGSV5e{<7!9oHya*B&>&4v zJu^a8KOxWg`wd7iIq(|$L5RdEOyR|i7(tt72b7eQ!$nF=#M zyMs+Rj6%Q1+jY4*UqK}PUpSRTmUc|}GeciH`&f+asf_t}Hz7JI)<<_82~|f`Cx0D-K>x(QJ6g;{6@i|&OQzF0nVZsa0 zBuQKfFSEf2bz={SN&>@<%|a-Um<+Ni5VeIZoG95ajIH%MDMs5oe5uHl5?Mu9h|9|y zjka+&w5uFsr^I3Wbis3cFS=>92 zS^@r13CakV53tP9L>DQLL>;jSC_coBa+zpSXCy={V(y8Gx^`&hrk^O5jHl$yLhkBg z(hTnFoa~M!7--23G-F!hHtvgh9L&N?gv@eN%Z4omx@1>l7oVNK%;p|4EZ{-Hl*9hC z&_WqgRB54OD|vLtu%<{AwrFhBOMz7=_4P&JF^qZSR(cSs^3)RVBh!&o(N#QJt?U64 z&{P85-qd*JVH9y6$L{Yx8@Lq>TN1UgPNH~Ub$=5m4%h9Nl6$h>Ce0Mg?*oM z$CBfg%N>c$66qaBAGtaaYn7KYdc0;E$T|3vjlAK5yO$x8osmT`74kIo7bL3btxbs! zqCPS5w^llJCrX%>3CF>_I&Q07##QKK^IC0atSl@FKgZ|7STT%ZUmdaQ(Tn&?w(e6B ztF@@G$z;Rk>Rp@;hfQE?l|Kt>;G3{^u&CHUb5jWO6H1_2555A0sCn8@m4$SI-~sTR zaC6X>t@80M@6sP7KCs0!kofD>e&eO3wh&!#!3c0*Nw!I>83>R>E|V=^idfG?2i#6# zd;t_|Gt;*yv^A+F;&s3|CBR1k9Vl~ic5VP5G(y95%Lpn2a)N2?n+-0MuacY56-nczK=Yn<*RA+6oKkasn2oeK z3}lG7kO6Pt4yg5PV9Q+0AnCT5N5HJ~{JccOeMB4bt(vS2Q=eh}X)^zs+T%tV?7b%= zD$1IJXXNbPL7-))QAY`lEf}g64m%|`oBVVTqeIX(EuZ!vli5egEZl}nrmKbfyg+if z4rjgU;|760hhvmQYzrs>P!lJQlFpmXevF9bqc?T8`S~0hBfRlPC2K z)SepGY+BZ!9{O#WC^SV>qGyw#i0%#hLl`~#pkS8D@Ko`;bi8dUUmbI@(#$p#1C_{{ z9O1D2`D3q?@{6GjPSyA=p~Sb?o}PY-<7k7wR!^2FneydF_Vx`oNttzVvErY5&ZDJ{ zoe0^b)!|MWmk8q|5Qx1UKB<*e=y)vEf4ZW%u_bI^!8kO>jB@RU&uZ>oogw-k&Ys(r z5`yb-fY!tl6&aJB{BmKPZ%Qd0=HgB*Vi^jEELF0(a%i0xTGffG@jX*Xu)lp%Q6Mq$ zj<6C_lBfP1B-k3Rwq8zvxnc%Ji3CGbSwV2})~MYq0R#xbWKfn&j3Q-RQ&GWtOC|Va zQS4p`FBwSxG3}@Xy+6NSEtAYpR}o1X_=-+12OKqu}a zOYGv3+I>I;dt)SXk~&W@Ij%W=c3eK;Aon&~FrJePCls=j!BU}Cw?d2kN3b4%_)8EX zD*5g*BE(}k*QGXeeNo}*r5EJ0fyI+jwy!Tv_ku~UY+NUO9Cro&7JMa+)&9Y&>0-Q+ z*q#L*kI^ZdiS#q`Ea=O=gm>og?59}Kq;P({sR2aS+SumvD6#ME48-MLpc>IS5WDBS zN3W1#Cmedy>zdqE)uBp7auj3KLzKEV4x!cx2e{WbqEy0WO(N|<&?;R9(kMP&2vgsB zr#VTLDgL(Es&`<*5R#5o7mo@)BlhgXRo@*$cNDuy*EN`-gC=Hsv;}5)bag1<6?+do zDRg-~FLZFDDRsmZCAALeYmu2s$Amrr(Jbqj^agf}e*S)uo=LifgDg&zsW90*Zn=l0 zr~+@&eITI?14BW0YPjY)>@}cPi74j_CL6zoEajT3Vt#czx$6}HEwD~>mvAM0jWFhs z!{~2QLa|6gF`>$t1Kzy8A;lp4%A^NiCFaz5_MnW&*kHPi=CB|}b5f2>@9jZW!l0?# za~|FDrae2;TmN*C1sL$un!~3VlZ}gi>7U0O2l6?=JmG#gzyDjrFyt}vqm{LrZZH@8 zkA|+*Kwzi)EYZlXPi{THuOS|(l$`*s{u48X+rMps8^G6cyz}@akXsXiC0k!0*`Rc) zH2pbAFc^Vc=|3gj9K{De!}lv;A1%ph#-*!*0I_qWXrA`=DwYJTaCx#d3^>T6IA+RP zNGrd4&FIDNv#>B!Wf|(VBAeL-H@3+HI+W0+_-=>C^PPBCOBlG(_dHtRvZ|R6DFk zEo{I^J2#QyKM0=?+;<7l`)a+5rR$`wgxe}plh(TT#y>D1w zZ@}BA$HPC%?vMiU`*9(pG6sD{KGBHlK;BY-^|$QaT)eSE^(2P&ETk9?)3CA{WB270q?{94 z^ywD~{?{oFq=t0K-#|O@$VgKSBr>YD`P8xjm+2p&ZSNt}Kv(2F97F&9@BiS2q+m2@ zJ4iDrG^ie$Ss8ySgT*fs zwL(?ECM@1HMz#;6XdD3Ofi)=rJXhzf`fDK$N0jAU4#37+-UY9ihi|6p38h(*oWM$# zAhNxwbiKN#)bs-UeFHZoDVBa}w79Ym#*CBH{1@!6Os1f?4Miyxc5y)GN|B@38&#OG z)60yKCEj>$kU~0Q5{@#`K8`b1QM=+ZJo8Z8dnL*Faeqey)Oe^kF1W_z%7dKDz9WRu3f-^(fNuz^E@#vQp`OLLsP7hqR1u2) zcry=Py(T`J3Sz3FE>8shuS9E+BvJ`nSk5$IkRR*3R?B@VU~%CH?~b^_(63c{MjC*{ zDdTf5@rN+Rmi7(0XD7_Tbxd6RTKOIuhxS&NVg=kKddKK3Wl_t(<^$W-d7&(t4!L(w zy+7Y}r(v>y#Wq>>)EwF5mbfz!?ZWsG^8yP&HC$sxe2TKKLfQ0U&)!N2Btwm3(r{&^ z>Z}~*Y}WG2_#I%a1l~n*sh-00{x`2tJuZia@I)sGsR+B?(m6tHMIze~f9`nC2@F2; z06w!OR7XpW?0&lws`+bP{UfnXExrlSvRqWOc#D#)e}FG#lHme{dGf8)OeKP)es(eD zF>Y7d&BjimJqBDv$IOaS%29-b)wq(KCH`0#S*akc^KiO9NveS~DND<#cE@cvqr_nm z8Dn};1=U!YhOOl&+mBF@@?Z!u(-)J6p-hrgLfWF$z$Hhqj45H(X|4J+&_~o<3s+>g z^Ec|6rfkpN+Q_&UF8}akokwUuc1RL)#+i~+betrgRBM#(wYYM2_F_j|qqJAI=5F+E z6~zrLoZ%(M4Tv&Z##0fdYScEk(i-h#wE*0aQ2eo@i6)k2U+ypXQT_QAuCH~LFOMQf zG9JY=#oN<3K|Ucs!jr`>?>dubf73unMzH#-&G{q z(U)5KrgiV0#1bT)Cjjo&xSd(uok>nHPME&C6jRPr(g1rjS+HH&kx5BQS6v$=#%U`a zsj!PPO^FvRx_ODQ5d#^-7i?%hyRb7dm#dI?I;~(hJ;2msf4$_rZdZBRpA&mo7xLup z7<|Oi)%h>|UZ7H|T^!P}=#!l=tJ^B5k=94^D4MF8-==~!8R!*TJ*3Sq`Rv}#7kKGr zi31_;9;h>@;f)85w9(XeUPS;sO}jBdQDaBylL^5k!AI7gK*1LX`0I9zpFaq_KdunN z?LTfW!uas2^4as$eb+ZABf{~Yn6(G4+zic*Sr6}_4|4|fk}7}CFl&`P3-fBD_X4bi z%;UvD^YVXS9kcdl(0n?71j&>bk_`n!O#VrkJ*i0=mzhBM5o^KuW}t$RL_!gV;jv>e zZ(iHTU5?*d|1(1bZ(p@VK>BleRaNU;C@_sJZJ8E)aes;@`V9KW<~*VTOL^Me;ml|? zy!b~ezH*nn2+`hzI%!0rub7|5DlZfO6bq+)?ZpH1Oo5kaCgw0XP@X~TlexQTfM0kW z%M1u&f3^-}8~sOm?Q0_AOIA!kOB{;P-?5M(|HtkxT43L|1j%Bs-Rfmtus z7L;F|?ZK&ITQ(e>%6DrT4EDi|x7M1Qj5#iKuUq78jG0e!oT-8c~$e zv}HHV%xGJwq2hh+@TgQ|8nu~PJ3LfrRrlg|laF*0YBY*+>a{qgLS@UaXl@I*%L*NA zxq$2;joPwD1;04{{8qV*?dlTN)*cd3!VRou7)fJpTqKkLGHwxLzsQmjY;`LtVDc?F zBd2gVrf^I5az3?j#y%1Smh1|CDK9`gYFcT;{9j?+nzu`D08 zPJG|Hb|zwhRd2-$>-Umw#`WX~^Mh9c9{?%gtgfK*>L|b%~RUdct&mU|gr} zh%-xUQ5c#5DTjZ#4V|L2z=nU5e>JCc(~pm4@3&vSRAj!-l?y{nSUyg`Tkjp%$6NsT z&%opHBG)riP#Kl$qvaZ&tk|}*VjC;Y>iqUT=X9Ub|2lo! z)xE3UoB3YOs?Qwbi)TF0N?k9Z))GyVUd_BGG_)ouA^d-fYl(;aJMFcAF3li;KBbf+ zI)STN79LR0$r<@7o3gu;RpdUJx5kLr8yCfD8xn5v8NqmY7Z%EkHRxixYql1a>BS;? zp21jW6%Eu<2>bQKYu?HX?x0PvEFS}#myQ-doRXy`^H}}qYPEGN+rm{ax>hZxg7QCZycQ8e|%($2RnsDNI;_wF!?GZRr%^V5q?s zi?BP=i7JtPoIige-dZ5OQ?!%(@%>OByHgM#PVSgnQRbh8Qh~JH0Cey zZ@x;jow40UgN5$DV3YKfH$n(n*rpiUeWzIF1PyL|9C@QRQKWvYl!$}5av~pv7%2$y znTrPJ0l}KxQVYHor!Ms`3Kad|l?nB$bL?(A zqJ02-9k!lKD%5l z_BV0zT1zFkU5RQ~Y_2swQp{8~$E#+7!t6Pd$nEuwO6xA1pQz{k_(;Q#-pI@z7Gg3# z*|H?CvZ{EH|$71Qpj$d~V&js-K6= zD}HZDa(Lmb?(pTU4lc`{EV@0Rbf0-@`Ab{1@7MvDGH5&-47(yz?NLJ#Bw?VrLb(Y8@6lT`&&!ZXoTpJM8fW*aCFM95($ZUa8F`+e;@gd*G z*LGnvhYEO3Z`<*Rc>qIA3?iIj%B)mW5`31vIkHJx1z)Xe-qUb~m-^I*n#Ud&cE-8& zD|K1oPfw&OHYC4AY2la}w!?iah;PpWfmd|>?rMWxssVqQ=0-O(bL)M%Itx0kD*3XU zm^f9ib$@6gDPnr5#mW1+OGt`$+B*on!ZxnOd*Z_83d6MPe!ntEjdtR~S~riaTZJL< z7#s}5jncC{6$?w!<~AZA*u{G7xk0>}TY&S;L%{dGrGUK_hIw&clz4_SoS8E`{66yz z2DH{t+o3`#!q~`5Diz%b8q-^pmTS8%o5+u9&dV1|vav+-WmPz0jkU1PKQHXlUlyAQ zR)!ke4WdEwImF;pF2hiIY=}|+Z>&|T3OLidO8yI})AiREu&aYsK&&7J@yPxCE!PU( z+0`W&D~7>{|As(6+@^(GrG!oP83^`t$OL+?j_jIZY%N7&F`5N;QgTxMD+D2RvfiZv3aRwoB40Ttnu>lRUF;k;p{K96kSOE{zaPY+!1pABlsZWcbB;YiK%nX>BT49}znz1F6f6!ii;gH%XMH@{>b= z`f-mt#ps!h9$D|OP#ikNS*ZJ!_X6`Y)QFdznc!(isbi&XtilS)_*}H7EjwpDmeU8$ z*zWcrFf>ZtlY`3#yTN63N^WOX(_I4UV&V=}D@Xct^$(@`Qmfl${rRhvWX_-^%?j|Z zvlSw|3ts~W3se01a*rxk|LQ~4>Z*RDv`zoPO45mSwx@1i&w9X&jfOp_x@bBd2_^DO zTy6at1Qfj{1V>-yxh)a-y~af__=`jyi}~tIOD7{&aK(=AS+`_Z{ryr zzhl8?h^(~#8vXAl(c$1%G#Hj1E<8S%0z!qDK(;qq`nx(9*0TOy+@^Ip@?S<6GyWW# zAw!sRq2OkRsZr2rDhK%qGT=2bf>q`nvR7_DBjF&ds$ z@w+XPfb~nYK0On~UtAv#{|GyX?|q+(Kfx?fD|e0pb@;I&ES)h$t)1A3AH1p!jdCm&?ILCz zoT^|*J{Mh}jR#I#SY3EajWB3)KK{#%zGQ#VZW-0b#h^qpvA+h)=C3yfW$h%}LJV&L zr>U`Ag;I=_OAdUz3_eEVoC$BMs~K*Rjm~P_!IG^*a&v`QJ-I9oc6mcW0378iIaAg8 zR%Y9f4Ns7bj(I#!uk~CO_GUpA4(`%MeF??CT!K}O0-^sE&dK==YW{cl@c+a)Isfh6 z`~MNn`M(D^0Zjipfb(B(>;DKgG++GsIUqkw~2S)psQfYtN z16g9${%sFT__haTvz>-%9ZzrVTwl(x)^!0oe(UMitY|+Sj_;dv>DK(VXILIK@_bh8 z`35R?d=)YIU8!+Xbk2nCG($8x6IG--A4ae<-M?P1{#=a4Xnoi=b#84{{TuMm{axGo zJ;veuY;n=tyz*5Yl^6EDpQz1$J|ITkV|6ln{tC&fKT`-KQyVp`nS*o>fcOa@w1s=hLn1_6!rxvc# zkWRcSYcyPvn;OEt2=CX*wCcnEz&9`0+2@vc=VrnLdF+e$ml%xq!%FEfc(83%fFhdX zpJ3(IlbHt(S?UF8t5BOp9{(47Q(UZcy>Sq&V1ybKl2=RllxU>^yHGs=JbmAR`8 zFA#OtXve&#%Tr#%FnXuwHrs0iD15!&0Obru^$s{xp~1RhM_A-B}~Ym5`U5& z@(QZSs@p6{;^)PI&IlnFVB+0?7QQgz-ST|FB-FeQzo3W`Fqa;=13l^h$Cssh77GZm zJXeRzh7y}H(h#oUoY>aq3^x!tQ z^&k<1o3*n}G2xY$K&J6*CQ?NudMPJ0`Qh-Bm3{!w1Y|5L_6?9zDURD?=Qt??LONWZ z!1ALiY;H|S=3?LSe*sPp5&TQ(W=i67ldpf&4*+HB3|JFi_6?DFG^;0TZXOC)r04g0 zufWVDu)`LKO-ll2hs&v38lWOU+7};4n2qqn3lNB{r=j*GA#cb@KpE)VAzw$i7!Mc%=ZZz@Q@|n!)!Mzm429}Qqr<< zos!i@cqHznik`D+cvu9$0dmb~UW3Hj$<%R*gTTTzVoF0fVDq~n{^bo^{bTvC5!TfP(uvO~Bd%D>o6M3BuKg{RshS`w>OY42riOfQG%im4g}g?6pMu@g~%`am;q=}CL>*r-VUamBYI(S7H9#(a&Uygi5ey4;d<+BU|&t* zu5r6~v@d@Rv>M63;LZJYDJEiJhl`PlFwk&iW7`ym&JDh0j!0Ziwy#UyzNL)~-WDgZ zg#@_a#<`+`z94SPQw16;E%Cbp7X@5T>^(Piw!>YnU(`)VBQ{QMh4YPDct2Ypj{mOq z;pZEl2QU=Bk>y7nm<@h;xhQ-es{}c<8#7^LOf>}Z(3AGdB%9Q&Ujcu^o1y;=-u%ZL zh$FCKApI-#aqDzGREzUhv)XHGt9wmdPm_+a30y@gEPA?zl?Z7lms0{~kHWV(5K6?E zr8LelbClFAW4d3S73_)Gvb%!9`?6;``ngqMbj|y9$1Kb{i)ihbMIm7nPnzx*17~)D zC`H$=Xb{%aiI)0S%z_Vp!7!-|IKT)Gca&VcYJ@c05f3Mm7K~8&I!!4QxK-d54Me6;zID zP<+DJlPiH!palzhvfPb|<|oZMIeDLRSWm1CzpKpd@GI`G7nzw3y;2HTjHJZhmuwX@p3w|NUIG=cZmH-^BgrU&^@Zg%k|jUQmIY(ozj+&PsS10dnA1gehM*~>E#H@BRJ`7wgbomkk>wnjIx~B7 zQJys=aq)d|J?AL1njd^j-@lt-u$NAf0R01SDnPb;h`ur$2~PbuE|9kRW6A1{AJLhI zyXeWT{Ciq*|Kx(JpT8^HdTPDi1>R8W5KRAQOm8-A`XS9c@`>laD(?G_c3nMPiuM>~ zW$yY*A(WF!JchF7r*CLub4we5RZXF{>HUv~&8yWo+?JP%?QJ*bIt*z)?-nn2->wb4 z-`kaC^747}p;$pXKVsx&&Xu81lQ)CPW8r3fUwLL|j>?az7qR4xN0m}#Fcis@IK`SfieckaA?UaOFzAgty19yC9lYv>`HfI(7 zloj*pw>)s*TORm6wEPdgxfAw}Jdi~D`ZeH4FSo}-EQxkXESp{7B3X4I054Rjou!ua zK+)Ox2{WP5gT0#lQ_IQJsiat0TqAv#+bVEu`4k+MTKiGyBACVRQ*nEsWJIAMtU$>$ ziM7GkoUxMg*B3>H;-MJ2R9K?`Y&moU1JuaN?=){d3Jo{^i_^}K4EiF`LY z=K6~I{ScJbk1|{_U%ymp!iXX6q;fAgLgXdY0hj}_*BY63Aa`@i^s06JUz($W#ODp% zS?)27X(R+*8CQHq?Asi8NSKIC9D|*RIhQwO?rHjAMiOvrCx@!NOsZnpaVay0$*(3pU$+h$uGI0NNW({{6XhteuPJ)_5KAquwx*i zz0tTY%VBz9v^bH%d`|YBkz9>%J1l;STZ;AJm2*%QGP+fkhvs|qvx*+&B9INO(7*6K z9kG$f8ddqz;}TD=tkKSevbF|_`|SU;E(wSm(?e?PYdWIXog}FL?GgC1Nzb*%@j~pe z?)1(Mn1@7EQEA6+UWZ6vzFE>=hlnb0s7o-qvlVqy@TcENR*F`r#(~^yqmo=M< zG6Wami$A2wx`*oTS4x6b`~6Cq{f9(kl=6q6~6m-f|X*G1pPUZe9T92Jp~27 zutDY?97ZWO=>ybT*VRpCX;4}P#u7tDX-kVM zFo=q6Iz(1uy2IM3dke#q#R+;fA^p^QiTL;U_VH7qpX1iD7g>cQN_S3ZfX(Ha1fYeYt?RrZSlk5FijQ&8AFDRbIVhw)TNkNcxWZRTXw^ z-*WK@eVvVmoQ4aA5iLYMTp>Zva8#!c2D2lxu}kZiuh7hrqT1OAiHwlejDWaWBQq1Q z5XjG3oj6&RhG=`t+D2Jv$B1GD-yx&gXS)ghdXEKo%aN^p_yWM&DE5vey0v<3fiPu^ zhj6U3-fSbY-VcMzp-+c zYOkIVNRt_~UJr#`My(&?kJ)34nKp~-w@OtzX$dQid26o!uK?{dPiDmO@e@b_|!@;se1B5*N3!q)-%%~(wAhUS0O$QG@TvOg=i z$_uX?m_1q_9j56-A&iamo6RF_5_)~oh{7k}umnNb}Nvv8>(jd#cv_t z0Jb9oYbS!(S#3&&VWyPT2JEEi3RN0Zy0i9D7Dm~xgUJi`;FWY52N!3Lmva-xI5o}S zfP%Z=qTzg!n-~C=gAb|T*^+gWOor%XR3q@4lo4fhg5q^GgMg>qfcd$-Art3^Do8XO z^O>qaW(1mn0Y(W>Uf>o3ejrwWy4db08-`uc?CjG^FI>f<5FNAWD9wbC&&Snb3=-lY zGD601O8xQQO9q^e&+#2zz8TeVWbBe#;>5eV5?EGdBi$awF1Jdt>MG2o@TXm7$o^V_ z{uhjXkU2dfVhF821wVKFjTCVC8!x#6sISVnlT*o}31RB-j=P7$7e)XPciSH;)b z{IV~-x`y8`;xmO$d=*B08+S>i0Yl^c8j2L;yH!8&ZLK>>c^+iv%UY0L2QVazqEW~@ z*vw*K$$QX~kfypDN;#>@LrtU@S1VKxV>7Crfnb~&+=ah%k!P@!ZlFZ)9+4*}DT$}N z%)uqGGTUh9-RK3K4gF&q^2ASjCWT@5BIbnUSZAjqDq_Eef%M2D;Ur5Mq z-C6t;*#dllGdT5~+yeg;xm6N7*ZEj7ljN5fLy4ySRm)tlVPZvtp8zMSDy58fdBe|i zOG{W)bX2E!2{<#1VA&UE4$V~i;UuW6#w56%>7wui{1QB|U|lXa zR#aHjcOkUa2C9Z)QPo@YB8xH!tm|Y=yp}`(8}_*3^9CP+ss==CPCAgq%x|CxRHNMz zy{F-BE%hNmO)^(!96hyCHo97TbdtUy7emcu%oqJ(p|kE?Xf9_q!-#GhlKv);wPt+a zq9hBSA}FcOZ1ceBAPYJh-NH4a2}1b?68`KikI4g+m@WLBZjR8+vS4?p9M;%Cw9p6tuoTdq%L zQe0=Zlk@om{;xkw6!Pr~_oj|YbFDI7=hxevei^!mB3u$jLe5c{%G@T&_qAAq6hIaZZpy%H-$#6uNL z2`bh(!5A7H8Iu{Buf7{G0Jt(;m$S-rN4+@XE891pULLF^pL5fPHU7kwMaL1m%1#_? z$4b&QYFS+0(Ani`EX^sSa6Ud*l_|yLs@>Dm(0yRCq49oFqL>|a6J_JA|GqQdH>nf4 z^I8ozS|D_P8?M>#pTZ(9JkG2&Ve{=iQ6^G<*8C!6rMT?*Nj%HeAhHkTZ2a9j`9eh&Xfp*Rb;8m6f~ zlzMC~?zD0hbuOoKTVI;Ca;w|yXbfX5V|kDA4=aUfX0ocO?9RNiGU;dF-)nWP${Yvr z^XN`7qw1QY`l_gHc7Dn8S|o+DrI;u6mf{>HDU^2P5=LbGAs zDiQ(4js;s;m$1J4It-H$-lt^b7*pNwb&F9j=DS)!m=$qlF+n##2YN{n;d1oh$}rr!gsHFRSaC?KXvj}CC$&(b$O6mmJC9BK z|K!m`sLkU$kLJ@5_G$i>(}CH+g7l3fZ+f;y+Hzq$u*qYc=_ z4gkInY9_P7I7-v=I(R(U`wk6dMy;Q+0V<;#2;$kG@7BQ^+FM;fi?9V`gihHrP;-j| zT)^crxVQE40>kjdD3Ew>ll-XYY~k- z1D!sM%#kO&5ykkGdeUZ0wggAzSoia2_Zf9cuVB}TE^oFFw6}hJIu?n};c(H)Is}gC z=i5=}bY{&g4|*lxMfdhbBNXfg|5dkdzHf#54s$Vyn(WK@&tDY-!t*Lvh36P5>*A@&>4(phs##&1v^H2iP-($Czsgb(JS0z_wV8KMu#|svEK;_4 ztJYNR*J{RZSJZ8u-=bz=ZO#_&s7!ENH-=W$TCW3=o9PrfEv66av7@IXvoW z(u*8P9(5&gcIam{Mr}0U$BgmHH0}XxI1rPUEITrn3<%*)==T>W zV3*rt@>=I8BakOyxAvB+Xs;r6lJ-8FGj&7B1^7;V$dD5J#1Yy0aBZ6J zCUlAEm&HgnN?`u8%F0!i?>?t~+*y$xxBlOxjtT$zGBTpRE# z{sx@=LXasK%w?su2O)Y*=Y>7n$J@{jA~w`Np5o1Mhu545Qsu)|+;s^q3uW8O{xG6w z`t(vvC>}?2*~f{O5#I30JA9P@iMS9eKF>JIgD0O0KG7dh^C5)G+y#ZJu;;W*R_ zY_!Tc!tle>pl|se6MHxrpRd9%kG31q3Fnd0x;SvRV_4EDKuEE%TG=mRjY|dbG3SPu zX_K(>@4dj!A9%ExR6ghL1#il1@+=|PwRMo6f;UWHcMzj6lTz+!G-Z#sj{4hCDX&*y zaLqfRG>*#Q#wbBzc@S$EW^5blI>im`T7Z35|8h2rxWN0*&q=$!MO5av6a1%Wc3oMZ zBGjMTi12<}byJS4#E!|1@~}&G4fjlp6|4U09;cASb;<7V?;r_YVPoTV`AcydDfC=X z_}h!}5HIE(Qj_N#-|=eOra&nO4yH>yYv6ZY?gpu@mABsd#HdG@7>zY`VOUG2J{eiH z$VuAiHr(uHsByA(oJssbWfnT@wk2rY9cZc zifbB=+@e(-C}vO(G%>&sjcHDH!b%AoW)ewo!m=3Wja;)x)>x>OBugzE%eTNHNY>0Y z84g&pBA|wzG2*Kj<;RNE0xOlpI3!EWJWV1Cx8=gmMLQTn=QS+an1b_u>;n8#8hIcu zD$`Tge7Dg-uDg$_8eA}nTVQ_`{ba&y=6Fa2=+39zK0~*#x2oPL+V+ z?I~HGl4*&UDCcVuXDPvY>(NlaYV$@Cjg$s{!#fkI_V`+j0qJEEB%(-5yWkM z`GVvPGI`}=v157{f5P-x7%15fJAa5>I-S)On-CHHNf?J`4}#zO>k>yT!$!&1Utf~` z9&!IJwuWkKk262B4=(2*sEujC46i81G(8FFN?<1CLN&4WK~)}M1A+^W{q|wTan>R% zM`TRxJDkx3zCgC(p|fjIshhC9w^LlRSPlQAGfe!Y1>;PZIrfE9hp~3F_vSW>-!q;I zX;R+=P1)_S_-*>1W#OBYoyVK0pQtsrkwG!L{cm0$?@&qD)2XJvsbxW>jb0wfifc(l zAlcP2YZTMZKcdB&GjeU$WaW!=bjKK|pPONA^YLTy4pYI#Mn& zcuz88SW<0gYLQn7R8m5m=b#Gw1MWq`TH8uxo3Q%DkuA~qDRExC#NM8{rNypY;^bIr4s<1NlD z!T_YpMEA3Ud;G`gcT1b-*0+jGwx4E2)LViw@;sYv&plejo8n6QeCAizHmTktwY$Tt zK3XMo0+<#k+lPCJ7C9u(Ft>v3B}3A!u4u3N35KYgT9hn(U;)s~49X z7DvkytQyUc=*e`&-BjazJJT~I|M}TqtueFw%IVqqX1rR=cpu8l43oiviue{u0{OFO zbf<{JC_%UijJ?29uhB;#@^1$Cj=Yb`MXpJRjYcqXiBn=-Cd^;~u-@9KrHwW^*6oq3 zjhHN)t$bSb*#V?X>0Y!k!)0ueke*%=#9Nl;5t>&bn)K+fOlQ><*I6Y*Ep9ur>xwWx zS<)m~G9zl{?#7<6%By(}3yqGdO$p(zq%m8G`Gh^z8SzO_=-%68o;s#GFz$mr#r`U? z5#+xR!Md|ZT_1;n$C`9M6BK3E=abGkDF={oaH!M5Mv+HRxL_YPl~g=@DKLpcq~jVC zrP9yml5^R`|MXX6BquSSY->$j--REsRI2diE(d_@N%rw>T;M%mGrH2D#nKX}-9Hhy z%j6$y>^7xf$)iqa>@}DRYA#Cb0^0Yu1MNY~P5*2Kb1nc4oQ}NK=1k`;zB(Vg!UA4p zf8V_pU8NG%n6do{HY%f}nDl}%H z0GAu38E>*b^*A+1R-#=^_OuKsTpAVMJpQj`r_7Dd0(}F0+2EofUPmCMjbfIiO`IR* zi2)zA&7pxVdQ+lXU6)W_PTL`O>aqS?=dScd!|lyJHA2$U7$x#5aEK73z=L2Y-JDOF z2+7dsUHI0B;Ye4TfaK` zVR38E+9XVO{l1LsPhdeF4V{o$^Y6uyZc7phB5^fdr>MhnM`#SuDHI~iYsl=<_7%gU zJN2@NJI9C_FIemE)asa(Q5;@swZ$p#2#gXzVwfah<(#I6p`dBilb*~2VvVs_wf`EW z+!;eUKRFL+nwwqMpPR0&?Vk9krKR5h3??M<@!^D+IvDmrAJdmq-MjH{dvKWdQwgaaWa4E~4BIDc_BpfehzZ zfM`@h{L1%EJh7~AMA)*oT_4Pm6N!2kL)sugnD`ubC%-u!{&2zaTnSZ@Uaxznk6rR9 z>Vb)mk?s1H8w4L_rnXTgvhE%clz{PZgG6FF3#=!H6v~{DOwujZOKvFLZK4`)vfLVjCA zNfhjcUVO>2@wn5ey*a1r4{laVlu!_5eDeoe zuq1_4x52c^EylLKuHhO-n*|V$K?`QJ;U?qVY2TJoJsyaiC3VzSfXjJMQAFF^In+KM zH8AQ8v{nc6omtIFc-xclzN}G4gBluliWA8Fu~zArw~+w1o;(tzOuYIM7l`NPHIS!f z7Pv6ga(9ep)b$(Y^-!J2&-sT;=r%QWEL7osEhRQwuk&8LWvFOP$hdg&A9XrU(ICD}xAH;BdWj=j&!ePn-~ zq_<=w{kfkXZx*I6wUq|*y)O-_kKu1w@`VA+%2Hz)!t!(I*D#krHqPL1jPRDq{G_-= z7zQWoVHv^ejP`MY_fbb7)@&Qox!+%zzt`aP*M!Q-0p*A&Do#$&NVyZ_p;a9jhEQ-Z zz$1rN1FF1^D|0RQXf7>1g1w_x#(kpY_|4%Z9D1S)!qbfX3-J6-?S-jvs5)*rN=+D_ zDK{JJ)H+3y{aI~*=2@<$IE5-}Vw_4-zX&buvxXdoS?n?ue#4^mHecqm<*cO)9})By zboU!1mLX=v{N7N!*eiAftULU-Gk)z`O_oV6nFKIfsw1e=3<@p_Fke~W;|STBpiAva z(Cc?e6oMp}`6i~RGtD`u61oEQ#JTunGK*X4uh_7up>EvNBoT-E>n)b={5x@g+ zwY3)VuR!(IGc>yKSnbW9oA7f|n@MZ>y)48TGL6#&Xph54e1Jkox%~-_1Zfk(Xra5^ zcwJvQp4JQ;xLv^k*~l{om|R=uhU!e{dko`&?OoMUB$e%7cN?avAMvNkDtqk;;Guly z$6PL6rPNiOd)6*Z3DQUQUbpbPk{{2#M=g#fZ`qRpkUXM;AU&t?Q#~ydM*9q?I2yZK z+Q#VQES!VLuEAgVu~|{G(SVz^Xdh}*Za%*ov7Rm(6~nKy{8^z8_L9a=+_$Ph9hKdHCJWPF*jgZ&zQbVsxMQweY=q$iA)4@q4T0TJhN_<4a zpo{%b(TaZF^#26~VSil@1wIqrF8#>?CJxrd!sKO6`NQ&Y^sN!}1r z>q)63XV=x@y-cfyrp{}PE&EQx2Q?Ombq|S)vxYGK6p*X$opRN8ZiIuR>CeSIpvKyB<$tOfDYF&U z%Y2*hH&@WM$L2rqWSn08KzZ5PB@Oe^#y|*J(PXop*MB7~cF06)KKtz^KvFOamp5PieD%EETV@u_v(7hQ^&26qNcthASjxC%wm~cI|HDz%}%Smk{Qv|QgHd7Zt zml}((_d}N$d6gGLU_jpjElIS=^5&D-A;Jp==n}>$G&u;kdPrI;hZr49+Y&SRf)g_NDt1k-Ki!Yg@r) zJ*ntnh?`GS??<}*0Xq{4P;&v4rfSVIkX1(t8fYp@#|$U6FMy0LA@^lrNco0(bL`aK ztoiv+yK++s-XM6psg{GFo%+cCD=>Ti1V-VXz_crg0}7E~eH0|nzo&ZooPZY#eEq$ zR?8x+O!sT`&fJi`VBJ?s24kt-p}jQa!x8f*ZYfUkpORAG-x=VCuNaVp3TfT@t>LI~ zSHQi(;ONKg9cv(nSa{$P0*jT`6`Cpo{+M<0_oXBX+g~PB>(^jh!9xZMYlv}xbq-@G zSJ|qMwB&cnDNY0J(cFq(g7(RT*BpVKiF#kN!g?AJ%(v@noEJlw+#xSAoc{e;M88d* zV#2M_@>zQVW(J?^JrZxs)ko%J>>1+!XlcT>$$8gPeYaT}d3{lW@6rLi)k=?k;^9ly zBzLhs7klLv%or?h9UkRH@u8eU-sRb|WS7D%uIwGVk6ZhsfN>Y!87}Yp2xHu#AhwGq zTr1r{McF$ z5iRn=L?`k-|0FsV390!-D7p&EIp;&RyAw9pIOz2>I)miY5N*)~g({i*V#NXrDsUU{ z@g=VX9PKo|yvnFF;EeMr5BM6uDp9`;$M;tI;#kp>hYre70kvm>cA&5w8UhzXRpt5bzJF;gpX1s0~*|NXtPbeWD0Q`{JJg1!?T2K^{D8b{Vq9{#6C2>bhB!200_@l!( zSW4y$__S%UeC;kW&5^Bi7sp0_do5Hdqk65owV&O0mGasS&-D5t{6lCNVvCOBQMseu z_rdUChYZ(bzUzz$2p%d}OiJ?D0)n;akTnb5*dOfcO{#eww@`hl7`d38F2$4D zNR<~)h*>fv4Q1-hfdWX+MkzZo6!BD+0V#Zp`toFIufc9m`M8yw4Q^jau0wkbjLz6p zS4lZ=dZMUC1K&G<*ZLo7t9+RCsCZ{t7lPlnhro?A1WfIl5PB4-Xne<#yp zV|HFmw%&7lPZNWJIq+YTpv6QuXGutYwP%r*2G`w_^bdeuv{!(Up@e+p-8YU~VnGz% zsy3R0><>wzA}B-6b1<`tfVLv*L|N zJHaz4*C3k^vx#hKCFN?W_|HvNmid#k0pakBOj+a>Y(D>FxCh>@uY48;Wk=b~`@mM^ z8);SgE=W7PmS2G#_O&bDQi567S;rZaJ;R5(_$} z%jx!xS7>8Rfi(#cyL&t(^#iN@E!gN@7~|^$wvI^*1Io{`re3gyAeB1AFmO$t_rPDP z&7E*@+dn22JWTgctzz2oZ?1>3$|BD(Ze@(OL7LSowP{_2omkf@Soa=C4so8mTIKv4 zuWdyn|5i7AmhWd_9?WTBN_{E^Cucw&2zt7Kvx>1%Vd}}Rh~Sp4PNz^nOJZb_P)o)w z$|nS;i4d^qVwSf~ZbHi&b|y7In__5^Y2Ph|Q#vos=@ePM^^Xr&7f$ozx=l}#q&wgY z6ps~E8G$HgNY>UZzk)M_%ByabU8r2o21fDV+o+uUR-#mngEM9}<$F2iuVug*#3^cc>zMsXdJ^ zuL~-3o1aIFh3jKrJFDOJ#uo&7cC}bqF0f4u#`-&eK-wczB;tF`QM9J=7YqxCZo!NA zzl5Y%{!2)T_4{9?|2XdqT}+9X7?oX|T#a4+ZS8X=Vqpd_|8J40f8O2yGcv`-!Sx?x z>OaWTe~_vFAXAM>|3RkygG~Jgnfebh_5V?1YV$wH)c>22sTw}J1GR5t%2>w$FM)*G zvbUsbokW1}v{(QGD$>CGvKlhoqx3=I{lPnrj9f8sG=s6#RO#8#!}Eq~T=)0G`?#*| zh3Mj@8Z+(TaCTC`ZioZ)6G@R6ai!mXslNV6F)j>+q7oamY40 zWGh7yk3ftoW@}&a)QCD3tiv@T3l8N~*>9ye2!9R5qGtM7o?3-3n_M{E)z~=b*209~ zqmW#-vmKzmjGr1TRgPR;-p;c$(_Dq*Oe7J7AZdrSef zs(H#x-dqhy5G(MHJBDNLgU3hfY`{CRJku-BGs;$Ga2D|$&V?;F0Fzy;{LV(XCniC; zOACsUta*q-#$l5<{iYtIuI^bP6L?A7VB{r3&`S(zTz~b!mm6C-&u{~h?i-n^_Q$D+ zJmcY)0CyFMSgxf&lN+Oo#7<$yE^if6N(u6c_%||TL3(y)>3@Y?K-RnBoCd(&;!wtq z+2jzMZ-IC1B=;bVsF%ehW2N@OE;GOyP3=!9?z)42#Z zbw;#((o6o0io(C33`U=6x5m`n({c6~Lm5)@KC+LK7n zCjHk+`@3`ys2DIv_h8AQFkEDLl!+WEU*mH$sS3hqPDq+>%+0Wder+7o<1n&f*um0vS#VkkragqCOtm;{)E-O!~CJM z$qO+Gt5oAfw|E-eo|N%j9f;%qAncrjJn5D`-?nYr{t%5$nBDkJMee)Chb?!p(l)5#)7esa;nzds=ooYy*`OEatF z`~qvmSkO>>_-6w8HorlFIPLuqNDu%u32!vWlF+7Z0IsgLz9i4hDegQjo|8wNwM_`D zvNv{jntHRP@dL!c+gY{z4`k!p0PNh0Ri!Xx!#)pp`01yT20>}H`q%N|-hkt6BS^kQ zU)RVXczpkY^D`~wXCO&YQEjQkIkVwi0!^ffs(EgKGoXY(yqZ$E2?Z8`HQ*LfR zpViZ6O?U!=1AwZ6&XvD}7TBpDS7gD~cdLfjP)2g%`eVV*2ShvW2)58vAG}u6ah!$s z^r>*!*Jfr!wpbrGsZL6c3RP!*X+w&L zo6T`Z=hbzGrG7Rl6N)`L^J!JKccLsqdL#C%tqHe`YeDV|M&Co>2}N32` zUpn)3LGK{KU5>AklkOCCZoMnU0weI=5fa;xi6{pRVOR(5f0DjeE$RO7VuWOElUG2VU zROCApsIamday(V~-Vrh9$nXmptuyaB*|-9S`4FKzfj|atnRpo*>pPvZOZ!sg!!hpX zp#S9$Vvry(ihPptuw);RymI4AYPy@W#xC`Nn2V_p97L@u>zFdE*kcFbk3w7{_sBaS zGyBkFpQ(MWVZYO`m2Qy4IZ6KZcPJ&zu?b3;b@ycwY*Ii_MC=&akQc(Lrc1U{MKi8P z8sAMdrLmFozKF4u;C^LGg!B>Z2q-cNaUd6!m0A-VrPf+);>su=&q-3mL1Ip(L02XOM9o%4zXomtiKJpQR|IpG16z6Vhj1#!N9h zuR-PZLpn;eDJ9cMGepp8l71mwAMa{ya-91%>+OkPj zeKkwEonMbz_~J98V@NlwL^!KWPlo~M<>iv)%D$rqHplq`H0cg~sr22klXSn%?==n% zC4n%Ok#(QM(QsqQmr#GVd&O8R&Px&eMxPXPldk5i?_1KrQXSO@562!G0GNH(EY(J$ zDxg>twM_R_k1ApD<`+Z%vrC?Ba+e zY{C1O16PfY3hzF7AbhqARfhkXuwFZ)2`Su?1=GSangm6y z&<_e9xB;gkt)S)bd7!BJ2rT3nr8p5)J5$4%&m{~!^NG}J`HD;HvDUs8J&96AIV9@r za~pWnT)8{|K~IfMP41IVUR+`d=bW?J6*WGkF~@CAhh!lLr0rmtuve!#N#g(u{Uq)c z{Qz2Q7gpy#=FB}TbS^&72c;?g;DsbnG{mkHMet6-gr)|7NKaeg?1%8uIep^M{D?eH z$}>;&zCE7^(S>71HnQ+=pf^OthQAjDHVdSbrm*o~NG-WK+vM=F-4^vcM*r<70!R=o zg^@#pa#gM&@xJ9KsH zeEIG`q%zDQEd63d8ns~O-J-d-#K?Q&(N;$q$D%W=1@7i~FDxdQ&nMPGkB+X|s+#h{ z)C45qr1ohXxGxr}F>5Xb;Ddf%^mS`VD&$IxZpg~x?(n|73JdxJYsw%I=PZBjs-jiDefrI)Zj0sNN8Y$BJUqurYLrgBG4mMmJ ze)y0EKT}_!Ed}@b(3oOLk!itRg*IdT1Zc~ zZJUEcbel@Bk>EsoZY(U8yEXvSs$hvG7n#a4{wWkb_7j*8yo^%k&<9{fv&2q|DUS zU^AkZ)ncNKnX&E(n)H|R#apndld;6I8t~}J^R?&aHYyk`Rp3-0480JTK^!Mt;g|~y znrFh6ZC8n>(=G?>*MycuVJ#(q$=RNZndFYBh}(i9LLs0Vkw@Z4-8?BH+Mvbv3ADnc zIPk(}Rs(rcuKui)z_u|YKT*)>!%sj$WiHJ9#BHI846-*Tl}q}_NF(hb&yR2vt^d?t zmxZo(V&G+WUi`E36k>s^lkhz61?p98tKo%yy|km!7OgZHzaWS3j4U9I)YM3cTSmrD z%&iV&Je5==%v42Ood-%Xo2T~+O9qvm)?PAlPn9J!hkGlt96LGpI<`aDc<~BJ^oQGs ztzgnvh?jzz;29 zm%5iT47u)bC1Nj+Ci9pf1j)?X8D#$?At#xbk!E#dx2cAKpmG%QAHHwbxwo$^O3ll{DCnn%u`!k|1I!OPSgAdB2ymvYH{C z6QXFIoFu~9-eRzuN?YT_$7^wP)UY;nrC+RKhdn2Na3@8%++da(OHf-8`tqn3R#-V~ zAJ!4INV11QVxul$pp||OAazBulV_If1LgrB$A66}5L)j?^|PZL@_p+p`C@TIb0AzV z8|drGRTF+rGL#(cTjOW?r3qLq?3PlKZBl3Ym?nF(b;`LxfzqUiklT(6Zw@i+BDFVA zpYkbTj)zq^CyM{<>tWwyFDqQr%}Fe(>?uw0=T=ODZ#3sr^0=rt5?6DwGnkB}-NzMu zmH#v3&MovRzBVMO??@1Ma|PW|@41cUi2Sk3cIyW()B9any)DsK>0T=W!0)w=e7=>` z|J^|9>6{UEbj-9>m5zT^x#Px8VyVKOJp@Q?ruN|p)LbnSByKy1Nc=f%EJDtHSW%ZM z_>19Ea&j77Z$+`Ts&kXQ^k-Y$o~xXTPZJTNoqF^EgRRm0VEf~K8Z};K7-bKAKDC-? z|90QHJj|^NgC2WxQueM}XauefO7FZEno~EvffHfw(#|77bS3oxin7ByHvrpQnf6

;@>*Yu~kdRw_9^AP}q+(O?sIbY2>Kv+2!8j6aR z`E7>T%7cuB1kx`0X&;ouJ-;hZlMSp^3wqJu93rD2uh;vheT$KZyTrzCgw)P}7Sf=r z8iLg*LWu~BB2#q)$>UlR9E8a+zy;5Gdw=piDDvUsYFLq(af2Y-mdLrF*b@^_&D-1w zR*G?{c4ySQow3Kg<7`XEr|SKNgo#LmG9YV`soH0~1l=3B+poRYBAP~mLyTAIO4uR! zyqAJHqW_r`Kd$6gSX6Nr(6UtZk+FJ;7x>j{p1dW@SQKus*D&#TynwarW_ksI&WAcC zD?9Ad%xoML4R5LeG|!Ntak+&v(Rxb3bw}!i)>UafPKGbCY9sa97amz21q(kAqwA9LqN-~c90HPKQOAz?-bsQ^zR&6-q)s%+t1lugG&|a}mEEUeUewlv-TY$O2k{y=lZKf^7f6 zcJp1aEBW0)|LlVYm|jLDZ=OW}tQPQu?k0tsCj+5Kz~e?_nb@?WC+$oiQ0miIB`gI7 zO==#-tz{WpsW+?`PYD5~fzAnqhk)li+<@PP`k=Obb__Upshq29#|AC5s{gY#UtFvI=8y2kJ5SakETPKafaB5r?ve=k&Ohl99l^el#z#1Q&A=8h^ z<8gFo`YdJpl`Owwe zs^M*$7N;S%!dFNQ?cAy`w%jno}DVu&z-~o4Nus%B+AqZujmzdZSVtIQP z4}iq^{p4H>JAow7;mALw%!W|zuAiZ?_Vi}PBp!_Wj(q1>oV}dTL_DX?r^A7>x1F@$ ztZ6hrm@vLfi(Qa0dU65%4jloXD(0VQI2MKl!Vme25hE6(6}KSfl}7nfBc99N-l+4& z8V!ED-E9>wpNKyEc#7az#3DBM*p5(WE8@SrCEIQc69IXxwsjt&dGfEAj zirjtE{(2RH4rxom9v7T$klX`rK{CC&v>&&|sXp1~Itn1j-j4}mQL&>sweXzq2%&Q< zw2_lcMk{jWJby+WD1%wjbysnkr8wH=h10_v&&K(6`otf8$lkl_ABM64i#oAzg6*jp zc>N=+KdSJ39%zRy%k=9Z3&jac9{W&RcnG+0n_@1VHOBzol-ka6s8*{C8x|LuUi_^A zT^~0Ov2=gUM5A`1pFdg+#{*o1G1g^WT7*&IN5W5?H`^pajDx_X6U{; zs8d7q+fqj7~_X0+#($ga{#R8-WlKU=>%l;6PBN3WP&Ni~4 zEdlbQq#XDJxQJd~q^=RpuaTri$OUV;*qm2WP)A>G$RPfKMs2|to+U;TeW2ZP0J#rh zGOeSBWoetAA>H>6jf&>(49 zX+ed^Iy}qsdcUs|eoZoQGQrt9-NS{gWs-+BU_FG{g5X4ky$l2S zvF^_4NSjKOw-S^q5VC4H5p&nyY?EWJ88%q1A^2NSCcuZSf$+!XPSLg`%Tn=nIHPo% zfCk>5q7-MpMEx&p05gJI9){fJC%M9*tIa*`24W^b%?lAvqFTr1B`cWs-?h;Y8CY@V<}>s&x2_ z>=CsTMR4_ocV?uv6NQadNz(cu1l43&s&tZp4-YMb!BLXzo8NCupU2!)MkNn)-r>sg z$oTZ0b}vck>7g;6o!^0s)HDK_?fONp%zP5_{T)XQMQNK$=1qqX^}5^Zz=Y=g&p4^n zAF;(Z1#&L^X?1r7oMH?yZp4^A1(}QKutp8zhcn6y9yBu&U?Fb5Ed01}boJW`F&JV{ zBJoOz{&-vIMuH39fORr;h3f950FFR#uasU*rHCvNHohCnl$L$*{%V#m^Fz?}qeph0sk&xmmm|1PbdMAdv7KOWr)SVM^0x|%vog-+`Y_0 z!a5X&f{O4ZPEg!A+WA>k13mG~ZTq6Q`~Z>&*J5mosiz-*g?$+z2SOLrFN8uW&kwO;!#@^)wUqzy`+vE z?&^U_=#WVWmnfrVC11z}w%xX*QcoJM77SxB-IFKe_RV8hOm5~uvAq$%h)A4?KEP85hFDh$hfQ=#&@?hUaF}KFL@@o0a2r?`4Eh$u~9~17f3Mj5b3JW9D_`)cz@^Xu! z_2VUI&{9VcWflh|IOLHvW`uIG;$`Ow1UEfDIi%3(lYBd)V#Vg%*cY7y(P>3GF{?C@ zZX-L2LT5^z>FRFo_PrrQjps9)sv2|*vsnhO-h@`-_%GFNuLD*Rh@FNyYGo;>;ju_4 zWwDJ?nAGHy8gF`q7J3{xJ&z=qJi6i-^5Y**OoH*C)_<>kphdYq_;qIuGkUcS3Ek^*t{4M@JuAUbYL;-0g$WT2_MazDDx2EXL_i#>s958|2%RmvOlc2x=wuyUdQ+ zayRb+?oah%?vK4Jt!hQ>5OJ`G{Ut@H&P>rUei2sYhc%|hY}Ap&k~fgd=PdM?i()h8)KU&l2&1T;vC)*Yn$Xn4pm>t zJdI68IlSc&oUWZBBd?0to^F17ksbVl#cuh{-*M*yRK-CxCpQ3r2;BxygziQYQ5Vav z^uLYG_&B==axl~m>A+xTqoXj}R25cK&|z69{>OZ3Pjbz|`d8cIphwTYx<$LrPs9(X{O9%VnRez}<_19qf-@&8d$~VNTUXir- zrj}^k5LyBHT3k;%F=`5u3|yh!7e^J5wljI&`LT}|z%3Moi;WHW zfVrz*f}Oe!6gQa@E5a6O-W~euD6|F5orUttq;7z))<+Ep(O_=m)Lxi>r1;+bts-cX zsxzj!ALk=j@s%~Y(17@rY4M7g9&VZfQ`H$7mU50e0a5Mwu+S&j?1T3(eoZ9#y9;Ld zmdZ^DeAMk+`VWLsDGfXV7+S{s8=f9&*OYayuoLvN{8VmgyMwDa5ihC1pFVK@t_pi{ z9&0Ym{&fLZ8*Z%C$=}?urw}YA-J23xdy2tl`o>m`XX!qOsmsp3m8$Es%}5TMdl)W; z&^~K1jn3*0#4CKKCOw1D5#**8#wt19SJY$_958wHgvXoS1E^NEP`$`m>Kh_Hpx|n> zjD_i3k5(%PpWzB^7lq$?ZcTMq*A*>Rr8OEojog)Be%C#=mq!=PyH#S+vY_l7Bfs3G zmH;Q2u}--jI;WeNeEy$&`KKYy7$ zwVgVpXdX~XN`NDW;G!x~6eqm^y1y$1o!V)@QZFc&kSkcE^b}*q-siiv*>}sKm+&o` zz=tf&4c$YjR@Pm69-Y>LI|tL3vgGY3$a>Hv#ze{&;s-f_rdH{@^ftBDT<=?uTaoZ! z9E=@mp{^{{;6shFL3nW7s)V^${NvQl6jcfw>@ThVtd7HjqYiJIurTNPtp!f1qk`rZ zHB-pPR2*|^KE^Rw3~1pyaNszUF`0~qyE#96q^5Phbk5>xlN*F2(Y-!D=FT^l!uUW_ zPQUQ1c`J8nc}vD!7R@z2Da*0|7Awit#zZjpH2%`gih(#u?${&%2;iNpx7j$Y`$5)0+F|8zSGeV~E1uJ*uj~8S z8N`iTtm79ahQdqAE>w!ipjAlWENK9Fmr(v`zhv*sp+jA7BaT;A&||W$F5(y+YtuWV zYNCjD7}DE?V+=gnHK`On@$=}vJA^Nlr`5X#5&jiL)H&-)46hG;KbR?yi~YTF^#Sr3 zmhJRc94x}n-I1v01xsWZr!)-*k?A4~Blu@5)uQOxBL*ph<(qJ)bAII?LIt6Iz<}_U z+^;DlF4q7q1~QjY0&WN$kYc;F*#l(@hk?_>RMS+YkSE*FT^xM`d-+wFNr96fkqgdNUlK+lu5Z{q){-4O!^`FRg2s=~t z_p{~%5n0B1p+I}BNIKUu;4sd&>TaC7dw9TFdwJP^-aG&Piymh=-WMzfFAXc~4H@*& zQmr=Y%Kk2Ya7rmeNkxBz;meP9qOZ)o;U*~#7MPKrqb05_hi$M)S!_(y6!b?YTNSSo zl}bfbL=*3 zLqU^5v2SkK;E;dQCY3gU-Q6BnRHxXN^`bxdZAH^~ zS%!FaCc+}_PU%?N3~VA$(j^1knyDT5jfg-l#YR3G;76 zsSC;*b))S-@}>TGrL{43JXI6sn0M3YrM0C85yP;V}Iy^Ps2}b0hw+ zN~Ntf@}l@aI@7N9Z>M`mK`q~fN*4WSfdXG}h`tqigv8J}DYdBP(~gV#{w*$OC+50{ zZi=sBH&s}nE2>h@(CV-)lP9{~{rcejTD7+DC2WQ$Mjp3Sn%gYHtwAyp5}gR*BI4iL zCqT!z8p_*$5cDiVzxNe&k8j4bXJi_vAN(Q+6)eTcyZ1KYM7Iz_cH*iXBy9@I(-u5t z=zQsEwE%r8g!d8w1rtjgqcIwAj2V&t^Oj3HsfW;+^pP}iV8IY>9%hcS_L-S*>Us0W ztO)%)#+x-=7}C=1O&)4@sm*fAjca&8QxkoIc4k%=03s9=35JpFVFs>I^;oFPw^nBr z_SZGSOpEA!eO(=6e|k!|PL62L`lpj3sjyI8TT9Xgpuk)mCfb!gDoG6ae2RhsZ%D_Z zkS?-*_Q#ZOXI2$s4G*$3)6bsNWDuJ_i<{R=D7~k5a3H^kVpKQ~^fXy74=vqjk2#(u zN>>f$9&Km<%zN;^pMK*<5Dtg*Dg>Wx@l6+Q@xf%wg<*9v!NFmQvW#rtp)|*ffb4i3 zVFm*@aR6#}K`EJkE~&}j|J+<{qwu=QK^(lhB!;5pVw`=p+-e;zfWGZ#ki7P2+K!jz z#QnfTc2V?3CA!kK3E>X$)#MEb5b?U_994!2w*USmy{@$CA>w12u>-yYNKU!m##NM9 zwsXV1+JoGfYK0TF9jY zUIUQIZGdeXG;9HHg*86698KlN!zM6FQHcLuGFr6};U%YqrelL1v7-Q18|9pU+hvCy zBieF&XJ|uqWx%-l;DS*Q5l`rkjz08}yW$%#xE1`pKl>0_@(h>!BD6XzkrMR7{SR^+ z_l}@Sr?1i!bAP1yz-0P<_L!>eVTLt_uP1Q;FPaj|kv)k=0wl9{p7~;m6dby^mmsrx zk?0s*{(T1faP@XYGbijV(J=p*yA;Sil}Q6q087lPYFJ{XXak-r&8e2)612P)hL?#s z@%B`C9L~7BFuX*gbP|*mZFjJ4+_8HhN(Q1Y>@2JaR2pfv)(|3Qe@h6a>O4?^N43MNOrvTW3L^rpC?gS(C^kQZt?t@HKW%>3Ml97 zs+%rLs2npX5lGG4*EMG|slR}2&&dyQYS9&NO}4Oid=I7p%V}Z=o2?f!>*b@9I4ysj zT(5DDmVP(w;r44|c!eXWr-3uCE8pAo(mko_E7VHYYhUVL;qzbh1N1Z-QW?d8x`gW%dszPQTGdZ)BBRs^FxKR)ukuvN|uRL^08LfCjS-_11W z!wu1=V}Nq3ryOY+4!7(9Uo4A63!hmpml4JOZNLbSDVD>ZDQ5kF_XtkZay16Bmu=Y! z1Qm4*PBfsq^6Rpx2UR<~DJ#Td?g?I`>2fy6W){3xu#Nt#*IGSDf3XhAtCdp@Ut^5| zIO9v&k&A_I)ORPUc*KJ7*m z6NgyVi8mM^xqf}*3nFmoU<-)@N-WqnX(&0mHjxfIaV<)MHGnE;@gPmL1MP&+*!W^5 z(-Q&ut0f~wZxyCl7pY${dk$Gq!7X~{ViOB$&qhnS-uU3#V8aW!Pr@;A zt+b6u9 zrp!%Q&m**DCd5wp^krz{7UI+o$0?Ov>L{P2O|U)H9hf_q5FP_i%=~yElu4aPY7J44&CAm4xR5#-SPN z9a=GT;hW;-omjjKBt0WAlA$4I4jtzNeT5@%f+G6*J?d6nO7rpmKyNVTC+2fpVUi)4 zx7%CZS+-mQy5kQSwb+Rh4Cn2;c!nz7Yo3jgK{VTTU$ zdT8qJR}6?9ZJ@PRcMgqW?b4$}j3*5ijWqNP8YWhrZC>V1n`U9CK#i-z7{2WDG)Tr= zMPUyd%xlh&&@t$W^3K?k*r8?&XB`Y}q8txZ3e`_x%T0fQV*xcPl287(B3QQnE!h;Z zv$J<`CSqn`WB<1X*51y=)b9HV8{j_|oa`Nh?LBmu7{2*}-v)&gY_>bYgM&E|w-w*R` zqpJFk4d=f}h^ngpb_V#@f>l*n{^gqMKO@$EJ(c@2SQUDfW*r-+rJlU@3JD{SjDPqXt;0v7m2` zAgqjw2~Oh|ziXA3nIsLZbI&XZV&imWR^H7;oyuBX{x5s`y7cN=uLl#qrfmj|+f|JV zr@nO`#ro6o^6a^b#c~eQ;v`yID*kFD8J#3)srDUCkw*sp-VMLE2KC4O+H{ReZ+3&b zt)ItR+1rq0`5i*DAE^H=cY=4%n!){#=m`XTCP z!_hKE`x9uaYuK$^c{20$ELz_q-fW+s6)scXJ4hU-8n8B}&wq6#6kEmo7e~--F+;H- zcP5i!U73ql9d(~C^2Ab364_ZuJ=w1$zooeelk(ucJxfi+pQC~oPVH!k+)fOwcY+mVSD)F%*v zBZhTBk;a3r+&4(DG5>!C3CbVphW#HP!QlUZ1nvG0kf8Ub;6ETi&HouBm=cY(28waW z`G0`~v;Kb|!MOhpBv|}kAVISK4H9gFT=avN?4IKXA*<$d*aek50%z}wBif;j*SXB}*05cixjDf1qIEFoO!_c7R=Nk|(iN|5Fnk$)kl zkOzzGec%NEQRz#}*~ydyH&<(J28B82H}m#G8I{nOQwSNqq-l%~nfkEMd9TA}Th+3H zmMJBRajKr<5ChhN&l80q-{truj2r?tv<*p~Nr!17H#`P`HW6rCd8YK=J!FQ-RETq; z!9us<%EB2Cs(N6-xa=BL_Ht6^%qfo-5WRwPb?Jh0E8UEDiS95` zF^Yli^FbqrCJh(#6-lCCct$xPKqHZ_hrt7G%t)PnaZ!ObVOUJz%mr#`b@T{uO%OER z<3zzl<7`RLOu>R6Kaj)VB*`NP%R6BQ*i^*JRHC;6Ei$Gh;X(Cy!X7Huxhb^99*n`q3V0JTwYG66#O5Trkcl+XJy}rQ70Baor$l9~ zDt&O`FN^DocWk4>b9uzsPtk=iXXoHsw$K5_cWVRRzStcg&oa0RU@Q4y&JH>@8u|(G zQ*^`53h-{Q;KV7MYxd-SN;096Z&DJJ&MXHX4!70*k79tGebdTg1QyAM>K)&IB=f-%a_Yrz#&Uc4! zLcX#%c2$!E-uA4+z4Xh>zxDgtH~#KWKyh?FsU9(pqeR#Lgg3WHoUCIk9*8M*qOZ9Y zt9TJiUL0^)6J(r)p69gbJV!XNQ##h8O}GMp#@XQ{SH!8dAy8z${b#f{a51s3{n*N zDrm}QXv$bQPAI5GlSxu~sn%jf{MGSc@0t-}_A~rp9Ld{#6+qg1{ZX>+12sDNEKH#> zmGOplf+vycW~Gz_$Hi4pT6J`DK85(F_*J|W%U7VP=bw8lET`Tn#msWI=#*E3MHP)= zeV0vsGa}_pymB_Zo~p&PsPVo<`K>-i2lBMx5fkCq-~fG0?asi&-z+aJkthp^tq$Mm zaZY+-g4YxZRSi!R6N!%y6`V&(8jBbT>QH?lDcw|wUGoKz1W(ZI(4g6)xzJ+fivpN< z8;;M#%8?84zd?eUg4d(ZArEA;nrN=D)Fm9%qt_-?X3@J8Xb8+C=X7-x=}VZbN&I}7 z3y0~M_PJTyxaRek0`g@_#$9wE14{JjAg+qh{?xl=zoOs9=~|@Z1nSJ|$dz7LjkW8` zF2b>;K3rHy*UL3nW4Wx;>WF2dbM~t7HZFabx%?f=H2ahPT+ci^wW=xPO)Io*9bas} zOY6-l0Jg4A)O+*t^X&MmWfam%-G;JO=bxz)3M*S3>smveF5gDW0b7iOt5$#4X3V<# zG#zDs zSav2ExJRh6Ut@(W-9;%}^VSk9PWfc^a|IH&I?ro`g~%Uqr@V*Du$>9`_=)`tqmqPc zk=`m;gHUoqmyvb$GGpc4tUb+c`_OwgQ)8Il!JlHGz5O=7Y{e>R@-vrE^JaA8(VVSw z$Yw+fv{hb<9Dl-)DwOt6n}vWKu;!0U{h0o#y;MuCL7^ed-5L@KT~|sGp&P5;kkBMX z*(y&py!M@s=vqOcdt=e4ad4q-xxe>#9ah^X;fmR*7Pe5qwghN9Pqg|=+NtO{jgxwz z7aiAnp)-`59}(EP%KRSTaZI%JC0B?kcwy}_Tt21Dd&>vSyq`$ZqTuWgc<-1&z`jjw9#aXviM5(L2pM57aM+v>{ zUbG0~=kt~w=f&8}t}?y!wa>mDS}1!je66VTs8v_R0kQZEj)MD#ksR>D(yf+?um<+t(w3LG^K!FeHIUnQSa=U>nGVl&`LK?e#n@o4oBj z8`+1mstyeGW~ChYsQus|bo&&lpNg2b^QDe+PIuV-wl<9kX2vC~T~Y@>SYZlC zTP8pWfpELCBsgo1i4`cB?v~KCDv(KuZ*|D_fB1G=qU_^8HKd7xXwP|v0z%(bcn?&8=ejv>-X-kVQ(s?dvuOpaMb6*b#U}YLA!SJ>a?Y83k-X+Ph zNp5cSBg4|}#vgASTU`d?BV@Jru8H<@u}}~>+rTlKhquZsA5RL%!5ITY7{xTF2W4;) z{TLTfVs`2s6l#vp{lSPd9UhY#oS%Wm)@GZuP5e;Aa%k z*r{wVE!b+`2JDvf^&=pg;ejEeD8hV}5QXpk$u@pmX777^lsDi-@`LE?atZ;I0MPmd zy<{LbJbXwy3clr5&4ycNtlY2|s4qP5gO1X!?SXRI0#7PMf(VItCfi#v2!7&c(C%y= z&I?V;vS05dDaL+zSzi(9Sk-j3mX362baH^R9KC0gZoQYzZH6|VV^9m%?*o&-D zY=y+U-%Rdu8+Pbc(l3_S=zprOn&@Pc#5v(TO^)KjlX9jMtIANmtFNX{SuiaIp>z_X z^H!bre}B_uXaT{}OeI7%86_xK8rN#l>gs*+^IMfb_{Bu9vNsi}Bt#U$Tl+E3&4??S3=gN8Y6qo0gt z()<4OnJwtoT#3zYoDSoY_c0Dx=(E^*R-_*mZ{JIh-L9&z`=dTJ}7yfL@2n~Akn?*hSSb$B=!brc$-=4z?(O; z`gTl2e^6>oy&fG@kq!|*Sh&3{uO$+|`u!Rr;XNx;5Tn&yF9{98BtzL^XRQFlXNV#P5^6{@89C>G)|43*3f|`HL7S)bx)l>wboFf7;pJEj?#lBq8j7tsE2H z@qJi42kHDRwSahg4I>+l`pV{n0N&TYBs`BmNkH0N!$m=)eOM`uD#%b!ic_@t%lGvM zGy7M)*x$zE3ruXD_7A>oq_HK)zmh`k?2%4nC%}6M7tMNSt(s7J$*RZ#GKdNb;mT)5 z$Z}yW!MlT%Gdyu~oe83fhfc%9U@g^VLK|=aeNc@Wz9zTm- zxZ_S`1LC770#{NZa;ul?(Hjg_f`yA=%vvhxps0&SJJ(1tQ2)QcuHvEFl7=rHz;96K zd=L&XE%4Y^1S6Lcl`7M%r$=x&KBpX-+Y#H>$#!y|rGLNH`0xWC>GdiKyZ874pa<6j z1nM!Rr)4EGR3n--i$^D@FX$u~Dw}};Obuj2X{r{nY*+D3*BVhPwPgl7a0ZwPH_s2% z*6&rt2DHun+qD60%g>OTCVD`Bv(nrOx<)r z5%6DmwkNJSF-A#D(o>vq2~8vk+Kq^1d5=wY6iM^h0om2RBx6DB>fFfDebn|^jK~l) zWRWFn$&hqX6IJ=tNk_}$-XWC6)^|#lXs&M`77R`N$C27*ua;aPE83 z#8t6B2wJDcf@GS2Hg}f2BxSr$l#&h~)KIt;WNnn+w0}CAV#U*zH3Zp# zXKk>|z#ZA6R`Q+vWX1g&3JR|=o%?X-vkN5X4WF6cC+rTvz}8cT*ao6?(^s!JcUT;M z_G&Dg+Zw})wLOziY!!d0YqSu}tMzKLS*B9*goUl&h?|-<0s}HqWqCyTe2ReEj{3_2 z4;Qb7NIUt!Q1m0Y8rQgT{`nnqj?32EXA0g44nDlyZ5hp=27e@oO}oUaAx+}QOIFXI zHq!LQPJpjA($^27ZoP2yW@)BNWDF!eW;w0$V%W=sfc2Pm_>845Xb!QuwMlI|r0s6c z=}=N+(NlM^Y$Z_pVx~?5Qqdnm&?W$40C9`{bpkqmT9jFEjsoXOjsK$0^m*`>3hjt& z?x9U_iIiP_$vEKd{j_7&x?pBq+n!6in&yHFDj*SDu)VZMFwphh?Agj4b`BmgKcINO zc>#~L*UiOZ8{pfq{=rg2aEbHi}SiWW+)HEmQ=P!d{RfT#uJ25KWf(J{^V+cSyl#? zw`aGz#6jUfR|klBT{4Aa=X`~c2&#d?;44_eX?(@R-bl`^LC+RXzhQuQw{(96asi?R zY^Eexu(njnSSZS#8ENe_Me-E42n2byJ>UeUm0@LaR}SVvYTuadES$o#D|+lzAX%Gh zu3IG4V>)>y74JJ)N|i=HkR(}!=Ey%k%U<%h(r(w9JR5**JYoZ7jtXz3Ygv6$jOryz zvl9E;N*=}5)wcW22Af<`7)~7h)b{Qd1R?MvQM|#3?I_b6T9SXe3q2cXHdDWCq<^({ui2KvN~@IJW{> zMJIPM!-;F$iD1cwUd?&3;rmSz{2JwBFgZA`D3=$Q*~mkn`NU-32m z{s*+o-Gx>Ajz=0-w*F{bfR{7^a{(dZxQhjftc1cZz>|G{%sCQglS{HVslK|d#80KPjpze8P&-Ivulqb2hbVu8NHTHXEI-PfqEsb6fUs2Td8_a3sP9w5l>>IO>p? zY8GUR9yf#-2TeIq?KH%~oSaY#k-}ISktH^<&~h&ai#K!_U`0+ zLT>&q=I$w0lptIb^s;T+wr$(CZQHi@vhB6@vTfV8joIg(GxsDjH+SY`lIe6hoqnlG zRXSCbs_*~LTPqhFTQiV0tK*TtR_IwC^yJr|y5%j#+n16*xBH!81CN^zg|x4qLqikZ zfS$5TvZ_B9+bZ&Arg!@uncpwKGT!(uK=|9hKOO9TZC}7`!J_MJcG-L0%=EF64x$!*XCR%ynk_s+b<)kb3y-){wg z#=sbeSz<=Yx5QNP&VTnF6(burNy=OfqVGo0Z0Ldz>k6kY6u4Ui9R|ZX>Nyh)!}A`8 z=#m=a_U{(liRSxKFd*mTSEpn*ho-gDdm*N$6bPfYydK+q$WX9VSBuo`6+Ygjaj zG1cyYJ6@~-=S{;bI&To`N{Aiw+sr6a7Q+n3^jD=I#sQ^+vH};{9>xHlu)VrRhm~W6 zFwTJvx#uEhzMkJJ;)FRx)iqhO7*SDl#?&`ivhqn}HkzynvADO;5quDD4n%ngiEZ-v zYCTB^?}pvkOz?C@&rZ3%CfBfPAG^Un=+NDFcQgVMvUlIt=`F3*;l$f)S>Q}{RzH7( zazCyfa-*gNnFsqYQ053cIV<*XZ(~I69MK=rWsUm-%y7Z#3F~ZZ(`Ui-LPeprX6>=q zj`~`5Jbsz9qC-%(iiLjDfzRA|QX7PMyGmstET#wAjOCd+H1uX>#N{GMhUtXcNH6YIG^H9mn?REX|38>HSbIi^GZud#?%AcZf-7uHV zu`N;TRz-%GYpen@1BWLYaz+qp5#QYalIq$X({+{u87{7p2hiu=JBH))Mm6;Vl7JBe zf=4Id|@R|Yu z246TnP!-a<`+Iy66!DDC`v%WOn8Wl#Q1Q9J7zYJDi5e@3QT0Hy=+?1U+6H*28Q|lV zRcBi^%ymUjY}@}e&6$NIE)#}Aw3+szit<5U4)l98z~k11&+Ub&F%3BR0(QZngnRr- ztA=BzlbcfwD3?ICnPudx2o?u-sgo9n?7Ni^Xp>I}9IY3^U!PtHb5zG}VlW@BUw2hZ z2)r&N4VLyjCI^+EYHH9|O$8hs=hGBX6b6GLPK&7_N0}JNC`mCX(6j&8MvaQ$;U){Z z661l0VWF=IqDC7DmQrkmRc|&TEx@>#6dvG}rNC^aKZ3{=uglU?pTC z3OJIytF5N-r;<(jv`6aXN5UBYK5?D%yjYRZl0^AUmlds+M=bPO_fl`o%*NvI95+mRht8CMc{>`8z|BD=>ys7buhc8sA*h zrN(6|Nmg(amxc1;8bDxCs>d^6<3aY>xpWt#z&7pb@!ju%)+;Apl5IG^Zi#1%1JZ2?Qg+S z%0;viElL#QC9pZS+C-~L)6Bu$7U8lR=TymtQ4h(oTjo}ZmE##|g&X;Hzmn}#@rFv8 z)o7Avg_tuP$tISj6lzNr*^1VRRUiKH+Jco$e!+5-o=8MPdZpT79ecCZe0aLyRdK=c znvir=>URVbbb@M&R$q1Ha#UOw6tXyM4OyI)R!xpl^>Wg){*sjwaXOI$!y;XAFzMU` zAfg-J<^}JZAM|joMX!^BlbQ>CO3LhnEM=CXVIu3aMqD30n(!S?Jbas1+Hq-}w5Xk( z2toKUM2Ki85lW|KaV2~Kq3WdJ+n^DKACnY@XPxw`9ZN1wns>FE1Tc!2T_ba_3~;4U zMrDcyPuL`KRxZ8($1?|prE%BImgpZlzn^1e^ZWQusF;FMgH{MzrxEIsmN44N8 zDbLfW9*|9ViU^t}SPq0nsb6qha04Q?z0FQt_hz$kJXY>R*cQjWf zcc}Xp^B$CwxS?b!*5kh?oTI$uAqB-0R4;WckBmEjYRm@Da%bn?;$XM%ZzYI>r?@!8 zB?I#Kc-$SLUp9NQ^6WvckhX$7uf2h>i_@!i1JrM@N0$0rMk;TVIJqA=nt&<^c2hO= z@&@~9ZZ+c+X)rAXvRLdQM&KO~r^IckDoxp8O%6+HkiIaPQeD2RS8&{M1KunBxw4{} zcUL;Kk;B|9WUWCway_#ARl{;2E0?gupTu{N&lGjY9reKueS~9fFOuh9$1@15PjeyU zL$2hTxjt@q4D$S>^SkK+hOv2I(&cLP-ox*2Z_g|QhTvn-l~p3q#B6C0mIn64k@5qD zLXj_U`GyiP{*+KB(k?FPFpER@|0Uk3F^~JH#|W_Z<4Zl!2S=<;1pgIN3)EoNOX5&L ziQtEEEMH5k%NOWJ)d5GUWyDcBqwQ~1h4p}jt~{P0z$-#9GUD@t-NWY1XCvaF(Z_i3!Xlhd@O*E|G&Azd@^O&E zoyw7|V-VQ+D;eM1WGE8H#OZg2;)JyZ7_SdqeI&)s0FOAnSH{b6#l(?QfM$FphNsJV z1ytgA7mL8mFcuT<(dk0t_Nq*40a}K9_Oml@^ZS=r@9onUW_Qs?@Zti)=MX3lD@;0I z)~Z=0)E>&nIO7~ua(dKcpEzKLX%?%s%i0#s&lYZcLxsU|Y(MCHw;p%58`zAuDTgcm zjald=ivTVDF{bhnqR}~|Ivaiu>n!HR+vpszmI`=f8k|`wRCYqkm0v&XDV07bOkla( z{JS?EvLl>WbIU3m`4ynGHGU}K+LGzMPi4r#>TaWtr8#ytOYv?^hK;$~@h{`Tj5pFD zv>bYjKggeO51`r`AzklW1>Qy$5Yz}b!<8TKTg;(vnXS)5bB@MpY~vqa)hX$K$B5!< z309ZH&UH-o$E?nIuX?z=_pl2jVZasCK(^kprhF_)fp+1nFqqzbtjA; zBF}`tE+}EadXA%X4x>^uIbjmX8K#W46nuG36#vAWF>3AbgNzsyLdbAYnKRhOM6AXp z4jA-_fz?6+o@*+v*>X)z2>IA|m3ZjR=|c$iar9jp1VIltE9iI!4Y*MKBm$rV%PNK+ zB4Z)+B7qRzG;d6Nc0?Wqb`?>oBEQeID<>$`eG^ZwKQRYZW>wkJbyo;6h!Cr6#%kB;^hQ zs@i8}?1R^SdG8WfYSsQXP*uf#`zaGp@##h)!CCE6=Y}qRO3+Mw3qgt-~DlEnRmuiGrV~Vl;{6UZ|vfE&C=? zE?)rAbjz@93^-ppEFu_HxWeo@IVs?R9G4sweAn${8sWx|IcnS`DX_5=Xr}z2j2YJe zf)iJPe)gRpG(IYK(t2Nfo%jq^& zuD=;dqS`{Fm#xJ|&-Fa9Pr@~F#OyZjPBu99e0JK!iKXEK@9B|M23t9G!eYdqnJ_ks z5{vNde}TG*7h0pm;8F@M?x9&EQp*w_vqbf-&D7Su`=!14;y5Eso_MgU3fWCblIAx_ z5|dKcwlFriYsf|2id;34JmHh9nt9|V86I1&OKLfPk*|)z} zZ>sNt+jG@J8{b;QFF8+f(l5_59nCVD>lqT}EW)96}kP#$ApY6C`Ah zpP$)c|F+sD9*#`Eg^@p=i-vdJpOkbte4+boyP3avdcn@(+WVfB8ymt*iFk{fjm*u` z;rNAhLh=7DVIB>M#LCwlG5K1OoK$}dkCd^x^5u9+EMk~pYMaJN8y$K*00=WNI4K&S zJw;ns#@`ecGtB62jXC@_F~{lz>e+VN9%{VR zoiXnGp&%l?SZEVP2Zf?3wZP5?mI)k){WBzR;9^t8{Qc@(OG2uwl# zHg_jqC>k4U!*#V5$Ndw+--X?P9Bu=Pv`^5?A71&hH|icU=8eht@FuuG!d;-?BTR=s zB!j~*wo?Hbl!Wo7eB}B1dWVW^TMwoa)hs4Y##sBRS854)hrYzo zB{=rC3;Te!*Mbp_Mr!dIW1tHXRQIVf{&CC-%D4zGVO?06?vLi~ZM@dcY}=(hp4(op z&%a}KaR!X#!3B{1h6I+})Tfyg2f&L=Zjar?O{n<0HJ5XZT0`jVBx!r*I43GY|_An+Ip40I6CzP}$|#@+FEciI3z zFE34o5@5Q#YlvOoV%i&HpV2teSs}G!w%g@_;4bJ>pW#Y-*lmgzOTXQUR zCP_oE#}dAsee4_AjpA0S@={dInU>HS0%GViai*a+$!I1W8*@D zl!s&<#P03Nd`0a=PvgcT^vo@}p9g;(7v#s$Zu^9{Vwn-&m2K9opflrG>$eLtW8Jus zt{Z(Z`!gclR6T+W!{%4ef72jB^40rkZ?6)I*18Cyo~4V*rC3PH0c*FnZNI?+XZg~C z=Pcij6|bQksdzYH(%??cp$B)VpAdewLLSC?^dfkP&Aje)XusI)u(8FIhMFD{?aZ=$ z%-;KQ7*2sFI_SdBb@o|DpBYyymTZA0jRO9zRWc4G%p;|^=u3zG76YYaAIc}4S{Vl_J=h_-|2OP z%GH7xdCwO{qvW7jCsLJx7VoS0*T0 zz*0!=KlYN57w4q$3dmit6^g|%g7i7li3Kz!cqHZ}Q!~MN5m!`M-&zYH;v6D+QxH;I zojYw7F10pqak#!KXhwiZoEJt_TehGA5hSC8JXo8OJkXHO4&g|rDrbQ$p0f}UCy_)D z>xolq#*G1~71u^v<8H^p?o&CveV3tB1vmr0v@!PxIMrpxAf?(+THOy*aBsJdc`YBw z2SuA`J3(8Pi2iuf3WVzw2Qv58L-oRQM+6M-9An{-yLE%BgW!PE_J_wpmC3E&Lln0d z(!yf4XAd^pNV-@mC1@Ge8HW zjyo{ituZj{>%^sF$m+_1%$jN4+=$MA`Y)l@nWlcexnNUl;3o<8M5;ps{eZ+&I7Zv* znN??r<&Idp>ow96Pg9F%;DyoB6hLdO?n#6w-m-K6(Zt#D!Bu_U)cyh*AbM`t2DT@b zoY10I4~dL+0jBxJ#PxtN=`IY@)gRM$pOOQ0>xfyeU#V8aZqKy{N~J zq5DQ{xvQyI+UC_$$Q15t@ZvYr%vBt9*oE3kDy|(;y8;8_?jGUa(BJEqc7(m&&P6*| z_r~btt8_nS5hrxF*7}8$m5J8()8Q;*;Kab=z>O>K_wRh~#hPaA-su&TU9>~!-=9*! zo_lGL@y*&n?Mq<(n>V(M*ssF_yW_FX7Kv2pbuiId`KxCvb~GLDm$x)D{0oy}^`oNi zkn3__Pq)~>@}pb~C!Kq^((_e)En1R4w!TbnQXlG#H^*|q%HcwF8IerQEiVvB@fRD4 zfdo48Ipp$5oblG44aOcQ2Q7W1K>qhP6NnZ`hPKVA_ceqUo2si3`i3Q zN5my-u_4bSbSJ@d3a2Znj>HnJgHC-*%W6NFHc>qdAmX}$Dh*DFMPRYsO@;S;nvN^3 z7wfe}nuw&Io@^q2@k)RTtIbJanWEZzQks%%tW)t-2_Swb3m<6ucmS0%y)US%{?Y1OE1D{~?4mDJHlq0&p@;^tO&(x`wuq*)_K z$a}D0G!^45_H{*?@93OLMP}!G`J8Kq0w@Vs7L15kWwu3Om6w=Vy~055GDEUz`)jDL zVHWl%g`ESgxm&9vD$ zB^p3mxvW}Rrs~+q>>{C?J?CY^g@Ui%!`8yW#%#qBlG&Ieb;{hi!x@p4aqaARw^SH> z(fXO=FsvuVj$no{55wbUdOge}N2LC7~B=)d|%9#5ob)<=8lG?{I_E$o==HuGzt)VdgKlOyUSKXX%Ne zDB{tgo5QlDkhZG;q7!m1WSP*&3LTzDB?$(KjT^+oN~I(7V2R^&bo78NuRqf=J|KNA zm3*aZ2zf<{ePX><5zpx&f6Uo~&nD?ZA(Y)F%=B!4w8*V{m)`Xh<@uLlJ%1(gErKu{7a z|78ZvB=jPVdy2>{gDnz?m#;_g#^dGZFZ0|fMk(>^36-1gA{Z|gft<`nBMr=Dk&?`H ztFuf>M=J@G@W-V+B$K?Ck+d9kT}vAi7}>Dk&iAP2Yp0AdpFkSTdkS2UVWh)@2pu$l zIh}N}go6|!v27i875*Wsceu<50xi7`=w+la{*v87=!c}Y2;w;Is%4jgIf9py8%j*A&@G7__|Ik7S0G;yrQzn&1du3?o#gKbFlG zS8-MCxab;5(D_9*dTB1qyLwHH#FJ0*!aO2i?hK3EDVe16>+59V>XJ|B6fO1Uo&+kr z^$;;)$>u=WV%b`OT%-A^RZnMa*x;fEOuS0W%>#fA zrf1uX`2}D2H11_;?vovD%1yHlA3~C4!RQ6-p9y~`u)xOusXHH z1{?4XH3lIG!%%8mqNT9vJ4+}L4lq+BLY+}St-x;P?|m&Ligrqn)1}F9KkDxJ9J^da zTAZSjjD^9QZXqp`85Emya$10KKqlN~VjO*QrmEDwr0 z5;0dW%-u$9rL%sBj%J6d6JK~QOoRt5g=T(J0nzG4BXL8Z?x23i0vL^Jb+GD6e84=$ z^)np*b`K73|E_Z%XNJOyOP(HwfZMS44CfH83?t?eszS^uR(BD)C}^?^@( z#t;^u16MGpp4|LP#QCPuj8V?AR2}9zEjujAGT^~HR)q#e-etM>E)8132hY<;+7%~W zLH!%b#3}|QsL=Jtz`sibRuU!>jHBO}oaE2WxnFP>vh%}r3)Hv#d9q;UiA8cLKwRhS zMs#ap-ret>pR@0hBC8KWuue#J(9Lpu(#PzO-OYYzb(jl7f^j55hoM-;xwbn#SlQ1y zB7BEY0Z+CK#G7xW4Gn$@Uc52YFl10xkDqu)lDD<8QHjlKVgNk{VjCGyV%=I z15|aNC?jQeTCM*8O|@YNYUsX{6>T!j`t)vX8-v(}m=ZHFnfIAqap{{d^rP*ZiwmB5aoV-bzx*DW z7OZQAb>nN0-51t}nC{(Uh{$@fpU73Ucju}o7&`Jct|$B$S9q}Mt3I;S@+Eil!vRGa zDo0|U=(gUq+0T+s^tavYbKd1KJ7q310U37S>so-rBEN_L+fxkG13$@0C?X)3O$jJlqHX?CaDjan*a7*Op5` zXrWdV=D`5Jg8+v>GG2SITR~KFW-FX6 zd;Rbnjz$CWHg{W*Y#u{g4Fyn)v4+rW8?-&k>4SZYcGCizIox=Fd(NZGT;&KrvB=1k zNXP+b)hf$c2x`h!zIe#k)!PGtrGnustCjsoLZp&OMbtnK!KR$WErPHscb!K_gEWV! zQ0Z4~1Fj^KeF%gH*G~~`qG(~r=wD0V$l1ts2P6nl4ADi`BqKTKFiSE-G94+9L+2gf z5KRVnAo0o~Xj7QzG95=jNQA-rAlE>9I4_l{dupK~>Hhzq8&ySFU$Q8T=rl5>%W2Be^lmQlF9!Db{zlt;r|n0_n(FR z{|4AG{%3|w6_x)1>=^zBx8wVF{cnIB%l{VG{YTsVKLa}!HfFZ}?!^BFc6oJY;&K6) zFW*QQU^MIN9)RF(Lk7SQehHKlD+5Tlnb{uyC$OtJrU??oGs;wE<=tM^EvxPJxv%f+ z{;PGn)MP%1-nqT}v3R1TOQ*g`fARfrW%DVk`>|AJqvBKoKWvU_bS|w#dA@^fZm}~g zkMG9szg~Wv$F9Db4c?-2zqwfz-}b8Bxq02~_49Rg{MYJd9^`)tyPmf~Jho~0i(IlZ zJ#cLs2&qN=aP?DRsHp>eiB#4Vtd<_@v{Q7gnqDJ6eBXgZuacfx$s8t{Fg9ndSGuEe zuER!Xj=*6npB`&2WT@6A&P5Pys_@Yc#GPb|+?7xiMs$2%zb*@PCPx`B*rS;6~)H*nGg?hV>va_g!lo3 zb40T$%u{>TW)C#`;h0ZeG=kTt!vG1MBN$^aj4$|=S=m^G*2j^}EOMk%o=!By}$)M#U2#pqhFYGvY9qAbiMRvNhoH(1Ts$)B%SRZq!IWMTb#Z1)9OJ znNAWF^CuqD;D^CURp8@55tO>9IMzc*BRlJepJgZa4QzFIfz1u8u)Q%MTZsG4Dd4y` zi3=#BohnJlOTFf48Uo1C?YAJf85kk)Y*0`9%acREA~UzgYYlofmKCa4bW#i;CsJ0$ zQV$ss%)a1A!hDD;K>%NLH3_*l4q;190@6?`_#@;PbZ3%r0K9CESNIcsax@{r#?_RY zO=`q(ZQOAzs~8k>3?b>0FoE$dQ5_b%;Jx2$t6GuQI3Z^;Le+T?qRUYDex^I%wGy9< znuX(nv?jtc?l4K@ibccIEC7Zh&z$(CjB`1Eu zlzM*y+99x5lOn*pB$NXV#G~@}@WgIzT(h8u=oTdjqX6hOA2f1w++bN-fix0=V~7J1 zFar6$3_K9mh`{MP2Nif-hS4C-SfGkVS33v81XkrGP!LQs-jW2_04xxsj|c`cK?;Fi z&KW(xvUEzO46O}#o*^?63#`LK#`L_M@B#<_l-b?#4Cp)~&NuWCe;rD3VmeSE-D*Ij z+_@?l`N2lrLvT+`@{Vzfc!V%-1%w)r)>!An;NFHbBZ08p^>AqraHyi8RfEg@}VVf5)=*DD>@2K?*rB7e_f}4-q@3PWDbQgFZ4?wpEgOpLfhky|v4YueyEg zn-05W5w4stE5?lDNYV7uv1jIpl6CdP0x_kJwKO)P6>bB`N+L0911;ppdh{6jJC*1| zi8Ad&H{c>+=V^i|PR;67dFNdUo(7fp^(b_wBOCN64m}EDnCVmG;BEHttXD#r&I#SW z!KH6dbL#aSzWgLoHK65ipyhJZ9dKTGg#|*euytkR(Q}wka9+#zR5I2N6&$8C9HuNm z$?;KeyoLJrV;BZ4?$j8y3MaTGE!bi~N4anDQE_Y{l1T}}Vh6-yeF{jwSol}BAPP|B zTPSOqOk3s;b&=3YbA&sHB=FdH0_a|ETw)d3`;*N$Z}Zz-R%1W1Vc%rv&|&SaSbjS z`0=+5Na0KQ@G#Z{-Qn_s8d$wa@=oJrDk&V)A%O)krq+TdfePpOWVq|)kI-7RbThvT z7|+BFyH#fIxD^lgmy`@gUa194^4DmT_r1kswPM{E&At-?#m$_umK`3dCA6sV!XmIdY9K=?y~r%zskOc-u&A!2M;-e_8w>9v5GT!Cbo|xnvXuNd5S5PaZR>Hj+PAUUt?RKz`!8Qtv+~c^ zRsHjIWjs;u+Snh(X!`6mmy%1u)-t!tLcXg>LwR=ELB5XLR#WTsF0h7LMi&28?@E z@NKiCP5F@s(Ze?Zj8%FWeS1d4s3`MZ;luDj;?EgkHfUu>ez4cRKt&^ApQFPL)WDV8 z)~;t@#K~HYGi%AP{x}lf-)uF`Yv)X}@Zx=&8?a)QG?}E`9niC%(eEv$L~d8>!)3h? zOO=YRO}z&NFC+D#ny5;~VkzswwT6q&xGvYv5pXC#7Z zraf3Re5J13;u2itM}i`KlwvVZ`cIPwET@Wlqs1`J@@4Ksu%mC9Lvw~pF&8V3Z$$D( zv@@q0gXb~E$*i_d$^578WE0*-oLjnM zOSuE};z9xziC=Y6Pb;KyLlThRqkvcx2JZv1P!x7OWyd~2U7S$1?l4TP5lJ>E{E|@t zH_|>*Iap`zK=#INHb2h;GdE4CiTF5~60kr7_^NWc%wGxR;bEal@?ecjg_oY6{F_9V zQj?3gWXn`o7{lyUR^9GTS);1;@oi~*0=9$tBI7T!zpSR$;LqiP&5f$ddr4c-I(-ek zh9hY!2)fCRuX^^IK&$6IxI$6;p?Ez>cYeEK3A&Si;p15RKG?5SSBP1YLVlz0H>+sl zA0PTR2cRG=S*ipj2>Kxe-HEk=E)?CJzdvKC;Wsl|tGr%I;LJIQ9-=R!>EGB)5Y0x` z)1lY{zMB9SaDy2#F(<2;_!xgeo)--wCB@ELhlO;=mT%?l>->hRVQ^)rGjev$=UKOD z8iKq~?lxZaW#DV)*N;HEcdgK{-X154UMx7cnTeCeJ?jK~6BI3Jp0iPB& zJbx{g|8gQ#pSvZICY;q-!C<k>rNy6BiPsE+7S7iWP)`x|M^50rkNgc$?k*6=Xi<8G3|XE< zS$}BgKn7tlqe@Y%I$D8eii^OKt}N+Pnmhv{)?}r6ia_G-Tt~nO6%5NfwuE-ih{j{Hs!0IK30m?b`u!At> zP7m(F%3RG5p<*f721r0sgV4++!*f6%V7LUN=5B$$S^gYgCM_cqYmo^rr;!VD95JFW zZ;=U6K$I%VTJ>q%h?9JGWXHmy7NL2d*{?&MA+C8Arq%%C+PW3C)@UmumK1|ntuo3u z>JgARU>YMxJgyC*@>Z8t97EN%Fa8#b7%$*WeAdTXc$UWQ>Lp6o=sHuJvH`mXThPC4 zEg%mCFsZtr(*dmguu@pM!4Mp?JI`Skx~R5Vk;nP>_cO9bMb|V~F_GWU3J*`nYEJ=^ z+e=}MB#>3-oCq{IiTP|?4qjE2DstKx6IobrNt_3!iS~Lxaz1u zDu-MbzkD+Fyz9vpYVjf$FT~s=(P6d~q>e=@Q`2gFupq*l%@Z&QSu;~!C9AYZxUn6+ zmfHEQ{T3o`yY;<TF*GFm4AGFN z4ETW9jie$PF-uJv2O;FznwQK%Y3>7c;Xaj}Vy>Y4K_u%&<1pXBRtls%1BN@ObR>es z5(jGI= zPwtvhfhp%c^~EOatcIlJbeUzGraf1MY^qA&rt|id$s6k~aLN57z!mmaQhF!L?`KEy z(y<&@0LidzhqWz#g)n%v3Auvxsi{LCY#jeZULkBR`M1F)fjn1E-ZC&>>S7kku{dQ= z-UiN`?L0-J1|eqW9LnI~vck*z(HNE01lwd6Z%WDtiu6AQn28e%mJbbkl9-5wr?5_m10`Ug05q0 zgA9~LUmbn-GB|d&mZ|z*7}_H4dbk{*E>%q>_$9G7jD}FivRg+=Ns8`-iE)a9SN1iZ zm53*HCZzX|p)mA<)wXgkmO>=z1+X!x;sa!Ay^x(nVoqPo~Nc)Y_^f zfjX{Z%mRDe%NT~b32-8RtxBUmrXhAX4FG>(1PvQPvoML9foDQd#UPX`;8kG3zRE{@ zQxZOuPEoQ7%qnz1W|Vk*&!SK(2}-h^LaC@JYIz&dSa38WI2 zp6yCFEx8wh*7K@0BP{JKaJtUP_$Zx7b^)a=I~h`$(;_TgxHCzs)0rZ{Equf+oMl=p zHgaUGq}y2n4-M7k^;g7C;MU>!mG{NSM#M8MKhxyHyiNY|v6OA8+uQ9S74wG9p`gpt zXa59~!Pt^^q3PR4=;f%LJi2SOaTdpVe-i#1*woKlgcF;iWJcn+Y$?SsG7>T-H0zLr zIGlUK!$pV9*bdd~hTjl~;MrG$7W8^8$H28S`O(LiEb^upxHK2sp{{<{;eYw)3Mv?m zKWeWJxOs6v{4<PX33?wX+m1>g@^lr+D`>&p|l(oT{!~;y@5{-XX%gbu0TN#h@{K@};!r z2wqQ4?JmB-oy3mjR?FQ93FNjLK=SoyDzC&`_^$RZy^JsXH`EYV?5U`89rYqHwU}V= zS(jMc!Bf<(JaUq^P(~ZXrQ{KmCD<0r>j0{_3t3b%*T|tKH8woS*b2CDR%L}w3kN*OX2ioNdgkWP3Jz<|P z$lR||Dx79uT+u9POJssnIIcx1@5N$Kh_Me#P6uNBJ2aASIQ5jwq$1gxTPsIxV?-`+ zun-D2C{&XWzKcZ_2n%WYTwbl9wwq`2gLZ@Ts$3~>NIiG5GA!xUZHNWUqdW;Fn)A%5 zte&4OA+8T-DoVMw47Mh!FhUeuQVT_$8w*b_h_9%>v2I}5|0fI&*OR;|H;Ba6oj5Xa z-Fk~)eu0^A;0?|;y2d{>g%0DY;!cE0y15kNk;K9m%Lc4|v{75vuQaxPGOS>fQ&iC- z)^={s$b0BHfPhoYWz%w#t^DkISlt2&gi~kJ0EESlSH~IVmWrR2>wE0(wrVI57%0YdM}vO-vn{ZSmCVpA&pP6sZwGuy*PxOz)lTbuj`|o z#g*Kjetw=WXU9i;xk9xAl~#GD{{om(op);=UMFym(J#`F?}3PRy9^daqmJ*2`NLegVkRY-0TKUQgX3T}DVA+^pbTIf$L zE_GD=%|7)H_rx+@d(7RO*B_5rv~Jbr;r`jG)GK_mrPPqTE@Dj|INT<*~f`1!6ngzU`VEVOdtvL z)Q<2K0JrT4Infe8E-YVNkh9BF@susX1q30KNlo8Gv?NQ6bg3$(C&&ArJ*T%(ov!lR zF_Bf$*P=Qde2Cwn0V)F-k5?sN;lYsrj&Keo<8R-4S5Hd1JGA3J5p}bHq4S>x(HecV z1=L<8ug#QQ;Oo7fY_(<`mw)ATn6LGnKX((GbjLKc$JTx4DuJ3AJg(|CsSRzlOV+s@;d5>J`!F)W_D zTb}=rp1Zme22iotTb_T-T_P8;FH#=pTh5qDB%(j3vCTNV(=u(5e+E)~(qF5tVz=3e z{6^t*!*>!=CM7+rWUmMW?CG&E&H+dwlDv$t?X3m=+1mN~oFNyLOlj`4)4xiUn1%^A zpUHtt=&J4iF+dmWuGad_VNV3z043`}s{^~L51ndH1Wekx z`{H;m^d1AS&+=+OfG(zXHg@J`@=wIiWi9n{f!ExV1?8{D+1hfnDAaUYXtAb4fOT65 zH3+2_%V%;e>U=Zv*va&QGQ4LvPpXLE-C@`=>4%|Te09(?kRkx7vvv`neloWWyc@E{ zybyCBut#iEhZ5UUix5|r$^|vsrC$^AK>#T=W_Pq8;2Be7Y@`FSwQI1^j4v=waJiTJwAWRe( zE!1Ce;rnK$UxR^>!>kFlQuK%g&g_Xd6A#5y)T=xDD$NUaTNu0)lhLe#IK_0KDfJK7bv~VHNZ+zzlt! zW>;{^h`W68IDky~7}fVdpeXK5|LfO2@MS;u7L@`A?+;3AC?D8yVu_DzDQmZkbL&Yw zB-f0_m69?}_xtt!g*ww58JTlmDdX@qXzd1$^f;icB6xV-ObZ}j*6xJ0xaP|_6d!Ey zjU^m3&nmPbayVm-Zr5zz>lU$*G?T5}&*EcEI+wK5*%Zh*I(8Pyt{p+1{nRf(K{q?b zf|WZ6ANS_r;%0!7q~we?j%b=ktu%9CIAPOGWNqAJ}95OG6ZR$8czQKd%E?^;`S#-%h zSTf;_5g{Dx*o}sTQxygv`Ngyc+AmMmqOVb&dZ<(AVFcS@aLr6)hI^>(G*H>01=f^N z{naJul52TwuXk^c-NA)8VY^19AcpY}+KZLuD1`EW?bd zwHE6bcss9({T_19E9oXd*V2{c~fRXK>>GiM5nk}pQlIvp9^ z#im?jLU5SHI-7AxqhY3hVDSkX^Tmnz8n?cC2>H-i-)!?vZ#{bm_G7upd~Ngipv6ad zAijVqkB33rEGr}Ml1tO=#Lkrm8>hnHty2ZHO);9Ku(KWIe#1Pvy0+|FYb>m>avP^| z(Zp_>l4s$kYgoyo?b4yJJ;0(|DVtVEa1UbyvF7p{V6Hj3b)~v9_#_UNB@dQ^x40Gy zx5k}6(I#=2tT~!kHZKn21*78OOI|Ozj1Gw(E*mX}vU}bX(cG;m<%|XcC#D<#2}iwT z@{IJ_M6CR0lfB3P)x6if70wyz@3kAqE-jC45X4X-D48JiPoQh>VGovbFX_4OCxA2) z>&e4sbAA(GR9Q5!-4Hsejtl5_DJ<5F&V~pXcBw35X&i^;oIvK-Oe!QY zZ5`u$+V^D7k`mdCZSzu7VYWqca|#hgjuNJ1qd<%b9MQGR5D#n-GA@&(uomS>pjBGB z0{pbt+&$@C?v(F>7ILpLqnbmWnTVFF;}6k-9Qh_x2Rwp)n2;7Fcz-I9rp1>X8`%1p zi8@-)#1JJE8CPRSsEy7uX@4$gVrz%%xE@8fp{*%yFYyRnrPB^0V%s27h4XWZO2y?0 zz-=aiW!gk7yP0{7t86POm-2kB%D9&UL=cxX+jOmqn&?&xZ+Cv?v1XWTmOsa&P}&tC zb1xXWDWH{Db3jNhH?+NH zRX{$z6kww0Yn-E8({;?G7xCh%T#0`xI>3dUkA~*-7+Oj1ZKrZ6J)D9i+qjW;M5vJN zs+pfH8{glXN`j+!*oOErOSfyqb9hnQTWmxzP*Ql`sg#tjdfCKKR9yY1ftXP_GOO(q zsXu)Bix=bJ(|;(a$23R0w%}Sg@=Qfz(cy(#hUD7Qpyarw(^NLQdMG>*hQOW)n@Dk^2O8XHcREsvA=gAtEm|-s#ZT+U&{B+b7d=(yF%cxgrNld! zZ+V#T5X)81*W^+U&;7Kp^1S#jr`F<%i%1k8%sB(MCAgh{%v*|Zq-E=Jqqq_Wb4mCc z(?FzPYK}0!?^n$>Rn7C*AkLfc*|8;V4)Jo!g>3~Ja<-5D@==!Z0l>?6%9Q@eJd*>Z zcD^yH{eufFFL)VqrvedqPCP?8!7P16IPvJ>PsAH9QH(7mKVJBhdQ7uod`+pDvzY5d z)8hNqfa8)Uh&4MU;gf5(p(*pgi1}#zTrrl6_cy1RAuTVd8T7rvLBY1op^J<9rF?h? z<=H%J99kiLEcdpc9x;3Q{c@U(fNSu7G4AD!Z3u-0u;P-^k2io^3-S!t?ul?RUA%vS`Qxx0?v-%!*gh!9I=lvX#U>Il|MMWUbOsAPreK$c(E!m<)3-G zXI=Vh4O0p!9pKY2n*HqheuN8`e2||m_)ufTvu{*^mpVaVq8+%x!2tWH2n7%j!GMT( zcqL~qIW`fzbFrWu1X>-tcea9(t`9D>Uvs-+C83fggCYCTy0avK)xP07R(&@s8=K@*pY}l4L$xN0REd zkft!N^d@sczkwZxtYm~OhWG23md`=T?OTttGwdGI@D; z?ro!SlLjL-nUQeKF@!5dzhO$AxKM-%p(z7Jth@G&nEjyKXTq&V{N~q8ljW*Ylm^jXqFTSTiAEy}27UAI$|sf3GG3xHUX@cRzqvfe zr)lX5oJudNtAAz@Rh-dkqM98x8-vR%~0W1`ZOx`QZ znK!5Ri&Z)0yLz#qc@4!KrQ;k9;s(S9)0RLSz)kaOp1lwND@yJ(KfUxzAw@0KA{7`a z0u@W?nZHlwML6Ygsw+$LqrMMqA+$J3oXFV(3p?+FhP`-2K)8zSSYl zFGW%5>Y+qvq89koQA__VxI;$d)cfI2KZMFBlgb(UMr zw3MQxVVmH)`=(9%yJ*UKB8eG0zM4u;XEF-{E&8yVp-q-Yuenoyx6yfmdbR8PIx%S9 z5OdKs$Js4o0gu!H9FCG~1M81aQvwAJ>NQO3Dg1#jzjw0oJBZgs*Xb3^l!~j3hwkPLo31q>(GGmx_nr| zmV!~jFY#R%t@95I<)ye;G00T7fvio33?Bx0|I*+pTZ>gQiip8dry^O3IKl@ zSy|-|)MT8!Xh}s-x$Tbm?Be>$j>5?!%_Cwi1hXLd;+*ok&7npIGBZEA%eIIpwGzIy z2YXD7-=*wd;0AKK!nGTFLo{|lpFIc((@1a>P3n5{g02AhS&sryrDJ8Yjm&)DD~-Gr znzDf$O$X`R#X8n}Q}1wj%kg6!`9PBz_y#X(q+1CF5saM({tn?XfX>-hEN8zr45NQ3 zopGBp0o7APYZ-IJj0mI*RDl>R?zBsUu6ip!_1c& zDQl~@B4K*I!u{e0$U~Gpf4xxCA)~kK4%yx|I9Hz5jJ?JwCNX?tz)j_hO5LafhAF9s z@u@w6X$0%M&^Wp_uU5-VXnt6dX#x=N@$Ib$%vl{T;`Me9=Z$}&-m!nognuT9%+HJ) zNt`}l#eKBdR=%1SM=o(WZPxyhv-vo&X)P4+SB{{ICyxGfrS{^vEPEnQdPs+7>nX`W zRx$YB?eYIuFkPOTNj`p zlVtMa-`sCI&c4#D>uiLNEi@7zQ1m0~W}$tAtLnS$HoG9N24>QNrLhAK>pO(4Fs`{~ z5ly5Se~0H-{{#3-P^r<6rB86Cgw~*|DghZNSIPlGkyCZwIBvqp>KPU z3$W{4T$o3Eibr^%u6ZSdk3eWPC?EUh!M;ygP(nstik(~Q8wnu@1q*5I!J8wot31pm z40hpnQFN*{RC3Ezdwtb|3&8RyV2k8o3iP!j^oUTu?*o5qnk%{_y3GaGEI59dSr&P1 zWC_~m#MRSa!60-`OX9IV-Ugf|klUv!#&0dg-Udx2l-rOQA>8n%XfkSb8{!m#?@0uz zSl?4@ZrG`A(S1JN-8w6brarV5TIs&p!AEfi{g=0n&EQFQN)k2y-813h2#Fia4YY<8CTAQ)*q*dRTAJ? zfS?Q(UfaLXS6_cdpa^B7ZU%nPRT+om;lHRZIyj3Fcf_{s>C)k=a=W~?#2H3#&6=!~ z^Jwq{1P7p+Tez#G3qeV`e%D-|T92vaM5tc6K~?*WK58{6w1Bc%coAGcx$Baf*FePZ z^tU)?q@3o-n_t;@20!4nCp2Rw56$hNX{7<7jm4*j0Su-g?v|tl(h-B(5}0SlWnw{F zjTNzkL8ob+d!#JZc)Lv+-L;Y|zXVe@rM+GH6V}z&=X(rJkfjR$zL$I$M`U8In6c(t z=4z7h(IFcTlmHRzZ)txLWC?)DCVgmwoy3;Giq>$=yso3iGnrSg;C`Y)48JQG_d8 zu!O~;Fx^%zEh_hknOGD8hqtb(qt2Fd&2CN>%*(`_gNV|RgqPepx}neyi550HepS%I zv*LhAKTp{eeNW#vFxccQ1Pm}9ntMu?PhW3F*>P{K>mDpn^@Fsh&s}OWb7)V#1y2`I zR>jeH4@q5Z+a93=5An3Cqq>v%>QD~JW;%$zNA#>ZI9A4ZUIE4k!0)+kf=4U(XC z*c$pWez8RkL&*pFhZG8nJgv!`q`jU%4gTUudUOq%9Bgq!bTqLx+-%_a{d`ISjhg*z zg@}E=e&*c05j#0A*%qB~T5UWq-XrXh7EpWs!@jQEH#fpKTc2(BW5daRY?!u;r$(DP zDr|A_b*J0(5)!mQr6rDt{|ZNxM(bz$1YNeJf-z2BtY4qvqEH_RN+Ka@^_aJ_f>pK+ zNvtcACxf_xRT1(=3gzJ_X8x*!4t{39<{~PBY8w`o-I%sEi0Djs-f4h7PTRHB+_a7} zAb8{YuLbR-<}>=|!52)Qa3BT0Up00t5(gO~BcM%|jv5>MbH)eBUPjxZ(`W&^=K-hL zEErx?pppeULeUq%JTD;eJ0DmPkglFY#GOa3M=gkU+3$|~fxU9e);#)B{-+UXRr$Tu zACfxtovE92-T2X_x_f5WG^n!fah6K+UT<%oZnrE(&E$Z6f|JgX$N2VPkc{6J&uQ`kZ}!5+97z(e*mHAByJ&zy{PF#k8nZIQ-GQYu9?WkQ@{#-EtM~h5Wj^j zpNTY#*v)}0DrPUKCkr9V+b>E@UKfa(A2xcc>>{m2IQ|E0vFOMeHeEoffCE@e&SJ)* zRN0x*TPAV_Q7a=leznm13e2RmoLkoLMp)D`O43`-Z2+Vpv13u$MOED-07zs$9BM{B zs9hvMD1j0D;_kYIhf&Y?gB(zm$AvtOp;cN)_2q~_h?NqaguLF1nG}-^4m|dgEQ3o% zMg(i1EAv_b2zC5Q%oA`ulg55&&s~FV5gJ4Mg>Ml$^cG$2>Eln#pHJ`JnA2IUPwV7_ zN8xWXzg_GxnQHZeEs594u3ENYW3i`_6%;LABI@}}#I@41X*NAF&qrqzs>S6@AcELr z3kVxMd#E6z0Hpw=#ZvI%fJWE~I`&;_4Up46_Nd3Y0@;6-_qbUIDRdaMN|Zx4oAj4G01F;10wtYuwM*gfdVYVW65gb(76O>;rBhr#EI=lm#Z!h$?a<8uisoOpAxGB9Q_quqE3psw3hs~qVTTW{Uv)dxt(7i8A{ghXYPk=_&p-nFgqTa6xT<8) zTcYt=(Oa&BbohZYZiK#cyqb5gIvpE=81#B$O#QO1q=Jaz^%Q+;pWk$iZ|GBcvTpla zW8w2|(4H=@Jp8r0yVEs_ZI(XJo2c%00<08+dGZoo?=4%R;>w6fA@OI&-7X_7=+lhO zCD8E#I)6fPK8mI=`3%unuP;XwN_USkrl3wAZ$QAiKF z;h(rcGiHfBsW91x*f!G4n30>Tmhu|m_cm240 ze!Fw@u+Nc32uW5@|G>PvL^7X>`D=KR4+F(K?h<}OA_fKcU|E%jRb)l3S2t(c zc}s+B%)+tIPL*yX;NYGNho&K}5X-@d?WkFCYuiwrm z5u_XKlNl_{t|$<=*er-cYhm%HS z8iDa%^AE$)iU$iSOwFxf(CSNmJgadeNeCJObmF;To;f~Hl0RxPBcd!(NQEVBlKk!w zip?lbO#lc5MYbd{D)pelzL^4JErcaXR{o(e5@a|oQwhWbMlp86gK_n#&VY96__6Y- zQNM%&nX&{4sV-UO`o9)zThY8=NlaEN3r1;edl~khK5ouasgCzNbroL@q~&I~fRY(3 zHl_?qAwZAVxeL8^GO9<9`JxH97!LGNY#I0&Nch;dtf0#B=OC|{@KJ>=DM+dskxOBi zZK8@!vWx=KY64o#OevjAnz*sT9iGdNZ@{6}MM{(SLhgtY9T^&5K}~PzN@*!TEZE7n zp^(8r_fZ3;jR;*$6$ctk_z*z(N*l7sT9ZwmkV$ajbDNB!#Ah}c-L}MqC1MN9W&)3` z*;8`sl@@Yyamg5cYW~cphb6_|nh_xZ^*uk&20S?>P_7mpNLHJM9R?;y%d|98rG=1S z5jl2=@hQmAVpP*C2r$(y#&(Xbe`ed=hf9XSXjwLJnYW6zF~4eOc$U7kH>$4My+plx z%*4*fnTk@Ho?W9u$z9dgo`gfHkMzGfyU$MVgc+~loICp(qjW`pKE8h7oJhB;gE|jM z#KdZ<<8_&3HkufjXvxSb8YTQfk}xf>sVK##D9-(fhg8dE;~ zO3wmJf{Tc}-_nh7WCd^&)Y229%@g^;a8S;_A)uibq+*a&6HZl7JvNN#O;lCnpY=QA zGKIZNuU%K1`Cv8Za#$$s(>FuGGNR1|+--1lU0j>n&{cH<>+5)mr_TY?UL|t#s{#Io z1DnWzI%vAB5%Md^-rAvl3AZ+d0*iaAOMzFIEMRJ9M!DRNqennrYZ3f)4VxxV6LsVa zlGxPgym>`)(U0y)O&n2pr}meGaZ{w23#$rpd5tT3;VSBZ1*aUM9fSTy&Y93xsARMhb_$ zI%ZPME)u%Nr^=YGmq1K_6dYzST?+z@$Q>}(sQglzIE4j>%KG}5_!X{=@RwIqa)LTK z?~=+W`HCetE&1>W>t_*w^Upo0xN9{w~;1EjidT1dg`?*3xI)WZSIW<_ zM8g3zF=^aNAAWa<%>YIfh5d^3JRAk3BqWJ{Wmf|+e@>tMj6G4g{$l@g8TMx-O*-Gh znNx#Su2KR7J6bw2SN4@})tptxb2Yaw9SqKqsNjmqhT)>4Hjg0`*d-8HCF$RCHkViA zl?|qKR1ypp35D=ZQs}rOL4Hym9M`M3Jl2QPgWmMx{oO)qlpIY_|#yO!6PXX+#SFhv;@C&k?*@M1;xNyvHiN_(wsmT)@4@ee(>t-?QHMZ39YkH7^GckW8+)J)@KL>ARFW@0_a)_yO9Oemmd8lMk( z2wMXm4w<+{zq4S`AFsUD1WY9mLPU^{$W<4ns+7M6V2Cwi*e8T#BaSKs1FJty<}~ac zM-PGoOhh^45VsVTU6BDzyo7d2SFgVw^PyGFkI1P|7nqBlU`{)h55B-}2e~1Q2W`Jn zFTxkuqEI`q80H=Dhff4QgIAOp2esb@Ds;Y0qH1(hkfxLs!!N-PU?B(GuQzXZe}O%G zeja3~H68|^`;Fva6{ZgLL2tm3A;s7KuI%fT)lhE)hYa7p6{sj9hN>r;!0INR^k^3d z2H=Vw@dwcy4kEMooi?0bm?_5hhFt< zPufdmVq&M=3HK2#I^+5(?TWm#baUnUk##43j{DB~|DbF$mUCOtQ#XHgQ z4h|r$`^g2R$0>nNY91xb>5`|msD#>FqQpL zZbXHP+S^7IiVq5iVNsS~`FX3o6iFX!%=OF1qC+)q8UubjMrzQ%>vgZe}bvDU&3MD>ZNB%i?SQyf+P z5&dUQOqGJ0qXsQCHGk*8i16u?a2Y}XCt_Z>RE-W$Cp>f5Weycb(<*DQ&8Iq5)Il^K zUy&BEl|K9W0=|BEFYE20Udj~{S?>)vXGog;7#HLHGubtW_MF}OLV)>bgS{+AIC0O) zB_Q*YD`Ip4K7f=3=DsWvhxjtTVj*;ggR?8Q$%-K2m_Cp?Q-5T&?Pn7a>(r z_76WL1r)rx{^zVv=5L1{*au_cO8TxGn&5B@{)XJFpRmS+hW_Jl{*pKVV|PsPQvMD= z@fR!pj?gP){)i2tmt6oPkACS|qOg|`BMKkPn4}l`syBqu46Q4Z!?z@DQ=ke1Ac0p= z4>P%d&Eb@k_E&PCar+iA%t1gS!2Q`QgT^(2F~hmyRF^&ap-vj6A0$W-n!17h5<`uk zC$g5T#KAiOj^{ujz@a1(HEimE1?sFN#P1W9I{Mb?902Fykr8<^pzC({i^#y~ZvgX| z14XE}@$>ISa+tn#k^mJ#xGCunG4R23mvkNocqV3dx)lJ<7E{!vHjZ*XM!`+0aD{5AF+Flwgd7QSUi+^Uf}372L4b6eVO?hn&|(uSkC^3#gcd$B&{DGunt5>v-^BXnIG6S#v(~ zkZz^#;Rmw~+ykxeG|sYE-e00wgxOG? z5Z!}`JbAJ@hQEo}=sT^%gWFJT4QY^B$R00oG}F>`bZ67Nt96)_bcgDNm2GPirQf6+ zSjA%?d>5{`BUaiMR@jO0;W_|vXVsNLdEAkzsYcE^ z%oInMqjX30TKF)S@kwgXKL3e^ti&~6dN7z#rc2&)vr)yfN&zvYfs2s*}jfIHYGU@LnJ2SNO1C1!^5@+QIIM}OKHRO(z0Rj zm$5y`8vJE!Z(13D8QT;0|6pt#JJZQ5v2DBuWZ;oy@&1Fc#YBQ@7FGh=Aj^6n-(TxU zwf~I&^;J&&N-P;!_JbJq=MW*uT7wcpgAz+gu|a|~8&bkkjy4#Q;LsQLc~lSMu%2=d zVVhnc+VLg_)&5CPyk$i+7zeL0Wz}m_jWHr%T`wOIC35f_9-mx2(J?XdF@AKXSN9mH z@cQt8g)G!CYM-?KZZUtSJ~d63nRU0w{RvAhIZQfTr3zrlr&~_6&_Zw zWw(NTWG)8b&E6*1i%#6_;VY)^TsdF5GcCJMY~LDZbQ7Vm zJ9T1O|LricABU7M^X}-%>)WV*{)i6k^XZMqX?LYkZyG*tT}FRW^5ZcfY!O|E=%r>13JB&FwmZ{llyHDlA1NW;}A3 z_7Udz(r3@;jt*_RaI%Z0y?VOJ_F$;X1Q^r$OWEqMlYhlS_U>E);T~Vb-cJJ4JC43o zdD9;e=krM*^l6I`J=mddQB7&s9_h3|)pNvkwCc-J@Gw_mON2Y2se_4te{iP~oeaG5t@D_7ujsP>~{XQkX*kk_JH%cN|zT^H;2w+TL&XJ<(M6hnLkt#vQrCrgEb! zUuZU;cH;?uXP>baES2#-JU>hyXoZw?W!GAQbXJ|7rXj_xkDd$`SL~bo&D^VG{JOcR~|sdQP?=c0{OaiJrqKZoCWJ zhzkK2@)jBF&7Bqs#?16IC*g_Se;6#c*pa?ac{KZ+W5pGcl3HcJ`Z30A>%#pZ^ zTt~nRxzycE6MJ_%?nxDtE4%5!wWwE)mFq8*{dm%GA1y6)SU$1NFk$-TB?kR~jdUgK zba{1uV3yDR{^4%&zPz-Ud(B()d;n7idU88VS!33YGV0{!S_Nsi|MQAL^y~03`4mj54qT2L@M#&;9*un5jm7Ux^b2neX2dVf8H*B` zJ%>)FkP|FK3OU5PeY9UYu2b|mi~gab*`2IfvNn@g{n>%VP$zl~Lk89*CA9c56KEYE!p z`ExXP51b?E8y$yCNG_?9HXJ$XQsByH{9+9hHRv<{MR3@)Xd@d>@y1E43;QpjjMGWD z0@y6N_I;^`9&zjpy5!LoGTm^FeTP|$GkpqIcN&^ohKx)fu6ZsQHneLO$R+^VMl91& zRQPB#OC(2>b9ojcOwXSKFoV!zW@^jC*Hmu3GOuehe0hBg3g%-ZHT=u8JL|%>Hd;- zKu+X5C3~sR3?}t&kt@z#Ntg&xv_NoSxJ-4J9JT4^zKT`B0)q8+f^`xhMc5Er>!35f zIut(No^rRqIE#%mLT$MR!nsjXamO~O>gcxm0SfZ53%GD;M2%UUriLX45DjXnWWh^i zCFz29a$~Jf>rDL0Wk1=Gu^wRQYJDhejtZ629Ch>;6iWAyzy0WK0MSbufSVYGK4E{Z zAC=*^^1CjYo#7NXWd?ojr2u2vR&sdS=S!Za|M+FNn$@ODDKq~H2|+o>!GsunE8Q@i zAUSYeo&;U*E}7(|w*vJ$g?;l%eT6vG`QXL51a58+V~mlbExsh+z;E-qVNC}sEi--@&AqsJsb5Zlik}3e2(Z1Crmg-&M+PM23qaiHS zScGN$f<^e(C=!HdJfkgapGHdbrmyXvqV6-8nYmMWhiu+cGxMF|64R@5 zYW~Ig67btw*5wL?4NW_Wwf9K`2G1*b;e8ju2c*E^x3viG`obcrMbq`k{Oib6vLWAE z2AAvd+C*{c+yrt}?gVhA!I5UyW59+?pMIvMSuoqFVlC1n4XQzzlFSvQqzr6FL+rRn zRC6QT_K-$TT}JT?4IotWjm(TXNfg>8kpl4(=8oF)a%D(r)bB@O1-DBg1?s9K)C|n^ zgi=$Nj_YH&wB453r{S6g8?PD{`ExRxka8gZ^rg5JtT@ev|C#5kDVPH&5p^qZx^h`B2-2qz0|j`PxfprgDt)sGtBH#{0n4QTvX!X4RoE+F1{-dMps&sOQ$-m z>(ef#)Ocnn!;GzvP{5J!Mmg(jRX~z!KzC3RVSaE&3H{??g5V*DDu7*jq{6RFT8o`j z#>-2tfEO?kw~DMHRHwCfk-_1STwnyJ22`a4>5*)zgh4VG^x-3(Blx zTB_sXs;CVNc5rh3^fBV&?t5W zarePh2CWId{MQ^~jvUVL8vj&|gRzT78_&dQ)9^G5Dev&Axu38U;B1K95e|*Z>6EiE zo2WWG3t_=eGSq<&s7l?y3?3Y$N@NYOmrET1Aup6{9gdO{ykDzLAb@Qd_zQBkXLqq! zj_oJj3iWar4u$qgOS)WmIkBmR5b^!LiH-looj zsxd{p`HCxgxS5UHIB@C}Z4NZu4K1~Rq;qdwCj=YyE$x2}yw1o|^5X5{v&2oE&pg^2 zS`(Fq&Y(Z4TRION+N=+yQnBktZmA)r-Q1Hc^wOQRK!Jts)NwJX zb&XGHV9R@*!|@BfVdSF}P=AFWz@p#Vp+>zcje@PV7iU;;M>I2(ATNCy?&}H-4k6XK zrm6cfRtXjEFgl2nw!JFX%i=Ld!R$lc7zvWcc$$M|*mX$PR_mle+`DXNm@jpsO)(bC zX=isJzur;JxTIJ340&A=5VzC1xBb#=Oe2ATNe}Y@(o`0Q1puHUh4`n=O(9phF(X-* z;)LkagAEEt9D0xIHF$QBi*nL-(C#e~c?1?P{slo`mud?CBJSdB!7H_;*IDDF$)@2r ztkNXUy1}{PVwH`(7F_nbHBM7Lg}(jiJ)=+7ecK>gg^0CfYMwcHNDUAstE0vW$7_UE z>IRYhQ4!bT;6_~jv?Z>>VJcO3!(Qw+>r&h~^d+uYNgz!F7>_AOp!NCuf0Tp>hcH*z z4+C%v0+AGiG094VWeqirqM`3dn&ubdL^j5dszh#O_)gple|^n%Fd53S$wVr|uI-lepRqo_K9I6_)fild+=v}Dkuzc~D4!ltwWPF{YSp^B+73L&_;KDNUbX zL2@8MHMGE-`#ih(QLOn4xr_)J5Z7l~e9vLD^Ne^ZriF#QJ5j0`Uhlxv0EdgpEj1i- zj%KL4r42nUbwA|>4Aukl3`A+AuH|^K@bh$#yM0noS7%iLq0qAaUmC z;lfN?2^9&cwurIx6iYcg)V#DlVsnC?LMMXQ1k-Yqj_C4pPs>LO=SKwgV?{|SgmnWM ztAvT#S7Oe&QS>CFz0~^|Je_55u78N@_c!ol-ym3lr*CKm{4!QM0i&1u82xfJ;(MQF zoYsVTkSlsAR365YPZL9{+oDr>?>a};Tz;R^z#|ZlQrOvbb`!_yUWMEf=zJWywm-GF z?ygsj8*oG$)vCLnq;1a8yxLE&9IW|qi3H|emtzHP_WA|q=lPPiKUdL^#O_YmxsxQn zP`-hB${-Lo_0CzIEx73y<~=dKbkBUNYY`S;gj!uPJ0A)`zpn;0;_;yGJLRaFvaq~2 zPVh{y;`X8%Ohy0fYV(6xFMmE#Oh15d8K*|n7!no9U%9FY$VT$Oas^mi_Vr#$#-Ub; zJeOX|m&Fg|asNtFx!F8sYrIr7wn`e0yZ~QK)oy_lY4sgFo@J29{FP4t5=qKHy`jR3 zi~JHX%5ha?uGTI-#i~2~KRfWtR_c`dL7$jIe;JYZi0*dn<l3S6`BCx@ZmuuWHbbiQWh;o=X>R31_c7~# z^K#5G2rG{D`;46&fYE3a+#-&+_{J=KoFOFN-qHH1Vs#2>P~?e*C#c^(f_MyH70UYe zIS$g_g4duK3c*sCTA>(jlFbKy@XLIpMo2G!43}>@nv`4|P;Vb;m}o)UXLMs;pf1ht ztP`sh9pY&0Ac+)I)3*AV`0c0G1`~eB5GU|T4w+BKW@8*N3-z2rQ8Mf9iAz5}hah1R zYL7#^z%Byg{!aV)qh6W_{HfBNR9d{FZYB)8)jb0Fvkv?|c^Rp@Ykh+KVL*5o*Q!SL zCDVJ6y6P?M!)~T~otv`$OymWXxZ3<`cMW9IAf4HQ6Vl@hQD(6MZ*o%2JkRT_ka?{W zH#xZTvh~s8wDB3*;N;U^!?PLHV4q)4J3zb~$PwHhxdFFxu|wgpZzzk;Rx8V=Lo39& zVV`f%@lOucOt?`$2sf6kwia6yCooh4pw{qYu9B45YVdn~VpyRx_;FQa=JJU5HY{J~ zR6OpV&*>_Bt`lM36F5`o*-9NdoQ(UEDD~&q2OE=O)YTWRDF(+h)JS$+04ux8iI}Hj zYfcfFWQzy8_q+>^9yV6nIoL7|Txs>-JCFV>9BBH{EKyKP2s#zb@0!S0E=|np&#r$-DK}Ky2BX+cQ)HMJFTv*Xa2u;4V-YJFJSr=kVRfHv(9hDH4 zn%Q7fLE`kPFWkaA3<6*!ARbbQa+D!{D~O?}cG+6KekZSjkw$fCz85(o0K~%La)5Qa zE(?uJIcV=IrA2}{okB&up0PuCX0~|*uC|WUBrc#px+3A=b^%m^O#mv9H=VNlE{m~z zeCx9Pyaj72xO55Y!X{^-Mx^sMQ)Vg=w7J79EMFS?3x>!-j~1%V5HzhX4Y-)Kw|aap3MVMMwR_>H(`deM5#~d zBdX8Iiudq%F+#&}!4(9;LQtjI8LV;#75d2`qZ4^bC$KBc?ShK~Xj&no=~TVJM9lr) zvxb$?iaWCSf;+OcjHdnwT8*-$x2&eb9p6|+*@?XHbB(;;%W9wpKmk`=C3IIvTh`vnNqvZam}z-~c@7+CT2-X+dK87xf|1$On?5xa_hC?{ z!Y0qa7?5xGvBg$g3Odvlb**e{B}_=H;&bRm-GoP%&&h? z0YWlnW;cDt$pqOu{bY*FU=Pa<$Og%>{6p~f74Q#;czTctz91shCJg)_qEi7S@CaH* zqkN(2q@l`#?)>aPg@$zRIE|n`F_h`vrK`)~HRKzPVry>Ln(1`Km-ZOPN$A9vV#wJ)=dy76!2&;p!GZj%c zB~<>+_zaeWzrZjD&by&MS$^`^7tkuZ@PR9tk$F+{Br8qW%hD6XrKy$X(xx(?`@`th z{C)~bg_Q8YtsM=5$1dUKiACW?G1%MUTSOOE>MC95{E}%M^)RO9q#UvSj>_-ncj36i z;KqP`m=7t*NvvDD05(m3VFczSpxVL?$l*cmy+1e0EUgtKv=;OS?^QMWnta^Ow=-2Q??g9 zgEON-LHlZiLRDMEMQo{@4q1T9$CeN>?ImyMouLTwhJpJ2Oh@kt`AH+-u_vIJY>F3Z z#NNkX?J5N$WkJ=`Qgk#>S6H0j#YSnSDXI#V=*&VLJvZ7_Qz{h5$Qd&J=Of1*lOsvK zaTRNzUZ#LNKaDE|T#6fJ?%Lxv*~!?qsGPV2mVRVQ54AZP-Cy=^EUCw2pa7fD43p!IVV^Zkr%ci+yxB!jVO6~SK#~M*60 z*w3jsluw-!Qz+8VEU#p%KvPxRS;egmVR zk^!F+&q04z%gjBE*wd{3h0zM`OYdwAXoFs7`Z~~&7wDULT-lb`HHeN}0=5L>K{k`r z0jzLq*rs~H`#swL>v8qRW49`4Y5-wZmw2tz~Rt8^XQp*we7a>4|pY-#ME)}7M% z*LZx+lbO}b<5;J`yh%Fjyb}D`_)7sZhQVSB(@2c2o?tQHil@k$r??k07wZeCcI})g z1}%;y3}%jfR?Z;s`-(G~gnlV#S`!%aparuUvhi!=IT?P|sf^nJG!l?VG@1tyuFHCR z0k;QSo;YS>X~(6mLvjPSuKKo3hG($38B6;i1LxK#CbTW8#topX=DqN^iU zxxE9HzR$N0I6SRLffBrQWyh;2zK3V|#$C?u>i^nkfOWz1;6k7`_O)|7FirK+uBJ=2 z7dSO7naTB7IU#i(hd>+q}zx~6ZZW9e-t}D3?wypBp=yS?eHs{+YUyVtHvv`P}AUG z@#y6Ij-M~^YXB)skmgPwn<~Ac&>AMmf-qw+xL3CG%Ito7JU$Zqwy914OeDI*RPjyE4?vN4kpj}uq#8A=kiU8QE4ie?IhrdbQBlv zX)Fe-l?gg|yhc354^pV$!M#9%Mp9^vD6Vf}rZXG=M8j5{KIQRVe9sV<^n|m3=gZzU1wN zYiK$PT%GHj3NmENP1#wsCN{Fgk-8Zlh2 z2>nPGCdrQUV5_OxBVWzB{xDhnf=cC1bZ7x4K_*E z4rY+@w<)jq^{fY%%n&u^E7cxG<4w_9h*I}0GyO3H0xn6=IPx~=D^gmdJ-o*Dc(#+x zS7X?%WdU2|)S1;A^X)PWI{yK8*U?17J!4|Nu$#*f?<{Uw}8ODa7}Q4_=r(|oM2%ie)UYg{?p(!tLo*ehL08LS$R1diK

    UW<=AWNct zl_#9^vdkX^mZ5w{BpyeTM0?A{O2JteY#>t<2mmiR5DWm32nixVRs(XO4mOsglZv1J zO6Eydd>KMUH5O|*`&-ZYcoAvr$F@r-MdnX&ISozzWLlQnbCig3kwRJK&n`Hs$Tp|B zxddXd1*0`lq}!5Y00#0>zm9fGevX!uz5u1b+`QzhllSvRyXhZbv;uBMdMPxjZRFvN z;7_AzS8@rAY&}Ds;Woov2@BVdU&ozg0h+=uy{2#CWX{2*g$vO^lmdQAItl#I)xaIr z2bQ0^1If;s;@q_;d-HU1pTSezP1d(OqXsJ5e67;&vZx{u(A7pc_68=AyIo;d_MNEQ zS>*-?xv(482xRi!-Gr27VRKdOxdZcOB@Si@84?(e(dCf;WakelPUy>GHe14&+&}q)Q z;-{w9IV3VUv`>K{({1icLYaE?M}(EdBk4<01pF4uLU7?@?H8q|Ln>N}DO$xdr`RPT ziq_1d4u}IO#TYR*lo`24Q`eLe6qH4~B$L-zA||xeh#z#7h(j8h>sf&?kW(TJ6{HU{ zvWf(lho*QkBoo*(^%>+xx3~@<>}R@06Kv#f{=EjuqNZB(*DUQPrTii0S!yKVw~a&F zkh1bxnd11rs5{3P(VA{SH&5HPZQHhO+qUgKZQFg?wr$(Cb-H`{z2BERlesrD^LLW^ zvy<98JC)ShRlC-Do&yWlAcWQOcwkVXjhHzZibDUfD^r|F*M1C)Nt5Z(RKzJUgEfj2 zozP;2&+Rwp$x65@J@&&*1){!Up8aznpQ4k!zBn2K`(PZ^(*ydv(0GN;_L&*9iQ z#8=-n+IcRX^D-@jtm>TdY(Lbu9&qh8a-xYfZh_S4S&Pn1WY+8ndcN^pwLdkbItnCi z@q3m`uEIH$M0`u8U4w!@0NOwdJstJ%m&^=rst$0w<5esK(}=T)jh7dgQObyW0oCW|qjxTfMwt%zc7(e(ilq<|@-;Q}g=H z+3g+Wx1*5&P`1XoSFR5Lk6-d+J}Wpp;jn-_Jvx`QPS=RXDDf?k@>O?)b17dJtyUuk zx>X&S$l&SivaM=b5>c?oKiAR#h3Hp&mAMtJUV^gF{b}-anEXSjo%U(Tm=1O>Lp1?wr52XLC#IrelGy(O=9SS#$P2d?fB`J`+w{GffHpS7%*<}j^?0R$5=~_y?d2L9=&WBQ#%r_@SCi=R*W3gZPfCEI^F2gI~?@6!5IMsCj&HyGNn^OgeOr9Ipf1ns8h3HkedGB=))8ziyz6 z?mk-^MBOS#l~XvX{_8ZfHw9)A*XJ3BvD-MUdG0!o=_ju=0Ftjb92SJSZXJF-#xK;a zKsV{K!u9vtvf5;AY(I};VfLH>%j9?WKkZ5LPg+n6499ExF)?PF5%A&+@-UP{nOva6 z(=BMf@M@#>bv7|b94r~c9y>wSA^MS(i&NQaM@`d_Yj6dwujFoSL468h-ihunOVLTM z@i?kPUgg|=X@iYR_6qAlKQ@KyP@C=hm!i%UDDJ;`sfM?)B$#Iaeu;){!P+*MDjQeT zYq1qnVk%({BDbrgZaI)y481K8!cXCz;!bpqI9drFdF7BQOYniuYjJcZAC#_p zf5n^IUntP1AI*Pt2%iKMp~wLE*q+%IdS|hMxCp?hXSO?v;O+4jyd8iJ#BQWb#~?Tr z$wY5U`L+E4W1iA1;Q$K23F}THa)R6p(P1pBL@I44fycbm$suT zda~gu9Q(P)*D|~6kRTDBKL=rEzId}?8&7MiX?;*P#J4v0(9Ix9gY^zhs+*ejA$x}zp+|~+M0%$*el-sFa z%EeSPKru3NYLB}P zPGA1PqdnI=svFQ}B!MsFMpSy?7i9ahI1|`?Ij1GNiFzEoTJYfop?|>IZm&h8~XU z!AS6Fcl~4B{nWFc`AhZ)#_mUD{dtoJoJuIM!^JW3(ozob;Ea|Q3C{;>(L8%%tWFCs07lGFfj!Aq;GD|FN#-!vP(&a8M-{?PBZG3U5Z z)S6?)yM8YeYju#}M^b%QcMdl8SU9jeTuZ{-`XJ5=l**cLCAbDBRD`h?Y&#S-kC}Mj zZC~qXgYT4LlD25d3zAqICc?&iHUu~Q-i9X-jgzpS#M7lsu@*@Z?BJNc7j>tDqIHqnblllwl#F9`-p6E7~`+Q>_DEO4AS!~J-0|+ z=_zQyRR42MkE^?qrQ(d$sRA1dbYPf}fq1S3*nFMLr1eW2?=ze_dzgRr4f)MVEfkXP zCAsfqz1?#+1BYvY28jB@oEqctch%2f%7!@V7ZrB~jQD_If(T>Fu(Tdmx~xCP;jBGj zzEN*N&}!G`hldso^)lhOPaVnK9nH>0H`}ZOy8erk)bCGRR=VAnC+z(fPObI4Q(OQv zxICbg2p-C%meKV|LUy;KP3unu;L!1!N%=`S{h}fMtA!0={3~ zq8W?`EH0^G{9eD&whs%;Z?)rSCL!Xk1l4kbmXi`(tV?bH*7E(SFI))HesKHTY?*7cWt>^g=X-siTMyMXEkL0g%5@cRAndXyAL~-I1?~QYxfmb$HTpB= zuNT8*aIGWNz+^p@PK^E&YtQ&^qC;$dk=!qbyfqL24OagMsS;h-H{_y~%;Zx@B_1X; zClNCf1*QBbMr61QK*#*04`Yx<&Ee~du&xc}5x7!7;z&HP-S>$x;z(qc7a14&B{~f8 zN_6&6kHco69^xN_LB>F!e#NpsC*SK&%p%6NJ|xWW2Q6hi4@%T?CQ9E5@Zg=y=EqBJ zgspQSv=ep_=Rt4Pg%zhe439I?l6Tw>D~uK4X?3UHleOB0AveHnIuu;W#%z^#xNODt z!}an3(2VSA=nn+1@R(%bUpi(JZf|zEn9QP1my=BG*?{ThLsNESR=yX-oWIu+bHZg5 zywro9KNZ_WM|WMSyS@Zy!YH#C8Z6&Lc|=}AnaqCn`w#%JY}f362sXTfe(&|qoySyV zeRpfVG0WF^97%o}wVTPf9J#2m9d)*;vtvC)ndlZoh4|2FQxnR!5$>lc^T9(r3g7^B z>Xv(QK6~wIwqN-WE@^eip`z@NB_3Y-5YAAg_K}uJIB{w#_kg%}slH$z?O*YgVwvY` zr^fg?&9xdQ(PfB?pvkbJ6|96Ra}w=`-&U)zxe}x@}e#P;*ZEY?*t?1W;<&zhAVRS+-=-KFTwE zvz(Wx!9yN8$L6$fXYjWirCiX)SkI_c7n#;#`F*{I0jZy(xtIfT|& zf_Y3#2ZFIMeZ2D6H7)<+m1h8`vz#y9iD?fDI7~p^1Hpbg-_Ol|j@jJgt^@#;Yg48P zURz$zvN2ID+bjFPwi}A;6$68r;W()>*kkh@rmu)xdU$OZWAl&C?R!ITeD{2^6UfY#keN%Qty z0{k^2x*n%7TY2j#ByR9=ZT`yY)p4<4s~yq~UA=xXwn(kVa|p6>H%K9H`F4q3CW>yB zizTpYrW(5-N`x#GPmLxJt4jBWi>qUSN}kY^^#*f+jfRprOYoAJT6)vsOhisgT%DX@ zL#dP2%j7vQnsBNu3OP^5R1s!VT_A9(DUg)YR@6IB!-XMcKU64}O^_1WP;87jB~DW? z@AQgvr#x zgAdE#^njl6L-i2ex`&T6#QMF4fT+}hUqIv1q2Pcw6HBRTDzrtd-U&WoFN5ILV1Lw> z2_xNTCbS@sYPE4RP%%+Gl?S`ivN#Y|UGlqngwBuO%+0=1Ak!#7SI_s-v*W~^ z-^bti`7HJ(<`I-kzH>IS++2=Ah3H|9v#ymzG*GTcLrFKVdnVl&Pom zVovb}0@jS3ejHMeZj5H^T;|C#0g;Nev$R>{n34iyLC-Qmck>&=-8_36y-}HPWRs}; zzc%m?H~~Z!xI+L<#J7UuS&`08PhGrKh}800ZeY=G1u6*Df&9VK5TJ53LnHvGSQj#u z;;f-GkiF%TL`Wdil;LJ(Vtn=>sK80K==3xt*;hbpVdMRfneud|6y1rsU19_M0av5K zLKH0}u9AS$X;d3WQ!zWTHb);8s(Z4#E@ zQr2v3S@L`S^8S9Fv`K32bB?v4&)i6ZA0DY`kbbt8#6svMN`T*5%W3Y9_&IxGF^;W> z#vp{8j+8(*pxm=Ax@PTe0)nEXCr}||Z53x#wWf%`NRiy^@@_UUbU1@SzwwqncK|8^ zPD>XDfnb&$MyFoVjzNCnj|AFJ>;br2YvD^&mzm;OgM68Vo=y!mIWLwl(X2YGC|cIOX63Q zE`sF;bG?v;EXbM@u1(9>2Cu6_9w^;Fn;AWi|3f4@z{1Wn1#BHRqYaDmHS6u?{leo)6`m1^^Gsw zy-rMJ*FERSY}QqB9w-T?nbN%!cd>aHx&(b+CGETZ^J(aHVZWr5s7|Dwc^rWr8`xS< z(ZKD}BlVy_Vr*O$PCuNxV2MqwH_+1ACwJnGEfl; zu83EiuH_U9LZhaJb3Zh#mw}O+dwet+A;8F(IshsGVG23IToz1F%ec1FO}!eam{jwc zwl&UehOU+=w!O{E60R0}cc8`IK-*?{W42Z1W!H?AT23 z$1a}r_tqAxpXE#FIRs^AMr12AWr=5ClzbOFMrBqI!qJ&McU-|Tb2CdR@d8xj6^}f7 z@Y!`B4JUr`fvU~6A3$VS?zZ6qtJ}@`Krql$Pk98`wJCDzDAjERW}PEm-j0~^J3!BA z(`gF%b<_-K;YaL<2B`zVsZTsZUmjcM0pY4&ci)T>|NmLj(!iO za4m`BU&7BQba?QcjF~HgVX^Ddi8DZf#`%oAixt9+mhNV-+J`Fz;h9_7r{S%)MfUug z`^Gv=Tz@*fUtsP1{)Xv(0- zz30;2BD?JEgt>}B(On6n%Fl->^}VrK?`X(`>?eidS?Q? z6Rs79K5^xTCOiLv(<3f2`&#ja1Y%W|sr=bw^)z-Zyfz&{IMB_LbL;0TWN-1^uZa1+ z+=%+7=FU_T;$8Q&FsqK%C@oN~N9KMt=XU%17ce-zHykn7=+-&j!UtC`OA+n)8W4=W zO24tb9(15uYHc9Cne!Z%)k-Ky*rCWwOFfKXzR3V}b3c5y1~6}Nl~;&YVU6tEGGpjV zn#O9LLMicBo_*dcWHJ(fQDu_jH9dM>mC3BtzElh<@LF=jjw@s~_M=rYCGuHTNAxRP zKo`YoGAM9Zu1WrM6Gg;r>gTU#qv0ciDZFpN1X_(CH6De}@fjHZO-xW$X255mr>FlHP(fLl@jtFO{@w9EJl_9l^=AI(8UNrI6#vgsZw|Kq zBW~cIVf}x=4Y0E?{}4B-;c1xW@zmz__2u*iQ$v*5%SPtK#F8qHN4YBwj!?w3P zT2wN!%$f7eQd*P3L@FI}-b#2WwM0n?w{3QzhiTr=`pn-t+)(#@Cfgbw4i=mW2E?8J*7rAGr z!vwhO3V9c4jrKoEXi&K@Y?J{a8snd!WY>}y1`Zi(1*j^K8ipT#a09+<>C0%f43vsN z=w@P~C2REq$oaz*NMK%#C9?umMr^`0gi!QDd(M+4*emCgxQrUAYl^}YX8Ho_37|~H z-<6{59F;L1smV;5Cm~*LopO>hnl~?nH4lHHV8prlFX>sQ5&+e3Y+wecE z-tM({KUVL~f2`gegA&pg0nM|Bd+MU70spJj+nAjyD}*E)wZg!B|FH<3!IoYv-S%@<5c502 zwx@3*2^3Rf4)+gm0AN*am`|vTxT?jXfS@przQ}JgUKQE`OlX~tv1rQ-kAb+7$MG9R z2ncQQku%V{#&vQ{rlC-R%a!_UHkM>*Q)+yUqI}X_c>p}{5$3OKLU1hl__sNf~Gc$7>Q#RFbb)PJ=f(C%FJ0J2Jnt zMftuyLJH||YwRo=Swu*i%M*wYn$p_tgs>3TdC>cQ-fu2?=6v>4K~KcZ9hR*_F zfOAhN-v)`b602br1%ZUE$CQM!LgjV-`sKK3TwK9{lRlw5+<~?LnqgZElF^O2&ketu zKTgBu)+IX)`LuK|3phAR84jx%@1BLNS_rC=7>*u>PDTOXK=}1aT z(|v}N;(^dI7w@c>&EkBhA|uXNlwrPml=`Gh;Cxz@Ug3&=wBGj3G@bbNmBi2gXuWae zsCp$uC(u(l+_|+RNbx~hY@vCw_@QH(RQk^>Uz9h~6fV=^6T^HK24k%|a@N?#i9 zpfOzRnlUpd_Za-#Xx?2(=6TgC6XV7vKi1*#zH18hg|$vUbAn$4*NM9BwSALbFiINM z2P0%kopPmrT{qYhj+r9n-^78ZAL2l=Ck67DH`QV@7^`subU{|;w%XGVabW&M>eD{o z83oCxOMZAy5Q9vYJo|dBpJ%xU%4D9$^)r;r4N`WMhTWH~Sh5O~3>K73mWn<0BZrqj za4LqiWCTj~3@X-3-o7H8WBI^VA>ZWQ~!;OxiXy%H$)^8oA? zl)Lx@gTG1S9)FzP`9Dr?xSP7fu*JNWe>uHB)iJvjr5(q}$R(p8^Uc8PtZ7EM;VG|{ ziiogWJVhs#*3NdY3VlhQy_TrEiBz_Ixrd?KbWO@8l{!Qu|I(e6S1QeT+Tc0CQ`p2R zWzg!XSV#>S=~Yz{D2<_r09yH@r1S&v(4YG5(;he`b`#PwsCcIzV$AdO()B`adoV!LoF-I zn!D6SHlxU8AY*p=jyyWIxX!+!QU5r!f4M-nL5H_&dABlU+R?2RMbgvN?d|2{*4DMQ zSzan9m$MLR%u96qpHgqlAF~D{8ODvHtjzl5L)Q8G<#CGMq7-560gm#{pA%Hc9umQt zmwJCuh))Jz7yanPIUcK(AFKCH?qEZowVCKdPmWRzJyBbLt437BQov$1C==2`x7O9J zYE)Z^lrMaDdJ8tvN*JO{=?8j-hK*>%Wg8k;j+e{(J%`L6Q&z;PpHzpcL|A0{P{AbH z`eBztzH_@j--LmvHDO03da_Cs8Hutu=|PchVip2(qIBxw{&P@&kc1ui_Q+hqdNGlP zaY?07)$Z^hrY4UJ!3a(lrx8q`K(Msp;ZG#9;8Wub<_hgxFv@92-M@tEySuNAKP?odr$!0w(0#*WV?YC9h%sv-Q7zGml~7hkSGxA42C;T@B{ zf57+AFo#vGC;Wk^qDWAZqP!t!+e`ngt|4{ln-(O<%G5F{uKJe=;0=$Z4k6q|| z#@^w%;yk%f!koB)^j@ACWsCg6?L4X<=3UaF5STAKjel>V{cSP)T5u7$^|FZHE(^aV z=0W+K6X}(64{Pp>a1Rx=Qe?S-^ z*no%ke%I9Odg}PuewJ_)=+MQ+n@1}S_DBGRjGQZ>Bwl(D(U;NWl$V-?WQe`coX&|a zcvgEDOxo$*2f_D1C|QT4h|0vK&6ekvjz#)Flq1R~Z7NYCkG)5g#erD7iqLkNPJ|m! zFNIbRM#7DF$(lmQTx{5?OS0-ulW+P_^_h}%dX=RVJo=6*7HbrgX zkp(*C2~d(mV(f3Sp!!Ehe&&gMBbq`QojMi)EVmX8l{^|U&-5V>5jJ4w`4#A?&~Ifn z_F4R1PVPbDuO?T#pN;A9K0Rx>g8z_i0u-)at_bb41N||PW4=b%aCCyw&`%j;t{g2i zHH&NTPiC_Ks0g*xS-P#Aur#u2Sta0 zxMth!W_BqpS@O)}x5Z)9Ky6naS05aeYwFqo7eX0AlIeJIM0dM4lZ{tktzHU>t{8v8 z4qIEN(#Kjy1el$Q8X9N~H1+cewcz##`&QZ}=pWe;xXhUbzVZq70ICMVFrnpK2W#Uw z2x$U8Z2O6Eh+(0~rd58jv0Ga3VBTG*y=7rv3YfT$=|GDVEVCa@Fcl0FFC640_Qi+y zS%X9(rUx`QaMSZm!%!e364f*1<8A65MQ>QmfhH3@&4-%N;yax62O{0o9)>a#4H=^6 zn)(V03>%U!Ffcu`8=+#)4HOV5w>th}nQejc4adgNZ<~x6t&@B{;kLdO)rLBo{WZB) zfTs{oU8f)L(B`{!a(&3G0au9Ufhze)j&IY@yj8m!8OcaW&UsIAKU9F`q^NOAx}h!; z&|o$#Ea7^LXh*kv(h7uxavIgSe({!c8CywShCXSnRe$Tmy8lxTNGSzNqCBDUY%t|hjp9uDo}J5L zZ(w0|mzr<*0Jxf+JdwyE%+|nag%BU>6&f6ce|yvn$+0D(=%i21%C-T8hH<-Zm~9=? z?48ZhfFeg!=!6G%0IJODjUA(&MaczwIXZ8xtY)Oee#`U()f-VVkaG{cv*tQItIvfq z;@W{F9x{i|9-5O|0UoOxdh%xqMA3r=6&$7>=kNFx58ucap9>`Lj+GlAm>yS5v-`8& zu|m@g)Jk*s2G?Sb(_|z0jw*}LjUdv79muG~6`3dX#8*n--VcJElgw2A8Ek!qP~8dwKek1Yd&C@tDpZp2bqdF1>1KJ9mUKw9UX z`CW4pv5d9vMCXJY;Qj{81@Kkgusca%Rh2o=>o!5V_I@pWCB+rgu`t*^5gBOI3EUc!G(f7b2io$45M2}D@Nw6C8K(?*9_e(i~6XDZK zzNVmx)H7MT#@5eVw3_25u_Jn?mlGbu|45JpNwFM$0L?j4qcmH^ikRKjQ3-f1c6lh% zvNk{9e-Z0Q)%j2g(drypXGMLU+6D`Ex2pMzpjA3EBGJoLJh{R0h(LIE^jVO{v;aiPzmmPWOzuMLIT>iaySYc#Gbo{QiwyX<@d2;FJ5| zz&^4L{sKqt@({3YOO|aeyG``?c$P-3oAjNrOm!mu=9{W)FCQ6+hY>v$S{|Z%{5(F+ zoo@~mHjA(759r(B%#bZ*IGQ-_UHM~eD>rtQ zc>bD@6SeeM?!qbMLw*g35aF{pw+k9tH|K}Mw>fD*2LC)69I4Jcc%_>mjdk7`wrg~xHi`;G^i6c6K;;NN&pMo zMvAkv|4px%*?tHMgNVH5C=o0Rih^MHudJ&-<1H3_RG0}U@iDis>ssgiR)&^nVAX&5hr>nOV2CpyK&hw^V}b)=prmYbGwIV|NV*JzHEt%O zB_nWBGPLfyjUct7Np%@)GCPm;ZBZYE0q5t0JT;lR2H`^ldmU<%$WKuESWGHU;(`ug%|V|NnU(((fYR<-)L8pAq8kz&lrhJJh7mfQzX~qOkq}v+yD_yGN55`C~Jys z!mINJC@%85^=E&nt63;wZAqxu#nnm(flsyDMQx;{TWe)&WXit*j(}fl#xR%3*{xhM zv=+T7UR=yGj#P`}?Jql`R_N;CaBm|Eg8GIIOXn$eA;+I~APB91YnehiYpyasiZiiGCJ>>(rRjIHlmHv2jrTeX9)BBQwNY>$;YZ9$3hUPB)FolJ^ z6~a=rnyO>L@U-RMB)iHCUrk+t?DK@yVs5j_^*S6?gL`&k2SlPxPH1w*DmMZ{Qx1Z`(@uGhB+%5T-# zdiyS~>&_$JRf2=E27P`4fbB`F1X7z0^f%p#AhsVmMYYLOR|u~>iS_Xfgnl%ol~ENk z2B1tGdZi)sW;hSoKxON+N9-=B2}k}I!gkbYT*@Ub0fcEeiLDWO+!j#srd1JL@}1ha zdZK>W;?<^($|EBR z2I;bO?$Suc&ic?etH5p12w)#tuVE$a9ae=( z<~{L7Bb`%o(}e-LJEtrp8?sWRjZv4aZBHMI)6lN3m@ep@y_Pv^{-*V@Hh#TB++rGQ z?HJ@l6ez8-jW+e^i*X-`r#Pg$o~F9YglL*=6hg@c6PDoEn@@_yrq+aNaEvAOps1N? zQoSTKaHaaH1==Nirj)5*A0$$@IWhI0_H>BfYw>F}qVCT#ljK71T+}QrhXyuJQFDV- zDP17MIH=+Ra>%<;jju}e;j+qJj*pXobd3Zh$^q3hn-X(C^N^fMMJE| zS`-?D#K06e$Ok@lxX};9Efio3YO(f z+l+9=T;RbNM@>!|)u*~qM7`MX#|BcV6_ASDL3=|^LBQ1nsKrX6{O${ky$VOl5KVR}%^JU!Ab@s5w zOq!lO8}i+tYt!L?CGp6k*C&S1!DPTTM!GR-i4e0=i$D-y1NebY2VGsv9!REWNYT=xS(e4p5&y2AE4l$d348y9!KUX9Kj&gm(;<&bj^WE76bnq%j|pmKrNu2hXzE6DVnby^6Wm+DznxX0kD@Nb;?3Ik0PYR(Yb zJ(;)fYlH~F-w^kbUt3@h?uRALV871c7pJb#QIWG60x)ZO>O-6H%#Sdu%!xF!bGP)q zm%3_>CIfw0V;5Y1eOTsdop-6ML~TeWi!ZgsoLxO4qKgG?^#j8iyN%3$@RZ&Cy7_kN zr0$vV3n$J0dC$Ph;h+AN5n;UDi7U%$%!fYJ}Zd`Jx)Lq$v zMza<5t0=SVxC-!*K$0e){%#1L<0f@S8*3`sM4m3F`a;urE9J0@D7u)bxSFO!EEkCy zGG{*HZ=sYIDk&zf8B5N=3|Mt)eKCRn;id_N2v49T{S6-)MxAzOL_(^X7^)qjfN642 z&f#W}MjltYeuN;!z|j*Aj<-jipidGpDj+9|dj^~^M2y>22XcegD$0_*X;)2(-Cttg zQ2h?Lsc3uXf2>2`nN7Cpt{4wBoIr*2kytG!oI&VCEW#^Jl+z`{8p5tx7NDc(3w6x66rLOiTkY%Zfl;r@s;>He5G zAgxtfb2dw^1-4#54?G!Y#Hil`CJwB$r(K-pldE*rZHV&lAQQc@02J`+&XvX91T0Is zD!|4!AFDa}+)y4n!(Jn1J)g70#gCt_YC&?2{d^VjxJA4d4$oHpl7$(R{ckir4`m2# z4x6&Rs-dP>nrBusr??qeh-y;=4Zxh`F9ipx4huHvjywxk6O=>co2jDc>DPmmW9?ON45$WDgAhXtF1G+Qo~BSQ z-zD~Cj38TQ9%4lB0p*3E*CY=nhi^AK`t0T9`~*4@P1i85Y;O$83n)s+a^fUgC;ot@ z+CEXdCJ|P8hZH*%jk?EIAQ)48X9J_a<;Dl6(9C}v0# ztR{F-v=t)D=iw`l5j!&^iQhTPPMdXy0u?JcxVyry_ca3<8INeACh)c}5OSQ5h3 z6#`hJ73WJ*qWG0HD_WfPibX9G1pNNFL&R_(xSndm2CEe5Vz0K$V~`p;RScj!vKka8 zMV2Iuk<@&BLWISDF)H6)+O%*0#f%C0M1j$AY>XQG@$vI=r?>2`MdD4nQUJcOn5jJK za1p-UIX!X4+aNW&&n8^cOfe$Ns-+)8zTF({bL>jvIn0~9q7{Oryq)Cn;%|D+fW08n z9qD+jTK-O^9#xum28vT4TM$Rzo=t8h(yG2ff9776{DA?X;qxv>ND8?+lw#;DU z-VILvcA-wa#Gd=A&rFp)VHRFt_f{~=^-uIoMkaLZG?ZR9VdFVUIZDrl zb&BLOu`-BEraO2qMIHK@YZ`K{>2V1j5UW>~R$tXcI=J1>;kQ zAc6#k(knpYe6fQ&nIel;v&Yg~Dbkdsyo6lMqP)~jUsYodcP<*cu%0idHSf3pHy;g%wJN8$@YJrna4eBuNB$ROc@ zkraEq;8}E0H;=roc$6Ks4%0;X;Hqf_>9hLut`o6*X|Gev5(iDH>BJK}C2ma_Xbafu zO3p?Gh&-aJwf>G1vAGD7Ubo3TT{AlMB>q;nja=5sG#zLXnZIvbdyHIqDb*==Jt^w8 z5z?_mjAAy@r_&vfXW#MiatotLs_WE#ox9s^Mz-eBeJ4Ke_4X0xy|8<836wP zzeQlu6m3NxP&Q)HXG}c%WDGEhYZY1cAH_Z%YD(E z9|*4)o$&tJgh;Eu4Bs9>{h&qN1e}~_8s7`VN{d13@K9dlb`M9qEZav98eJuYgtJ;Q z$aa^#ha#h$9Y%!s&C$@k5Fa&z(F@sXK`Q7^ExVe9Od7{9Y-?)(wqv!1qcq8=FSsW8=Qx0p3p zhkZL#*s*=MBjTkO#h&ARIRx59yKDALGrQOHso^-l-8ho8%^xnI6~*dhChj-2MNm~n z)l$SIF-3&1cw4BKCcv^a_{C@dverly|DyU4bvR!jcIAuxyOEx|0~6fb zVKg8pQ=yFxj~lq|>3rZY-A;d%tI`|@Yf0;bp!QotzV3;_{^yX|GWYZIg3p5q#0F6g z+%`77)h;~jqYmyrdD(}OU6?f39Knl5Q!A<7Cd5DFNgWf#dyI9?t=7(@(X}zAtYvu=l!A)-jj&7Fokq)4ow=IJmrg<&@ST^Im0RJtRG!+*k&%s# zPSK~wglfMei(B3r;f90^-~NW<9by*tTPw}1H&_MnAG8!5?9qDKKS0>UZ~1ISJe6>3U? zdKD`LW(#mez*!%8H=>Qu(P!!?dB zTH7X2lCrk63aI33k`_T2%yj+gS#p=aC%I-uYa98pfBNQO26%NuO7=v0PZnV79c@oO zw-H})Oa3$#>S+mKAZTXbCP;w^eb)uMkK(%IIpIB6RS z&FBiAw7LwR732F#8awB~1g>?PRj4!Y{neX!Z5P1f1uR$F{U%<+*W0lRsn@st?y)LP zkX>@-qL>g;E~^PQ2!%$UZV96ZgL~ARY{j6;C#%H}gHc@gM_!AVuLyDcuI4;_Wz9hy zZeAlf!NjD9Q9O&yo3Vy4-#uE3!!@sCq*9p`g*WNv$4sY!=V^F_7l4Gu1uks>eqMJx z=H3Kvi~D0y0&g$y>urQHM!Z>2-~}oSe?~gcAX<;=85TbQs#UIp?pTjkPD1QXys2H@ zs)$%E`CLYC)QXlmq-sOOw{N#%uO#m|Pt;x^|M2O4%p zz=Y(Jy7&AAc2X3(l!B(zHR=+@zpD~_M(*~Nu-okGiKZXA{H^2f7nC=@t*ldC?nyio z&UC&{f@_J`dK1gKVv$kNb<3@{j;5}*m4%K$E+7&Y2uJy`({ksE6ZkuJzd0Y)?ia$j zr;GALY(_PSo_qiCNk=P-JG`2(C!CNLaLps?R zvxlLhQig~_$O5|yNkko0;#J#_AuXM!CWuL`TM@^4iji&NPZ1RwLI;F<^OTpFMBK53 z2AbjO@l?Ke2g;dlDhBz&t(o;yitn%>SDvd!1S@T|)WlW=MnX)9fG$|fgo{Xh-$`fa z)pwcD?!@RwIa}8sXj#3}_c*wafOlppdF^ht`VN=iZkO8>vf8_p7I3YMAswM|qA*}& zH=EGfazjqDt`8)T1BbA2eJ?cyIzBVnhY=Ontp@5g{{k@mmFLy5zzUX?tE_k(!%=>o z#F^%<)duD>XLUGsq@S8=Mpz2Rf;SGM1_vDllj-RgIH<=(@ zFNqr+p+1+;h&Epiqds9Gmq@&3I0kg(bX78iGmg=KODgy4sEkg6|L$oRC@9lG)C2=9 z3CI06y3t%5LpAls?`8UDGr?UZw?y)ehN!_*y^C88)8ITB#7j~LY4Dp{nGj-dSDgsG zhl{Oh=o}GNxhQ2Fy^Vwz4i;B zx!TR5qj^+Qqx9=Uc|{wIVrep0?Q?{EK!SI_dyV(g?nvkK|8xcY5j>bpPfhOQSV371 zCtEj%*+C`h2-D1>MugVZBu4eO63Ya6tI8Y%U{{y|s`B_wi+&PbRFt=ZIA1xjiWO#l z5v|Pp1FLA(&N(@-w0N);;3UoL=ATmWGc~>W{Mj4Z!?eJIN-2Mk85@?8SwbgJnXu6|zw_8Xh$p81~?cHj`eVlp|j0 z{EtQ^bGuNF`TV7tqClu}P)1R{n(S$hZv{mG805cOi8u3q20NyR)-EITpRJkxs57pLz#8<*8~>K zsPC*KLj}iTN6XR?6%=$0JZW<^B(w-K_x954>i$omAuw>;S2%qy|1?HcFPa64)m)d&vFu%eQAFyS#t48xRF zCG6s6sqZjrHX^0?DW=d2ZNO5H2y_aNlvJ+rl4HgaX~4*{kQREZ4|@>U?JQfSxi8jA zlY9MKNX^9FA@i8ebP2#+*aism6y$BvZ~LSr#GXv^aU7bim#fB__Yv0?nm^~!VY$d-JpB5ZY50f_KETZtaclL^>?pl36s+43Ci0>Rg5in z4~hH?&HEL2qlJShkF+{)9Ra^y>T)kr)6P;3Dgbmzz)(HbFtw?$#+pQ2e=v@;NnGLeZ~$ z8NpBM?1~PY8^}B8g@6RE5-KSoJ&;S;KE|?ewD07^&htUnwg5u$He6!JucypJ`Y9~3 zs5x=1r3eRyv>b~zc;+#rYa(mES7ae{w;V!ka1j8_g2?E9koS*GqJ-VLpj)ugvYGYO zXmXeB^|*T+vd zsjKDT!`8>m#!CEn{=CoYqa)eNOm=Pj6TbNPcy*O1e8I)b>w7GBE|m?O#m)Q;Uhc;R z+UHk*#YUi6@(}W9m)C6IDB%fEnI&5{t}DG+U$St*Spkl=2*q;VFu>)+Ti07}`x*q1 zyrjMJ{o)jyFI3|od$z*c)B~0xj!W57;u9*d(E?F>_wbhGS(FKmaoavP`#@36X~y%C zh`yW_X^oD$O@p~vm`<|y;=?C4%2|bt5Cn6iY^^!2r+i-CwWkcvGSI{wJ@!S@`0ZYoqaoT>8RHsS7DbF@}= zI#9f?@%s$}>yV#qNFRH5Ug^#RR`eV)UawhPa5LTaa!lS77mt6*21QV>v?f5ks;IlH zUhKp53=oGF!HY9kiOA;KHR}7ek3Et~+2@=(_v+srsExzOFWs|U_+qC};qi0B{QR=9u;MM#?}6rbcXN;^p?lH90uKjVM%HxC^&-u0^WAVfP+Vk zEdB0@jbt3&aM50_9~@<>1w#^a4qy==hLrH?q8fZHbHbk#ys;O9|ckt*nJ0ryI+3jUSGYm;q^24bi4 z*I(>5jkg_U!}U{8qwlqB9qtL-c1xL!7td4Q7`|zWJeHO|^Zuu=h{HnuBwj z?F{l+)_!Pqp+xC?9hs^{u`_m;9nzSU5n;D5=~Lt0kg5&mE}Zm;!e06TalJ*pxTT^N zyxTg2U~EX+(6h!3V&}kb&pLwfDyJvAUj90qetH`=PUS9Y zw>Ic>MojC%ilhLteI}c3IY3( zt1kPGHCK+h*p!8Fa)sg1x$@V8izgRLN(o1PREjK>tIounc7G%b6N;3ZsOUfY7rtUP zb|WWhG`n>^{yxVldW_$1ROE1JOD36J=39hP&3*$YCWT%&a8Eu3WF3-*P4;VB28la@=+q&(cI6^azO;iBSYR^^-~{(|Q`bh+%X zQB6=m!-d;la-IIi+%wO0lF_7|t{c05UdL0YNpdzOZ9>}qluJF`--=2(&>Dc=Ik&VYPGYkhv$)H)DL*@_x%kvHfM?M6oiBvO&*Cn;q4c9Y6pvQ=^g6hXi`AZeaVvMMix;)DY<~7zG;Xn7&^0h%UTG3zI+b)r5 zra`EkoRw+|K5>P=NnhqvmCzlUwEA;$~)krGVr4A6u5*AxtFWdd|f^ke1U!tt959enyV{9>R^zQ$WC zf5c$v{$avmqub!`cvjY_K2?xuAV9X%q3G54gX--l{T5^2)v$COAiagcrl}>#mkf?- zd-UyFj%z0Qv)}$ClI=6F2g^5)G@Hefw9%0jxS?t$lQhw`Gq_uK)_pF?8NYY$(&}vT zeG9fj)IEIJ1zhjjx3L$$<|!XmI-CMq_}40#z&jIYHNw5ASXEcBd(0Co{(KX|wwL0s z7{PI)I;Y>wMr|k()F&DVeYvv1J>U%StzcYeZ zT0S|t34+L0;M``J#YER0#A-^!!+rolxR#N%n>i%r`sI1X=#RZgnVs;>(VpXu;LfE(_^kEL;oS>_6} zYZcO?Yjrvp9n5`I0chPE8YExI_pZz87ewh)hBCF#mg@T`FP`xOEZ!nolm+z3rCqb* z>P8P@Bck9gukE$-bZ7}=09KE*TQ%cg54PgFb;Hk!#n1ZPM^jNqcBMd8)Yg&E(+84r z?SQDQ+yVz(3+jm^=M;`Kg16BDwhpRN8Fki4x&+RjLFKbD&qW#GFT%N??^gliSAF=@ zIjsRI8}J{nf@@HRsV<69^LuZ70H_!0ZdYIWN}-pD4s`Wr##JkGPCUZ&1y}*BQS`7} zv9a9Fz-hFu(jirSaaPf<#ZdH)*p~jG4X1Jk?WJ>E1+;UMw^jt_{pISGBY5tF6FP@o z$M&+^d7=CgX6FSxYMZ@Fgil|wn>4d!h`&dqv9=8}kP_)*$cd;EBRR0&)8 z6JdE6v=YT8&9^KX={z`<=wUsNfEF-As4qo0rrP(tlMLpcU0jo_H;QvgzZwDiL2sV%4pFXSK|EvIzgkXG11kO#$W1?#_P0 z%5VfuCS8+3z?|Fv;H?vYFLus2+V<2&ckI!T6tPThe3fXgfIjK8i@G|wqAeTJs*vS~ z*w&a!o{PG!=MdT3HUNja=C__vNq75u=FR=Vyh27Jo4?{C1d`^_hkc36v{oj5VEM9o zYEa==Ntv!f2G4Y4{UgB8$TOHZ&)2_4dyCxB5bPV2le*x(o$hn0_GM&5`oK1iCtf*qUOb=|*REdST2yIf|SKv2e3kj~} zOxv8yD{v*IE!5}WCp}kzWe1u38_maG#9_q`EB>SVQlt0>Wvdazx>?OzSD|DiTfc83 z@R6GL==0zi=JW-r^Zs;wTFU16!ojN%Xrwl}NPqHA&fy z>lU>?2%wA^wwotkWstjV9xKskOe_pl>-8^ZSHJK#@6v-WK?59C^M0jcq-k*K$?cOI zgqc-OM6@FjSMFhkC{s2hwg$Bhu{t#-lVHTHq?wGugfAPg zpPAsbxD6sfGRY$;Eeg|#kcOoJe>Gu;&#^R<_p%8@!3cpJo-vg-7tIuiEd8=3@*bNrft>|j8ctOy$j4)rSnd^oR^pyCuJtHU+U5dbZg~ zX)xI#Qf+ZYT{3N!UBf@(__Nr-ryaVG@}UI%k^xb z{>6z}bqfTKT49K`w~4M*(TR-r7yLR4T!k7;(tmzz?qigKuoep+1?mBSMHszg>$(bE zn^M!`HT`5yr+$6QttvW6oXM)rTlY)XNA2n2Jx)28)77$_E?W{?R$-TrE6?n58Yzgg z&`;Q1g+ND#5pC{9e>;c*{RI5E2oE%3)YN@sg&&>BY z`2)1GGnhXp&VriZet*;W;`lMC3=#I|!Clqgd0=%(yAK-N*m?b@ExGguzU(m)N(=tR zNLSdr5F6cVF`q7g0*ErPV-6MRuOj-!nf!9yQy_&MQxqCjSrUF_OL<&Bh@N{mT-DL0 zeW)n%KO)~sS6LH{<~6(X?c~@2cl~U?-nQVUh9jo{$TRqq{jwwLW2q`tI9CI*^asZU`dS@bZMidtb(JGEhj50Gp3h6 zDwb{UuN{_R`aC9597po4db9vu1qCqdR{3W)4#juEX@YpO>AHMRQOY)Tm`0y z@@TOW2LLx2lo@%7u?zA!x&`sZgXhQxfCfD89lg7|cXxE{kTt5pkB3j1sOazs(AvIp z2IaMx0Whi`KH@KK`8fdhX&^sPPQ0RLY)GICd^06cYWTp$fnwcyRfgpXg*9`K<@+c? zMVp3@e)Jyb>S!5d-n-}nH;4~?X|%-#JelHnzyfS}X@v~+N_HNc$6j>vF%n-l@$SJd z{StDBl?mBCuA|sl*GCcT0&?t3gIq2XM^tR&P=jRw%&{^8)ldYE8=gf+ZPWT zCgq5(%R;coY7{WL{ETpc?t_Cmz8)0n)^NY*@a%m|3zk6cpDRr#I+Lv%29F)!_{*hk z7B7B6p`Ii8?AP@rD&bD`C)t*G(OXlxbs~gnX4wV${?))sZqHHwW2^B}wOhViVUzVO z{T(oz3VnvzEpUZ4YZ$aIpq<>wb5)6p`Vf=8zk#*DiEh2zK)u$h<>C`_svY@jEto96 zGF_?z_rtDKjI_>#vkoHyg?!k6eP(1GRep?aUPe!j7OUjYFN1kCHFGFZACXP8igV=e zwuqjnwBGd^A#(lcxECr82oVToTrl`*341+`?Ms9fvkB%GW9x$Ho@Fw|u5cW>YfwX( zQJ~WckvZF8k;-4Wprt6%(3O6 z2u%1McVlWXYmHK?>xa($69*K*v@R2Z%z$5`#SuXUhP;c)wiQJ&Kik!J<*4-c0P-S- zg3k2N2boY7wR4}ZURZ3{jA&iKp)j=APCk;iw!r&lXf0@~5{olsM|F38O8jo_-{Sne+vdMF);jZ z!GQnV*8ewP02}B33JjRhug4z;a^HGE?_)3+w>w~=vxyLef)FcEXsYf@(#a6J^569| zbGwzONc5CL_$N&JXl7^c?sl57s>|2Dsn^}EVZU4?K1sfLJ^a3XqLf3g-ip6;c|1OT zZSH!boY$Z@{)Z1GPc@>1Ub3Xv+djL%(>%{_$M3C9aq^ZOJuQp7S?7LpGP+fr9euNM z6Z`4)etc1x_2Y%c1MMhSQ4CpC?qwL=wKe0b*v=y8#coD1F66Bwi~OZfR62A+E-dk2an7G$4#fE0r>p5J)GyouO33O=vsIQ~F<@))|6dHy zRHt!c+9(4+vLw7j&u*YJ4jVT$2v$=gH;c9W--rP`T*_wzEA^O#>WQG~2M$~(OmWt( zX3!Zll{S=xDa-;1ZYKRQ=RaO#+1bk@U6T`-)sF+++&g3?^(!B~x0l`2hl`!wY*PL3 zY)tRDu`sv4k>>`4cR-v2niT=j+N1Vd)Y7Lz25q%8T#Ifa1PG~cjKKiO)MsurV`1tL zR~E<2k@grybsN69bT{fch^EqexJ4Sp&%VAOTbetP2D@T?!%HM`zH0CdLFqPQOihjs zQ#OH`BKOaj@Usa72Q?08l3y?Ykj2p}DH?+v0PT>auZr!8NDv@8oX%){e*CtBXvonE zNZmEYG4JW>jK?sXR_nk!?-)|;){$r;gm-0ggj1pj|5$rgUZ{aV_;Oq+Jpz#AWBhGa zK@DkTlLc|?j5y#QJg_CG1UGNw6$OODK@U}MQ} zj#L$6dP?!X#Y~5au*47p?04LrNLzGEO)|sc2oX^YybBm`7)%hpr7&4*aJlHgZgH!D zg9z6vr0=4GD9r-Q;8_i(hzqx4PO0$1;3Z4&aG(gvTooJ|AtjNVHN^j>B@GPiba?^K zjHs}EG9a3Y_{vbhbG88IQij`67LpgfywxxQlBGRpLvYj6LE@jIn5@%qAYhSMJZ7~3 zxf;w2m&!OP1CZx`xd0oWgS}raV0_909BFKj;=>8ZgGp!;5>l|rI-yq~n}7$i^i|*~ zE4=Kdkh7gBF?Ocv)GQK1?jzmKQ#pmem{SM|znDpk52L@WjQWWh z4ny=93qG&3y4Bwuip<5tb{W$y??Ada7i!YECas7^fq-zT)vYbooNUw!>IXT(NJOf;|Kb8* z)Vlw}1q2AkBqIcHAo}|ttf2uXUY-_XjG4s%I%5E9nVxSPj1gGpH?V_YqVSd`$^>A7 zAOS=(m$pt*4&iI>9i%_zI3+YxsA{We5%gK#3>h6OE zYLoVlI>cjW^HoEt5owLIP4yqFOEC}%J6w*GhXY3_8{7VI=-A+0W{bjRXZgPJ?OWQ| z;Bj>lTS$Z%ZkQ`7=nLkuJX4^u(h|QvbWy(kU_Y$!>AlF zjv__ZPQsd7B#zh67xl%IIMG&JiS4pBz6l>qS)2D7xau~Mmy>2H^ z)uUx|pk#AY?{VCCYYBv4Ve87kqh~Kb#dWGXP)%JqQgE12aGbOSCBHz$@fPkmh@~5_ zcvNEihYK+I|8W5?|APw<`!6m4$uBd{+Ad@+>hDI%%6h|ZQ8yhVwDJt0E+UCL_J6nl z-~SUAuvZ!m`B(IE5ONd-a&$=~8GHjtt|!gGWnGfvW-J6*{NxgnTwa#LPln?pA|%;r zKvH->QW+TzNQg%BK}1^V8bd1l>HZFn+ED`5%UA>WoY%+7*z#41Z=5twN%5cx0ZSNj zawTXAxL~1_40pY3ky)!|M(&4?`2yX@U!n2Xy?A0XezY&)QZ;OXr&+sr=qD++1M8{E z@*^Qo!qPc=!R5X}N}CGrLyFrryr=Jv1{`uSBr6<{m#)RJnApZ7&m5hUi1^At!7P}A zo|q68g>-(M9g3mIYmfrQ9R;mv6bV^~zA!P(L~)CzYeErjf2%{?`ln_B%j0)s-by>F;a}m$~@B(J7TzRu9hcD7FLxp1`xGE>t-#&+fif8j^&hBSJ zZ*wxavC(VQ`KL}4jXP+7`V^~IfLs+S_5a)|;|+ZpBfb}(;Il)oCYN=FGIpywKWzt* z_;qL@Ts=;Pj%341uOgI+%H65PT|L>bdOshi)ceWbw5Z$Dt!O`;%|DF0dDr{3wyT^@ zCU0IpKWlaC)YG6{;xjo z${PuL%s}|omTwV595~MCiWhhgazM96g0dT*k3kpjpBI_>OTUeN_h1$F?9k99yGRAA z+?oPKDZgNVCxF<+d2TZ_Sl&=;vIp4Ivzf^EagbLi=lE|Qlo){;xRN{CldvvGsXPBj<>ljD`qK^NnY-{t?0#5c}u6z^@v6=tDFWYu7p7hC&i92 ztkvYNvR=F=QR`h$FUNF93KH3wQ(% z?AHsR8&=EZujPBY(Ee{PfcJlR0k^2zu#S*q*Kd|hU7Pu1Z=WgMaC{vVZlSYc3`Yr| zxx!%V9zRWIG(aAcZa=)@I5WcP0emOACNG6wJ%ejz_v$W=WfOIcBAk7^=5O~$&kw&g zK-2LG$WgG{jfI!h#xsu@g1$M#Tc(+?iJPzm+{A`S{xRMAENQnE5y;i~G!fvPKP}VP zi^$pGx)6+txV-=8Cf)b0+tj|yjM6M4p#OjkL%<}~rV4N|h`0xXma_I`18U=><@vk8 zOFzEIDkPD2=IJQ!T{lUn?%nKo?Xr$?Do9P<6J6D=TYzJ$L}|2lr=@!o05Nm#H!=H! zp?-BO7DGJP679Lt_jvcr8NcPDnc!RBTO|Kok>6f^nmy~;&!A5;&1kIl{Fe`C+J)p2 z82}Q9Zd^sxGshv-Y_Sa`E41uHi3F`Qs&-3>8qeFu7CAtYK`Wl7385=H;Ld1&fA?wW z?>yIhQVWwo$}F5i{CnjPz(iS#2DD%*jUxRmnc{#BPWWb&1ZMRKLmw?{{2-!|5iZ$t zfjC9r6@ol)NEasp=O0M|T3~}ScwzK^xd3_-Y$=PjuHf8tq)RqqR@UPYxif6S5jta1 zBKijb1K6+`TS;WPF3h738fTZL@K|KT#o>%||6u`IRjIY%heK~^pCQ1143(%Optl23 zr-5tgUOwHT=@rU3bOdxegx5le2PiR*HoH@qYaX?av<6_O;@EP3*+S zxeIG%5jogLuofTxdjo^5I^ZL}ult5}KHhqwcWf!du`perk*W& z`hU*(wfKlq57r+g-UybRGJ3(x*psA9#M()#m>r55Ya@5M`{@N*FMh?<%Pm(1hw_Q; z^X5UXsBzReF^og&zOSxfgD??w*qPqHl|TB9dBSTi^@CTs`(2BBS*ySWUuEga0e~{^ z;n|}4C|1qF$2^EuJR!|%WyOpnh76X0Mj~E&#kenSoNmQJ5~*Xe5`GSze^}{ihY?)x zGt!uNAOS`x;FyDI|-f7UJR%@t%_}y~VikF`xM`WE&EKrg~ZW z?A-dbtS$ccfC#7=&yZEabY8Emyo!DL5h>;P?MFM!I1Au$YI zKd1$KIFK2=Buhm2(>~)$g}~>-=;#1c-<2>JY!TO?h#IHu6GE^rmJ5|h!rD*|U3*j^ z*v-VD4xqMlQ76}w5+&}x;VA;w-aHIi8Ot>)pgugF+iuT zE%SWNuv7t#dZIO(+}}8!RB2-UAgBze97EGoS+xQ!#+&v4G>V=`GZ}Sd&q$oGds$}{ zPRX}_`(y>aaD;mW5QbP}l;L~JQP?(T~RyaJlP{Xsk zBkXNSyicgA#(cXl2mDBNsG?+xiH2mp;$|reD`AIw$$lhM=I$3v4x4{;Wwqes(_RF< z7F;$Nbw80|K}5f)VSiX~s=vLLd=l%?Q5VmS(&8Ql9lP6ojf5!=p!P#J%nJ=hCDrBl zliUrc0qJFv^{@$D3S$p~no&W2>5U+erM5mYA0$&1GHQQbbuW^{T;FbAg-36<_o%uN z-KPB9#71tYZMJtOKn??v2*VYFQPvPx?|dF22e|+pk}fG0-q@4)wajTh2l^dau1SVH znM{RSck}5bK2a=rt2WPx&)W3@m1Zz0{oS6DjAjzQe0JQi08s=xZ9&Hjd;eZE()R6! zp3JCsvz^eoy8NcayMN!m@${}U5Lv7HEq@a>P#DP6zB)PoY0RJ3i?xV-&L(fx1=pUM|GurGF`;*cRj}E5K z#*CpYc3iM6*SNtetWNH! zKYk+&qc%`sr2?mp9H@Nj>0m?1?+`FJGjj1I&NiK78yqxFc96C|Kk=4+Q%wt+ZW@F> z!c1v*-pg(v%2RMGxH64T3joB7gS$D`XfCVgocx%sgTL53U1S8GK1&#!3;9`zs-qEHEfN`k|rEpfK99rOr8{5X57|lx;@*+l%FFEgt z3Mb)eLR280xjdb>9N4b@>)g(KyYZWPbyF70d1} z`ccPW!KseD%tO~L=6lpmr*|(*!u|(pU;)Nnwr^;mzdHihNC%t#wQxGBPjGhjnh~SV zAcJ*~5Ra;qYStS7Z#gd;;X}#t*nCZhN)l5hVVSlIA zss(MImLe{LaO0v(lT2^VrjGvCJWFI%?0h4r4eD#bNHhRUJX~UQhppJnD*#DjzTbIJ z+d{RCIBN~SwnF02ITCf_AB6roBu(hqQ0uGlf$;O)N0<4^iz7qvH|tvr#E(|LJv z{Pr2yc!@bOGQV%W!la*N+JmHQ|x2wQB?N8d5IPxCCbn+BdvA&l}PuATtMXDmdZdps-cDdgX^LtM*FEP6ej`@iXUPB;zfLym)+E>`&}#s- z%DQ?Bgn@rrkBvLYc)smqE)q(Uz05JcYpD<;m#NBK{p?(yFZc%c>V zJniHe+Vk-iVo7#PDT4xSGhVK=m><)g3$MeLKWF;$MW8P1M3jmu^H>q=kO=t{H?}}q z;b0U<-isKnDpNtL|Jl@Yq#Kgy9o$SbcN z>r3=zI&)6u_-z}1J9OCVk)6oN+0)-7#n>nx&Mi12qBeMNQ*kz^VUU!{5;U+da%dQ7nYo z8TeN^TU~$y-?HgE!1&f7Pk2f2y}!pA$5O%rA8g}YlkWu%jNv>lDT=IeJ^;QWM$Fh3 zvZa~)--N-&=USLcuO#)22Ik+>GMFS%9y1dD5KYU-NY={$JK{s3K4fr(76KJb^aG<2 z$P&Vl0&F@my&>Ni;j}cPQfQt6)9tz8Y-}H6OUNkxC1$-bHm2DRB=W+Ri5$LVePr?j ziU{i!<8!m_h>tUzopePnIve2&8LBu}#cqjcd3)GkMRn~>H|DrAxe?%2IG#u-#>+t! zF*#pJvL&yIMP&5@F*j4$O7q&IyA{dHaNL09Y@*taDA}RwyXV*6U_Ak&v#+{sKW+q_ ztEX&@y6rZaiW92Z^!Oi5*6=?HPXUheMgK;P)wtEtWuQT}E}G`tiYxGxXwBX@Vi*X%E(4+y(3hTY<>o;pn9Hn@NH=%VFTiWu%(04PE=?@W^V_ctjw>ZN4# z$y$SUrYDt^?x9RMm);xT4fkTGS<^yEC-r`v!s$TmJJC|R$ z<+rA6v!LwWc@|MPH=wExupc)RF0M>l#21p}l-3_faHF3KxHZiM)zL*3#{7tq`Alw9ZFDIIJ2 zT>TZB#oacEX#?L{NQW=ZC#KWYkb5E&v}k7kwlXvmp17W@M9V!_gm|@E5SNqgs{m_k zCdU<>CGB=nc5k9Ipu8gACx2HELQQB5at?e;W$RomR|av$+UrI1k-#kufRkq%1%5T6 zeD`^G`=B0#vdeo9HV%0T+1^nfX$s!v@ReY8IPZAGzVoc^%6zs4{}srVsPO=P7X1uP zw}jcA0cUnNTr^x4m--0z)H|HLLkwygL&{PRU&1aD?PtiDu^GF^p1vK8@6cJA^?+in z$VcNWi{2Y#6}qiCz&c_PK6K%d;)TyQSDz`1 z?_yh_kovt-a~S%!H{x5XrXcOo4C@o~+B*!xf``jdV&)r;p0z|Bjo&yZM5SLP>#jlX zIg5pYzlj`WPML#t#2cHQ1GwNtFQWq9p-&WLiWU5xn|F*6q}eGj7ZX!@9{VZWa8^=h z)_w0|1d_X5{GAyo9mXXhGkwo$TantXhs z*z1b3G{%Bhajgta z?>`KulT0l?ip+^4`CP6#1q47>QGLvP@t&dTz!=XVcKjy!B+R%{A6O%mD^6Bal##df zT0F3!1C&xs`sMl0iPm_{bx~u|6I?ppc@a(=zeDm~tjn!{LQ_}}Zr~tm<#Fa(XLW%9 zL{-w+NevmcFYTdp??^Pu_bdt4IL)JjLq4Rt#N9n;e#uh;$QOLlub(ABPu{@#q<)6-K>)ZZQRSS|y(%7**$ z@Dx2^z56)J?8JzJ;Xu_{^|zQ3VwP@jzn+jK-v^>&&fjvhb$WsGPcfqklVRyBN4?6QWQZnCcX(D_VI@Z+ypGHf7P>9q4n3V{DCH z6Rw4&0&f#CQB&IupXG^Z+E%c)y>rHd)~h^KKD3^0#m$>ZtgA&=fd#~80)oi|tQo>q zUm6cX5^hMy;PpQW8)tv6h8ZaxLAIPW;c|CVS=mS?nOEWXjxfXCq1ft%&>sR%NEiRv z+e;~i29~JZ?t)v}N+PG`#`X6dqS}=acIP`-**KAaBIj8r`4?sZmy6uo zk;1FFTOD!psqf;0VFr=W=g&ykt%eorT-bsWW2{Ik(G6M~G>Rf!RIiNV{kha%*t3=+ zAr1fHmmm@ZLP%jz6|@VR)}=)3sQa6^*IT77ZBNpQ*mGNZRs=RS^&8aBy3WdetqVXl zz#qelAxI!yN2}X$RSP=&{8&mhX!(5j14WA>}iG--Df?(Tx~4KL$s-N;OI)0p$!MrB^DQ9K>K4CVSWf z46OK-v;J_>gbI={z!;IO2CWcW5iV|-u%MR7c{1kwd1Ola~yq20MU zBV4)kfe6ZKjW$zf9|J7J@-kRb#+D%?X>Gi=Kz)D3C{{B51obsw95Y*CMI-}Kyk`Jr zOR;{ z=b=ZXM)j%v37WoJPa(`6zmKcEx6pbPuB9mlnOL7v-m%rH%91QlUA<= z3LweLrLBoOV=aW%+a4n}>&k8m+ zN(q%(lnoe`h6RK+t_cX{Uy^Qc6RQT>XS^f0CR2@78?A6UWQ{SQHn&Zd)eHdexLn;{ zL0&+|WKzJ#dmuj*&l$2H{quyveQGnkLM6=VfT;+;=3rzhG`Sr@m>KLCv52j| zYpom+D9SpK!(EM)ySH!e$iXN6d-S#k+!1lnvZ30au(Un=caU{=(M6IWb-tMVdc^9&hw8;nIwyaWUU30XvK1bc3SAM6k7yxQ59z@sh-LVuyC`ubb8iU5cka~#}4rDcZCi3(|RgQ$2g!q%i z09UIIjfTdv2w&4hGU*a!dnln|@s!&$0P>Fkz(fS_Vj?s+g6A1Ed-V^mHlN-Qm}%!O zv=dRGDQSh(mPFLEV+TvWp3tdYgDm8%Ax8V6e=|%@NbTFM6Tq1rL1HmM1f^8=&Mv(8hZ>L z?7Nbs;2vH9XfF-{Ykw=*1L*6a+?6B~^Iq0T(Fdt8d5r5npEkHovD!-^kr)nf861*V3R7j|)MVT?PgJ-GSMs$N`U1 z1|%1|JW{`k%o)+Aok|NbubfCfHe^{0izt+IJ!8I|9U$=-a-;B31;-)j>@hUrGG1sc zb0~|yvdU+~H~}kDQe|-l#wq3qYqQQwTUkKr?eagH+%K-e4=a zGT-kk!mmL34TchW?sx-q#5UoP+$gOxd`Jpe8030}yaw6cYWDJrDz3A|dLjy6%CBj0FYO&%e`FF|V*NDl4Mt)VQ)$HeLlvr5Re!arUme*i`WI zy8R#*QZ68+BXu7IMx|M&P~^yKl1ozNYRW{f`TQ~$voKe7qkXVR*1GfqFf^~VfuIj? zTvQV0{g8-6FH2@<@~b}B&T|ni8;%0iK?M$qt+u2L$)tIL`GsT=Y;C%wrH1{;8m%LV z=`Cn}mALIS{ab~3E~yGtkOo2Y4=lCW8?l&p=fPs*Bh!qpfh7Zd86cK>5}Wp zU>vF?*@z)8vfGMa6$AFIECp`SMgPC8Uz?!U!ViB%Rv2n_B04Ib%Pg| z|2NXcU3fHyHpI{L??O` zTsd>pGX@&Xo~Bmwy3hVq8vP`@=;O*UX2{1AE>bn~@wOg0z|q$2<=gPX1piKJ$9HDk zsqY~NyYX%hhMK7%W2({!f7sV?TVE;=b zwJJ;|PKWjh=dz5BD396BTZp+y_B73D~~!evNOtZsjrq0p6Ao8KCYKUzs~;y zp)k6vhIOhk4|M6MtuhZs{Dfr(!Y5U6r92!lI4ajPW)RKPlg>xK7`v%R;d^^w{fPV`TS!x9yRUhS5 z?X9pUf`$J_bO1dXo%ZcuxFV50H~5eKo#=(VWolIsDpgI{cuPNOGyJ%TuD^OR^#+*ji@U_)&BdglNd<;n0mmoY8OhGiA;SGDBuksmXGY2W zg2saE`-X0?WwOdd?UX$A0~9{NkDr(p|Gn*(*W@=ZYuQyh1X-I-wj8!>8O)VNaYz6R zYlN7t`Fj^%)w5P@HyaBvSTUDVA0J*EBIEb*xARK~F31l*4(!)sz&^ni#-AJ5{rO}k z6&wx5XRvVlV013Otl`$_&7QnYCeV&Vo;T_t;8-_)HsQ2(0=`|U&WF2)PkF{x~BN&Oeintv6(%oZ;f0dj3AL?dZ3%u?-`*T@I6z*BW7AhVi~H$<3fPb2 zZWTPdQ3(pFG{rXy^Ty=tqf|2t{Nj5?cR$;el|{wXPQv5m433;F zTqscecnltRUG+&gd)>sguBb-|F0ZC)#hwQjy%PbKGZ!wqh zH|EM83^`(F{txc%F*vif+ZTOoTOHeW(y^U%Y}>YN+w9ox*tYGYV|%Bc=Ur>Bcb|9R zeAws1sY<2lN@mS_&RMD4cm2n>ej^P2jN}^?Y57#}#}3e5^6EVhX94_AY=zI% zx=HW)&^(Ukk10P&y`A6!kXZqa<=9*!ZZ3%$DPTh~`wjOZ2C8Jc{t z?g%TqNJ|Sg6G9wvl^Dcp4m(3IB-3;{3NwG8Q{c$WaZEpb4R+uR7Qxy;ViUk*5O4Kx1Q-t1vhn<`bm{3y(*Rm_k%Szb>OP*4%15f76N>pIxan;0O$X=N{$ zv}4!&kiUe-%g5-5=j~3?K4aygxfO2=DSB2;OZH%RqeAS^un+g#+>U0$wKViw4#q_J z)>Iv35{{WP-Up|uP*PXpwCfmci$vN;v+0wyjep$AZF-!$Z4RSd|S&# z)@^eBeVVpU@2RBy@mQP@?^^0O?v%^*UqnEoIJA|*=@=FiaqMo|q;_WC6rL*zhIjYh z!6L>?cc74HNU%!ON116W2NJyC#=v6cx-G9-A_bXnhn&=P?H&DumxUnCF@nv*CX)Ke zbLJqG3>}5}drulz(ABiW5w1D6dc((QDMWx{xyO}jrR%tuF!%8_gQ6GPH0hk6y-h@L zU_rf2@Hso30hjj7+fU`@8DUvvpUFyQ@x~Dsj}8zo?Jjhl>HrGA2e_xNX5om0wOf1KR9#clhUZ6J1CtidEo9Ip0_tg!Luc{Q+sk|Rc z>?dF6<=Ii@^6jFE?;(guOa$#Nbgg$?}Y=r-6kqp zUe$n4ud5Z41g^ovxsp+T;0O|G% zhHTZ_;>zK+QX*HLX<};7kmT+!c*oUXT-F}QklPi> z=)@`UC%1S?xu@l zI_xME4Nk#i-6xjbEhzhV=Ul+w4%X>3@SD!ofCz;trZ;ET?N|o$Mu$dxu4S2fTl10 zGstvS9eN9qMiGm412#e3FxNuWFuxk*gas1Dp|p{5gLw|_89~%C63kK{wu@h2Tm5Jb zLI#VDS5=*T+o}4RBgqyazBl@7G)c+&UjG#nqm#MkC<$^gW`UQ9lp4NU8beP` zvE_7BAtYwnc*$@mq(O@GS|*}A(#m+Gj72gQ-~=P;=&lqSeB9J0WDTiW+K z<}|Y+LD?|<__N0iKB%|CH8%zN9o;>%kWam0c%^FQ-@FUR(W@DBF-{SmIIe@U4h2MW za-v(!8|2?M8ie3Ho4ZyS)J!Ag4+iP`Y(<^}ta$uVnHcfEHm4(mF43Eke!J%fMnY@_ z7J}Ws3x!p*`Z*nJZ(#c9wY>_x1gmh(YmW!PnzoTsmc|ozrK>Io<-4^%Lwza4CJ!*nR8nwX`PXA52_>*ob`CR3vb-qh(zLj92 z4sm-wtOweGR$fq~**TY9EncjJ9-NTH1vDTIe;-V@^b2#45qdH#HSkCzq^V)faAc0p zi}Pa_zV1yFi2Eq+B5I>o=Hf{nmLa)cuytEM&l)mQq`a4n*^HH4l4I!UrKZBAx%xMN zJ#WBk$tYXcnh$9DrYLBP_S!r>8hm)*pmsU$rfck6Y?@l&TF;u}K^Pr6E$f=Q+nbi0 zb0fW&-?q|Wh@UWK8aq`YYiC>1k>^aXp-qc%w_5>g4SPQM0$#QLwS7D8;&*KbouNbz zlZp_;>7;T!zo3DaKcybGg(NsRGn$Ri%7eN{`G{^SayJ4sb{Y~2RAEhc((x&}n)okk zU}pi8SzG*0yjQ_|(rfqwLPTu$7g?XUECWHk-{ z>tI?_oy9knklx?s%95Yy+t@;W<^4ZtubyPU|K1 zUAO4Ufv(yjon6ZrpknnBn`mEq5+e~EFcr1UH?j!e<0<0Vie4iR&zrc1!(54Bi`K`* z<`9gc>#de;AdMIKX$a4nBN@1Gh6dvGzOiy^F=Azv`@M{T=6$&9vFMK54;<6aHVxh7 zLmrQxt!|svhrREnNr?1S{}_8f$WVR-1w2}cptfyNvqZP7vqopIrA(y_-LKbTT$F}#09ZO%J<+pwQK?t9sWsQWSe^JDsNtrq+eDlnEPXrl>bl$efK`d1r zI|>x^!ct4et%xZlV!4YBaIAa=1YEi%fZOfdO6{5v2QUSI(&IT9KlK2qFXhGcG%xi0 zATaa3sU4`?eE7b;#C<-sw?L=XMVwjpfx$qhSq0%W>Fzw~U8KGzG=8bl{bc2IuhMDJ zXX8QVu}W&_evJOXy=WX)QX!G;G?8+4Fdv^FaGI6oDo;E4>r zzKEMtoUkEKL_GgY&ZH_$=BvM1%G4>FB)TC)jL23g?no-MXBy4Gv|BHRapt#M+I}=4 zGO>-*_a*8yf`Wl>MWM+|(B@ws>dFXSiQ}6!^VQ~d=i_|x^9-b#V>;g=zXrxoKV$Qn ztxp?r3?>|4vVsIn>OyJ*lxs1H1t)QtVk$Qm#>NM6K#kCb?cZu4fnoZ&f(3XM(-Op@ zZ!gh87H*3cXTN||mllUb% zQXz<$$uJt+X0MbcYPBW)Fv|??TA+t0FF)M~acBFXVq^Yx)3gcubmk@9>IN)?)P?(e z_BG6q+$bg|=5Al--g=%ZfE#f08Pq-Sz8Mbk!7a~c6LV=*|20YvuOO8HY)))$w#Neo zcZg~YD{9va&GiPrCby3vGiv(x5~`a-442pXooPveP87wr@w6L28SNvh}A3147 z1Z;Y=qO_K*NloHa!pSYEM}75`!?ScV>WQo2s(fx#5|nX4AxVLi^dxp^z+3-z^jLuH?*L_g;LC^B|05`2Bz>s0T93dcNxOdzJ8`avYH7S@PIqQ35q+D z@OrDkQA-bqdBzBE)Zfj({aB4@#_N*;HY7)o8|8d~HEirF5Gp0x03{Fz+Lj(d>@{42 zEkKE3C>LKKyv9qC@6)t`I+3Us@0sss!i6 zl>YVu0A~21iU*Uy=z|IVCImcqz+V65>;KUL{;`Ywf0F?m^j|+!p!@#;8K9&@|79Yu zGyHWwN=giW_WslV4I(HhG5)ps%S-rgBmn0BN&@)nY5lhn05b#I{{#X!&HV!b06~c4 z$|e2{0i6E>0-%(4wL|=82%vpSTSu#+`E(@Vp#JHv7*YfQ-K(0J49B080Kf1in+l;OwDmk zkNR@6n&t7#Qy8xMDyY!7Fs+mT!Wv@lp=DMQ8T$4aYxt?kk?RK=~c`nI%Z6r0$1|v59(hQgPprE4>1UpqG zXuJ&c0zf)D&xmj&Hb8_Omaa0ka~!_!fFOG9@8f+JEyP`R9=~$V!Imj^XUFWCJ~W!^ z&Z!%a@<(<=qh8!It38~;nV6f(BQgTD)VzB`3aNg8`1k!c6LKoZGplq6!#hO2mm$9f zpu%sy4PD@WAOOHW5Wpu`>@c*s`#1g$6&wfWIa_8k@S%7(JLzn__bQLHj^rUNNIcPh#ah{*zAI)ux~GvT8R3J+u zD>K%ly%!p&S;9YN2bZDAQysZNs3FoWEnuKNNmn3vdofB89vq=$aFue$vC-qF6$+cR z>D$VyZ&_ob+v$E}ArWS{QJ$!vAGp)(be__DL-g*@NiORRWAAyD>2QyOr=l@I%`Uf&lv#1A2_K@mhZ_8loPlM8oQp zb3obi{ovr1(@BHaQE}zAODw)cUCCd`%+`0Nf|b}h>gApqYweRun`%`QjKNA{K~PfE zEcu9iIIY7O+T=RcMj-hdm~%ty6MKm5WNnEqdVUYkk@JP(`3gB}{C2ERK!ZR-0v zr4fGHW0npZM3bQDq+m}@6DDZsi1=Yj9;mCXMaW(Hkru_7E%7mv5$VvSZfljL2*iyy z3s`CB3o?)4kG7gM{UpPpOZ-K1-xoBykcn{?#0ZBz%6=_y;%ETtnKz4teUk8eZ7oro zdtd;Ln>}PNI@$e^)TG4ykmS4y=jBILi$h9^Y>oqR*ET&G71@yDmaCN*8eI`2m;<}r z5gEuVm&~WPLEamB1)4{{Ew4U`A}$5d6)d8fC~j4MiZ9CLZ?mIY|6Gr6cKD9$7gs5g zUay^*+i>WJN_ZmtUIPmNICe0dAKn zTljI7E_D430XY1D0CHIWKmf1*8v^*H{VyN@eHM@F(=P~M>Y{l`1^ukj{l@Uwvb|Ha zYfJOz?ZL=~vxiH;CatW@#jjEa+2kVU-t^7sdy3e+vPQeA=D@qPgO#J4W}WZW^EcHl zE^8B=>QztY_XF)`YZ2%78^k(`TL3x$>hQ7+u@|ME8zUtDph0yKCayz{Va-grDyG zoxPd+V5>$%2<5~6Wg^u$yxSsVR5!uvyP^i#^(e6O|b$K3)&QsKa%&o%kxApEZN1F zPMow*{1jQ(TjytQVpz*w5zNU`arE(*Xggjfc42ocPawwo+dK6tilhXLUxVzq~Ka;USI zZk^=5O68z9nG>n&TN}t79M|>rwf{aG3C4Bw57|uxp-G6u3!JJRCrVqbd~|q9KABrXaJ> zj;@Gqdkj~63j5is>&|`zc*Dhg-;H*A1eu*j*z%^h+#Sq!2#OdAavN}>rqsax7JnFA zDLi0dxel9#!>l3aKa6F`deckXDupr-Gi~X^zm`|#*-=UfWvO6RR(&UF`xzNZz6L!i?*Y+fRA9AkCe!z{#<>xXHFz+Z!~mKX z+c@J5STHNOiX4X$?0Y$Tk~TYo{kHxW6;9S}oqF4g9%+d9U!YlKN_}pFuNS3Yx^B+V z$ZM_635D-=tYp9@LEbPOydr9NKAR|3`I5sk&dG80QMkHtuD&k(c1ifUa-9CYF$8f* ziU^2TaS%@|Q7m(1+{?fhPOF!nJ?don!Z+K(^57nB(EPf?xA#}iB8c>!<7w?z(v8Hi z#jA-Ro-kqSJR-SbB&vAuq9OA~=!FFUb2?CKK3<;7mWnN4#nT9$eqqIK=*12bSD3|C z!E{60!<`1I7N+Z`vX?KWJB|xu85+v8x1nZk=t)Jz2eaHf>a>bN7*)=x;RzmE@`H)O z9$xXyPi`$KV6VR1o&aTL;Cuo*Ybq*xcRji$UEcNZm)_vQz6+j5aaY3O9vqS5A16Ni zydZDSo&a-KAGZCC-@J~IpPo@=^ky`9O+f z60H|-8WF1`nq)f0`urpOx3T0od-8me%CRqUToZ(4*K|<0T}$u!kr02zbQ_s z;pMLs$Luu|Q4m8&@0)=RjK=qrWkkUamwmm*(CYXQ5$U3|6q=CmhNrmTcy5`Fsyw<+(^t) zgp+jXvR|4lyhvzroixoM7rL%8kxWhxFM)}Qz5pYWF1xscMsa@zoxUEneWnO1d8orSF;ev42;fl8q}^?4+k@!IiKkaMu|%SzD#95!CPnrYWj8 zvW_~gzlwHFlDxtSF)h&Fs@z0)k_2jT!2w&?uv|eX-&HkmbCwvGY3t;auQRZBHCc|H z(lVrY%K+TQQKyMAYLRIcBq&aU_Gcj?zzIGR#%EBaqzB}2r{tmmkv;$@4?1z zcR+CUP<|xWcYMW$q976u#;9eV9EYDC#$x8@2LL@^vv|kL(z7p4s}z^Cc|4XkIy%>X z&nJ+To3w8n9J+fHX;&^jenFB8D=Y6N_oF4WMg{RyTI9Ut2$CxC65=kK>;Z1*Ih((K zc`pB4h*=veKMzo^JUD;5*_hZkxH$Zf;qh{R*l_qOKSKNiNd{1swC_f^FHe3{UC{Hp za4zc$%3=9P{^G<_KuG=+Ft4axES)&FynhoYpAenA%$N2214+X6QxNIbq|LQD5QsK1 z)3$dbt5zKzt*y)k_I^QqvEHb5eZ;f?NW5QQhK}7x@en3qtQ)MdLZ%mM zE=Hl}VZ*S3&d@+f4)`lSQY=}i8%mUjQY3|QrIzmDuRvxJs?LX?AGmhxHNsswrAA|H zt*R?hD#|4AUzP`f9{8N7u)nWhJ@jhIp5fGKJ)5&qa9?!yIrhqOG4gbO92TK?>Jbt7 zUKbbl4K|Zn#UHN+(4;k(()~wHh8p53FI@fEX&rc_3J_4PVYZ z8P*Zwpl0o}n89ylQ$+KqYmyQ*xsTy#|990`@fz#?>QJYiA7A;AmV#v47bMBqhRP~e z)yL&CsOV?_kXe~Y5-+3j6O#F#@*}%AIlzb^TK$2U9hWhMu!K9IsuPSog0q2{uf#~h zG3ruKnN-!Q`-eO!PR-^+W&!hCtW77kpCKaHnI47AK0v~s{3tew^6&g8j_<_=*o-RH z8DRDUH})a+9V|BgVfdZR*HBw-?hInDb0@VvBe+1^gFV?X(mN*yWHi!*C6X9s z3;*(pxJ}E{40E7_5COH0XAT1%gAtOKsE@QZAZ4IXlI(2Q4x*g~*?R`Uh-SKXrdVGs z_>P6z{;n-z3h7Q^^mazM-$1L?JxFeZndOxc;WV6=oDPnoy(k}1 zgd{~j^2tXhxm5QKlC}yV91Q79L8pMR7OAMe9$(*M1!`UZOGIw)VIhKyNQnh=C}j5x zwq0g=+OrICYKYpy8RYQ@jFpNw#6nx}bHqScZvx^deCZZr;0LsWy+J;PmMYx@5{CF| zrnQk`GQI(cKs-(%L$NnV`AM!TU3^m|<+-Br%8#_#5o>lk^k`F#7e>3zW3rKOX*e!O zYXzPWu`z`+W;J)yJQ&VwH1*q%NHKA1=6Sey91FG#EK4*oBUnKDS;L}Yj%aEl%G(W< zW1!+Sbs%XSXtytVKM%FZyAMRmm^7&DQlxGc?=2>=N&g4s})QtyqOMhl?i zFGq3{?&n|e(e^Gwa?xI}>B&C(Ws#%-dZ+>CHR^~@4Qhc>dVqwMIb=c}?Uy4tUOz?% z?cX4Qibuqw|NGWVvW6x9EH#lsoqG*SY8+vj4 zVH8QkS8ljK<6E>8X-c|(6f03E8EYFtjbSAB8Esx9 z4(v5V$<^Z-cn8gJrDRB=Y*3&c@o#&Ik7SmL^lX<&(&B1<&evasL`xWETq9WXk71 z=kYupn!Q5hr69p8BNtbO;0cH{(%?__n>MW`jkcV>g{D?PpZervI#sFpUbb=yUV3w} zM?t^uoW!3d+73$ck#aXbQHtK#w8e;!$XY@X6``WIn(vB3dEW5t1xQ7oLq>|UfgR$v zQ&|#r+N$=UAFV;uF}XVX!wSgcQd}$;B@)6Sj}Zo-4pN|Bq<)zkX|2RQ%bk!=+Puvo z&c31Ol^6T6 z5^-P66et)e#d%h~QvN&!`X@rVdK&6=`71(NI?FM>E#>H>CH%4^*-%e&7eAGMNxC82 zHVYrer~9naYJ$tdLmO~bAD)JQep!-g01wX#0uGbPg%(hAKV+_?Wj4-U?|;9hOeGyZ z{?>E3eXy@rl+CWlK6g&ZI6F^rZ{%#>I=`@4__w$CzB*H~iW8VBS-@bCFH6$I@9u12 zpoFcR4zJ1lKIQdw9;P#B!hW;Ih-!3O!*d%(@x;W>C?3~Nj0cweYckGUuk@D<9EtLA7#2u371gsZK zmi0IfGg_F5?WL?MtaIJ3JFRSdH3+hRH(p+f_`Z*xfZ(sA{_qjYJww%cs+=D?I1*z& z)Nu=YWDR55+w4QiUVBrL^|uJKf8*=+RXfLCjp0ZV_`%NDPa$J` zz$_u=p*yaNanQRX@)K%PSr(p&q{bNr$I*P*nwU@cI&U z8v&3`q^>(x)FL8lZ&&!#`?c!4D;9tJ>M6`Si=!ytpK38M<@F2IjLy8rxMlX;b1U_rMfDc4G3zKvdgm?C>P;Iqx%U z9a%_%K82*3hxIvU{_TTmgf=idv$P`@>PvqK7)ACzi2`!`ufB@_dp1(zwAnR}epDbo za!jU42mH^y+2Y#Tn#Q^P*WF;9X-0GZYQ2}>?_&+)BKE=f9Rh5+AtiA#uB*W*c6vo; z1N;t=y1>2S2Q+yhB3qzg4tQE&*C(vbT0z5R#=8wC z$?>V$VP-$h&D09qZ(0Aq83eCHN%NDrRfg3UxHT}wAl$hoYUxO_aX@MqpP^PYOX6g0 z3K61`sRqLB5qpoC+$&;E4Mg;r(}`S~9}N+?!xp4fytGoZGx-RvQbn>{z)J=i+M}iS z!P>RD0mo9k!P<@@Ar*uK+=Xz?5L-`j^O>ntBs3EptlHrH>Od^CXKH8|O~D2U=#>Nc z0*C=+0fCMk=y83%Je}1-3RRqm>LV!?>;WN?xeCPlIcTFLQ9!p@E*H6aIJz%+PvTnP zY}qkB&AtklW;lE!gI&NvzGEbA0(?C-a=+3t%0y`n53;dVw#{AmK4holFg4_=2S--y zjp+lQOlPGAbiDy!karWP#v-5MZm{M zKvNjhHbQ02>upuy#IaGT0Ic+s7GcHxYMQ%vKao~feYMN}qew+x-1 zNx8Thq{#|aSy~wKthi4K`v*~lv=J}o^pB3TOF7sJwM)BjHYc++TN(qosu;hh!{vvM z*|uJ@%gIRzP)BD-(VsV*nuxrp4~pY%pjt+zHqmOLMWA-hPTg=I#v});LYdv|mg}a&K$<4uGE$!r~l%B%-tJ}X#!y*dua0+3Q2$)Yy)p26`Jc*}W)RMBV7XD%EhP{41=J>)`2b`ZR z$U3@~-Yr%uptLDc+0B=i$q7Cn67_9ptKq7lxUjaEE;$dV!QJjgy&M*Sfn@0v{OQ(<5FPn1%SFN z>Rwz;3-bG+TsGXsCFDRaGZ#OMk(^()l9Epa!-hpeuqRgfL_x}rNppDS%TUbsnC zH)ipN1IVg^26yw?)o-qb4OiaZ32tPun^8wNvae9=Ll^k|x0eOAaHy*Db_8@nBCRS? z>VuFP)F`HV`_(DcQD?O?o{c1q!DMJ=Bsbx*bX50Y)YnjW(%Q z*H%K>R(Kf%OS(Leo7FAcASZ9@>~mAjIa`vl;WVgl8g@Uf&H3?WY|)P-LO-{6mE4u) zqE2;Per%6%N(15){AM#Q8-cZ_*TYu@rf^o4u72X#ZbY$B9i1wRbQnbJDnf+~%K5&w zdYm`E&PzS1>FLoIFahY~qfTn8>H$A^v2#?8KMK-zcA z^%_O6q3+pYt1fnCg`jYRO{C9bh`R(i{Doe7MSQ;#p>#2olmU!V}}SSi+oV{PbGX;gK%vJIXaw@`rvFsBIcepoTCcO`|o!5INCi~r3hb#N2XsL(2yOfMNsmeem%Gsi3O~?`T zXzE@$W*^{zvRHS3#$gao$g)G{;FSAF6KUbh?|6av{l^Md$JU#${Y&WMl3NbRs;Tsf8H_PWw%vq2_DETh zy8Noe8M4t}_<2EF!!Mc5F+=Kou*(*crw-}g&zE&nTc+Pkg=;g-C1w!T__Q0Da`g38 zM*7QAcPm4p1g+|dU4e-^wLm>)SnlEL%R^+xwc!0*vK6hQ@sugPr}hRS(DKL}QK}*E zEr_t02 zwP(3J<4;Gmy2P=1q&|&Y#-wr&+{9xk9OC5>L-o-&7$7nt+8EkGO|#-E>;}EVXHoiY zJEU>WD_q)geeg@5*Jp*_k~;bUKth6CBtJSP*N6*Ja5wSZ3cWvIy@B67T7WtFtlXrY zrY~NL6=znux0nY=Wd?hkBy=rfvQe7L@T~l5-R%5mat-fO$3X^NPG+qnGm!75zt^vB ze!&4%$IS?kD~!y$ybh+2ULm<2QKS@1Q4O}J?gOxlyBHU+={4!#9JoN5;}EU9)%ch8 z?WIPb_Da2#!>V`LK{5N^dR^)$5&F(-xA5&+s;Ywk}>zFMs?+s?buDzEG`?k>1PdR zp*osn%6?}9-5AN+f?Pb83?m9@@rX)3bG&mQoj#lHg}O9_+Y;KKbg3vf$c7o@DvjG5 zQ>*Z+d&=ffk+2N+X5a56gy0QY4ujwv=ktN*^>w? z)T>Uv3UUgtdIi$+ychI2pVGR_Xru%Zu7+ON_)Vx`+HRlYR5V}7)gC?HV}yBG7mAf> zjS_sLrp1a>T@gjt!9cfOttJ^AYBevVVbu!DSpbP2SEpFcoQ9l+NU5&VbR-uU9X_K8 z12qk8nlLCS3Ui|MtF0um)L-582dLGIO$$5s+GbU^a+$yd&2M;oYg zDNRgLXk=anXP<%}e%c9TZd=b4pAg6#y+~27fFH2A0B3<~w%`YXAsj3e?V?TwFfKBY zcf=hxnrOM{;5<3kk(Qk*UAH=j+p|ur#$hQBF)Jp|wX=)9=O>tj0qg^^>e(q~MR`}B zI~se@bvNcLcOD;W0U7;lb*Zr3?az?S6SGS!M_Q%zK#zfesi93@>-}}foJP22{JufA zWA^G+0R zu!2t$UO%sQyhG3f{OA^O{Fl|QKV_u;F-G`@0wUKQvqaUD5i#(`^0!Y4z>Dudw}HEc-`=jqTsMvj0@rnEuSN zQ~3JLp9&kx-!ts~QDLM1w-wvJm*xJOc5ROTvfTFPdH?5s6;ejlCXS}~Y^?N5|6Fd< zu+bPo^ggOy7QNZQxp-H=0Hfv*|JEG@Tq_3H2M;4WCPgz6XEy)*m}O)9h!Zb?lARCn zGR?j_ezB6Z@qE}eh7SL_@p^Auoz0{1Yx#011Afio-nq5yvv8A!j`pJKXEX0T1$L6= ziQu(bkm^gkl0@6%Af~#@{XxllbxxD{^V0c?`)h786>g{6*6)kO*Nyiq_m8*TgQE0z zM(AwNXWhgZp`FlEMl|nCr-5DKf+#5bIMzDv z9*PV`;giTP&;Qs!!#OjDMa&3M!W6;rXX7VZy5yc zsA|3xaLop^du_@lO|c_&W66XP9KawaLTKZOA6w&r3GJ(?@M^6EPTDQ-M{9-5U)8qX zUY#yRZ}%5*Q3_W9QIXz;M15pf_I1>OAAj1lJ^r+7x2K_g&26hucTNHR_qlC>;>nX- zDh7gFfldv!|2DU6CROIIxoyvIV_$RI{QN-IG`EEfH^h8`DkU?Y8gNa)X?CKG40n!` zR{&b02cMX5^Qi>8)pn?39o0+0ru$FCY4z6uR3qmA>Q`$bKmfn!rBEYLvaYjmgrO5i z%>{-*gT?xSTYumt)38f}WOP-p{xEXnXjL2RBmAfj@-6od5@Kkkh8*gkpj5uPoXk%c zBNDw%X=J}hULdhg=2&Il2H@C-7~h7W-^hG*t3;1m07!29($z$vkKqQB)>zS`-?mj} zDWXXhmL*4LXv)T2mHHt3uVA@JW&PKJ(v!=cAb{lMn?$ktKn20_rV{m#*LrS^rzfv@ zZBz5-+Jt;&=!I#f$9sw`)dKIp>oO0C(Obs9)jNb6?O?$@ai`^@mhXz;?345>xMb|aJqheh>Sa1sZD=9+#Kd5=~ktR=kQ?3>*@!5nCGe z8ycS*Jb?YWVbM%s_ztu&3LA?k0>-&F^Fbe5?jAsdE_9l#)wmqF`#kNu3PCxyKAq zhCmhgu>h)p1i%WAyF+FdKsDouVJGvuPWV`)O!9qv@G-U2S*$`?duN{ew6I$ET64A4 zwjV?q1QM+r2&W3tsSr-qPWA%X=TJ5f>y(hZ!5;m~H)hf`NHdH>MsR3T%Kng;{u*pD zJUD!rSQh1hYYP`;P4eq4dDkYFz{QJ;hh+&|@zI9l$w9cVpt$qmf*}g>bDZILB>}6$ zV=&F_`2^ro&(di@^u`JG$Wh4$2T$!R^q*Z|xB%Z2f!ktF6MSi-Ghkm|XZS~qBH>1N zqI+}=8Hb=Y8zMoN!6iL8qjzoevd(ao8ucanE~eNx}?0G?c>IV~!F1&6p98V+?U>F#Dt+ z{jlzmfw^UsH(C35(>?)r$;w|dW|T`9!;!4&xna#N7$N2Cl?G}|on)bBMJM0|o}MUD z%me&21J2V+*Waax7fP713#!p740eV(f&8d=<>#iUr`$`^0zABgii3Uy{3ts&`CdPG z(OeYGx`DL29O;Jrl8x$3?sj0G{~o;H&wh2=T{+v`2LHX5OEW-3b4y1Cp08pX75jyJ zPZ@pvP~LXx*ViqS3@;`7L!^Hnrk?-IcBOuUP?A&pj3pLSw9DpqN_KVxHc0^(?4W9_ zXMV{ivyTm1qCjQth2n;)!xSN??Q}GHw%# zLsWjODqOQGubOe)fmZd~r>9Dl+96Dz2~e>y&VCi!oaG5Q>(Jo5Sbc99k!ShTfP$O~ zAc%U=1ABc<%%~KJ83?#xqH4$!R`nM6C!ImIdV7p-P55WWU&(-oM5B1``+n<_=z$FV zjBZfpKVNvoJP=>6B|k+|5c5(lUt7_nQT{$fj-xL$tEM%zIjKV@_VP8n&HJPFs#DRy zWN&xl^mT>XoH|7sfc@u!NG_cDJ>9`;A~=JOP9SgD^U-!OZr+qP}H)3I$^9kXK_9ox2TJ0070dXIj;`OR5t?K#)_yQ}t(j7p_a zd2)}W>dDA;p2uZ>@`^S(ySUD^qAl{ab$2%L?Ok8ccIj^8{r&Svm|mUFtD$>stLnMq zo%iwMbx4-y-+S942DxM zeDqBxhoSi;Q)Zc#u_G6{@_l$d#6fyRXG{TR6na|3}E9hD2BGUDgXl(%P(NTLX=Ql7* z)X4}F$G&+~q#%JLE&EQ|p=x`{k7$txBHxRPFVshZ0-4hjpr!BjGOg*_DcxY@oW_0v zI&#(CPBX?^BZj(Jfd&+W!>>H$nP2igO_#&AWOL7-FajA6p;zzVP#~)b7g*tRlBCxH z2gFDw++!rz*eRT^pZuy@HXM>kd|8ouWd2K{93ABq3fVm3lD}V*NmXF;stm{^m_TB! z96~O^ei$&((lI6E3S(kv8qlpuXt!dU1|7KeFW863?ECz&RNK^JV0h@2t~(}6hXOLG z1f3%2X1zg1L{m@#)0b+Q_B2Knq~FfBpsx#H)5$t6Rg?9(IY1w0nlJZj=>#g$TK7qC zL)I1iw%ucS3xVgZqlfnhncWnRdzSen%mWd5|1qa&e;THCYZ*@XeeE3zx~f<-P{O__ z?DuWGb7M5g{PvT)Ut%N&WMS};sb7HiYk_xc;`$|HKDm0&B^x^uq$1LT$tx!2doAH+ zJoLBLNO|f!8^gBjnmPPcb;#kZ*oN+g+uCjrMRL4_X%Q@7hf-h5LZ(h7fkC;9IRmow z#Ur5%pLA(YEwIQde2LELZ3; z2PT)zOk4zm<1GV|b&C=9XCLSRdvz9FEXJ&qYgvY?zVRC z*r%AWdt}^@W6-N2f>6{;p-att<5#}=B3&yi8@-02i53o-JX!8PD}8emCZL+5{{-Tu z_+~bss5yXe2j^(1xwwPEnX#^B3ZL&i8z|w zsl~C71q@=T5jr#~v)~JZcjE2VwFzE~@Z6QhXDe(nhT#~*L1qLbVWVz-Iu$gdDLx#( zpDKB|?1z+vc|o}-^l%40WB`J)=!C?r$}5F3yqS|!TTP$$9Lg+UQv#jbAtVbw4F;UQfSZjf=I^=?+pb5qb#m_q0>;}{bs4>s5bW$m)Q)`Gm%E) zQzlhm)+{V)NMlhB6bex~N#YzO1Z}OSMI@>t7_F1qc0*@fLV9^83YWmZ?(}LwTXdk{ zS5|wC97jm^XPOWCis;i=k4;T5w8eu{0axK`9Gst{r?XW1yyVGtStjCf;!BZeINhz3 z0rgT5Mba4%$R56P#Y1BSRCFQXlT<`&&@0l|^@CnZvgLGjzbmDyot3mgO$;!!ToAc@ zmi^oX;-x;mov^(Knu5Td2b?BpA|GO?GVWNF4YmrEaRF5#up@FGtnH zu@i6+D0)^G%#Mae_b7%!ejvqGrqimD(ja5%+^2Ew`C#KDk9)nMgKAOq5%x#b>vBdl zHtnqL_Av}^u>xiLPRIEhfkOFA;%n;Y``WFakB8UK??;4=j|AZE_B|Vi(ZYX9mTy9o zxcsMfiZ+Yko-(}6XHl;mgzpZ7oavA-PkiwnGoYm0mpDe;mPaiZK25B1i3vPtDqZ_;6%&r54aSh}Yq3>zWH+g6~^Bki}hee1P5kua5T z{l3w=E;YzxUbwC=vO$HHmK5#zQWxhD> zz__(IpOi$AszNAzeK`;5&2E3c2NrUavl&U%Jzg2y*fj2lTc?Kg_*GxyG&bcFlR{wf z0+)2;n5WV{*&!%|EbcD4&5ckv_V?S>mlVu2Sbbx)3zd7Z`9iAGa7;ntE4Y|tOpl4k zdyIX`?)SWj_dmV9yB6!6okLJ(795{h+q!<`=2O{u)+awA7*@-rqmhtHa`gP!C}X^S zk|u<3DTK@fD{%YwVJ+dYMD0XD-_fQj?*d*(k?rjnfAc|r!77ZINW*d*D^Br1U#E1*H5(S5R z)mTlEcmC0YGscVzj%r%UK5mqz|4!}_EGPIrrh;I9>dv;m9ar3A{#~EmbEP&M5&7_8 zi>xF^&FCZ#;#!JmtnPrWx*WQseN5l8;)$9^x;bS;HFwyMwnqoU*n17X@hVN(r9|qh zV5`o~W&ScqnFF)|ge;_)NDG=tbXY#94HM|q9P!le*M)}TL8fc^iv{y#VOV<%dqb|>`VKN`-F27~Mu#g_<9Wq8 zXJ$*hdex5;e-h}koL@aZ52vhJ2d1`o5xdz4?Z=rv&yVW8H^U0O7C+{2IJE4x{5UtC zl>xQQ-m}2N@2{1?*LO2t-=&$`p3MC`WB3K==UT74l(@P1t$-9ke&6jMmcJflnwLn{ z#Nuvwzh6cgcY+d__8WsqKf{G4H&sVQAYU$^ZQP*ALJGVqq$bL7pIU_1cNZmy!-KtH z-XkucN3~idE%BeQ2M{R9rkQZj6CXB3=t{IV>PFT8(T}C}YYI#V353R;@D+2>ZJRSf z2AN2pSC!7&p_{IMqLQKSHOV-grNDWPr(TowcgrMvmPbQB1_pXh=)pA;M~hV2mOypU z1J|qio4_a%qG^H;JqVA%n`XfUgP|bhQ(0?BBY+ggf9ZkdQ7kQz3)3%kP5wNMJY_V9 zzFx|OVY7V`JYSl}19T*>Khb%rc^b;4zSnGKO*T$FFe^A&@bcTPtgkAYXRj;VKSxqZ zOD}eBPE?b{0lfgf09~01W^Et=wWBpQ3d32NLId?MD68_;Y`rJ=^d15&y2d=S5NH`r z9G5kmfkWHuv0V&x(cMeJ>b+2ImD~BKu9!y&2) zP71|V96|F+7W|;b;Uaz3vH*fZUxJ=RbNHhvG~7#dDAs(mu(LBlcmX2ysY!%PVcc?5 z(uS$fp7@7ylCL@!##w!v_0u78EplYKuIBU!QqFbs^HSn2DaF~DgM=fgD;mwJ;!!eC zSD~oc;BbIFg(Nli&@1oq>_Kv=V)=TkyoF?a3CjrvXH2N$BCw@uJ!+5psNNOa$_lI7 z-$Q=lMILG}TZkx3Gx9Y*9COmMBF({FJ#89q&rVsw$BBDxrP9KdTKsFoMV~0J>DuZ= z(b;Xec;Ui3S=k$TOf9YwYwY$l&t6Ma$BAA3Y)3=2XGm;APPcT)R2@XGJo4 zb84c7G0Xb6SnW~htew4z?Fl?4@)R=%q1j|$rhsZfplu&xIoaZKoOxiOAZ;~B*a$ml zHNiVg{88l9yg60Tgy`6qjGWjD+-UIw4;&otdft z|EN<1XQLTg?B-1=^S!IB4h>N1x{{v2QmM&c0aq1eA^e6u!};ZpaTRSAbrtL6YRHGZ zg*&}gqqIP}=06y?@@s_~@FGh7l?n#a>pZ(sE=NO;w6bI*SUkEA3o!hPD!iRylk2CfK@rDT4B26RXW_)grzgr`}Rp+eB zeZ38Z(K@`h^ZumIc2OfXr|%KYTjpNN3!AgaEK1RQRhx!PHL)=L|OXMD&>8%>!9 zk_~}KsD4Sd2o86?k_JcbItj<5;GY{r%%yAJOQoTa*4J5>_L*C}=`UnVhULg6v^2%ZAY!l6xYa^BN5&Y0RW+U%d?~g(I_7k@n65SBF~8wXxX)Ctx=#d6 z&^>#~8&PLxW@OVHAXD>1>Cm7=B5h93b@nNnZelWwK!IPD#!P>8e#F)D&*j`CK2IO> z_S`kZ$)Fw3jEO61n$uZJW)sTBvK5TasE!b|Es0la0^|qrKz|nEW)BQ8w+R){S1>c3 zPoNRN)X{qi@p2EQW(~AE;^0Tu!Xh&?`2h18m{9t}Q&ZE=;)c0qL_*@CTJEAwrPo|~ zszuVh9+k4xvt7mpsyi6>LF>BrLeJEliEV=O4HO6G=qvZ%#vz%l70bLR{JHF)mWjoROG{bUk+xs~`#6dAc zm|Y94O6V2dBGGklL!F&7FTb8Pv@kkZq+h@(Ua(VJpZK}r<6 zDcY9Hi$dx0{zh`@R0>!hxfgslpj0kO_gly`c@76oZjTr9dj#f9e@*Usv_g)A3$Dcu4`{9oqvGMsa~RBib6`gbtDstri# zUb3Inxsg&1k0E}YmJI%aQvr(JvJpF^_%Uut(~b`@my!1vQ)No)l82q%iEYw{ui=mW z<24oi?uqduAdk3X2H%$rzB_`MIp;J$%&@tQuncGL#kYWPv4!!S-p;nGoVT%`;JN`m zqpXYl_GJHSp%zoTp2%m>uwdN2VdgA|>eahK_`5#r85FrIYE+MPB#I1tj(yTHB|mbS zAX&n+P(A#GTim{H4RAtPM>@v|+8<~pASjyZqW^Xv|98mzKaYO@_do#i|3zD4|ChGL z%mn;TAOQJa)S755IKzK?@xQb9|5qB|KdQI?)y4gf>Z+5ygNVI{HWLG&YzlbeU}gb4 za&iM6br~g{>|GuH>7M?pH7jpwVrlq4ezu~alc}8x5gRu*=l^%p0DoDwe~CKQqh!apwQ`S^b}X@c%LM=VIYz{$FSQy0O|V$UZAII|V8`dbjTd zOmH5TY_9%j9BSe)SiY;H^<4q(E4s9$_t(zTanE|v8#XR;BqyT#m0bCw#K)79>AP=h zpI>jEOKvqee42tA*EP+A?$?W%k4IMxULD=7t?%W(UlvPjRGf+shXAV`Pi2*;Pk-Z@ z*IwNn6F&EN|1NvfcUQl5d$#I|x38%d(An0zthu&ZS}4I}%&8a>#O#YCA&g?dDR63M z>@I!Z+JkhB1aDvI!@v!ke_6S$xF-fje$|(fXIsW;>e8(IrEk^r+5hPC5dLeK_R$p9 zb^Ip|-jU0d?&x>dVN*;G(21>&SF^cvMUSj0Y*-sh6v5_5njfVprn*w`*4#Fj`;)CF z2FIn<<;Ojjd!KvkU)hG#NgT2bxOD7|i+r`yVRJn81p-YB&buYz>U7^&)=PVdjS5yV zv+AjxL&i+eg4EO~k)yHAEDhebOfDGFj8&LG0~-<*Q`ek`l6Y`RpEE=AI)Ob;7@qbRg2a$_v+9nz_s$UG>FNU)eeY(y9Ri6FN;Z z&SDzd>vX2)Sp6;@7IIHFZ93j&bRRy%RW(c6h;xshsu}fBAcTnEER50Df$S&V30pSY zNH7wk#)3CN#xh&=Gr$YK`+mlw+z!OQLA73N{*=cG1YUXu26|v z@}~y0%b>3M*-mf{6+5mmA4V5gI~^BNWc8~L-8e`^Y+?C$FzMWeu+w6w;7wKPHzG~3 zUSE$0SZ?9^UsHY%l`7))3vxsSv0(Fp2!&Nb>&Q~?KZMqeZ;^?HE~MY=fu!{m@6Vy` z|EbxA6-Yw@YPJ!8n(cFfQ|rHKws>jX!ri0^SZ;Z9ED_(tiD+v1Eu%2u(m{P81}JWW zQm62EDc`9aVM<(~{pLnTwQ|MtzYR6WoyOi|B~R?+=laUf`RmN*?Kz;fP{adjww&sy zk=7em*g}{KgF6#)x%h9nd0Zz43jPp(YqpdpUx`?9y~rqfE5aaHB1U3Az|Afb_Wdn| z2Cg+}MIh}lgyE;8iL)jZR)&cf{0A)tU1?bFdELK2xY4^feMQk%mr5yew0Qpe~);l0`KGqmtpIo#H9d-blr!fQFt)8f{fA1x=H6 zm#{OhLQ%i%Mx|7^hrbUA5YuvSUyyl7MU#=z%T_I*VdsVLV0mZ_j}x+xlD9?Yxy2=E zbgV7z)b@UVLL#D+SF=jlA6F`7>3N6I?RC;F2~d4jjsZmm~Lsskemsidk=Qr_99n~27#mF*c9N=AYH;s~t5);%tqoZDYpHX#v} zI;U79g(mykvi<#EEnBgFwQO~}0J}d5#4vxbVR*#+)3S|T*8B)Y&?410FWjoakh#m+ zPST`7W#;Bxux#tb^J{=O`m@ptnrn>X&%oq^F*_vPVCcuoE5xAe=0A|_PdsWehTd%n z4vlO?{)67|n}-%9C&%EFTY7;S@!Gmi3s`-q-sX^@ok+->%({(~s#& z$5Ks7rBs9SEE(K%>9W5cT$4s@v-7NojX$uC#rd4o2k}1mBy(e>7i8JjoVRVwyjnsy zDCcOeIe5D_XaaQ^_B9^E$#5d=H=>zbmZW+DW5Y>iGm?r$6(Q7)Cn$uG|6>bHm!lz+XzTS%M!{K%ORQoTvQLKbX3W!GW1lG$z zET;h-U(u9^FbZ6BTwhE@GB)6pG2oQbv|I{rImE;w6VVLCBd`kCFfjn84QCR?k&erZ zj_as1FfkS;hQDA3igeNer=W?4KCgb-DMQ}4?{@kf5<_%#$_h=X(0>h3+GM$WN${K@b_*rAECEyhREIRAr9|?X2QsS> z4W7!_lIzusFpF78VYl{UY(yES|Lgjr9WQG0nA8Glxw&yKEW$-KsxC~fPs z15|8nn*kMDjz3D>-#k_BpH)v1wS3pAbP!daX^p*VQqDHe*}lBHKrSZSX{XqE=c>!( z)cN~3`5!CoIU?=5{i+AM&7a@TpVc?I08HDbO;69d?`D^>{W7Ne`{!2lzwhuK9vGLw z>|K5L)V*^Swpr)&alRehAFm^yjKAEiK2tk7KCkquIPWf(mcq_ADn9?kwC#Z-fO!}9 zQ>tmTk${a@6Ocq)0Xu+nR-k7z9`3(NEXo_sPB`C5YM>PPVcRajH~xfH$}1TTwrW8AVnSCwK7P})jA z?3SeEIt7<3y!Z!a=dD;R(Ppaj=k&J){a!(2DI9FU-5X1Bfd3_~ynLvBpz&u=<#5fcjlQiX-T%8w>g+LBF-8*R1!S zqZ5EnY%o${hJW7H?Zw+GI7xdOLu{W26nG-TKwDTeL_lMQxJz8kv1x7>Jd3WpetkpS zw1!0A!8-5|+nSo>I{^ZYwo&gJX zKMmEltN!h%=WEmLs*P2;5odR(qxnI7ucC6yb|2~wIv;~BaUeJF(Ns~=IL$~(wrVor zL!6duQe%;Bbz|7W^THM@KjbWtuv0eQs%&1#_5S(q`6K+eG=sR~;QHdjm5jTSqPyi5Ui# z29?8Q?OYNG7T-b-3gf^555fA4`IEg;fT6@Vicw2s!H!@*!IXUfoP$6ae_}MAiw>+!QG|nKm}ze-z0zM z4+^-Tm{BVY%-KPC#NB{sh$yjKpat+nua}LOccMi;wxMT?7)-Vecn>M~gPj#vwWrLC zM*L5}$3^*UAbK{;A&8!$-~&i-kPHR1{TuX}iTO=QABZ#zy+XiFlR z5T8Q^ydp9EZKOydLI;O=Y^1zeXONCd7mj#B%JRuw1$Thau9QHAgw8g^C;^zQY27tB2cqe<=QTU*rW)T;WySKN-G88e@b z23Jn68U<8zGi^%tibGlXOrRQyq10MM;8r6*mW<%ae{FORf`||BR5rZ~--nFju7=!i z13z>icCiNP(HWB70;yhDU<`YIp@i^^;avSTv`1@STHhW2L+C)@({oVzp~K@B#jn}6 zMOW$H{gC}_st}I(gzY&R#|qZhyDUQYQc7NvE{n}hQ!Q+swYxB8$=r~>SrvsiEH1w~ zTKayz%dHXP@;oN}*-F`K=*O|+&@RscP0wl>YI3PRku!sQv(}dB3>2TG3}qaHyV^El ztIlzDlMbutFqa63@j7YwkfK@CB_#{QM`CMhCn_$Z^U@Rf{cyI7T6&!P-ho_r7WC6W zt4J{(1v}CMS1C(8DWx(sA4@nWk@A{!`gqLfqT@iXTPhGAM!Bw26hFYOB+f#P1sibwdMsqJMrQw?6QlCci zEa0SKbeYbU5vJY4EcS}$XbBGlIJTY5t_=?4EO*UR%%PrijzzXnYbLEIp4io5$(9MS zS(bHiTnkC5T+GGQqGPNM2sGzhEN-2w2t0=k!H_q7_qBh7%}tF=cc%zMpoH@E5L`M%r^t<{39vAeehOL#vSNhwZt39P?(~-aLQrZ4>7(2kq+bOS;JXg{9`-c6gf} z!Yw0@{_B&;-E$4P)h%lQr&sq>T#pxeC84>&kzSi5G{kr z9IR%Ej`9blI;g6}@r;he_;++sWu%Is6n$gk^tsq&?=Qu&Y;uY&%K7EDG% zE|3>CO!u3D0mA1SP^6Eih}3jRzVE{Cpq4W{^41*1i`Zs6-#EaBi)rC7mIL~s{s?<3 zOSPXsNt$Gf<{R^|K@2<++>hSQ(k`)MR_%GTI`Z`vIdVOf_WvyLu=qK&@HwhpnlCV} zUBG9wyp%B8L?Qkdiw<_@)f5VU;tT5t*FijZ*P@Q%=0sagQ>+65f|^v`5oR5?!dpON_C&6#U~f0kN+8= zh0o(Pg!`&ajIL@Z&w#ILXbSx+f8KDJ9*X@XoX`hn!lIT$8m*3_1(jIZ*T^tvJ`A$@ zD6^D7V>riF-29ZdEt2;KZazw2L*z^*V&xgNFSdF_sNSuc60NImu3Eba-L_~}-8COh zkL>~2XS7Zn9TqP>m~WKQ>Jt(Of|LUENa~?Y=EJ$QiK!}H;ls`+8N(P30vW2Ez2>+b zS+xH0(tyelC4=s2CO;H4l(~+LO|+rjKu&R&wZWf-a>O|a29q}zNKAaG*KQaN_7z%8 z-(dFmx0~$^#EtjMt1XV_0zHm%*BlNGY}2{Y7EJrV@d`nEwG=C+(n3nUvVqc2p$9&r z4c5R3>|CYf8F5J~l(bwac0aDMZZXoy%+S+cvnV4`L_NO6{V&dB^0i{<0t z9?fnW@2q~b%a)lK(0)!u5vg1^R_4#W@TRf{(L7}6N29Z@)KrAas%48Lw^ghlkwEY( z*Tq$jBVpN+qni1K#ysS%Z|>T>z%M_3E#DVvO&iCr#OZ^VfbAw3Vb#Ig@dGsA%--8Q z6%q)snPe&225h% zy`eAx!sF9SGk!T)EwkrKYRKC7E-A&u*|85e%hr-7EIYYnXf-6Qvu8fB9qQk31un1E zU8*++Yo=gzKIFd~!Ukq$Z|+~NXGr&LGq0+=NNM1{RVd=bG`qiq;mZD~)kI&Y>t4@d ztGgWD@g_&yMiQClm%Zn~qiAty)t&o8NI>c$vFF1lhO=qCXO43~^I1%}%cLZl^zCN{)Xi0U*M ztKMOa;vLlmQVD~@93PK)&|hmO-p(U+-Y^q9KR&@RdvA0PYo@}WLQjf}I|7gbw{JRV zL>2~Dr5iJZe#fg3^-%7!OgqK5cGcQJMsEp;JBdp|OQ`ZflqkG@h49>ggLv;T^r&~gd>D+^*2i*r#p&gS6-MdJo`GfWyO{$Qxq!UU zUi^NsF5_=OaD!r9hf4#kvhacVm;yDr;6nhs4~vrT7_YSS#w>|&H1oY6!1>CUjpe9oj~3|F3zU<_`vAE01}5N3>t|lg#@~eKZ1O1Y`bJxZ`4lu ziF#BVwxgq6W8z1R!`bex8T>ZX+*+5zG`0Cdh}|X9&nSUAEtWxGGJdd_oZLE$)yq;s z-u{~l1>deXU5h#X-rnFeV!W=lUOQcG^On#EI)fI(1a0$KB=KVe;z24t{gCX=F{jaS zQ2hxcgmmzgWOW2N=Bg1Uc$5GqIf{y~i$Y06>u8RF##VD??|#~G!YNNcpn3N0v5%z* zPe(K6{+C^Svaw{BjU{)u}(~|vfC9zSJID&&c!xUknTU-C&>AUR;r7G5sr}lkH ztRsSV!dcO%tkj5jOgcofWEqAU`_S@t>JhNl?kt8z247QSgY!n z!(r_n-MVyEDbd3NuTB}N#dd{C`A%$>;8mkcXK3Oe(p10Us4(01 zLNHhaz0lJjyx@Gp3s$!LsLkd+sbxf@P`2K?5+Z`?%Rz?f464NH0BEh=svoh8oe|!urN)DplOT)FBb{Cv1+Zv1Sn?r%l174K zn*NOLfT!G&*{MT8Sy}7jO79}_zNDWxwR?U4Stv5z$#DB|H2)A50R!qC%ke#PRziygJPOZwsoxwN@$TwljPTv<;L|pGp z-``V9O2;oW4JNgldYa_Kjkx5;SBXCXb|4pl1Yw#M| zLDbaxSvGEpdn$n_t}7C9`~tt5Z&SaWbwGNvVs4Ex%VfD?a$4mF4+ukBI?TI2L{^nnv#guSyfQ7j7^iEWyaq-xIMqKmypMIBt}@T2)O3M1aO^EaSp_HdHpdqqW~*XMyScHg zn0l19xFc{6e>63?18{x(aVbP}T#9G=&h(7zfo;woe+`AmR(jn$sAfvc2XWjy>M|4^~KBFl{?mQesO8Uh;OtRQl~)x-(6B+WrDq4gh(@a z1t0`E1aX2xh^jnfP5V1c69NqY)A|+&evQ2(<$QU7rk~_l^JFtQf)gpHbXF|D@>S2R zv!&tX4eGkFo2l(lMusC%z?~aSR^wY+Fk|njc(}0RggZwv58DMY*TIKTnOGIue}wGT zOyr|HeYF>*5Sx0-dh82(RfSs3BMKE&73psBn{XmZp`qW_pg;G`MZ|JcnO>MHLVz~0 zY`F_j3FGM;iwW1XsfvH-aE|wX#}uKTZeb@G@7X8V3&Ochs6pL@UFe?Z$UDcBa z^IA+}xK}&rAmM5fjO)dHxEb}bq5SHDjrK4K@@8gnbh)EwtevimrsNhSF)U2QIwjvs zX}Z!pXOeh=J3k?S&l#-MYfSyKa10(>uAh#S#XIBG)ur-n)jg{xxZ4baz{s=@k6~`N z#7&!w0M?t8;Z;qh+mv1jwgZ`g3;D|Ds8fcHN8<0*+v$-`<3r)do@Li)$)!zn zH$-l-nqrV~7rl95+Qj~_Uvr7cBz5jDb z5g_=6xFhdqT;$KXR24T*OriY;-2e!G=63DBjfwn?LHy5Ah5s$1!ou-?%cv;*vk{P) z34C6-4hR?m2!9_m=)buxAb{~-*ZnUl{vU`PAhz4N05T-bfXvB%=oSAzi>LfMg97j= z*#6<_|D9F&-?R!A)_RFH3T{ zj%IDVx|^8k*cJd3Sl=(-tlmpgXnor?^tXT4xVIoapB+v#tQ=cC{nEUt9EB{1D*n#B zg{i-|@Aj0~Uh?mx%EQX;+-n?2cp<1K!uLmD0g}c_hlO&H#E5{Io)mYC{ zXCapv67cv}s0hF+AYqkqa6N4yHGIRPT^VFGXL?5>CNkU{N-{Bl@PE{kP!QG zP%?Def@-uL?g7A@YF-3{>y15|sd-ciB2<{VuLUjBLImWxj55omnVkBRXxUhV{fxm- zXy8g^K8~1@#8}vX;RC6#>>gl|B^5y0>EpokK=$OEFR68xPDooGSnZPuHpUhQYd2*U zswpt{jtV=YL2^(dgC)yO`3`E4@KA`vYzIU)Z0WCQ%Ow^Fgb^z`=#;Cx=_(E}@&H!0 zj4qSV$0cfZ{3WcnXVm=-8ba08QImtC4b33poe>QgBw=D@|i05%GK z18fwuc0woN%@zl26oi(0*RXpn`nypu6tGbcJ?cX$U&4Rh+3@d1!C&>`3NFLt9ecqo z1`_vk9l@VAgo)@GcrGX&;bIB9DdJ~rVqz8n2w*u!-(P~vTgg_K0YnA#U!tOm&ftI_ zXw)$=x%|+@gh3g5MlTn(aZ?7GtqNn0PjSa^9G}yDio)FV0o}7i$S4>lKZt`Yhcax* zLO8ilxRg!Raudm6Z^-o@4ttrw^CLLMk6e}t_cOhcFm zxE4whLJ|7z-$aFrl_M__Agf|wm>W5wkrIQOKYi;c;FKE55EpaGrIz+*RDBe%QP9Mt z4244J??%Di2Hjow0B!QV5rcVpj!S%s3=GbMJURj2M84l!nOydp&Pn&af!zd#gih~kz;G&3KLGw9}75X zfAm40{bS02bw?kh0ix|x=;!?fkrQ@95Z5L%R+QY4PG5FPya6%Hnl-|YUS(bY2w&ZN zkJrdDCiAR|I*QYoP(v<{L%ObbKAgH-zPDI zJ+yKeimm11bTUE5WL%{KYyAWHjDNO}4dxODfL$b=gQM2dJ}OaVysDMjl5&_d$mM5c z9#we#<={8e1a+u%4+k|ElN?(Whr=;tN-{NCM>1UUwAM~qzCmp2Sto1SUuq;iM2#0TSAS%orVX44G!&nS)(YM@WV6!PvHsRDf($RVE{aZ;YGfQiwP~>n+?#hDMg{734GGBtr!k+ zHx?DVM@sV@6iQ+Mp(w6Kvh<}XyO06`*!DcYr*IdZ-+bo;A+5nNJ{wtElbMGKPzAMY z3S6r|WZjd_`cI#t)qt4hQ}+FCDCfAMEPe^iun&8{mBcJz5r(YxQpM zrdkhR`j4X>|M(O?s#m+!V>>nw&!-f+JnFogHmW!|H)tR2JfCVe_&3X*CmI^UYRZyt*7tw>K`t3-Q8XK*LH+gOB1Q)HK!q9zmQ*6WhNxa z`gYUae=0o-IiPr)gR@)S*T9ya-*52xD%x8B#XK|lwCHMJ`N)Iv3yec#kUx!lEFoi+ zmHgGLeDR~-*B2Ee-+Q|nb@aO+zh?s%vP@DGtN4*Vw$c4OAi#TpOOqu`DjA9* zFc>J=sM1hoH0T)u5xchDe<_gJtAvt%K7dx8SLJg* z9IK_p`upBY1lMU`z{fPV2E2 zmqf;fELh0fqjVhFi_QL=lR>AWS~t8Eg|Q~%Q?kP&Lu0a=d+O}=mnU%I} z+qP}nwr!)*wq0pgR{CW1_xJ8TJ-YYaH|OeHu85d1=ZXvo{l-aD*>`Nn2*dq(+e8yKRb@tugpT7pxsgPg*~<{{<_{;9Z*WpxSQvu+I4WD1IntNw-;;2$MR){XjoK}K{ zW*}=~kC2ADow%vJKnT)1yZn}Ahno8J>@Sru-5L@Kd5@IDIN?&=H~I1(OFwpnMGJM5 zpHQIc#y*OSS+lMLBz>fV?=`6nZoE88zJCe1ZB+;hDk)Llh%dn{y9|e8-I&dLli!~X z)Vx#P^Y^V^i(l)}^1%0H_sgZ9Q-N(|Cy9M^jj%zyem=FHDSn9DdH`Z!$+uD8M0E2oxpRm(>J<$il&Aj)w4}wnC=B$%S*lr)@ANJ5wDCj`snX235P)Ko z&R9OomsQhiIgcaMQ64i>n{U0>aUA{C>Pzn(xMUqj!&*hIgzAZI zDHolYrES$X9MFB7Qi2pFS;p{+<&(Uq*}Yre-2f1?yKshAoEuv~p4PiA$*i7q$hLvy zyxU~lKsVPx#Dc8j2UB1q(mTHObI7fN3;5x13seHWaVMjU6p_ZRWn-s@=B)uJM zvGN5?4$s>p^a(D-nke_D6`R>tCl_>eMYy^WzP={mS!wNwicuuYI}P!yq0g+cMbP|I z1;5n0pK+&$%kMXMt%K!O*g_R~YTaI>)9CK4$~fO2O>jmOGcB0we#2e=dLqT)?C>u&xO4DcI95wCa=X23u?CsDuQ!I6d?~Zs zcM2y|m{-r=u?dG96ztTI_k0D3dPYI5X?2gic-Z@vet^vgiJ;mW&_J;SYllMkv8W-lcX;K%)(Nc(eN$U!LY#gU2o8FsBVV&M8CTvVnF<*|O8BhoD z0#E&AxPTN^reTi<#hkI7BgHSdPi6S;#GnXo0@c9vMFEES=RC|tlJ#Yf>fQ=K& z0mh*!)RkeTLlKCx(&o(-I1pqO8>!#?P2$gyAJVT^z8XMnXsDJ|re72Z#FcZBJkj-v zw4-^QRU_{)uo}*W<8tSdUPgv}3h5lTUce9B4{RaiuVR+9SD+%+j7 z+U$cM`9hnyI>sh~KlCiR;cwD8c0=_b&IGu=f!YPCcZOtrIXq7#a9|t!BwY9ji5Ol} zqHykMX?NgpdzZN9{hWm=OLtF|8mT&2kt!KxFmT>pq|x{`41X3A`*nY&eh+Mlpd7Q? z)iM}+$hd6}R=Y`8?Ub?prrP=D?&{lCWsp8XR{>2u#Ug)eU2oa=P^Cw(N_nGKcibfR zBkMrQc(UoPBDL&tr!2Fd@P*WL?bDl;-3%iVZeC>;3dj|oK zMkBuX5U);9GmS`W0x56*D&JK4G0To$qlMRy4H?1V~XvXSBGbv>`V5idl+AS_a-m%#{vuz6qp8aft(lV7R3y> zQ;=6LwM?mfDKFEEsOj^;YB(~{1RMFiK-!phJ=G~&p=_%d1Yow2q`6XdJZSd_7bEh(@jRJl#g5{d7!Bfp}O3O{M00KP;t}|V<}r|<$g%pP1?O0 z-CDY8ic65DZkZzk-DuA_2RU2xEO&Qg@*M|J-wKth2w@~4l0A4BH8K6=@pHN=C~@1?5S$GkS#m+B!S zG;UG^{zslrx4Bt6eN+`|}8{e6XGlTMoIF zAMSkI)h->N)auZJh*kRbr7bj~7%hWTU5ZBN_PvPyjsvB^V}*14gHU@l+2)<_Gh;t+0idsy}Dl>>3&|bMJxRPz;dnv+`L< z^JKI^ipiXW{8Odioj9Leg;r}j5l!q#*uTC6=-8L4nEAdp|D3XfR|5jQ`l_hQJZX&~ z@jR_dFKR~}OqbKIRIF$;u_|)G@se3SiPvsUHuf@FjIbH;^V55l1_nk*7ME1L!Xr5_ zV%UD@e0#Er6o6ne`6y~)K1$^3%1q?y!^`2v#w=73gX`}2WQm({7eQYP%rU(?aK90j z-9R2R8cx_J%8#`c(~C>}_6=UUGW%V!y-|H!FWeB>u@W{fT;h9`C<#r%8$jozqWF87 z0Q4`8FBZx8y_JXjL9)e?u!eDlcY@aMBIvYk#IdVXRojz%Khw5=&W=mEQ1!VnZQC3Sd&bv$+Q1zS<6ZBgB_|HJw35;NjD-#gIpT{r6I`<^ zpo6G_El*^zl{jV;7kW!@VO$g@MVep5Q_3~e8qVOI_%2v$jABw&fRi!}xM#!m)<>S` zjq_YS<7a3ebg^iIIaz$j<1LvVlhu<8O9OeO^pSy{J5R*s@&3%aY8{*@+s- z-+KgVd)c-0bxR&3qSg8qsVc*FWAm5n8+cA=#`g1)MBi+3L2&Mq!(Wp9R)EK#Db^`> zkB>t8p+g2YS4s%36+p0cB*~eSw6K)fmtSMgVbKw+MHk_q%vs?jBCQ0^fd#i+f2>}( zIqk61bBSp>c4U$a`JC&MsK>7CPQwQE-|XnlD6tpi7(O4L(nMLHp32(p#9AF^_m9e= zOVd$)tqs5Ml;Dqn;6J_Trg0}We?DtpD7SGfYdMO2#6m-<`hK!@skKkms4?r+JQ(?Q z_U`3DyWGV(gxFg~r=%P2dPs;`AmeR_97k1g#+edNqJuUU1W6rlzE`{!HM8{_ZRhj} z2`6yZ#?9_YdmJXjEo(1^kvpA?zBk9o-dh+p+aPh1>rWiLQUy|&)ZTs_yKUv9Z1qi( zx(D}6#DI-%dQ9|#x{=H_9vP)}0fnI=N>A<{4%N7J+T+4SOs!|* zR(;M^J{D2X(xKBqhxVUr@V&fY#)Z2@CXWv~SoizotflE?)P!~7XM0E6LSc%tXnEzCc6a> zrJ7;om*MA0PU1T%m*YhbAleIxYl2hs#3Q{#+3C93Rm}9+WD)yo@05whNgnvnY%ToN zmxsz{T%L>U_Gy}z%aMjmUhXVjE|2Gv*Ts_8&o?ppSh+l^DU%=Xw?~w^pPY*-+ibP9 zXF{cOoh}+?nTSzL(iDNLUPEuQe-KZIhYSd5sZ*ba4;E$h5v(uX9_5uJ+b2YOZ$Rz3<> zn#ei6)-m~1&D2oD?L^Gmq=|YRTL*Z%2TBBFESCfq^>xe%h730=o8Tdy@0ZWIOTv57 zt)k?4a=9&w%zjdA@p?@s0BpQ+P{nDAGw^y@Q-gKP&M zZ9*5fxk>{OI(kuOuQLyWEe-FzjXFgaMRpCRx-IO;j$%mj#@_vuC3gJ{e{BHq3Wik@ zYa33$N+^ery8|7(B4qFbAlUlUmmqqwvOwl!d;VK-aMP0eM~=I&qPkDhf>YYpFEj)xy5Do_pE(hzn`^}1?}J`JGl5yL57)rl~%H* zjNB5qIT=nE2AS$z6pR$T;uW=i)VI`V;&0eFujxzzV16YzS-zdvLcaNjg zy;ra}g{tlZHD&tO2}+gNS)lg3G6db;M~2lrhewWm2lcl}^S-HX5#SzkpWXe3|L4CirqwM^-zB)7Lm=-ZtRhSxKqwkA~6L#hLpEY9!4wyw#;ayI6T<3Vii z1;d?&;mi%c7l;8>a^E)CXmvP~j*WS%Uu>8=j4RV=-t==t6=p4@u!ZKF%dn-M0}!&z zrL2hUY6;`d8_S&uKwYNZvIDkESNY^iI%Eo47TC-rIUk!BJvX&d)&dw_R9iZjzORAJ z8s+$^B?Awzzf`2Ov>)84F`JV_6M8U14hj|`tP4d1BrUpn6lifr4ghtau_R8b>#+9H z%_au%E66DP*@WZ#QSZcEX_K`1BRte`XE8Wn_ruATxo=OT#K>G+TU~LXQ{2KEh1SgC zP{3V<-x%$T{6i118ZiFEt+g>Gt!@GKeSoS%)^35lTZtO`q-&W*Yx23pd)R1Q_Vzx( z=IS}*u@Rq;90 zQ~blgPQxSF^c85}gO)(O&_>^M4A~L&QDw)hK1N}mC;GJ%s$y6+YCNkc_7i6H+{SL~ z7yHTum`G89L^-agd7MN~F3F2g!XA84zm+lH8C{%m%_bLoHWM1p8)pop(5mi9TOB;6 z*z-8Lk#wSMRQ^yo;bMN9+#rbwgCq(Sj2SUaNNMC~ic3J8Ga9wGN4P#G0a5yCzLr)U z5R14mDSSw;_Rbpsbav%Rv!{7EPKYb4MJ`BmYsE2mGH#@n$jFTLcH_Ey+f_Chu~SU? zrZW2Tjzy7>(Pm;QR-jv6^VTn05l_)14{K)bGLuKa*llTiuOW4~?LmRs7ZB5DXw#}1N<&l(Nx*&^mCZ=(s7Pvdc8Cc$My_9hwvay zdMHUH;G&3H>ulkL?Brh9TixAIC_!_afjTpV=P_B<(Fl}?nUdXyL%FuNFSw!{0G7B} zn%lE-w#g997~?Y;cn(iU8s8H(b$mS&bK%C4&6tQFmdDrbUj;Oao0f7GXEcvM{V zVo*cA#k4^BE}Lzst$-09Rz*}?Rgus}PkaU|GIYr7i`k^R^RZ7Kw70$+d;Fx43`09m z$obH`CL8gp>E>qa+*=$z-26Gb22vdevF>obuW`CpLB7~na6P(Fiytwo>VkvXoPnDk(D0eY+hAP7zs-Td{IOnO6Xnqz(1TeRA8~+1qJ0pFmHi{>vyI1Xr9HB|n&|pz-<4r1<-F|B~`TJz{_vtbfy;hi9Ob6`1tc zaQOO~fdQ()rKMKpW(|{!z$Is#H-RJr$+ex5x@NxTTgv@i`s8aG#yAjy^<|r%ezdlT38Dz<0B<3 zi_(aQp7s3^vjCuwe7NwD-dBvK_9UM+pfg#H=r97nXCoCz)bt4FQslJCWqsRY&$c5O z;WszEXxAVnN9p_N1*6Um5DY+20>$=!%dGwe{`}9n=fChS=Ko^^k?OyA7x=$L5P|*^ zK_pBM%K6_;{+|kw{=<0v6C(7VjMu+z`2U&l`oD-E`sQD3|GA#%Zz0kD75HNR--Hvf zGW>nL|L3>Fzk@Gk&i?|wr0l-ISMP(`V}etV9?j2QS*Gh-ZT>R;oIWd*P&{MKKT}R zzI||T@EPTKp_JCBFrGq(lBW`0LM>5J%x#xl;Gvf1xA}GFP=xlwT6HxGyhZ(fGi&+E zwQa-h#_jy&Au28H`;1ZJmgX<_BCE{4j-)>~V15(1G7r3Vt{(}_XZdJxci}7Ur}+#~ z)-bA3xae9xxRb`{7ww1TPkDyw>~0M+0&U292F~VS3vT5^_W?=rj_8pE9)7S zf$u(|hFr)S2^Kjkp~!UT##~t9q2i#FAP&U1zNbsm-^?F51(c9AnWn2&miZMP#oyY? z^;>&Y>WmJil1&YngWp3iYXGD2 zB%OV#W~jjrTW{>d;lDr);+N<8zOGZcv3a)x3tN-0x+r3iWyU1>Z!FPhvsQpeK5I-{ zx@p7#I2hXH7?2#%h3G44E1ko^qGvvBb1-*mLP(L8Dew`O=h&=!cr6T|Sx6KAf<*xq zKXMs)N4Nv9KBFw~l;sc|5=g!KIZ-NZ&U-DbAWj*%y~-FyoW^YI3^LqWA!%=%My~!7 zB6*=ShhfhZC%DC9k@v-mggBb1Ij;s3GNZro56&;l3CTgvEHWTWACUM@3xXN|3vhxf zJwS`Nb#i~>7P0F-K$82v*bCv`u@_f=L$T5~_G;PvQZqjzNM^ke;_TU&b26+TNbwvz3@DtERt1M< zaA6qd8+)CQ)HAfz<^nh~roi?}gKQ|^FQI>9FUYy%kq(rFq=gS~RSf?mDR+atBBHIYja12;|NrxCsdvK-PS`XnZSrs5DCASNt6$%YCr!`m%~O& z<-DxA5jmq_qL#w|J=&blGpj!L>CiZY3_J&f4N;D1t5G}$3>uy`9&l_?n$+(WBJD^r z$T@ybzH5GI&J-|t6@Xw&Mzu0?F`+}+q}wZiZqAvSbndYQ;WPjMUgf&Ig2FxyId^_N}R;NO&-Dx8u)1(i@Ifj329nL z;x%mx?`}!X&N8gxh8LtP+qmGf3eX6qb>C8aDjLVO)OlCZGSSx3W94&|bDT+JB;KN3 z-ao8JjKw&W5Y>Gaa(}EUN|2ev=o7ujgV!)=4d|3e|s z88Fe(nz@l@=U#H}Ub`4*+29mjSfEj8pwV}5(M$Q>8Z#7}Sumt%P+R0YlFEvNXp;p6 z$BxHW`JTQ|HVq`BH42~Q5j5?7*-OXCzr0t@cQ?Ve_o`<&##ulWxUb&_bnb9FwTkWp zOx>7fQ8>(>M7H(Jw0|}C;o46p+)vLmzvq7oPQoX}(SbvX;&v?Dh#};l=yc4DPN(zTH z2p?fgXtbc2;DaT-SkHcn>gdipy12Y7PL=OQd?-w#?IuyR<;?^Tu2#XMcv!ZHM|_d; zy0D(B)Lkio5tU4PNG^_4QQ1_u<4|8U@53NBaxT|<=;l4cP!7rLg{n%Dmceh;y-*i)@*+0Bt0HqqWZ>F z^JTudIy9uwd+N&xsZ!-V-5CnG!=!eR5=p#hf)l0Eo3{LoW%?e${xV-4mSP%lt~r^xI&mC02lsVa!o; zdh!uM`eBFtT}ZTTKDA!&3~Qiy1fqBRQ+JonY`3>pxq3G$?Blm-%TATqEE@gqx8>K5 z6U`nS?X8>VXSe@#fFEyMl2u>C?BoMwVrgNUaY>E$ZEJsh-~5b=!*2O={ps81AssIyg+qW$DN1wX{Hj=mKo)IlAega0A5gw7`Ht>MNUJaJz!igT%xA(fj?JHLk z_CJKHykUpdyW4z;%wC2hQ|5)AsbwP?Vcju;y>{_*T$RRR0}dmB#hLB3 zbC44m1nUxd;eZi0tZ43q>xI*6VW_s2<0Qsm=Zc+8bf3XhbYj&=4t#^h+2+J6d!^>) zxbevSAsBl=*e0{q@cqY++8QA>6MM!Xs?4B+u2gdkA1mb8274&^sz_yT65?4nQGlvX zJaOHp$dcfr$&l7~^S13GwNjcI>K&%D+c{)1xFkp9E;2ep+~MRXo&4^$W+SSLG`WG2AZ}e``h~XD0VeTu{?X;6>+v3#i$4>*#(f{XwpqQo?6)Av?SAr!(t3lRp7l z@nki>>p{IFLJLA$#!?}5q~)+ias9m%Md2RuIVbqygr0tMt4Y~yj$(aKYlr%in*TWg zy)KlQ8#Z^c83qd+jN(rfQAU6`GLkYR6tAGV6Ul#OY^Tsr(UC06XK3 zgC$=gGsrw#a91B(;gxUMK*0V;Dl~+S+M59FrX1b|?wxhVYXLctl-nEf8Y%&;=XxL7 zOoq;Y$b6X~B5DcNzAInSq}wC}3g_rU{#~(WITA3fGZZG*Z;Eg(qvMcue^$5FirNaN zm1-^0inj!8h2%u5r^3OVPvzBc%01<(Jes@zqI8b{$HwBFYkX+G*Sp`+&(<$Pc<*SUDi`M&^Jj4p@^m-LLdU??Z zA(m=*mEUs}iDnCRpH%IRHz4`m&Zd<6V2_+RCy$@dSOKhBz&!d;C%e}qv5)C=3xuoM z=9;;>tGPi{&ebLpj*P|&kZ!q0pE19_@Chs$Bwd8p1oV=bl+Jx{!8qkKYbmD&qN0W< zGpSysM^S)IdfhS!yFV`jGpMa{{|KUdOGM8tF?W(j&b;%uz1C*^i~&=ihAgq-DB`$& zlW>zKEBLvws!%lv6FNxoj2xsy4Vilx-V1M#0mGEzQP~?g?~#ucsh+B=j%18L5_XPe zS!_PxZ=!=C5P$fU&4S&%g0e1@HfMOPzSNn=*TjpvH47;V=54HrS*JH2~ zU87SwrA%ypXz1K(=ZkRZml`%w#|Z1U2LipJw9TUkM4rh0GgpZBgqeaHZZ0^ADAQJu z{p3W>{_X5l;epKnTbi>&(qmoQC^N%akPBTO{uzMAJOPIjV4BY=E0mlKV;;jFLAktA zzMNe5E*RH~3%!wfXiOc{X{NY%)QedMY#vkz5RTPl!&)!5uo1>5LDNq*6mhR~8v1Gj zac7vs3`T&VvlA8;_xjG3wwnW7f|b zoAAhr6+0o<9jc&s`k2k-4u)^Bu7&aa%N9(>y z;wl@Q?nle*6d*)1ZBvJH0{$GZ?RdbbHc2fub0w-4GG=KsDI`;H*v`8@+pFUke7N6U zsTa}sG5xEDKQ97`PEsJqq<)}JiV@b;fVRG@?K%R?bA_gA>gToUo0_KgWYaiUC%BZ6 zX+4FcBz-IK66|=Um|LVhsuo-s2@6x`fRb&q#Y_wU=4Cxc?L^HP-@ES9H^iwsdEj^0x%B|;}s!ipnI{p}k zjd^#&l`lI@<=RZ*H+e>cSY^X77e5;%Gr8+8Tdv96b0d!9BW)KHsRlI7%(iWF6d9cA z{e6b~HqHQ`YUMqS=Ac^)q+l~m1!?DYcXXh2%S07Wbf~wYcsX_g=WJ{Yun}G0a|5~L zx~swaA|PM2vZ5unHqr*d$L?4Cq#0GT6S+dbSUq`z4-JY8MIvQ@v)gO$iX9)oBdxkq z+MIu!(DY-e+B_ja*`k2XPK}0Whq2V%M+&`i9v6_67k>%BURm?%9!zwT~JyA9r4iobn_ttwWH9XZj1x!9or=YOZ7hWw*zmF!FzO#iTCBDpZ3 zE$h~UllSxSf|v>n9q!{xu~Ch(_>5$lhbfiH9loP5LtB2Y+;&#+dRHK=f>c+^BGdke zz(_ZL?EU3st%3G1a5=uHlt}`}Q)N4&JDrj%e6+2&RisV^f57 z!r!6wZNns`ttf!35TqEUS~5boe0K>R{Hl%97fYJwG0t z(&f$)p)+`Pt^DT$gaqjO$g4m4q>-dIXjOd5T$GRG-j>2Lshv_bI6S|C#XJI?ptq3N z>YtlM+_Yt(=qr70(uxE8j{^}DS zHb_0x5qgZ$b(mdh%vnaH;w_;|$#V-Lp3|~%z%=r@z(nj?7{3LHKOd=WQ+4exvgUl` z_!(EZx&)~J53{2;v%+H)-MWV`hA^rSgGDttJU`b~;SB?N{ER*3ltTa-ql=mj29W;{ zj~;;0qeOFv+#Yr(EAOp0Qeelds^R2CUpiLS5zuB7n}j9|qEL%kyf}zz&LJ37jzHJ5 z6tV*M8td7vfSHY@@_RRf`$fy+7|L&wzWHNyTITY&my)Ny3sAMPOV1!l#f|LC$}q%R zmO#fp^NJKHg;!0)BIymh5z4drPYO%|&IPm~3Kd7xsI6r~ma7R68{LP)eurShW6YLF z$BU|N$ALZtKE^~ycf%kPlECd-pAb8<;G*THgOkl7JD#pRPeI2K_Umfz@?0iaGTx2+Jjp zSn-h_Twp)rk{U(2w4d%kQqnP*l=ml$va0cWD4PJk+4XTyS?av0p8xkTHFj>k$dG$# zUM*R9o=b;ff5Ia%RtO~2WIgJFwe86hL@((X8Vx0}+uD3}gJa8;dQ+?N+-d!_9_+`?XuHMb0Nv1#jJ{+fQRv|~H8 z(HfII%4Hm_vtn;sC)m_AA~T6gv$QmxKo0#-hZsZQz0to)7m!cn6l&2GnxK1ku-63l zd`p#YxBr{VaTi7Pn?Nd%+ra^2rc^I``c(2ecl~yJ({j)wp{F!Xi(YcYB7p)_#V9Lk zn{z4)H{1WU8s#VwNHa8-c;>q^L8>McyqX+O-;BV_Z zZ7E!r0&wH+Zp6^qGOBggo?ruQkn_s+a=e?MLG*pax6Bu}_!o0Dby(7*+MS<|YiFn} z&8JlF@kr_Ob&4PxC7BmV$?OUOR8CNi@xzI!NI92m^X(fq+~K2au2NBB==vylUbDai z35I6}UPz-yyz08KO|E25tinDOLngA*yNoP~_KXs%uqtKz7)V#b}qy;f@-huf{ z7kl>IAEeR7!UpYPi3m)oiA<$d0b0Q)3EWIC=Esl$YV8J!P(tgiWwiIOo{{d;W3 zFJczlf}GS*(-5xN#cVBcQ|4!8+yeZPAFpVgK)PR&^2XCRs9_gCL7xdGc_ko!ZbOkP z$Sv5ozTy|8f33s3kd_X#+O|Iow&Bvx3fFX*xvfzA$(qwjMO&L~E-_9Q_HQt(7xc#& z6QFELs^edrEobX8J0u-Es>V}^xaXFa=3ZasVKl$#*QbNP;|C?+gHS>#`vJbSbAoJa z8{U~d?uTb+H9W_Oj$s=VpO^1xD}xFt z@u%g$6OaS6T`RVuMzdoi3Iy0GDJiCz0Z{)K?9FIUpFY{3e({$Qaap>isuNAQMyJZd zjo@^{0z$(|%=trs$sn1~Ie{!#z;p=x3E>4MD7usA!Iz@3WFMG9f!h#|+^9~~!`t*Z z#WJ-EnQqU^y#mHIWy}jS%9j4>kk%#DPn{EELZr#dbPuFa)WM3p2Opd?X{-`6K?9)Gs6|+ryPMfui)E#1T<#r1Tr}jN|UR93O=%4 zEjMEhISOyyP#@Y^7?OJR@a_pFji)#oacbfT;sFOr#U%oMu0?x~@18olJWJ1BPR&fw zN%SKO=V-a9sO50ERBk?ZcD|7IOyp^U0=I4+8f_$Lqinm$u)K4zwZe1eN?^q1*Q4$I zc${iX-XVoJ`iyX661cNOQ+yGqOor=fqwHcuBi@$-rx zui&GvX~RU2xEO|ClY=`vjP3|9>Yl$TH`NFv;m@9voIj!L!U@OrnCjDz&OyuHw3xB-!~ z=i8Dc**a?$8C$DU<-yQ}r}}W=Gx3KJg553y^~CK8m$y<3wj0ij^KbiU~*b_0978X=8q!1u**wMlwD38Ab2(-V0; zf(v4~(4g{T^Y{~v4=Zc2dF&D8-TowJmHQxaJ&P(nqo)LUvBiJix+5OA$pv{2vqR4W+;A6b)o#R? z@s~$(Ubo5onmgq`B(wC3 zHymFbrNo1iOK#~aQ|fF%MI zwMJ}9Lt*vQhCWP8C7G@>#rXipxj8A^r+wa0BR6OXsW!^?h&Vzz*H zEifud{|vK`JXEX}8YxE!ikA6<>hnGW%~WEBfOF)X9x2(VTRo}DN}QXSpuQXJJH$ZN zd6JO!tg1q-3ByaD1(Q}WcH4u8oFK9bM!o(!H>m=;1>YO%Ju&I#VfDqrg_T8%#d>Ew z#%;)Mllc^VLPF!YE@j; z>nej2B!JU!Tkl`NI+n|eLuN9uVBi&PYWUn3FF0t(iuZ)P?Q&ZVKD;n8y!(YW=l9dU z+u}$(t}70P*p}&DfNT%h60&TG<7AAnEhI>*oexRMkid8sYrkG75f7g-V6%|#zHT|s zQ{pJf;{S9#$}rn&y9^mrI}r^(tY-bt@%H|j^bt6xYC4$34#%+%SPszExDD}`%!u$k zfK_`z(s?>}9U}I_g5E7ajX{7N0#$=s1Y|Gl?AzJ0{^aX(+RJhu56Q2ID9pP761mCs z>w+Xgz3TP^^nGXl{A%H{b`eu;vBa4v!-*VH%_KAy6<7r6KPd83b<3jH1K(h+(Vw?5 z)JD?GY}mu$nU8VA`u>f*b}^+vo_NP_#KzogS0}dvpcdUsJ991!ApYu_H9w&1L9Kzd zNB1=SN)t{~7bL%tae#_H0Vk;3jjlTFm!_<8=(?z5ZITgvkU!A7TUrUc7@1c7AByW= zapt6r_kY@0X4lU2GclPs#2=D-QZVU&&P1S!(USs#dt3E|)`ZO1=|GVxgeCL7`@Rg6 zXOO(}sG@W*9-6LHuP* z%a2YYk!9@XQmm?zh@1yTEtK(kq3Uee)482RsD2^eBP9=%O@kX|6-nP9!V$6QXAjbX zqPYy3v}vEJ>b$C$jj21@rEO_VHas~0BKT?+Qeuo zvWNK1*vUv48Lpqq?Cc#NF+HyW2W+Z1j-tf$8mvWFqWGpmNFiaphS^;0Fg0jG7-T<^ zxsqFa2cI-v<~;88Q++EUaT>IsO@DGOyt`oTf?%^h*D76+w1cZZivP66!c(wy#A2I2 zR6x+JbZEf@J#L~Q$m9PZ2#Ot>ZxxU_VWT*pF`w&)jcU7F#}nVnWfHF$gh@CD0IX;^ zv<1MNZLpR#I)!H(xzo67ZpEUOzo(!?HFe5w%y^fYZQ&_PSHV3ewTB z$$R-LBz5t&zS68j`N1kFlf;I*CUm_rUDZz2B5{O2gQ-mz>RunPu1UruMhvnSMPGN@GUb%z#tV!NJ`Zl5hJK`NA{+V>hi59%n-Mc zd&5NZExQWdL-UkT?qR{Ta}nl415qHzT&JS>8djNr2!c+TfYbB#G3JVO)%GqCFds4B z`xr7pv$H`VfGBJzK)}=wC}i>Kme3g;b;=BVvhPdf<)ug!na0}n9kp6pDac`Kh9^5O z)d7@>XkeSn^V-AR$8jCqG>DEKF)VR}>SFirG2Ipx?gSo*KpC}h;D{~(z56|3h$LY} ze!pjh!4?bxbm4`L1>5VNwIhH%R!nK_Te1X0RW2AVlmq=PsFE19>F`ibm0w`d7GG`q z{-hdbxT-F&0d1z5KDRtvTM->UJ`(X=L0>#nTP=!p(KPGv@+DB8`7=K94*{@^9NnUj zyEB-|j*l`Nd!2Yje~OO{yY5JRV2o+2L+1)i9k|>aX{SxYBvPrk%gfqaty;&5afy^B z$VC+tSCXFdpm?*729Dge-ys<4D=hej5M;6Otv(`DOHfuO*b6AKyedh~cRrTS&@~*b z*-BHcl(8C_7$+a)I}r(3-KMY{Hg@9~IF3JBUSAa=N^l4R2Qwm^Afzw?I4fM_(-!0? zgI7QcS-aH6NWa&gg85g$g{smf4m8s{}O-sIp` zrf|3UYG-hMg|DjsB9u1pf$&>dFVH6vG*be#Ji{_84G<(^ga&0tqyf}ogbD?q%(n$U z>XXo%6B>Yku4hI&8pkl&VT}sRJ{cM@6XG|tk{t;$FbuepI+kXQbHw?_UY1E2krhqx zBwCMDfPdeAvX=lf)&NF`92G-nc^u&abggf8ql#d6)A>0cS~4v0s0>LxF{v94!bK+% z8gxqH$cSBN;6$Ufn%u)GPzg#vASiMTUQr_!J2Rt}QgpB=P~Ov@!C)JW^3%XpGhfYA zz~v`1+-$T-qlmOX)}Kvx39A}5@gN5_YdqhB-6{msAs=6IYzr4%RJ%R+-ig&a8Eql% zj&6g_t_>2av)p5mfs(4}Y4pi+amoa(4oBJAke~Q8R5`hs&`~L8lGJ@%R5SVhSMJ1C z>!iBNnju7nk!!_nMb<^BN>Su!=Hej?ti@>YwW*bY4*WMM*CdvZJ~XL|f?N6hkNrDb z4TnJMA9=5qYLOVB0Y1Hhq%5QN#EDwz)RxX*pjR^1a$kOh$K^-ZHD8P_@RWenl6Cn3`i~ZQed@A$6Gad*XI4Q? z$OEVK0gWQ-&u~JGtnN2GU0&?A~ zx2d66xofi=kA*v$AOItXbdMSF>svJz)+QSL0<#rwL=v$lX4%#bOxcs0K8^O#UIC|k>iEI{$6{LUz=jMEy)(E(lyts~5=FZBMgBJMdi4Q|iQ&Jl z4TIQE)zv?ODaQum&ciPWXmRdg3X5Ck7(_%Nc?`scYGodf#b#NrhZk#n1>a8D-`LQ! zh!(OiIDP#h;j;S;;gV1Ug#TNM#`?ciPyYqNu`vCga?TX~fp9D!|8((18vq%l4`P@y zgfjkP;+!g>@BiC%{HIR9|1fcX7pM52bI<;%&J+6o#Kip*CZ=fOWar{&Wa7lb^F6V( zouiVyfsx7I4JC$u%N+X`%Tr?fx2=CAvneqVu(B}!+cWU-(Tlh{izzu9IR70c_C1V| ziSwU55&PGuf7|+gpy+p^+ux^V(qs4rZH)i45GpJG9l`NW#GA76KlA*v|4jOZaah(f*^p?=P2Q{F^MN_&uq*oS~(O(cgI`Y`%Bt-*5lEN73%@q6rx2 zm7E=2jGX_eEOa7ZVq*H=N2UE;-2YL|aj-G5{=cKrZ0xW*5I<}62I7$BbR7Q35K_FWQAfZxq;NseJ>PK8#&4w@<;p>$g!!7|TunKS6P{+M(dqGA+U?e{ zdp%vQ-;enA?RanPlwTZMK5O*o=xSf~R#>6GrHhMXdFAOS@*VMsp%z`^Y8-f|>Fr+m zy>+Wh;=5 zilQvWrV)pXQ9XLvr6I;=#GQmB$I#*EZfzRkMx$Bk!Ur}5x;_<}V6ZSSA)x&&=cX?| zF3mo!B?zI zoKaHCW4_^B4pQB$zL0!181qWBbcX3YU=lQG6$OmDbMgzpXe4H!k^qQ&D@Tq!T^_fvwJWN}6=a6P+B$h9mw` zWKisH5c8P>MW~nYv(F?7nErLrY&v0_^bCkN*GOh7bXo}b|A)M{j*9Eq){6<{RCfuAo0TgJump=&4(pagGN@+%MY}^0Ce}rKBsSlK|L2E3Q<+)#1QFLKu^RfpB#v!Rq+pAUDnIOa7I3Y^gt4(y-RE2Q%2+ z#+x|vCVI5yS{VeAFYj1ty>xJF z!uDCIOaXX`-{5grgKF)*cu@FJaLj6;6qc^2+UDgug0`4ek>sL^M^rUO`+2K##QsLk z=@RnBho{aeKT;4+OSDExHR-a)uk*CguwhZz)SBf^sQl!4w!@Hn`R?}wr=IHITb1%Rw9I64p%Un_+vN6*qB~$+2~wReEWYS} zpmTIK7j~&+KVrh5(79A7bdG&>$%`20G{G~C;KUZ8gK@_n&%HCO``01(3%b5Z_)(Iw zA(cu2-t6zrIZx6aZq~mtrTqn+8@X1|q8KVyN=t-7=S;D7(o@S1!+OyQtYudma%wrK zLp*ZOyMkHenw4}`=II4y^U+MF8Qh+bWZ%63`f52W8%gabp((sYRY=xwD7zA1AoYrh zV(czNQ?Ntm6Qt$FH9O~i10+NRWN11}DtEA$zX1*)<3u9bGe|_}X;Tx7KohBPA1&tv z1Y$T=YaxdzZx@L$)<$L}?nw}m)oxaC7Pz=-%X|Eg?d(i<($a(<=+ZzO-&xK0&T@#T zWyiH87S%GU_~XE`({ke8xig1sLrI?9GMix|UlK)fFEGe>Ope>gqQm!uw1u`nd zT}747O_9#cu|Y~2PU)0tAzeWXI$&l@Up1Bmf&*9IPGn!bW2~>@_A& zY9rFrN+j`>fu-u#7`elX@TAhxp|(+}r_NuB&|GQ$>UU>5z_%(bgAOTst`P~h29v6q zc^^4!vskg{+) zEx?Q>ye2`S#pW&}5`W9mN(w||9h40w55pz@-un8rc$KXN@wSbm0|QVlR|xHk*{aa% zMw_wRcX(ng zs!rEk0hB&1`pmyB(}H``%cbV9zC{2}OVX?7+)5YRzB484-)tKBKKQgOK%sMqQ0UzC z?$&P!eI_Q?ho=*T|Cr$W5_eoXl@z_aFKjIAY|D-@-aomzUth00H;n1lJ^C~(*^^9z zDediNN$gJN9+p;GJf0snDee2ul>HTJ*}-CF^wI92AKWm}sRJ86I(+4Psb6mtY-{<7 ze;tnE&#`Eg^Z?7?^rk}#*qI5cpnFnE-(+~kS0Eta zz6>p-xi{W->gZj})p!u?yDSSHf-=B>|Cepy|1@go3ycj>Ff7vOj^??Mjfs{ zbwk5$ms(+X4qXM>;@9U_+6>ym=2_Mm9&c%7hiH|ny_Qz8QUmVaBSavqq9bO*!KG86 z5t0?Y0xL7z{E!Ik3N)Sv4SJXTXlsNRj2lZDOASnSEd%{It%fxkFgPSugh%hE-i!23 zklpt=V$nT%w7*2_bBIo3Aidw$&=>iv5{eZQr%CNOFLn9B;#GlNp zdFl=(Kc#z>m&yy3>OU4EL) z8)P}$*Ys-cqkg_bH-M0sU+N4L{~TDO@~#tSQ}TYS1UisutBO} zRz|1nk68uR?pX8!Rksyi;;*ln1XR!`c7JT^AiOT~QkE592R?LKGsA|D!uGuOkNn9k zkoW8x^U9xFUSbvhmMnsCI3K2L&P0$VQYBRqb?T!O!>p?kyXiBw$AYi~bnWUvs3`8? zMAWAJ7VXyBpP(SnlYI(N7O&Ba1(anS1H6i;Ms&jKG!xdTBTa9vYSY-PxxdLyV$SRs zl}5&6Ai*2zS;@^}DEmBsbog3S&8>*!sIgM?n}g`L+F}}QgQwm)pRRphjOvoSrWjme z%PgQz9qkjV%Fst;r5*F^$2U2$)QybwQ>%?1`DNWO3|msCQ+@4*WW~CN;Vdk7FnS1; zZ3ql0a}kClNibWHe!QR{Y0Q^Wa$1Fzi%$71gcG2#N}$^$7vuKjB65esmD$n8c>9D% z@n>rWEhUufwJtN`?|}hbow04#;+5q|5G}y)r$nw2S2Y}w;pnj7tKfj86{Yng_h;;N zZ^Mi*)Dknb`M@RxS}@ya4`tqMWN6Xk)TuS0^K9ZCs`;Yc zz(-sUs%+T0x8@+lX2D3#ornplEo8lHZ@_m=QSHk@G@SyGQe@+7TiUemWAqw|%z(;= zi$Jw*lCyw&+M8v7@HY=alk^I?ruqa{nW{(tY#|rAe|vf-vW&$AkEOC;9laFy@ht`} z1rLvF_4`qNVV&%T=DMyou!Oho?D(_ za~~e1B5s~Zm2Wt`Xf{J`-N5$I&*ttS$3I z>A_pZC-|7N?569s7c;B_VPm$ca|rN`4EBcCet6g`NmZg+14@_`-A-|h+;<`>$K!0W z3!f9Q7CN#)1Izx|>*T&kZ?sm3HZyptOnV(Nw?f!9Yrf`pK%)Gd*YYf=)^Tj!aMz+; z0UOV+be#9DI3*e;yLKj$xjqgf=x&6V!ezsGl$CE~wBfs2Kk!S?m8&a%(g^K9!J0Cj zrxGf~rV`=C8@$H*l&W4VY+8I~&~t_PhkE8yI2r+p9UkasU z^D9OJeCQg=?mA-iRQ7G~$M$7WrZX)u;&J5qy=1da*G|TItQ|N`g>WNYA0}HRJ`CD@ z@Gy`Y-bI6hGabk6r_`L;&u-R2VLXBmH8|~nNv`ixa&Re_1kK@F+~=(319iLH3Nje) z->`EM&D;<#XDI-?}x{mhzBZp@CrMOX_wM z1;xa>SE$LOS7o@4(6OV1TG}&ViuAAN(daASCrwS1#~-=opg-Ye*zZb9_z?sY^QY)? zJ+-HL7BgMw2!^%1_M@s2%J}-CwV)(-~IFPN78R(G6TWJySRMGM6?9% zm>pOT`Ey3Y=DUnstsRoLNc~iWB2D&RL&U@x=|0b5B}P^>LURpoZFrL5;0P?q9vOX6 zWhH@sP|e(v)(|{Mo~|FwDO9p{&ELs>q9Y^dPnh$hNI*J_;f>gIRA6wH@TFEh6&9&a zS>w;U)cv4|Mv%cetNwdVl$!0`#Ahq3AE+*=HBdy;>0#JSdRwV&aRd2r;$i2!$gvyW44nN$Vo%oR>JrawgXWS^|e z?$K;Qt)h;X4%9QW5kPj#-X$E@24sm@!^4gQ@89~-&)bOm%-Jm_4<&z^-pcS&qOQMa z{u;cNok29VAOb(5gsHxI+%huEVB93T-#)9quk+;K*kz&Xc9SsdI9uSpv=D+Lv#2Zf z{^=EAZc(k0V4g7DL>|s-U3>qf4*&9uN%GwD>FvHbp~*wVMDOd#lDYN8Z6iEZ)S}ry zSrBJxP^WyYSurW$Ok=76QjdGglFmq*-J*RlH-RpM+2W zaCuUavGF7U6r{~q<6F@a%c44$4!-7d!Dqq6ZfD3_$?OV>Gm7bYK#3u0)+tsU{D$3E5; zW-SY#in%%bWG|Z0x@=YqEox{*L=!jn(#qesTA)brG$6*3m%NoAR%xM0D=#O3?|~Km z2IPEsrRD|DL)dPr?|k#lEb;b@KCTR8c)-F8k-3a7zC74?L@hxMn~R}HS<5=&Yc4TPTeH%am<>&PpWRIXL7 zutuE>5(~H5R`d>w;3L^zV=KY@liSoa^~PzM8SWH3Qy+YJuH5h`&PYxbhD*8jc9RTN zoB4S7IJC`to~};|&%p4`F%k3(7pU18gYjQnLQspiG-1QTb&-0z7NdQ5Ne|&8V&j^6 zJkLYSG;dC*1_)8xi1jltLcZ}VV6DB0`$YJA0&u|4&E{K~aRg&UJ(2me`F$?TBsZ@* z4`GPR^5Mtm^(AMH#!V6DZ)M+pRFn(Xs7{dpnNi1caXE$xn}&<`oA0u&)a8QQL_Utp z=n0oPL3v6(p?$D66V#%%rH6_F^BRvc8j};zOFGV`gSk)6wc^fuEy6{D)SS(S8&npKQp>pzW3<(57V*NW23sRB(HbK1#LuOxHKb$)ve!k{Hr%9Z+u_ z^i`Q^{OB~PcE%AyaV&2Vez18zvCct%9C@dD6q;J=t*~M;R+e@_JuA=MR&S|n?z2KF zr1wc~0D6%psln-!Q0K1WQT1RxaqoF^=eNXBPEy`s`wvRADF#-*y)yAVu_N`W_? zraBzQ!evPhNZ;y~eFNtZx-hG};6wW(0uQTZ8;L}^w{oSZZ3&9{hH&NC;%QLsTL};V zOtdc7?pxsv!B4~!wIcBKxi;(11vBDH>K`O&{wqjpJY-?Ul!#c4N-8Br`?ky&->`n6e4Zx;+MuE9E`bA-?h+nHS;H)SE6&wI$! zClBd<5v|v9aU?gGJ{MB?w=3^Y-OseR_O{RnK|l4L)Y#5$r$LkL^7bmj{jGN4-%361 zuW)Ov*4yJ0j$IF@<78;ibPtI=I16;A_J)M`{gN+UKEX&oxX6CJ527wVyf3G{A}eo1 zwy#Jid#3#!A3ID;;_Dr?_tnI`^F!xma5_aLR&*RL4==oNMHks2;dwaCbel)4EgT8h zG-MGrpjIT6fFTU{TIAu|d6%YQDzT@6f_jwBIY!&Zg8c6lDjlNXpmR{X;u|kzCVF?R zPoWgC*75vr9(SzQCL3RpbibQwNkdm7VFo_ww^n4Yr(ZCazV}Zm6Vxiev!I7F>?0oX z@+9NNG@kXI@6vhbTu6FZ21JEbm@p)Xx9u^Pi>~Cq#?e!fS9<_X4QsBB5YDmKhQY+E z7sEVuil%YmUPTblN)dOqJ{!1Hplp3G2JMVK!`E?|Ynh>@y+jw*zP_IcSB{w*dEH7J z=&VJN&S6fTLLHPR-^+dV{N0fH&BodW>|Ta@s+Xd;YYb>P<7I=Dti;_!oO7S|_xm&W z{C2m^XNO-_i2aPh2nwncI|OHP#>g`W0f?I;b$-|-{i$lr*!@2-40mPM(G@KPcDEjI z!n#FajuBhAVdZ9o<^$tM75F&~W7f<3rttM95q0%|r0T6|c%czsk3)pUL!&tp-D26_ zVGB2OCBCQIx#p|nNY70+W%9U-y!V`D<~hW7QNiyN4R3Jz;9i-E7M;Am;+$QenSMu$ z75gq~thmtm0|k%D{JG{4HrPiH)|NXwe>EO;v#Bmk?E z=eg|%2ziv*f1pS6bkwu&VccF*k`QJhJ2}F4;60A89vkD zA$4Il{SD(kslEZ@+QIn#q91)mQ~ib^(ic1T#)hq(SADHc0RHqNEAs-i&;PfXsHa-@PjyNBbv zd?AgehNMYxFTDDKYhg*@e6K7S-@#S$RvE<-*@I5WcZ%7y>p1DRskBPc$4kw>H^$zo z&|DVT_`lLI;B+>puYa!*ZRx$!4ph%c^M!5%A{@hrKVjAz?y}qg`})FnDFdRu+DM_K z^3tiQzUr-)F7`lpSjNfrvxjRzx{4l=FwoYil53`%F&|f9?Ku*hO&XH(4Qt+Nq3>&s zCc?j6bN)Q-OFI>qlG%3dt6eRk86IO|yd6imi&=>>xL=5ZfqqIwfjr%F2_GDtKK%97 zHAy7Mr67#g-==7|LDu{nd_8P!nM=A}`o+ET&Rw)mpySAyJZ_GaE)vBtaf~VDV>2W@ z+W_WVX=kE~C$T_-oLf+?$VriE36D z7u$YHAPrq%s@@i>xhuUwKCNNhjX+t1`KL&yMC@vywUP})$rVKbwH{TkLTh$8n&Ghc ztxkGQ-spJT;Ca0Gv;WN<9NorOlw-Oglh1<5?!7V-Pru^LV56#5xYiJti>dY%#jTFj zroV=;7W9je(+bKFiJZj@)3R`hT)$-+82g+luoL}CsN)=*Z8*3R^b6Ihd?|j1f4YUf zsg4JLew(}PT{?v|Ql$KGzwV`t`@Mv3$Bi-)1*{&t*!Zoi9W3R!j@e8?w{=vn!4%Po z&JJnxbzdFZa)gnGL9f;~+BXi()Gwo$g4rGxz1Qu++^z|68Q-amU@#`h!V|sc@G>eS z?;=<Q3yM&ZB&BqHg(-O}8g4IN6e~b9G>760i zP=cPw;X5|#8^a-^UuGi|GieF836FAT;GqvoC;WpF>3P`vlrrvPsUlo$W#R&chB%c+ z%T7um-s2+j#Qx{WLGX{;eVI51^h>r`rl5+BPL-nKc0I|y-l2->PeX;WW!WLDiylE2 zP=*Cd(@n$V?9(7&$9{e_D-f;oB{6R2DBF|k+iW6VVLf1W#$u&3Z>{?zGURZ7>HGK0 zJ$6z5*6V~L`0#)*OGQhPjQSF8-p1Uup#GM{K68C7TT_JAx6*Ahx>G`nW9kHD=F(SV zYG}(l`V>*p0#v!89;~=OxI{7>++>=B&M7J>?RR79wp))oo5KU)wmy!ej);l0=1BWH zMy?b~I%2%@9!74fS1*6EueZ|o9@AKnfK{OnxOo>TOBqZ?plO?2GI>9kuserhc}Vta zh?6qmgKR7F^I**zeHEJzjbe&UECHt~^&Nv@iaEuHk;LA=IG8KsrM^shvM+f$5l$UZ zw49oy86hOURik-R*H#Kd$G}Y%^qkj?;pPRXhH`@{Y3e)VSAHRK6~Fd?0s5MuxP}N7 zzGM4%@QLQEYZHZ;ZxKZY-@wpz^?~cqaPkuex5%(8f4Rs(qFFA3-rhaUXD7o^*%M^u zd{@-_v093&wZtek)#5D$@(K6-#QmuZ=;WhptIZzo->}mZ2)8UVf;RB9adCP1=u>}t zv)9lk+1CdtF9%@iwVd!~DB!BU>ZX;W{cOQiz}utChJiJK|Ea(f$tcQ2b?vGyUU^0a zlDT)1XRnc7`*~hs%l2u~dW>Mnc_PIPXbJXWt(@ z&VJ!hcUikFoNElH3=W`DLM%nWbF<+b4WLy6uUZmQONG248Yo^>zK<&BtK@aO7~Jx^ z&hmn^%);35$q)$v{#8`G_h#$8u8Z%nSGd{p%TZ&6M&C@s)OqOq(r3#;m*R`4c$HXU ztm>Yc>IjZLwad)%z%IqExVOdJFO;|s4C%CB$3_02yMgQT%v2XdY3~Ey0=~2y^JS8K zb9!r_v)5QL1<)#M$1Jc-2t88}_&|1D^T z`=7v%f8(?+aF#ooRf6-wSwRCn;G6jR|Z5{0GphAeG-2Z~mVG=WSwKO)B1RJ?S-x}MS zm_p$zwtrAO|5Y(3QkFmEU2N^3ii+IOtWHc4mNw3&U?vG0C<^B9I+&zPEzK>QN!eLA znEug2Rt|0^1tVKiCM_mamru^_4yI5Xjgh%2liHuR{{Yu8Xv$NfTrcZ(?C95&(=zCG(N`n( zf2uxhE$Bj0uT`o@N?DFk0p19^ETt3KcsSAXye1XN`7uNuZ%c&%-e|ilnm&pzn_>1q z+9b39pNQbjm~h}dV3tx29zlYmv3>x@T(cmOXKMYP6EIT+Dp>0;0FgmjgE=7K1DY(C zfm}!k@_$q3KTW=MOr?m)4d2%CQ+xgWDQX7&#@;P z%o!EBumxDI(Pr_~wuUA+P4QCfkrVM;5sUF$?JL#reE;lF^wvDA*I_h3A2ANJ*1-vJ zK3BpdFe%NfFiqsOP{SvJEGP8moURvo^p}6i+)M!_Mkm5gg;W95UxWAgZVy^)9PY1X za3CfNqn;5U)^~qbZsIClHI{RCiv!6GIQR*IR$w?l#`5r54V6di``XY|E|lAKZ?@@H zOz9iaNi<0xEdZ5SJSY3R>kqSPpI7O=W>EtpH3pPPPC5ZWGhtJYy2)>lZAzIAy0 zkvvCFzt)qi_0rfOy&8E|Bjfji*SY>@-SZWQ>LQHls$T3 zHixI&<@Q_I1+PM@;xl7^JJIF(c;y@3TyAiY9+P#1IpAQQw(WHq_Mi=t?igE(~;xoJ>C-sasm{Wvo`?)KnCrrWR`TRlelzk zLuBC}*TcCXV(fy!b4`xE_EJ}`ei0Lj245J<6N4?Z#*$-#fZ#7P6U@8fHsC!evQCCy z`uB7%{0?xQkPrO(B-V%3Siwb!E;Kd|9FSeKCUTiPEN#wBn}rjf0a%lf)tpJ0{OQY7 z-d3v|U61(cpR9Yawvx2CA1f`>y}DYf>g-0ktrB@KIqCr7r;0r6Q@5Fe4J8~9oi$Yn zx0Ivn*|k0yEA>SUX;QBE)SdkuYck6ls9kwhY`iRMY{ZYDe2%FY>9kw%5-4t+b#BU z%0V|)qUY&}GLL%m85?I{C5QI`iMfN!Y#mF;A(Vi-TR}dh?M*wGYb@xo{m)T8htRA? zVo`x^`DcLR80l;7ZUFetkDWuv&3F8NS6o$h%Zn883`co>5}L&5rC~9h^;>K zWU!!EUPO)Il|PUd2EY)+#e$nUSXXN>IXhQfFAO;DpCZ#9yar{^4_$v{F0()^9eA5+ zYOnisg@dSISW-R)_xH=NP->M;QRItXcMd`SB$+%?J|nsWR9uVWW3kg5t0TK_1}7(+ zJ8%BSceDq-fl|Zxs1I*&o(?UE93bBX?i`aC7eqkTs^BAc}QJR@-!S{97+35(Mz4Nj^wLjy*|%AQ;(t zK|n7alw{Z$nYxl5eMq)FF0dUB>Z*pVFl5-GZUBP!?GCzhdKDFTrd9P~JUik*k0vP~ z|8K$qR{$U{`_;=0j$oRv&}Ib>&?Q%(5qjxZ4v2u+kinRGuP#!;-(w%6e=cX@ns^4J zHFQEYbyMw=NeHz_pp!-DatP@0Ph*Wi4C^hCS0cL_1^rC$$6m+Cra{YIV1N-r6Xeke*_wb0hd5RoKnw%1%gKmWnR;aL?OcQ=Fq|fO z{f*GaVudR!+7s+cB@3xn>JkWKKm0`k1KdOeoWntqp_bnM#{;As6v;6Dc9Uh|l338M z?GvoOTt*b&_&?izKQ;F>c#ISSYS{gM`P+Z%MNrGDgE|l#Pd6s`kH`M^{^%6|Vz@z{ z6b76J0zV=C<#>Bu5FK*DJqdflj1|k;(^#EM4=(5}6!PU4Sw~9P8DP~x`G#4uH&XV~ z?oj{bSt1i*G%spstGm!&*&I(*qV|VcgAK&vC77N41=V|%Od!LPYkQo}p&rEkU3)j_ z1Y}MGoZR!(g?6V%z^(ZK&P zDXsu~jX`sHHFjIyJ@I&r!u2DGpIC(nGvNR&5dHmpzjovsCjt4Vh@t0p<ECOkt za4McAIqvxsB0$vfGtj7L?NpR(@u6F|ZYAmWQ<>}ab-MI{>2+KPDm3SH9gm4EOHh%+ zsZs^4)h<(bWA{1K(Dl+mg{(#QIhcTRCl^4*fZ->bMFxGzzl^U8917%}-7k743RnTz z@oa13bCj7rnk0in-k$7EMb*(OkpsNPg{UYuk$ni0bHRysyb{?ME-Pn)eq>f6Me+(UcZAgvynhYA286e*> zSfPC>14{{2=>B~te_TWI8VkJt4m_A+{>N)yfSo$M7~uVKsH<1^|I=fT{D+dd#1#n0Izj|NOmr!t8E+nf+jL`$^=AsFs%`HUwxKhW}DF7hF8&hPzy z%oxZ#|J~eD0WP8fncwg>N#-pT(w&GOBLuGYbMUBHU6y0o%?ZG)EHyaG z#s#$;wX+9V;dX2Y3PQa#*Q?*z8Y!TtJH|6xh8nj#;Qa^>kBQ~6AN7;w_Bj1=jn+U; zLwX!21KFFaj+gyjkS7*o55;`zoKlv~<@COwh<<^7HWh;Z&7bFnBVPxgfl5m4>Lo5m zL2IIUAUo&|`dK_nhS-h|*rgZdxe9R_HAM}|gyCCF;LR^pC$-ItCU;kEzWP^N2&lFhJ-l*p~inLBgeGyGev zAI!-yJwu-}sCAwI2`TQTAu1`QEAgmv^WP9Wp8RdGF9uvJFkG0=oKPAi(2(2$YwPNc z>k7 z$Hdr^ia}R!_IizJpq2;keLcW|7OSrW4qU%eGU&w#BD0Mp`;8?-MWEL%`3XtKslk&c zU(-l8_nC11Cwqc|0!r)w5~h>IAWe=BB;5Hc`X|-`s?6<8K|?NL*LNp7vCp`H$2!j^znPQ(JUVR4vtLbQj_0O1jy0DUO&7@&* z>_<&)D?~9JilhJp$+bE*s)vd2I1*7rc3c=YgJcMK*1GPAY2!e#k*Aym z%uRQd7&S~_kdF}L3V%S#cz5!q4qVgcG*{#4(^6>1nzRGGcp~B^Uzygi2qW+$_g$yS z@)%i5^1@3YH?ZDSs9|$N$4qc#=g+WFfB{Xr=rYS1BWRCZ-AT*+J<6*h*i`8w{u+DHg}&QG@HXma#EdHE|N?z!XDtpcR0~DMc!&6IQar zH^2aH8Qu!9#vw)r-9a+=M$X55q+#2n$L%Y=@^zED;hck|2a28gWB6TiLDoUZTp7q4 zVC-GNyK)AyC9QePuo1EyMXR+vEWVPq)`Oy{9G4{i1I6dgagnASC48_&cwYBhW#FBM z;)tQw9gay_F<6(-FcuHYhPK&v9 z=vgt~&;i?nyM;#B3i}UvToINCEtU*jdx5~}Q8DY}l>@yDQ0!;6CgMbp^6QCKsihp2Y9YAl5*A6G!0ZQ&J#3N1xjOsi7@DSypq4% zi1E#T@!|Jrxvnm?l((awPfwhf$Rx}FL0pj$8id2V)4<4STTe=9!?<%t5{r#knae+H zCxKQmzd_sM9L-CZE_~LF$>PX+)mXevi_rc|=(u8>j+wUHm^m`h1_3VsG=)Ry^%jaz zV@*KTT0ZM!QJo<#j#aX@pg7QN^B9?1iq6!h?eY5Kl&RSXpU~NA>sty4dW%f?!F10< z4!eyRhYiLcnU~-YnaTVS-f{8y9XsR%6>8$@+vBgGy#((QW~PH`6QSP3BW6v&sW|%Q z(3^hsiWi^hI1p3#oG)H1D5Xkx9VF1Yl%hFml#Caqbfq=l?ue@pt5)GzIWO z$2SKk8uC{m=(U{{q&U!W(7Qi$Lv9L?%Us9G7;;Mih z*NK2p(IFI!jQ_8Np-}=_eeoLEFH}}&70!%ZJ179C(~1Hp(XsQ<>Ux-Ke|zXHH$}pQ za(X4=5^+MC4d=GCb3wL`{JmdaQJDZv6{WBKEqd zA0V*XN+ynQMhT99gFQiR_|6vAG(24q6#zo!x>Al)iee&}il4dQXpHCQm7lKVB{KjAmL|J#&p#xST7Fgv1YK950puOyKchhIvu0|} zkWdm-`B7bXDGXW@Hk728**eG|`4@mX$9ThP#RWi%@E53UR4}a_wi*lx-|o2%k-d+s z=_$oA4GY zxzj+%$DBSGD>4jM^;c;B_MHm%a7V``OEtdMJpopJaZ*`m&$)O>H6jCNK z0rhplA5DddS(LWK#}EsKrjQ1nX*i16+NN1``i%-sr`>T@Jg9wKQb7BAJcdA3_p z8fK+D4~ZQ1$Kg?$6?J9ak+!ZE@g=Ofr%%m7*J9A`3E;h0Dc$S}V>bgV*~Kr=Dan4e zx|^uQ<5+htPV2p!^@5D`0>)=g#t6^20%=`tz(SJ*1fEYYNg1HuwdACI)vMce4#ckD zut%Ku`3ulILKe8J{hrY+^g(@?rI6uIPe~!@pUg30QXDXbPJ9;02!4G7HohX+iS=hX z@O)gXvvF$>r*MJx=mVr;qYK!`0G&>}(m`Co(9k;ob?^laCBRDf9*>$k02(=!d;t?b z(TNa{)S%xppkB_5%E)ObPZ(f~e}iJ#Au6iVnp_$2z^2YyizESEK<<}j!9c@V&OHh# zq>>DBMh1x`gNzgS870Lc{k;yY62|Gc;a|n*QML zAR8ppgGP#XaiCl~XwdP5XY+S~H}xIPXq@M2+BI5;aUvi5gG) z^p4huF?&}7A-m~|zLA}oT?Gcsi>r%^mFwf36A#bQcS3@m9u;OUnFve)Ud)3QN;}R5 zUdnE13qmstockYy=s9Yq`71{u6TJ3$g7u6}TM%tc`ZlOWjX%X^_B?)i zHH{Nwz|KPZC4|o6;+P2GwJYF#;gQmJ2vYaepY+GV$A5#D^%axgELyO!UH8dZPLvg86VQ=I7zf4zvFrYX&AR`aiR?hv0klL;+fzy6P;^zH?3RA z9mToUe%a9aO%Jz%O7vlFBgMB@)!7&(y*!=ri-Kke3Oly|jaVens|ZIzLk%L7IHgw+ zePo{~$6veY7k5j$lh4^io(mpPj+=J9(sdp=eJHc3ynm-Dp5hR5?Ya&u*ucD=9b$^5 z?_B5S?}^egtjf}RK`&7;ZIQ?Ej}*j~dhsT;MVO2hUW9V(SIyht@{?Qko{5C38H*0Q zgYH%xh8N_!Ci3Bcvb?=j`H7y=JQiV`TMHQPQKq>1*~r6;@O88$E{l=Hg003_B@jSV z9oA~|6h3F*7 zZI+P-n;wo((7YU(xLF&X1D!h9ft61`PeczUdIH(S%5;}4&XCw_={q6wmz2edFIXjR z7)SVNIKkBK%*uJ|?MdGkDVPdErbjpCCp@g**<;J0OUp4k~lH zYYg{7t!_WmJUFB;WnD%LI`fnwwA_Yz@~^^6Hx(6O`L>1QpLuAIsu%YBct&59gEJO4 zGmT~p%}L&BD2*n6(||pJk%Fh6jPoxDy4$Lid$nvf*bTOF=PSaaVw>pni|A`*AjTBS zz;O}mkME_yvf>THE%rUbtx*dJl+m|B_*%@Er=U<)qaOz+B2f??6Gy-TxUR*WPn~d) zUjzzd+R9UDAQPdz}KZCxwo;v0Ka=%T{q=o#`-y1x7@OKVm6xjc&-p7<#;$x)}9 z%`JGOL(vI-H@UX0n9B38W#reXZBDuL)nLm=^i6%ZVbYLPDgTDpr}N_QCi`loK1T&s9AhO?=QrbLm@yw6r3%O!n9B7s%P1EXo+{2;~8rGD7L<+LdV zvo+EPM>hFd?){4lW&uWSP`yvvdUDlzvWCTl6eQp)$s4Fh4Qe!B)q1zUWgh~yA_KRN zfx|Eg0(D)$*WQ4y-|&D`V%H`EI09j-EcJk+t#g6;T{6Tg|JP`Tzc!{S6MGv%r7iD1 zh)b7&M6?sP=Q;wcZZMA}qjZ%-+K?F9b`5mcjQ?~g_eqa0N&KXST@Wx^7hOY`vi<4+^| zA2hf}7vM+d5@IE2v14c9ObK5ZVCVanZ5AovRmp6#po@v6uzQsu^bh#2L!2uY9<^i9 zPo8l6i?bH{rpIb()aBnJYM|xMgqwX|9aW+(Hdao?Q<3u4fU@iL7&O|3V*xDH`(+ho z-*=htVg-Gx+sE4QTHUNpZ1kExKC!1sjvQZOy^6M~t58C!yO+Nc+)*AD!pl*#dbX@_ z5D;5oh&*UXEj34zdh}|6`E^+uyqg&%HTULQY5ICv`h7WxMh(fwbh@h3ft8c{;~kH} zcLF+s3&)Ier(BwcGZ!{ZOSbmY|1rmJXh-7AULnpE!blp|I#x6Y-(O#BUZ$_=re?w|VIb+0s3l*us z+dsNIB63$66A9g7kYBUKOO$AX>)6s4@KaawtuP?=kMmfr0d!!=KW2yFx7*nN?r+mG z)JO)|wQF+XesZC>UT+85p0(;8?`sUTd{gv!)2i=`jbk4}nfeivg}q_%7iIWfqL2sg zub#Wh!g;oAK0J}Gk1=QC-om*+aXYx?Vv2Dm#Mq#E>`~tL-SECR9&PRE?57#~NHq1* zJe307AYtDIX;6Rh=MYh z$|?mk>aDj?_rFJCUdt)0oQw??uW-cJ+Q%qkdjCkGf&D%7+SMidqtG^i)36iNB(9 zS>cQ{D|VCL-NlQPB4z+GV~O)09}fGc9LgKFGByr-ALjI~wVw-3Yg#K3N#i|@elKF8 z`&r;Jb$xjF?zL=$|AHJVooi(+Vb1GM#DOVqsf|QgFHiTDb=99bF@emnnByxahYziL znbx^@Km~tVdb{|_d&|gNNFb*Sm?h&kR%qlS50#t4Z;tmLXT>S5TIo~C43tvJV|~$g zu3vp?-)G7XQJTY_Ym&z1mu5i9$iOh)%TZXu7S#?%Gx)YKr`7#}IhJEpNZy}ZP0oVh z!Gxo bH_+K;DWOeCy3LEgUFeKGN7zjVEu!vsHGY~*)@`sV8N8haR1JGAcdwQmMaPpz-l8SQHavEvP4;pIHId&j;b{0uBjCX8~k* z<|2G_*qb>X9ir*XpyP1lgC1ahyyxQy47sQ zOQA__f0@SU8iO<0usThTye^X!i|I*a0u^M!DhOU8iuKS(_;5rzzX&W6kpT%Smft%@ zc=iOJ?I_@pt8=1O12%u|aI#BC7`hp@!zGruE4(!aC&V!I;@NV{Jkrgm#+jGNHmaf9 znK#>hsHr-BFPZQ6&G3}mC3-k`pP1cUQ$(lZV|O;p+AjU-*kn@rIpB~?h9{A#?(C#y zF#5dbW^DQ!*p@!q-1S97J3Hq+|0Llzb(z#EhjOV}aofnBB>J?aks@XiPn*H@J!5M| zy4BHREHn?6O>!6L-D#-}P(@Ws%VD;0t}y|)nP^q@C8IF#HUlp4AlxPoM#!UGVSVE~ z%{zg5zHzI|B4u)7s>dt1K>h4Vr85zgAxG=0e9K&c^^?Rz1G{ZJjLt zr*uQh5fthx#sTY8J3xEm$LZk_&sev>;qr)^dkm8rrubG}%*8N8dPrg}vpo*F^J>$l zH&|9QOB!>=SW?_K1Dv->_NBVWxC;l$-As#mgG=l38=Ln}rcZ)`PzuJ!u4ARX>inrR zJHk|hvX)R0B*%etH(o?^hmX7;OxP`Gv?X;pUc(c|hmN<3uL+0XSd^)BlliJ7A}x)D zHiW1NGL5NnY##7%t2j2N+&e_KJwIweVYP{fw~}jNPxca{ZL*{Oyg4Fj=}AFddYH#) zc8C7ql%Z-$T>KFv%V3evEOULsRTZS_G^^UUs-AO*T+HaWB6f_|j!3n(4uL*cF7!ON z32$(XP`C{MyX78=Xh}MFA`G#gUKKw3h9G_ejeBjc3!*e|wiwKTjEgb*3#Pa7i9JU| zq@`YNKELP>_Cw#y zCuM&LbqS-QhAgy$bYt*vpE%Re@^7ggA}g1#zL1dMi?SCX1SJagQZD8E3Dp6<~^2 zHOLb%T?ECh4L(SXw#2NB5oz%vfwJU+cNPl$x&fsXy8!piU0ixCKI55&MEx8>FQb$f z_1My7Nd_kf21jevOh%^KlP}%KYLfVAOZlBeuYSD4wQ(kWz z(zFR>k%}20M+a58cG-nghUkxKo(cQ~tuuYUj<-s;RLw*pk2))KTYtQi{v?NKa_`1u z!!p~6(XS%HxFAyX=z}bWH%CSA64h`@Iyl-ntg(WQS^h3MNadGM>llYtj_Q5_17?_$ zro{)Xdsfk3GgX6U?ZmB-zoj2(rgn1faac@uZ7ijnI>k&6TXc$apk?i(TQzMrLjV!z zI;HFf=FHY-n6h&8o4?%HQpGlV#q@)hj;gX})JA|1XIB>fO=3|6>#5nYudY)2hs)im z9;;mwn}XWPn*Tv)qVJGDtSS-V&w7e#gz|%kl~}Dtxr@C;V38D*tvcmkN8xPQpH;&s zV>HQ@qy6p$9f-t^VqL|U?^zczZghdEwh;KcntHyxz!i;JbD%5IgrX@sqa1_%Co`*<2`|;Ardk!t6lV#!DVLSTH|6 zVJ+FBv|}+e_W$5EpQz3@Q!Nyb;~mmy=2tcYiKk%(V8$Ehf0s{_st^OL^_wq4wnTz5J;X}#ETz9Jq!E(n2&40=VT9NIpS`M-GvI_qZivn>~enRq}FfN5t`qTl+WE8cGrp(1#jy`C< z)eM->$V_cnkdL#rYMFDLKa_8YS&gjY#jDdSu=ZqZ;3YJ1a=`WxF$dNJ9@2oYd4V$L ze)pjGb1%=AGc~Zec{$a$1TK_n$gs@cP2CWDPpeq4=<{-y-MFj{^OXD;LjUJna`V!G zRMG@ia!|Tp8soIm_s?5oB$(wvJUtkE~$777EhkmqcoB4$^KdIulxm`dT*2jph;Dq1bVa?-))xW!c%$j$(syHG3i z3^rM8&K60k-=|w|IyOvuR-~fRtj_a}XK(7FT3&7g^ed-jo3KkN=su%G0Em!09Vdd9 zMp_*pT&)e4XIV#HI&x=HnMfYX1h_Ee%GhI=@N05?RPwvk`^%#@TgLl~$JO!m@p0bt zqrUmA$x@F#0f(FY6qOx=@g+Rr5=@zOoER5L(=7txWFL4(eQa1Kvtdm(ysJx=b*h!k z;@iz?&CE(&YQEa)66Il6OLgjDlMR9QRdu&wRs>6b5Qv94oWrceFJ9x*A6%EB2^1?v z(u>2l?(bJ^ZQVfI(y6l~E}jzR3EhUdTTg;$05;R(N`2j&C|4Y`27yvdIcP>Lpu_dRZ5kFB?IhCpcnz(f{Zs*OAWGKE+pVV*A z^k$iSS1XjS2W+GVU>n$=t>N)v;qbl?{W1=Y~?eoJs=sI;hFg|;RF(gemCZzuTE z__L=1kYwj0d^q6KKVy0WosX9v&({bK%ZaxJ9B5X(Uu)r(nW3sqLcHGrzkA6qV1q@J zHHZro^dXLLUZ$f9?j}!CJEc79c8HP;b)D|Xk_$@O$C~nPDT~8SU`<+C(ee(`vZDy#;8RqlEHAn`Rg5w`GN=^H{5}{G(g;*1wyaS3P4z^jgNlnb^6r== z^$>OLq@_@4-e_vkoWvRioo=oy>-;tCy9L*w*}{<-$)41rgaBBl+vBI@t|=~?-j74W z8-O869{ADNaN`hn4eJ?4C0X1uNz8;%SZW?YGYVjzDtnpyqo|)F#&l%Y*Oi5>JCypP zXi<-Gk*g?x-N>(^U|HVa6>#$%!%&PZ6D-p&;_r8!mlW@W^}}mmn|;_SIAb!m1zxw~ zn(2FIK5FipVc&nZeu{WGkAFPY$Tv5H0F?R|#i|XHNTw$5$E$I2MdPCM&r?No2>b@c z6ux~YE}+;p)4>rQJ8U5rxT$5BWRC(f*et`|ntP=w#c&@CbT!pvxl9~xpD&ZYk4=B@_Q6=G4bh(+5k1lv zYCE^^e6L0Bl>BNnlQYt@-<6!rn=gSti`R}I?HnK*RJy9Oh( z9J8o?KId=F5~bnVqBhJCip)0B8c+tWc?ZVj8!)ZF1l0N7iA#Z(9>^FmB51QPvVgxLx$?k2i&kJiPE~hh`s}|U- zb4TRQP7zx?IOoengC}|=jTM{drTw<~XgUP2O?8jMUQ^g|iHepEef4Xjy@pZq@wOLt z@^NXYa|Cn>b3*m_He6D%!nIxbWZdOsOr=a(PZxXS=ESBANeX;WEv)6p_3$M=)u!%s1U|JK{VNv7VfuY(gw3?Az--9#ofXmN=%*a+7 zBG%$oA|4fvP^T3g0a%HlplPh?i)o9w$13cP^PR`wVCe=#%K_{tGZo@?%{JEh+XGS3 zy;tWbME`pb+e=~JC|{OlScI!uN-6_lq&Q$Bn1aFKNlgA^vEb#TZ+f$8x=U>VWs1XG zs9SC0Cz3-1A{Pf8503CQ^DBQ*dcHN(9J>K>G-5N}G~>ZOb{|BREwVgzkN-jqKQTwK z7RLjMenimF`7qRzXusB=ur}eHU9HD?No;*=(Q)M;JJHtcx?XAw_{;zqur}{~)1?(q z!3>RF*28MJmO~*B1T*wjgvmF#ARA5ab-c=yNWP$g{%a#($8;ic#;;IIPn^UC9_U1{ zYf|{Rn@@ga7%d;O0RXpP#@`IxK{&rhp_}fRDcj#+U4Ck~YB=;L#ko*_kr`k#P)aKv zDv)E-ueHDr9yz03Idr{xrhRQEv17Wt(w+JPx{P6^voZaBs9hz@q zjC02DCCcG@u^m##W%RzV{M^hCj+oS(#rpZZAn`_581QCuRwbHrvok0E1;GH2C`PvP zU&8Uf4DkOwhW-DH?Unz*_O$@t*uI3UgiIkcf>fp-V2~l0F-y{{Ihb|38@W z|6PvH{O!$W|0{2y^f#&hH#X1ux5xjV($IfMJ?q~y#`pdC?~VAZ4D>1nhEDX#|CIeI zd=~)o@xhwd8vix@|4i|Je~bK!)N?R0eODd-TY0d$tR2n}V#ihW@!q9@>DwDI0!VoB z#jrmx=6W|E2=4KLQ~_}!Qln6jU+zRRty)A_A_Hn9Qz;OXP)`Xt(M`&)jcbYBWTa*C>dHmyi$wu60Ae`DZC z?@5pUeEzk%ZLEBwL(6Xe3lA@bc@oP zr$zhEte)tb)n}N0vwE+3jofcm|Iz>OAFLkQWi%Y?-&p-MNNU^5tLe;7g=fx8X0+v5 zl22=N)kg)|vDSE^CC6pD!JiH@J(G$$s#AVz1DOL;&u)Rm3ac~|wl(w9N_WFt_+n@6 zF|W(5;~`h*I<@bKGh(1{h}Z+2+sU#&sb%O2F&gT)BFx7bq=DDGl4`pYq7{2!ASUI6 zbWFzr6i&^vm>l{GnL)v8<<=28A`7}vhUOxYJ${teyASWTj-@KaIEF&(Mipp?y?5!T z{LcA?^%RTDT1Vygm(ID#f(@Je(Vd%ZOdp<4!~cTyxBr6mz@HFsBxAfRGv%*sIw+WH zV-7AGI&gUpQUU~qWdmT`Wydx4IRh;+oa)wmbr^co_YjqX-a{s6#9tKC zL47~sMXzo9^$@Rw$`~t$Y70|fhL|E?oT&}-bi>R(G36Iz0qmQkQYE-_#AWjcPc#V) z*C8~b?*QsoCBlQDGX$m%{pOYtwfL)P?(hT%({n1{(8ce!*z`j@&HyDIkUqS@gvg-vneKPH=$_Xn`NO z1)wyZQ}hKE|1HMvie=csj^%9g;K*?HHIyolzySjX zeNZ+8+)so<4a@w)8bRXnH>|I+X*2tV^~gc=%mJ>)L7}^U!+ILJVuY@|w!aeDf5Upmc(U|Yqykg_ z-Ii~i{y(su%V_Q|SkF=TZN=a9t(6~+l!fE|2iB(+FIq%?!+P9rSZ{Oy|1%+ReNcg0 zLaJiJ+VZ-#Tk#QrfLvD1GIeiQse~!lCxlMFrIK_SrZN5~A4p!=wx|W$+Oa9Nlkf^f z5~h@atA=od2WPP$L={OG6g;q;Qou#^<3v(F3@2QP-|RquO&KnI4`-Tcsftz(NHZwK z2VpqxoKe;UsOn#^UZwZl;oFK&$818Dt+feP7BVv4z_7i`m{PnKTI%GO^)y>t3*FC{ z-5+_4?>#`1a+nuO$J%RLCS9I{?9oJBM<`LQa>F=FJQ(o(CbJk_<)n0Yd}D3Jlt5VI zf_$0)f@Eo3i6p<&`fE{4a&K@@uOKd6Yc1nfRSqIsuVO(IFBUY9xH>jhYNXg1jjs@R zWkNOc?4=qssfV21C{0R4dRF#H!w?GUuWV3z=+Yc2UPX{%2AVI#Fj`NOr>pDxWg4VttuI8)p9GR-Nxo4%8+pqeTRT9mS>9s2NjC1~dpBld|h0b38GF`GmyTag4bg5t9wGwfx zXF@;kyLG;LlE6X9anNx5(v?V?0+ELTk&jSu$bF{b5%Lej(C3<=@H1Op=#wOg(f@ht5%&>=XqXGR(Aat=_~kagb)ii+0Gd zn20!AWAhl1`9ekczyTw=(ht zuF=JPukPM)l^)?>J_!ARt6tA6Vjo~P;*A<#0Lq)bT%e)rRZJ9j=FR&3Uy0eRoDYUIkJ@m7GlwjN4mXx!+9+{h zV%#=Eo!u!Ku@NJ|so((}bd`=t3TtCLu{sr)xC2l_e3{)ZT*$K=kzhp+3`{Zp^x#DS zHh}Y7^iZlAKsqp;f2ySLXS=Scl~LRd`>`&#wIO?09jg zjm{W5BLl)&FxAe7v%I4}cuN*%_0tRC`+e|hWAs76rHiTL$?~_ahTz`O8LGaXZY9go zxky_Hlg{ZE`1yHh+tsFi7Q@rg?(OB{)za13rYfJC z!#e~HnEU%WB1J70qUR<(EWE%AAPeBiF@((K#U!M)v%NC``~e8qp}qLzZDVxyxEbTx zU5{NK?R@H`oR~o=&TEkn!x4!;s~=jwi5>ZtUi}y~bsGCRMJGf9*MfJsMr7+_y3*CT z{6K4toS&*o+vOY8$Flx~>KhtXWv~XE&eHCepArsPN3go z=UydvMUX9?@ILjdR>$@3QK*Kq-I{#tK7Kr0T<&g=5_$P=!cF`AI1Y6gS&Sw6J%O>%kqJ`GBDJIv1{>EidD`f)mhp5;*_qaP;l&6 zifTkn_wpI0$(3;7^KoX#z1#WvH?Wj=q!*hV51VK8Z|Do^G^gMI`>QvxWPJ3VCrnU2 z4%4(-P{vRKX2yF&;?)zq`O2CJ)4dV|TBC3@A$&=49Rj+hnx@YF;b($FuTvNHTR*~NHf z5*q`0qJc|KKUKX4&iUnCl=qY}@B@#=dXPmR9IumY$m<7723tHeQt0`U)kn(lKBqDZ zRcNaWU!*&QR$Wd?gBdTNJFH|WP-)19LFM!%xI8nFtDK@oEU*Aot=?x3s5>!d0N&+7 zgIJyr4f9|3#OFqZp^BRgaMt~))KC1Aw&7d}3@pKQfP#Nr{OvDO6lhyZN zeNQ_|sq%f^$(`DPIhVA9=W*0!_o?4@I2$&&kgyWvQrxMJDjF6MT^3`zz)9{50UZov zKLd(f2#m3kn3rc2Jnl@D)ywv&fTUUwen#^3)mZQ$4%<63sl5IYe+CHd@w+y9CSQkS zU(5x_3}4_stvV^Ie`*}aJyB=(4$bY!67xFiN*L5SRI}}Ye7-3wNo|)#qCoI{m%o7i zu*V)3|LFOOq*hShklKJ2{iCu_bW7P55@F2%ZBbAfK=1PLwC0qxB&g+7&b}K(Jm?b zB1YEtf?Cdo_R~RvK%6J`eR>o>;_-0RToG~oswv%%J+@HL{TJ{A%OJa+KfA5x;$J|X z`)9NJ$YGiH#0#pwP<@*?P)KEK@=jba`_&eZ4h5eV%G>)k^?WP5_?x2fgXhom^mX%~ zyF7NnP&X}qe+-Ylt%}f|#VDB^0D^fx#>K^5*`=z-{Z_EetC$=udv$IU^9()3dIo^H zAh1-W)VB^A_r6=I)M{1?DYj*q6uex8>jDn;5;e?ukgOD?g0(bJM(DhT128}De(Od3 zSO?b?LlzhuB((oXbPdSPvtTb2MX?mykW>xWvap_EpiWCzu_eVPu{T|Ul?!$b(=p=S z&>3GS?~>R^v0&q_Ul*6O*pFrLs$DA2To_t-wM^+R4!^|*8)G!yc+zI%=k_BmE0;$g zjn41v>#9K=;t8MN5kM)Rjzr|zCfff*hkSELeVpU0l~_}IPBai{+E&;>zdVFPzXLVJ zL3awVL&yVuW~Dviiy26zI8bP-P825nv18RcTdv|{M~kAT~FlH zLxSPtP|dC}13vXqb2veJlnNZwSVdoXVMX#Mt1LgcK$4+0$$0fCrLO*M7{(|0JO=^z zy7zb^i;pWCx$RYgGbV&n5`U#Vu2T%oOYu450TI=^A%g6MsXQXt1!ClethFmsXY7Vd zX}Yc|b56vTA|&)gnFTSrAX_KdTrY~xMRDX=(kvR>WwP*{DR)(&dP1SyI7HdV}rzDv67XFo$H^eBiB%HO$c{qC0s*{y?G0{-%N%9@KHF9)mB1q>ozy6bGzd2PaS@RJ<{_q{6vrD zEuEp_$wN7t{hNv>bye0;KGVvE{yBi_v!35kF{(<>5|`sMiu=S}WWgyYfB}$wTthbo zAeK2QG!Mp2#rDd)3d!(=RuYZ z3bSrDZR;Q{Xx_Od4W0=L_GX8a3KY5Am;n{EaVozh+{ zUqAXrDI9V=hC1F$URXH{yevl{EzF}>Kz1b##{)DO7?xEMf|src(*9+Oz2VFe`4 z7jtGOADW(m!<#AFwCp%I4A2?$bf z%-HxO6#3z3W9u8l5fP5H#Dr)Md1O=@Pz=PBeGaNkv?x%+0VEH`li<_k{WUUJcySuo zIGmx1Xxy-6k2Xe;PZqJ>Tw53UHqk!&waH%w76^|J`i-)EPO3<-@L1y7U3=z#jk7O^ zcVzn7x-ut}9Aw6cg=gtU(N0f}zPWp+ntb0|Awyu{j`5W_mK+|AVBcK(O3@Bvt#oW? zQlpUt2lNLB3(rU;EmlrE$iU1si4{g`-*=D^UliPtU&hfTl3V>exIwG*WezE{@P zBE5JwVh|W$jc5e>#9a!GksFlp(GuRwG+F1q5izX84_TFdkPNjpiV;Lc@^n{HIR-?G zOs&!s5zW--Fyho`@mM3*!B}B1_clhEPnN;&!9B#UkQl+LP#h(F=LbL_%sNB|>s663 zzefk|Z!+x8z?KvZ?gfK6wRAe-E`$(ExVLghgn1|UudApP`u(kVd~GhuNYEaJMXDYq z?bG}?^6WHYJ5M@yR+>p+B+2-e#Nl&dLapU`!?0Iqw3&#l;l625w6&HZ0jQ78@L zwM{!T*=*1vrRS{B!8V}|m6S57Q*mv4XURQaE`#)dWFWyYaZmDRimWy}J+?(yN=(uC z8#ZMGGz+b#Vj{7L9D|KQmOcp+U!#IS7_wc|=X(THMt?Fe|Mp2VwX&(C?X6#lQmSFG zQd6i=WWAA?8`fd_rNSQL#tLI0x4u3JCLl2J&oBPFh1q#Fq~NO;R40+&dG0KUG`RJ@ zi+d@xBg!AUA>(6|p?d*gQvA9;veJx&@U$DjYfL8Y+qyovJh@@(!thafl!?Ii#q54L z`m{}rq(E`0Q0`pFDDpfdG5`kb3*!Rx*csbx`RS&Qd2!frw}Sc+Os2n$A=a89sv#Vy zBlLRN2VX<*)oP{D(tM{MnkdhD>BbdTz9o3cYDEavyCzyf+iL{Ns4NLU<H-Tfnie0y=&mF8(HYmyv)-ccaXm(UXe&EZfZC^X<~24 zg%=Y)bswHe@k^d5aXA4Iz`OW%|A=L~^F0R>^EgoDa>=5KZYa(BWbK{Il59Tx@nF2& zV~=*3OM!-bw2Wd)G3S??!^45GEi!`QNV8&zh381b6}!bi2niesIF)vU4H3$-BM^cs z<};oYx?s~euVBw&QFjL$FY{D&S86anuu#N)wPlPgTD6{HBz3z6A%l?z6{5gU+4;$x zl?&@B9{Q7BwC`{yZV(j~OC43etmXL;z)j2VQCF-Ab07SfLA(u#mVvr#x-t^A*jzY@ zwTN`SFu-{V#xQ{*fxLrKJrw-VOWR3P!TVs@oK8hGO%%zsy>+pbb5Nm#R>6q^_nj!; zyRX=0O$KQzl;ue_>!+rJqN!~y2*-Tt?M~Tx|11}K?X>!mqv1}-JYrqW;e0+LmRg+@Z)6A>_iz@9Hf^x!WaPpIbkcr5RaSS94l? z`6$actE|WD002Y%8KGggjC4}+7A*&LuCsza3pni5pu?CRK+5^UwljdED54JWb7E5` zUY~%y%>&56u={142K;h2$iAQEO+>pm^!K&K(zLJr==DoPRl(Mp(YW^pAv)u79L ze|m@$=d;=uhiI3!<%vybx6|?x>8~phiFuE8>Mw333KoP~jP5np+YOz>Jl0WgVSIc% zB9h!F1I1FhX_XsM8mIBi#0`lC^Pu@WYGSz({1=Kw``5;G2Os&N_KCy|aPlBEASaD- zrut#gP||DTNM~3ifjC2=*+gR*CoRw&GtdI%Ks|6&e{)dUJrU_O@b2ngvDEV%@aRk4 zh7rnjMNage?P1HrBaSJdteZA!EK@Q0VI&e_&u{gy6bIm>V1TA6kv02OHYHk|uEj)3 zD@6^Mq|K&9{5-GG#bcr-<%;Xg-A5#QWWVnmB@2Gyurx^qHG7aarnbAOxSGhiI`^RO zi1J?$acPFQNDuC!23%!kT)$Ykoy98#5cy#+xS11Zva;o%m^ElhmeXp~= zV7(UCQxAHdzjAFg!8sjO7gZm4W6u@I^olqHJuk4)1kly$T)Jro@I+DT^VMN5Q2R6N zWMO=;g>Gr-H+ST~~R{%zHYhVd->aRp}1*nM&8nDR~SRbNvxH zA&e?KcTUVEb?n?DRm6223bWZ&2N}0`n@z1T#_GZFW!=Ta_FZOxI@vq$NN=Jw!r)mt zXFe}S<=hCLi`}~dinAey^@nQB6X+3!Ri;#k#8`3T2cTl5^blO(g@(%_l7_w0%kP5^ z=oX_E+wx!pM`WPomgubyPg}&Dnk~K6s^WoC{NW|-QX5fl#%hJaLS=;39pkI0TY7>4 zS}{L*G@V0Hm{#QQ6NHakyo%Bb-Vl-dRRP>ng__s%?enhp$ENVll`3Y5g`PcAi}8b%lanG}|(1&4daE6;nujL79@W1R;QGwji*_L;H5510->w;yQ;JIYv+QR{Wx9C~6e zJ~8IX7j;wKtTS3rl`e(q)y&~Dp6e!8OJsUFWI+=A$mP4H*Qf9SfqM0hkN29MS}vAd zC-qQ)KFKBPViSjIO9os)(s*$osKdc*)3F}S8*zUs2SY_?)@mQa$Rx71ux^9h36)11 ze_~D{>yH@ynXE=0Rr)QL_7iLO{49a8vjWJd-FCQ)2>&gc29ZhM3S3`h&Oc-qu0U)B zkFH9SWULDTl*&jMA$3z|G>DAYu6brLu!c~3qL788JfXh*vjSl5dN{R_(SB&j^Q#p7 zBd2NY1iMQwUo+1<*+(LcRWnm##N63tcF9r88!K%1pjfZzzEW)ctexIHuNYg~RjT)q z6zYNj8?b|5RIv1`^NC6{gCuhD#|@p8?ehX(sxUNV6uP=_WCwsuG1@f1n^iwV8YD%I z!NsG>FDs$NW8-Z5p@lOHHlJ*(6Mk7OmR~kuu2TM<=yuSR(Jx@df=$`oHK^9ANT)y% zB#w~|%u?zG%g2OT%G8G!a#*bg{+wXH2>MJ})D}*Ubm1;U@=qCrGOItn zba;Q}`&H?FP{mqCob5(NJ}|NnnPM3@=>C$oe4KM@gju)oa?h~bFP2>Jjb zphJpX3eyBp&|HkvFjYGs6EN6T-yG_D>#0MTPl4`5OMVH~h;G zuA=g7Z(wHq`+)yL421drH3st69RK&X!GFX+*f^N}a|}e<4toT#^G^Lf!71IK3qQc1 zJMkh0-C+@%Q37BHoK{p*OQV2Dx$Ut_-ba;}nIt8JQ=(>=FqKnvZcb<8VWA$K{<3)~ z?Wnxc^U?aou#Hzwr;>jDZNSm#7axtB9Gl*}S8i)^j8v6#{&R&8jgBN0>4wK1%mlaB zv&GwkZ6%uDk6gWqs|CXmyQ@il-K`wEYWC*Sm%F62UzU$kn%6YL;Dym-Wm%Vz^rybO zZ<(#dkgan)XxM?X_e;AAFY!S2&*(}fF^yuCwx&^Y%#~`nj9pQDI~E;Ex#}cxm}tV8 zoY~yyjw+Q7E1+53hOB&B<66j2P4%2}Alg)6LzZOi$!e+An_8=nqA)+5KLwn zI$-SY3<#r@3_ld;nOl;D4D!<#z_8yO1>m&JZ_~&=XbDpAznu z5#8P&HM6Gpk-E`TA}LN_5DRg%@#N2H)7}wHoAI`atQju)^@STYN?xmut*6e(HYUCf zFXKX#cYWeQ{FUMB*w8E*=zRQc!bw9|m3yh2a!ms*ez(~M7h)}fFY z0*v@NeljPg1V6CJf-t>85PZxDXaUZ`39Q-yT0E_g`x`fkUv>eK+y;Itc@eHqhq5+U zaAa3|YKw$%q|0j4k_(lUe%_RZB7*E-KWFnm+M-)(mFyBjh={J>S-^n9UCJL1?m1&j^Q;aZF<*4VTi7;@hr*@qL52Q&X7IGA9Oby$x= z4j~6d**oubLU`V9ChNz~VKK8sr+N{XUp_L;?UYjSwK#+k@Jg7(_z?^C3ZC>?O_fv+ z%4#bS;~FOFSvAmNEchL=8dI+hbwWu&azL5kUpp z685DV=9cF50Ham_@q1*{suL%}KT4Q3I|R_pTT_tCU$w&T1pLFO*w@z|xHzfj)%UZ7 zkcd^baT^G3I4~~34KA#C{d|*VXa+3@RG8w_f4PeYuimjrEgDL_> zH<^d?ju~T&fyN2q0W^XMft4V4hb%6E7$%Yvdcv1rF8ZrH8#KmB0W0l7->j)ltp5;oYfo!g0n zlcq6pQG{`W1tpB&Q2&`@LK+v5e9YLuyPlV`H4iVpar(om11Y*we-5mJ;o|J7;o945D-x;#$uRGjP5Z9eK1xTExV;`oLaoyP%1%uJ=AJA4_ zh^M^MMJ!AotOye$!%NU)?&_z-3M6-x3Tu3|gc&9X2kZAuW#m}&$(?$qVbI0poQ#Xm zCOF?Yklm2klN{Q0BiU93vW=rEKckWCKx9|w*e%%KNLD=&!aWglP_cWRzu?0aj0$5; zP6Cx*1NZPyv!Iy0aG+wp10kGM+xs1XMsXAzS%_p9u$xq3(khzdm=h)W+wMmng@Hk^ z4s|5`HHy!r3G@xYxraxQ*n|zczuAginkv{l|48@tCOb)}gN%G+fKMN6;#4!9f@xT? z&xynY(aHZgG3Y%hd^ia<3Jo^0EEoa4K$i1Ows&5UklqJz%6~@#|t5{SVTZfgw}=prAlCbmjW6gx62-Rk4WUVJ(jP z6@bYx2?<~mNR&lhQ4GXR0u(SFDe0d?5s*7e)5vHhN?n>R@rAfOPdobcFC7FY6K~u= z#M&`@4}0gvlm;L}Ww8xEgf0#aqHjqiHBeljDU0aJ4<@XuG7hGgp~5f{oKw;3pYEDr zC3CyXk8hp^-Q*^+V{Z561aRkX)eqW|!5RH*gwp2U?^+#jBU^y3n82&c^N*)Ofv`+-o;- zs;d^!Pd|2z>o;s|=feg@Mh>GquSV+TX|ttC{*(yTy)preQFgQ8_CGZg2Rbtm|NKfbz;|E)#4PCnQUjiVYJ-}KhL85P;L#D*bn5}Vsw zXDqes>)GB-U0#EHet_nA7e0)_)N#J<=nZBoSB?!`4;(@Vo{;rCTlmPB`xMB1hQ9(< zL%hmrzy(qO*G)85cS5tyt=Q7~m#p1+@wSURAIeIhMx6E^e}f0$K|6_Rn0Q#R=JW#$ z<1qsD*C5Xj7n5{9^?_?3q0ciwlon_*Kj;V+B0+TWD7MOal`L}N8MsXdGXBtAyj4#z zLT0dC1BQA;lp0oj1X{^T6$kwe*LXIhhU|%Abp8Sr#;!mN>gD?8V8rY4NSu}y)LgTD zcxLeL)d_8YSyo$tcp%=kFBrl4w6sTr_c5#!JJE=$K@wVmEZ=;`JNm=&#_uwUNZ+UG z=^+`!smR1qaYYmfb}JTv`o~pWln_N4G9y{*3SQTt$+N8|KFP@4==V{>J3_1|6b}#g z%a$V|Tyqv~fXZNHd>o>TQf=^D`z*MTyXpev-l4o}?dImWUxw%scpwOcU`sg0s zi9E9_4lAp%aLLXtfc$7(5mBSKB6d%oU|ctcSHR(k&JK;O2KuY(s&mNW+o@HxA`)mS zt^CAZ@w6)su$$cYi4Y5Bucowi_j7i6($C(q($TRV7ZrW+9TV;KA))p0jmb7o&&^5- zFX=i{P*rVsU#U0rEtfBL(p*ZYru6(SY9({Y4}GFw*j7xi>f@=eXJS>JFJ3=XdY)8G zsj#7PVMRsL?o|?=h3j{&nSGa~A|&E-{~(nM&&a5S*1;?g+Ld1w!wuQ@FBV@V`Z&n5 zq|W)JW>6_ZfFK8U17Se{yP$i&UbMysmzo*kma?&JqS7jg_}X{P6JpRPi%XGzZBNiFLvv2` z44-7S=zd|Vk|$gZgk&>Vo+yH?$0!E0p@hsl&~#XQulY7%qv;mt09+e;jgu~OJ+6CD z7}`u}^G6VmVaIm7D)8s_{(-fX)$!}71O2G}&_-##-aY0ui}r+8RTv2#6MdmY&1;Bj z>CWjy&72Os>8$3mATxEqu=%qA8F^2K_G*l&?)COa^s*BRv2J@N;fM*M+cG_~`*F5F*1IIb8)?a59Qt##^T zic=Z3tH6i zPM30rIyQ!DQ&!8BQK}8g!&NA*NH^V8DjKjkr)o$GCKOrX8l{?yQQwUjJ@k({(aNh? zMaBk{%4bc?k7K?Fv`?`u1Z_0vN$oSjU|H(ZVVqN`R&8w3;B$v}Tb>5YYKDzBYFpUh{P%y&g9%NMbt zq-BMr6I=1iVu9@<&KO#T0~@ZZ$7R(km2gds>qxo3^3B}MoI3-=T^#R^r9efA0KRb> zF22SGfp~N|QtYkk)xwFIx=v#V-bL>LSlW<^1%>sCOcc8+x-Ds>#wqLXdQztP8{TL# z`$y5O_gMK;%X=W_85#Pq+=o}CM&-o?SXKZZeCSXxl&P-U#f^DjEf8keu=94)qJYg} z`LF+ryLXI^tqm7!V{6B@ZQHhO+sTgYWXJZ7ZQHhO+w6Sb={~pn-ZM`BzxC%GqkgTb zRrRi?YRom~+Uh1|FJSKXVA|WfoEWFhV&6Ub5ntJdj{`(Svrz)SvW@n&<}iA!G@uJc zd@D!WRwy}xc5u%0Q>CjNBoJ?^i>t2S6*o}q<*|ba$vhj{tVOo)qdB~T3_r-#P z{UP`KUZsjp>6Kpu7lKtOU&GZftKe{8)ncOJr;BV+m7v~?`Gxv=YbhD5)jTIo`KmLT zGMnxOFOE{wxGuknA20L=kJ`+I-{xX3L3YLNRbkIokp3sKM}GOq$YV#^6G)|#!29LK z+OY-@(x|=to|0`0t9A~WJH9FICSBaJ<8NgKgQ%Kbe5^WPcKQiVvS}f6s9A~83$5A+ zPM#)Fd)j%~J^6RUP_~?e)BATz#@XQvz9uI4m!cBHZY$tIL9JvCkN(_ZVjAK ziz=jNLpN=9A}GNViG4O%vk$dn__Qa> zauY*nyXz>GNrbMMEOYc)Dm+ZMHOZPI8)MtDL97!?m70uD);EIaUJzDckIUbr^$f1f z`o2_C&Nq$ijGV_8M{WV9%VwHOZA{^{&Op4q8PKb|f-KK{ddQ%nY$bM4_J>N)wvQnO z9$R9s7>FGMR3@D?RBE93f>lkiDy2C@%AalN54SsAHap!z1U?74Vk(%3RSz&fi2d?HFl5G4rOzx(P_NM2-&$&Xrq+SuF7{ z-)@@9I(7l(Md+is6)(3hrOdYgy17(sNANbiIUMrx3TgpuWA90;@1w)(w(PbjI5i_s z8R1zN@Xvsk?8>v2d1eQKLmC^NSPw#iu*%AuRHn+awxw*;SLW-|C&g}v^$nTJ_KP}V zeHdV*#u`^sjAc#bJ4G}}**tp?xVKzv5Vk^kfvrjTaF8Q`ltDH$yON^;*Jr-N9l>yF z0m&=#noN~dIbOl}1Fytx9sc6h$B@E`bU~p*8F_lgNb4BH33Cn}6|jMvDJh8JHg((b z?J$<9&S5(Brzr7$1ZHNnPKng;^8dCIbbh z0o%qj!vi`SJHB>=^>gctjz} z8moFwQ39U`)a$0YOGxUR-G;g8%1L0bSt@4j1nF(8ayyN90Yw=4Cr`KdxJUuwT)Bh| z63TLaZ-DSzjQc^~kJARbQ7?3>-Vt7kgHDL7?l{fHuy*VL-DdnfF3EQ>?JF2xMyH4bIBHuM)g*U&fQWv-SXA2L$I5uB?&*<1+XV z4JK!Qhjd2QFW(}O976)WX-9DZ39|SilJ7WRRf*Zdw7y@Dv=KzttR!)TFjaw#6>BUU zz`QjTjUJ{@hUoW&ZkzB+SRdqU`M5fy-3C_^vM6g#pcgM8gnwRs8w6~5LkX^lG}bgi zA|5vb5lf~_ZD+%N;ytwQ4AW}T5UE2q;xb| ziP_q`&&e1DKPR$U_h{Zn9UAO_xIlsQ3}0q1+gsy7F0i~Ts(BBmJ<;b(DXWf{TIFjiMCY%!lKxGxSrl#Zza%ZS7KqY3_9% z1mKJo33U5u=ZJeiz|?(T zuVrnfLk{`9gN~=Zc3oe?o2ZR<7XBvBSH#PW;D7hsks=ej!HO92Es0kk#73-;UuZ;c z1uklhhX~9I5;;`CzyOxL;$NKA|MgZ$=@W)rPF~B>S={Sf`hwcM6$(lwJkB+~V-R*r zFWqIv-)}?68%4|ooF8tJGVO8=KbD0tJ5V)o?8&L<7#2?YWK(Lb-ePbC5JEwl8rd4q zlB%?Cc)EyzB;J$LhK@*oYD+{6HQXF`19ToI&tfDd>cU4H5T49$*xV63&;bKOT!p*} z6t2HUK|F+wt?U%JIm1&FQB26bTXwjk52fyXOlA+!?0egW$00Ux4r2G}<1ofSdH_ED z3`N8{*njtJC%@7HQih90<`oSkIjHQ%q!6h*gDIm>2$XZ4&6r4~=s*1^U3dV4Qdnm| zfsjCm_EJI>5z8sVo4%Drn-`dFuNv%pqPd~Dp%m2bRa1@Sgic|Fi_Aa&`TMyBmHEEr zJa;y#5o7T)_tquR|47m!){kfypg%h|-wD(x&|*Wy{*&)Rm1TjrqcY~fD(kSg!FCx4CX?a_^j=jKFErpfifx+K+Ow(udT9=iPi^3|`XTN0 z<@DA5x$^8NhmQ7A%Ow*ZPL^+{yLMI$wihUA!2%JRkFRz0Rt1l4vu$6lcKt6o%YP}EKPVV|JUJV<-L;tW#IliXOm9;6 z;o8xp#tQgj1tAGqq3}D{rQk|)$SJDgF;lpyr!=rMnfFoWgEVW~z+KCBcMA zm&QiV9i3~cTn2DUCz-^W;oN?hHT5xK549N%na?jNf5GiW?eb#9{pMazMJmLbgI}W) z+NaF&<1VsxZ~Wr?`|ocPpQBIAC{ISi!~67T%<>dB>c`WnSZ_@WZP(snl*FuCi=S75 zMXvVnvl>OjGx5?S!Aj-H7F^7GEuajFWs%~^RENlVVOG7WpUkrqQE-Du6?E-KwJ8He zX@Ub`d3hSc43~8I$$>M`+@4xJHorK_D{d99SIEh+X|V3k±L)>d=mu!3IPo&FsB z%R|K8?3&Y5@jjE_^nN4{&hrCp*Gj^@Ior`ZOOmiyLE4@qrwQ%4HY5(Ddoo*3INxN( zXDz0j@jdLHonbd_I`M?aJb4@;I zaE4h{d;$ZYC+_n5F_JIxgf8>Wmq;icF8!2dvo^a@BwAi>|Uh!1UVbcipNTVJ_Y?GQ;!uOr}iT z=b8KYwR&*DM28)+{H-DwfVpD)Bcb_QJn9;lU_Ocoll21~?e$W9H{Fi9<=~RRSH>v{ zkMtH{6l6B1g?Yw>y`xz9`v@lNXfIcNuH&q`c1s$Y-~b!fMz)voSO#Xwz#HfQH6O#M zC2^(It!D$jQ{gahhsYU1rBcoRXR#H`rj80K7k<_DU-t;IchTxukAj@L{ zRZ9JWlho8hld3R`80+W&%Js`gYT8tNp(_I7r`(jGnHlhb+HcOKQu1{uIP=1B_Z2VB z_(f_ct9?gnh1u9v>jV;*B+STKAlnZ04JlVZ6K-0p{YE1U;ZER4{r9+X!I>GSQ^3~( zul2Xw$*WXVy8<j^?kQe!j>7F96cd7*|Qm4CA78Ef^Kf~RzOo1?P zKmAB2Cith7o#h?nBZmOX((FVkV4dvJde_F7|G?^&8VsF*0ir{o~ z`qJahw~Q013}i+gm5YrrtPEFckXtASSN?N5(mkW?#oDo)iQ#qDTRI7`JMfRt+`EkF z*UAH!kpbVg^UE;JoD0(|){a1}p-DA^T(3%;b|pi#5fC{p8>D)#cH=XXVB9eDc9wdl zxKwgK5``l!08INWnL^zi~3#;MqK zHEy|?+@Hwaqdbn^NscP$_=swjt!;)Q>XHSq17)*d+#37&_(B~<{H&f0@{U7Vf9qz! z09f;z?-bOK@m$iqm^qGxvfQnz?NKBbtes-vM3fbTP-crZ0Q% zWJxs-Z`P&g{%Zq4$=TgIjEH>u)jz(7zzqJzd}>cMKYv5kM8G~Kq$8!JyzXj%40c}aQQhxvevaS4({Ot+IXig0LCzrs|3p|_3 zYnAQ6^84NJ#KB!BZRxQT^Y;0Dx+e*B`bcOI=4Jd?49O#e52tIlzc$j0nQ!MD^&GXC z^6$jVaN;ZuZ3{mJY`-aa|8d*%&o?%4*NMlNfQ_KZ*#iD(3CHAhrQD`gtX@71p3fgiQdxfRQo&J8Hj)9;YQq=#3q&7LK)c<)V zEQieKcQRxBMfo$wm~`{XXC8;eD*_mrWd+9w`X^5u%o;%E$A#$*b7hAag^hkm7^^qWZ&zW=8`YYCG-l{! z*|`m+&^gl)>I-g(d-uN`adgn-W@kYx`MK9js3Je74;s(K(~G$i(k39eiR*FD++x%v z*&KtT0XfEQb`NxEmeDn;6@Bs39FkNsAerj0IoCut?S6RS%#L8A*?Az~@5?IsX!T+( z-^T-#YW~n)_HI_bZWrjjJpBv1TCILzH(^eeUDz3HXlcOT>Ik@ru+-{k+C~ESFTXx; zmp;ED@6F9I^!a=~Y}TM`)*|56q0Wzn&O&F-RE!^mrq1pM!8?QVo5LHZqSoPS*Qo}6 zT|eu)H(oqz=l^z<&p&w4&L_h*8OxqlgT7ZQ7IpRJJv-Yiko^-|WacBS-JQ2vNyWxq z&9Yq0P(Bzvob^!v*Kyp&5DKRk=QG0RbBEo!&#uVMX)hcKT5V`t_OsjMFQq2ugQ7#4 zY6Se*yi3NoXQbckO(OJAUFFFr{;cJUZ^h?yj)Hr6ydb#a1&=Ll9mI{6=@4V&E=mpz zrRZ7T%@3lvfruRjbFYB*bZ16_jx{$Tc5iXr-Fkvvpe2V60k%%<3)+^h7w{j*4&MXatg6L#6s7-$&AB22CPKzKh5sK%pcGsYjFR$_>jX`BG9I8p|p2 zGrjoPhQ~v0{W2l8fS(UTp;-f0VQSK2Z#%{PxWUraD~>T_cFXXg9|fB3R`e1&Mp$qP z31CjX0hF}Jq=A3&o$?OFsz|bR;zG^t_;agE)ZOpdFQe(U)q!2wU<;3I)^VGLuhzK% zjIlcn|8@^UcVFP0CU;Q$aKC?ln8yi)n_g{6YjAQ~BqcSeeuAuJ4hs|z9PqoLF4!@A zNl+%=I8QsjUOs_Bi(K#0;H;)_0F)rt>-I5Z`*cB3Je7s+AYdc+NmM~x{g;nC+5wo0 zS&-qLC1kl_;02Vip>ysRSr34D&x7QD#qKcD|F^`*{|g*q<@oQwA@0dhSO9zo;aOGk zURZzD4$OBuA)}9McY_S=1(MMP)6WG0@TVOp#FE_F3w(4Fc>wr*w-!giz_6BMD`|zGmQTfWPW&~|KCeyemJ53D^T>`Gem6vtxD$KH}e0= z5HT<@aEgKawKem|1oaA0Gj>Xs3p06gP}WEAyyqS>(f=ijm} z(>M8zeSoOFycH(0ix19fZdq+ldxM`xZ~cRo1=&1W9V^zfs(8yOXI`}(?-g4#va(lQ zWlsMUM0Awp?a}?Zf3Hd7qpqi2^#c)6*xAumRoT(8F1!6Ni0E#e*!|Z*pn_;1WpVpn zWSgFxk3w^5E*Fkw13CTMxF9a2`XoX%U6UGl6JQktVCrXXGP?Z35S1>lo9y`wZy;k2a54xzP(}bKVat(e zA30P`8U93HD77S2{)xWeh}6h`=*dv3O;W&8ykxOlZ_|sh}rjOROLnJE*@Jd1~tX@U$nJd@Si970g&SuMFEp)T)0&X zfl*PO(>r7iwOSiht$5`!xKcGhG!DIF&Qp{78k_g}P>&F+v+b!3wC$A1o%>Ifl5Eym zYjC!qu*z5UbNzptFJmH6SOAd@*gwq|hku$cp|pmBv%7Bhis1^$q!+bYPniYGA8~e_-2TSDz|IXQWDfumK2JW(;*u!OZ7?B?AO7&a z75g`!h;sp0e8Y&hPxAs6mUJHeBwrZmN^V_(ZvRQXME@jTaDI|61GR;yKgpL&M3bR< zOi{!TyEr#@#7)|UhNwNIUtv(I*%ndYFlit;e+&{8YAiK?j>QK#h z&6R4Yh2Ds$%?|0=$rbrHQ2Axk3ij-OrI79x#_VL2`wzC-JVN9~T3Fwh5YNDQ%j;n~ zJA(5QMM_Z&Af0|CkW2UMBI>FU!oiTv7IX@lW|4^n81VN$SD@qtvP9;F92FwSiWXZj zhe7ttVmV}{r@hJ&r-o`gokO0C!q})uKrFU}yhaX&_a*!qgD=@;4Eln0ax}_E*HNdN zL`0W(&$KmBO2#)L5sb$!WGM0hDL>71r;Gm~h%SE!B9*k-QCoHgv>0=aH*SZ{6SC2N zH8Qq}Jfq^{is#H4p5}QloY|aPinyY#Er=sNyEDfR1y)V~^;IRDb1+x-S-z)aJz5$AuJ zFFy#8V*gL`rRg7p$cb$Dl~@O}ogaHXyjuJ#uoC_69JcbAqEsr3i-n7$VRjap2121q z*$evVFQXhet$@m3I>Ut%vwN#c`i3EKOEQYFAaR95OO&_HmA@@*5}9qSKL+2!N*gOZ z(wCV8#n2^FaT*iBPFFjYOF6SetYkqqWuQG1j^8S(0xcQZg; zh}KwR;c*kL%}m?q-^S7A%Fc}UC9Y*FNgSiHBta39sB81fHiY)28R9nW2H-hg+thzqLf{v&Z@WBl=>~`UghGB;tHo z;h*RWYSYtyL|?`q{xQjD{U6bnOC+p%Iy0d1nhbL%;ux?}N9&}P8Cn^4(+s{Nk4{a#DJe&O{gFk!<=>hObeFNJrn zLoAeRpaypg=r9cEFt(cgoo;Wf7&7)K1W}ZzU8Sg0Nku`F>Abvs$NiT=?`{aUM%h4^ z!a<1wZ9|XTxD!b-*cLQ&h{Y0F-v=p;Pu6N&PEMg2T7vwNbn*Cn#>wQqdFe!Go%)bG$|{c10TiC%^I#2N$-FpSAIpc-I;1)b^abqd91 z>Qz&+-@FWGYBhXiqkF$g93Sm}AR-+T`J-7|f$(n<9v7xFjp|D!uz7E=)!H9sjiOgk6VvQ)at7%*7M(u>SOB}k>%&>k(2+Z z;=Vo(NLWF&rl>PmYnK*!$_jqtwLdEKYo`L1pOg6Iy(EIPPY^tr+5& zdhj^EroAc7{=uK-> zHjM%7R%n6)H+2^oZ`x*aNGK7A;t}X99oIfG9xX4U&rJJ#P54X|>ao{{kLT?0gOE!p zX++8>l~o8zn}EYyc!YxZjj@g99gMqb3G5FCfaj*eAQWb%M0V4QYEK4 ziNlTFtN}oVqt5*n=6@V!r0Ai3^dB-St+yfTOV^DuO^7mNhju{!6-yJ5P*apjk5UO# z<^lWAowgR_4|UECu!k@xYx{};=2H5mnNl{|=B&jJ4of~kkM1H2KrB0Jpb_M-C?pH& zy;kp0S6oGgMBsTsmiKp&iDXyCwuf4*^PYGT+c>>EZXGa5Ox&R?G({>D1VYuXcvv!n zZ*t5pkWFh<4dKVB%di)Ry1=QAb}75ym9J+MyE^hEI6GVgBC`;m*ogaqeoTxHS)bGT zd&eDQgSV2#cH0obzNvQ=I%B$~b&BZ#L>szyJz8s~yvnLn$TD~LFQ~l)!2ECp>kgiT|0LzS^u{*Az;do&5cEKvRj#qzG$Q8pYUTD35Lm-Te)lHr- z&5l+ILHmf|keMfT6*&05xWJi{xByy|8kxxx@Om#t$iU6?S*{$%ioj_S%^T@Tez}1z zRdmI(Tt-G_jN1(<4UkFai!T3?(*ps9_`qU}W{z6p+@_Hj7{o-}9PivVxOG zZo%brEzvUXHkr@QEGVQ-RtsMysfw+buMLzN*ac;)RHWKUu5(2&2)peFAaBGIelL z!L%A!VJm@&DH-?q7TWb~P=_=qOXUh!KP3Nrf{x>uXoe=X`7CURVa~dIfF(J-_)A7U zsMd0aQX`vG?LOIl8tm1jkqykC)1fIza*K(d6WKh@ug$8jx}`X~Nt3qJw{+8x+~NI# z%OA9aQk6{bon)KVQY>OUC%oo~G;8m@Q@znmQkj?TL2}e6;7{AqgU1v3(1OD#lIMgd}|?6+4GkBRGVg z(MBOD(>3y&G7B1ej;ntIU+*|$yh05mVB<$Gr1fgNLY30T`F^}aFQK6wd?z0p`Lu-y zMc&#*Twe+bNTFoMUXSQ+&tx)R~FvX_}Fys;5%L<$BfDEpIuzryNH=HechjAEqyx`p~Fj;i7B-; zS>6GpnfRhJzvBRTx^^{+U@7x?q3mRn8@>eKF^?c29n*thp-KC4-XjT_EG31||LTHr zmy74%Ghbk?#YMdwpu8w8ATRAKF%rr@`vSVnAYbDzBJ zLO8!ene(RpRKa=^f7?17E^65d_uEWVUdjV)TRTv{`;Iis%bC;PBZl9~xx7`)(j)@6 zjy7~57zA|6sd*J7?_ZsK#QeA=^~pf?KOY4D!ZI42`lg~iahl&>2wiM&TuW+J3QQ%U zA33JO&AzgwtPzlYAq!V6`Da|V-C_PhzN!As4Zfd2ptcNB^*So z6`fxVG-C-3RoaGaIvyK`9`UvcxRuAGM^zAS$tz_wxPw`Wl;fkE%V{pO2R6y-10IIS z4I+1RH>CIcwf3rFT-o}o^oAAf-in5FLUN^^&JusJWnSqpAjm3+@y z!n3pE9AP}5gsy^l+`StLN!(rOjK9)L9uQQj!o`=nP=$ZmNfDNGq{yP@A!U@QRfX=u@o!;l@Zt3~Tr+TeV+G} znTVc_#w!7Q@&x(e?Sa;EY!WpaVf2+5AtG&>u@qiNJAlj{I?IOZ*V2O_bA~Z;zeZR; z`%GLJrEFiiVV`mXR0&g611K=cl7pZ4V>mr0Uw*i$;nqwE2u*pR~V!vwO#y zd8QYF?b16aay|FuD)*|ATztqSk@8p>tdVSQ#s-c;1m`j2TFt9uwkwYVZ|r=8!#9>4@L;m4NlXGY^%c-)<79v4jnB);q3H z0m+$p_@KE%=P;OwzL&p*n+xdqxt~4n+?{6O_AH#Sl~FTh41m*w{@I;9eyUD^nm5xG z08=T?0}X_MI5IP`z9;%9*l4qQs}*rw5YepB=bJLjnNijVY9RL&zc3e5or_UC+z8gZ ztn|G00|S})yfi{I1())%0AyS6JFybvl3I(!1IS@Z{swobotpTCUmGtzLEq%=6;n2J zgCGK1TRXTyBpVy(%kXd(lt?5Zy6qL&{Xo5?&y{L~DL(vNUlaud?yGqF

    vHAb#hWIxBICREL~fsK?<1(M_>keZaw!ywba2y+bsTZQs!egf92 z?}_sQ;O>B;Jk>FVvk&t&=&YiS985Qt5ZL2}g3KZIo}SrC@zj~ppE$;G!EcLxRH;(a zKAp!(dG84$q74!@(@jkV(u)AK(J0~-1hnu!G7!U!PNONQBN}tUj}bx9e!o- z6J)>EhejN0I%vl&hXE;D$<%q-&!s}mR(FZ4U1DHfKP7&Wi3USWzauL zdt@Y#y)EK00=~Xy=t^(bSBZAh+cO;%0u$7KBP3p zMNqkskRXGgoX8tei^L40i*tz>X({4m9mXUW&@67O#cFZkmEMJSSffk|Z!1|P^xjoh z6>eR{%-o{#{GhnpLHsz4ciNl@%BO-?u4(N&M!8rkvp^Mit?9mPErmoU{C6T$`<{yg zO6VA{Z_a2l8!=*p+TUCNi{PvenytVpba5f|4y9%g4C5=vik=~?R+n|XS`)J$=A9#1@(_+$I!l zSwF1nh+}0s-%ZkS^ZVOmF#9Q~TQKNf+P9jpv*y}BOG}(lm z_@Sgp9ew53ujO(m;AxhgUpygVt$inrS#XLBO;DFCXb0L~U4Jw-du{}EMtM-a_B3#KEgf&y0>$i#DQmUr_HozD`0WPCUh3%aqtCTnAM`z+38m}i&dNI z=y3*GuDPa^Rvr5O@K8X zVob8|dh@Kf1Gf)nO((iD0*mHGgXwnlkv|~gk$odvq3Ml$Coje+!e2t9-1=y4uM;BE zZ0)>A2_v8Z5>LLO^IL!LJiP{-A?)RUXD=AD5ZHLatIB5rf`G@M^7hleQ(3I(NFeAE zwA|#>0I|z3bqt0QihPAN=E*rjEsJGYwI$^=D1xmf5;`|6PgzhUCy;Ip2z-Lz8CL@ z7O-@FRT|?5!r9#GNlz#ItE+Qe!sNaSjV%$_j@z|I`SGL_(atR%JuDUzQbH$qWWd@K z{D=4xCVkz36)N2Zr~1&uPkJnn48~whZYTZfB$DaDYx^ri9VS%#Z~3z*=7jYs(bM1P1_A@|CTL}%7+fV4EUe$%aJTLc7}cHj zeQKvm%YM}0`VQj>G{Bz^JUd1;NVH+11-Aox2>wm;LdZk+c`w-qeXs+k{W=5fj!raf zr*}s&jf3zTvt#bi_cP*lhoO_kV4;&G`ou^Av|TUW?d{)=4vkWpv*48{Ykjv$Q0$f9 zm5j_K;@v$!K5j0tXESgwskt}}>oxZ&pv>!L3 z(z|BX8&c2rwp7}Z6PmS(w`^HEO(8GK$caunN2b|6f{Z|@G4bqx37-ZJm+J=M*`V^J z{m6-w4?O{)_QT5KbqTHl(3EFL&4dQFMv`HTs+qidwo<5ToM^SSHsEYlJ^&pmkHN7- zJ!RpW+fIttC`tn?fOO0d3xE>qwe!%$ai}|lIelU^XgcsivLOLaa~WwcJ&2gYH!J~F z{C|}diMEw_Ixg0I+*V}Y3||s^o_x-j?W#X^!h3&wZTi%(l~GoYd4N$Ht4v)ztMFF)ct?{x0fw_B|bteJ}zH*4&)>E^);* zbJ~#OJ1b@ZuB(zXTkHB#Bc4xJm?gE5OhFS=pb7ZwO*#ksoW#_liL>IfoH<6+FZsfQ z{>1$H)GBsPN7DD*rX^)Y3JsL;AQyo7jY3OhWQX;5KNLn)F)(H)E#H0Xtsy9Wmfkz-lJqOP8#j;1TKKf?wL*jox&I)Zff}_!0K1z464MdmdMu>r1d*ok zh*PG%9*DmGej_Ha00+_WdyN0aLKolS#Pq@OM)1X%KwLsQ#+B^plkD_jc54Rf(F~M{_2}Ds|Tt0F8?TA$vQ#| z<~E{Wh^SCeL7zx}%akiTi7@g$&|u52!PF{&9>u{A9PpbnC>C7QM@VM8uWCt8L5R`q z9k$Q6`1CeemZas`wRFsxDaR(jfi<-Sa}RG(bxABeDp%zNFmg?m2cE4XEaVksIZvRV z>h@p$czB|B79@jmzB=^T0o6LT#y312RMn_9B2Z;>yrNqSsYf zk=QF!{lzsnqIysb3WCIIcjKGJkez(vUCi^NXDd_zNj6-aVg@elFpRaK6xKzbGF2f` zon;=sf)nSwu_X}oSb3P$U2g%b85F zPv(s%iX^gRYjzNOLTdW6D02aII<6Q4p7@G*w!2XgsjZ&xo@E!Q?DKE~ZwOc9;OWM?(ZHYjQh~RSu_xS#>hO*!UWbRaLrE6q zW_K##)$_1~zpBGK=WD@pNzF7~6Ydv(>6XxT&{0KJd;yW5-h32iy7Ej7&s0nlFTa8` z@Qta>_neDzm8&qx)|sk6CPPi*k_Fc##aOH=>Iw?;h^xd|7_UCUcR%dX%CRO z8GP8==+L2?yweI;PECzi37-5r!GZ$AirG-`NSA7HRefIo*MULv+&Iw1Li z$XIScdQzmQ%&Ru)r1z~HEuBPI`LhKSNaBV8#kEvqzmkru9Wu~Y!DMKH-DLUUh0d2_ z!mwBlZ#Q1n-^)cu6)IEC#ND{$<`@K%l+}BzVKcOw^VmIL$<|8ua~I<=`_jXU^BhSx z^{MMdf!_*BwG<^+BA)Gjn%8fkxjc6jDAKrqmC@}zo|0()#Nfem7byV2qwF3i>yAIr zEuScz4O1Pwv63X6Dn_{{w0rYDR?7zg&j}VgM6Mag*)*G9RD~wz)p_XN zD7b4+s@ZxS;TF^GS8SXV5wTqjB=bk3L>O?O3(hz0B#|D$_t_Gr1z0P?r5?;k>&JIB z@dXegx;@Dw$j4$OGl75+iC(wgijyC44YALFd+)cMA59D>gj~Oy71)wh5TgfMH3Yal z0_>#!EeR=12rc@kCdj^U)NwXL&cg!bPd($sC-|NR^?|$4=_5MkMvHZRiQ$z+>Jih7 z>Ih+zF8`M@bAoUshnSPUoE|*bR`0370TV*3V~>PyK~PF{92Cy#@Kkj}R}21k>g4;u zzyDwQL|vx{3Phq{`-bBX4}itrd9Cn!eeevuM%c@@pG*%KtNyi}uVV+de_K+r6xb%X zwj(yzGg}xwUeSKZk<8Ulf~$52B_7B7h4m)fEYBNeJosm0PiTg^M3?(IH@glH+JXy8 zn^e83Agr$t%qliwNe~MDeEA6)j&Ruas04paky6 zMJVk&8SOF~z1hR!axu_C>y2@KwaKB=WdX_dB%X%2PaE?!{ z*HX(uVZCD{;v|TfA@Nrb*LW1^tFQ>TjOA38;$q~a7Ji;0XH+6^bY#t<+~D2Nw+Q0~ zKbG5^jKg@5ype5s?H8Wt(aIOoghHHA*Gj`|kT%M6I6ignoG6dTaB_2BVpWP>nvB;l zQX_H01g7L(<3|m;iC%Zfg*?6~1kCN?^!erI=HleiOuy=>0f6Ev07hjmsJ>5PPml05 zzuz{Gb;nEfuuOn#TupT?@;#aW>UFQ4e$#2TmHAahslM#xWaBh53Q|HT z28Y1hRI@CPW$`RsEbZjrkO44PHi)&D;b`XPmI3GIeS@4Ie8!tweOzW@6aR$EFe9jy z{3=ONC+4|v>cWb*vX+_a=nv;y{s;BOk_io=RSRoD;uAPFRX?9Sz_yR#7%>%YU=Y@VlKh+5aykr9C#G|o$sjj z6gfkD33NrW|9*B!7u(E-*rQSaP|!8DtUO$Tped|{Q&4jjCVd6C+Ssj8t(#Ax)>4N4 zE{#t9#Z7c~d*moOao7*@)j=b-n1%cr(Y6gIF4N^{xBGz5pqbdXna@q>!}2g!*QD#6 zF2IrGTPH`7d&74=Yaz**Zpek59*?a@)?K62w=*txU9<>iW5!#|FixBRn{|^tBV$LH zRdW8PL8fwh>(G8|9($Jf2|#>1YGN^^fZnVwzl;^B1_`wu7#!uO7GQ-(m3+I5l%2S= z-jIdOSHtD#FIPV%bHz-n!5MN!Mv^ErI?_F9#jonA&&1K!6~V&wrIiDG`o?P|+O5B? zLylf6GLDVLSd0|V=7uSyJZOV-9ajQuo3@NJ_slq11=fZ*p^^DABE1!H3`VLgc=aO+ zZ^?I27oeUi&O#$EI>~qSVqCx(kfA~d@9=DKRM`UC$*7Ip1z?%7{v0rxIs8g}<28#d zVV5G6w2xI*Jv->8V^M(CZ;Yd_yFzfVXfwO~Zau0riF`(Pn;X<7tD6W6m_H_LFk&0; z9+N|LVXG8`N_}EOdXQN#Co8_fB;6rUz;|e^i<>V1Mep>(k2``C1PQ$2W;}h()|jJA z!#6-Hk8tcd6S&j41wM>deGoHZe^;pL4aD=#AwM$!kttutYNL%3v#kkKIgO@v*dDQ*jU`qqa)Qbt6a zNH{A5nLfuw;Pw&Vqc|>W67BanBbJ`{AY=o}(9+nG z{Xr>Ls52saYD~Y52%Cb6a_m8T0X3N@c7{Kyb5M8q+vp0dShVA0LZ%X~i!0yCf&ajH zC@a>ERVV~ySU}Zk!(v5gs528Tl3U!5izG?**ES5o7&J-IF!artUT-rt6Pu!cbepz@Q>imI82^uZR4 z&YtwbwyM~n<|%0j1u+!QAXbd{p;@Dl1L&lYDDI)ZacO_bqBVyNTo?%xnkK@$P7@dj zVsCRtrNt{5Z1t7A8zn|XmFgzp#F0vUr;p%@@QF=(ls6`hGE)Kj!4nWyQDr4d(9yF7 z2LrQ%j9qkN8pvn+;op!7Tl*8%C#-qq(#%_{*Ss-4v#T^fh&(nIe+iYN+qP}n_r|%gZ5uZ>Zfx7O z&B^op+SUK=>8a_Os;SDGlf2lc_IYtq`@7cq^vn5Bu{p_HB-9|ZsJ$Y;y|X+fjsN-- zvtA;iL*Q1pj{be3(}1=$48dk9978Vsa4H1(G4V8>g0uK@@vio~= zLcs{m+au6Y5zQe#;J)h}70Hm;$Fc0AEf=1ZTaGdsvA^^59DjM$nm6E(7BEt&=NKXq z><9e~5YH>-7{q|nX#lL6W3TFCA+eF@B0DH{Fr!b1Je*@kQoVa=p5mU>VXMphav>jY zSR}YIjzBQqD}h(aUYctEQ4VSpxzj*~emFk`Gfue*zq(OzHlVQ*Mu_hjGKhCH>Qqrs z$ya2`$&-o>OnyciqgHtohHf0@I{mxd3hz!_e@R{?tDb^_Z@QDd1 z?39$OP@O!#Mh5^`pN=B1fsY4VOh-D1)<;n6Yp^J(po z^4aAdH!h9e6L5nrL&XWL!qe1?OYSqP!6cJlf@c)ez~m_9Qo3B6W3+gNCFGNzzx4AA zA9sPrq@k$4wx7XIZto=CC;f70B~Z59BA8&Z)5f>S?8?%{Gl82c7>d%1O`oJ3j~ZD5 z9L>t#>p?Ddg?_{(wu$?+)V79hDqSuqkD$6PSU(R&RVCeCr~09IWzc{A%&^-&)NfFi zmd6%^>3UK>kK;vfBYWFlG%hMvq9%d67m}oi!;(@io&TZFZ=N|Kqao0Ftr4^JXzq;< zE}y2~i}2wBBy(h>Li#iKI3&vNr@gqNE%9}Mg9_vKRyQM&?^~|}__0_E8^-hoB%A8a zSVI1sa1cR3*tN5?lOk7o1w;ANlHjqL9>@-LaIaPup=^o?SfkZ|zy>;8uxD?)3&^X(b}qwa+hM8 z>SeUP2(Z9ltG@}`(%WJ@R&oP0F4>6#OU&obh6-JDqu_(XkY}HvXNyDWpwwR%n|gZ) zVYcPaqv=$}R`WbXYzVu&Ab@aRCNu z8hZ$vJ^k8x>YN_^o?2QvyF6J4A(yPcpQ6A^ycs%i4Mk)(cT`=VQQo(C)y|#zgdy}H z9P<-Odmu$j&%J#KSbF@pB#2AuD**&Fe8<;`WU%$N8eC!y8efZzGr}6hO@4Q5D0Vs# zhBx~VU|m*YBXCB<1zb2 zRaMdtzfJZ|ys4-5an00! z>S;0mPgF8yhW|<>V`5=yRQbC>%?dCh2qh2#`cDb!Yf$0;^xFSsBK=<+F}A5OAc6!bP@ou9Gx!xPL;To%<-=_5E-9tfVu^U4=h&ado5E?{)tWs}-+uA1 zFkn@Y&7;Y)W=F4fwfL77w(g@~k48?OF?G6LLT6eKPpwPASAig{9xo;7zR4kcHp%zV z@o{VT-Imt=OADJf8qu$z+tl0Av-ztneKMDD^xc*|qwzrdOAA9*m2)3JcWuu8$hEWd zzjUb`2+3vnu)aC*k?_}i|MzWa{JO61w)B!$5nr`LCKF8voHLg@-F~Uow-?spKVT>G zcUwC8yDbg$-ImT-knxbu_teBz>eq^0u))AYyEw<`|K;(nJyC5|bMozl#ZQ-8x^)(V zrKfwZzA#uE+0KWqn$r02TSp8?etTg8M7Aa$0m^Kql?<&gHq)c0{gsR#6eyKi-(J}7Z!gSlNN3QsYSM-qRV)hqJ zD&yWh9K_bxZnb9GvIx$*TMgXacT5_ic5F& z{JXex%x%??zR+(5;kOYbjW9rxw~?1A1vR9_H5SC-W8$CpF~F9fe~Do~;QtcCfJiEM zoxWfR;?U>sxdJ?DI1bN?cPwV%qDgUgHI$+{3vo8$M9Zz0 z@98J;Xi!hoT-z70NX_kaUxb>DV}&jdn~(&|43ks0G(biGw=X=CFdJl#6~Gf)Nx|+( zKwOoRfH2Yxd=K6Q*%@IN09mld%m0j6+#eNT zoDAuYYlxJ6sX&+5ZH4xk`DsJI#3ulPAz8KZ^tq%~8B-r`f4XTWYSQWZMuaDS0C-iq zmew;@C$-X=VeViOvCI7T@kfL)RI8$p9Nj4&O3@&N%hW0=%G z7}HeJOK9R?n!rh&=COh^##kdD8iE9XwGhH!g-HD|bL*f6X_UxwWdmmdEHY;KA^v!H z#+qCRJ5Z31n@#`-(&;!Q${PR<1LMd=KPr|YNtK|E_{n+PqcNIo!6VRcg_ zti4hqV;Cu%8a&#NWF`=H8ypV~0FG9bRY-Q~?Io*Xj(Z`4Ii2vSTG|;UYL^mR@p&3( zUMwgc3goc3QlheF6n>d-R>btg>zCmnI6On_rf7YsvXgQwTFEJU>i=0>dZ{2VehdRQ z@egib@32WUYKJ8zq**?R_k;eXDvwuW&4s zQ8HgJBF;>=5B`vwNv{+rBkxr6P4YHjW|$(J?44DoQG?(`SIw_Gf+tpTkq?5Hq2Q*O z&$-`P81$1y0^Z+R7@+heN@lIL!@HeCk_NOK4wPJ`ngh-gudhH57PhTS40`4)I*xnI zwo2;Co`S>p_m3ke`4uXTw@B|!Btx&owHl*V{wUXo1sg2r5ck#hUvR=QNC`ut`qZP| zb4lM>zN%Xgg{ZTwl(p2y>#5pL*a#%`mSX%W7 z3WSkLXIn^?;|ibD^5JzElfsn=USTc@c){nq*0GGo%R7yfs3dYw2W96)npz7U`YTx0 zkm;_J&M~k4t%Wh4q8oK9*AFxoj;_TF^~9bjhm7(xY8QTQjAyrDJyb3|G6Ki_<%ON> zs3tY3@H{5Dt-|^GQ`g~;ks({*fZVrDk48l{e0yQGiDA)|!NNJP+Z~ZXEDFg2h8xO3 zh&#|ihCKxhNtE%a2%j*#hTmRTsOrCXVf@g4d0|8hPV>jgHRj~fki;Bnu7w9kR`fMy ziR6w$`K8(tgsz&j_GZotG(XZ5Ir_s2>pL>Dru5lJd_ODma`3UYI9c7<*zA+HCJq&i zTWx@P6lv9gTouN)60el-`rqpzb(QX7&IVmcPOBNewXo_gTZ!G0vk-$EeKsuXMH*e< zR5Z?Bbxx;6_OTUqdU@2dr*k`RU)Q?Ta&mdJsiw7vh4zOcF8xfKrnQMEuX+y76(6;u zY#Ql{Al9tBBV__%W$Qg1bI23+h8ap{NC-HV5dfxqL@e7v4= z;Qtht*8h%6TmN%hT9@TJF3r#WkGOQ6-oM7B{iK8Vu1tXcj!Pf>6_6(9vOH=+MF1^CQ{?b$F#!xY!HAl)1vBg6B*1wP^GIz2WdZ?D6NTeN2)8YY|(8=R_ zlc}ca^CJt?D&@Bnmn)X7{K;_9i1Kk@dOH3*?V3+(L#SLPms75YZCK544lCjl(E;o+ zG%b2zpuRkx8UAjq`8f)lJfH1qBr!V(tLN(l+M%3-Cr7Us%yk#x5B1lWEz1@F)EBz` zEbQ~4;oY}{iGvit(|O@247}PBaqeP5{JNIDdSCVoqXX^`9mMgAKMlY&Q#}3{jIW;*M4ki=r0X6` zVux#gXsQUade>Jh6EyK%nlDEMdcMOmS@hHc2 zU#R0TIsRaP0em8+NkS+19|lV|^S3d+mPY>T-jNzjmPfP&-MsP14}T0QC1;x?Y8;Yk z^)vb>U%z3p_#eh~xV-MeB**$+vfhm}t+qNdon1iEgr-6k02=^0Nx{gTdBK?y=~T2G z4pAXE@D&tNWSma#Ppwma0I>1QQfz+!r+x8{vfplLI-Uk(;d4!x3oKI=i)~za7qQv3 zIs`OtVrB(|2lDn^GSO#_PBCHPDUVxIQH6)Y5s|~=oZ*CB(OMPhqj_g#VBCtGgrPFS z4yI_HtlT5xYC+chcDS6zI#2G%1~1!H02d|0=meMM0lD_D261}>cMf>m5ENT2aWru9 zWl1D^?gCB#q`UUxCu>^G?7f&l@5xDaH#WdB_$HfKXvq_Oq7em&OR=(rB$t_at6}GX zp`dn7V=c`6Z6ByAqrZ2uR<;{50nSM80MUF$DAN*)DoU801A`l+V|`;*U|SX=sUu5w zZW39Zjxdl}nt_FGL4pe8wwA+MInuVr-QbM2#IQ3QnybkH)>2uhUZ^g)4yvUQ)q(+c zmJZ5yf~{HAM6}mYE*(P7EcAj=L7tLpJm8v0CX`0{qnk{{%D>W~aTY+l%rrOA5xm!Nt!FbT`a_^nWyX4o=Hu)75O3Z*+I6gc_!^Y^?uYT$5Qblfji z`-rFlW2_XphB{id=$OpN$Qxo~Iu;{K7PU#@{S;__Qzl^X;^zb)=o&(1o%hUi=@}O2 zKRgQRoMBadInnKwM4Bbcn*4dP@nh(sf^?<4wwvrDtpp=9VM1I>!pEb=$%2`j=odh6 zA{!-BW~IF5sX-()7K>f)Jv9kU7q+OdtF#Rm$g1A@>vgs8tdF2H=Yc4X?(!*n6orB+ ztHeag1v5Hg+KYJ!7b>6+lXBkXDU-f~h!T1iHS{qO zibT%q`N?2VdVS?wMhnQIjjTn(s9+iW2H1JK;MryAE!pE%&HCGBjpr*GWjWF7b2$(dPtwNP8Saif0GsN;^l0MX;XIp4qZOLbkB z>6-Pmuj*f!Su=_!U;BzT6UBbOC6E#_`m@I2SouDjZwskmYdVuHbuSoiUvy{d z=K(`_hY9J0$cQ7kT9FaEPHKNh0nep{8UBa)gE}d?zVZ0uwRBAkC{&G%3j+s@`Fu8J zP|gS)QipV&x*;N zKM-qh#v)W@EY^WoO4LkY@d#|MU^@G7);=k{tv&OST|9TE?@hu_i1m^QVEh-dTZsf^ z**-dtPe59$1!;)_Hmx(Phi1Vat$?hVlPL01Yq50>YZ5bg5gxzl=@OKuH^H`{aI}EE zexx`oD$)D()aGkilj3vR4lfrVo%gI1#_@_}ie|egZ$6@XjPWa$tI?6#&$|G7Rw;#< zmK0`@DHtS(H;eM90YNxH`9UOc`#(j1K(=fUHP@lpa3Gab`K|Ar3FTZiQsv-DIhE~{ z{GlCLre295O&hkC%()ZdM%1Eg=M!i=%(vtCB=V(Jf?8-(CHUps&YI??v<6kcO5U+L zvZCE@QwY$A!_UaGoqSvBZyX!gS8!FKdQ?E0M4m_;7-`(z4@C=M0YxoaN(B@ZRX+R5 z;vhA7R5u0@=TL_XVjIfkizZDA5)7<1*M5+Vi`?5&aHcp{77!4(CX+ zj1rZv6H_tN035I&E0ZkF^QL+RMiB0rsCyhx&0J(g-4=}8C~s;5f3)i4;=Y(%hCpPM zaD3R_W}nwh?>?@h=AyhFqrND>hr8Nx=h-{ZHV1P&XS8AZLjPyR4r(yxz)B=d=22Y! zaTsag5*R~!^T^VsuwSvzm`;B@o1~u$ur_H8Qjuc$^U;_y!^&vaJasL!-wgUI9#V@T zA=~wyYCh1`$vU1Z(#yp0d&MV{sEI(8RkFJRN|tY{#(oxh9tM&zgSKRTUP#d=y_8oL zti!A_eMX+_NB)b0n{WXt)JUTPR;-#6^CeK;j^2vRjZEl5JY=zR;s9xwOgyhy8%fj1 z=k9!MHq4m=<^Y9u8jTg@D?d>h0~x;CY@5Ah*&Ep%x)<)?AB#$Ex=2@AIx!&Jr&#K8 ziRJV|Q*XJ>FHPnoY42yu6FXNocnzzdwnhin+pe&Yv`UFQ7Gu+NO^Y9L&2w@EZ$lA- zY{Q^y#w&K#1cM3~xrYp?yS1J-b<2BJ8HR$(M!L!iz!Jw5{wW%FG+aNUuBzE6Ebx^t zWQxc~Mz86R?5WR;j;f1y6p1s@v@T5N1_IgEm^Wz1a`RN=!EmPd%EZostuX6rpyy+T zY9a4P)X`F(I!awTclNLvh4Kf3k4yeodjIfthl*?*U3RCpQExF60o}&5C>sP*UH=2_ z<7ONsT7X-Tw}*W12y&ef9C1CdoUc0y*hv{>oUjTqHfV8EFM#OFegf#|q4?9Ikw$<< zABDkMnGS_1y2}+zc#EB)HJ~!PuyuxoT{6Ah|DH<+iE`}CN%ZBuAVSkmF%+F+{<#-A z^P2lM7ry^9@K@MwT5!ay>>h*#y&o!S=UH&1z!J3#juCS34Momz7fly=fOu+G3fVPO zU2ysxW5}adD=|uCMJ}#!JL#4LAL&|t09a4ddB_f#6~&UYrSpbfIH}kKV`+$1R|8IN@-<2dZM$=wLD)A#{sUTII8m#0Tqf$hJ^ASKlnl z5&1+8qGf4=$yHJFcsIj0FjbQ^&_%50k>-eZQ8|XL=4rb8h%`4s_qz+C8s%T)>~|MN zf)!U2=O;q#8bD>WZvBX1{4B~rxA>?b*9-kQ3k&b24`w^RS2MbKq-)GpiQ2N6l&PM# z8RVHDVX_w7i0dZA-hIsP zKXCT@peS_nbZNJkWoN#Mj+z!MW#GqV^5>6FY5K0j2BE{`DC^D#xh93(S5UZ)0&c`E zKL+VJ+AXs+yt&ZWHw7e#jNf^-wH&<1eGN$_G?$>m7a@E*bggujje!T@Y=8QO^~h>0 zaxx2@dcRPDU@%YSB|^mlD8J~`YgM{>Cs0V{+kiu`%d{25hMGW!>=w6&&5@?0RM@?~ zM^K&%(xn&2ns;5cxxF8>>F(CJ8Fw30haNpzi88F1LS@RRwV!OlL9EgNgpmj`p1Z8D zaF7s%*M4(v`-&s;2E?y^Ps&v@KPR9Y6s{NgG zF=@=2O?W4D39KPI$E+d34;FE1f8*BsL5rg@*IYuI!?hzV8?d>h)Q2SDgyi?r1qzK@ zu5Tai54F}l?x#S%(mK@%!obw9o0oJz3<%%*U04Ym9~-<8Na;C)>`L}V^|KT@mpzZ9 z{u@s5(AEGUYgz$xR)K-gzVj4zWy^FueDn9W8;N;zzj8rsOu8 zk{{w`GCpHuj?`ZFMue~4IANzY4(7uzidWI+z|N}GwY*N@g{Q7C0TcwfKMr|7&qx08 z(R);|gBFH`I15kMT+0dC_eNFhoiw$6^WkX|a5y@Xg&_}T=q! zMbjN4tflx$0tkuO7Z(iXVLt{Y{xspF)&zPi)y)Bi77BN_*q|g7=t~I`Tpjf259}${ zU{W2P?M}_cc7Vq>&`V8nvJ9MPlO;8H-wXvE0@Qgb%UAq@miE4#-_o#nbQS=YYk~1AVy8UQ|nI$$5(HjuZy1xzt0~#EHBrYC;A~CrTcYn*i zv#s5$I23P`(}pg8_|i*V-FNF_>7%cSP~BQ0{9^Z^lP9`YJNHYCDe7hf({I@_g4k$f zY>nbGcZ809DF-c3qpp0hY~<;*S-nn@&-Rk-ZQ{}mIfL|ibctU-efJT-YTK|XR*q90 zePG88{G?oVc%rD`L>vne{TbHK<|#)ZHC1y}XZnvL=vSA)cZfKjUQgFIe*8ll4_H0g zx6`L>rt(Gd zFnx=(n|9yiSqM<5@!>cMh{5~A?Z^72?iQZ0i9Z!0__hG!nBy{sm=4K!9&yWIzFfRHJg8&?8o} z(45Qk({s(}bbnrdZI?p4sWg$;Q5i+-6$XZ{Qf>J^o?3$0gtEg-i6 za1Tg&lXyxj3`=-Tr%E2Rc8RN;V>26J+Pu3_UOkZPoc7 z(@X*yw_V8}@I6uNYyw=%^8*J3-7gcw@+|?>`?LCf_xu~9dJn7NV;8*IYmj&Zx)62Y z?qM@Ku;-YFk*cL|uX#Z&B!mwRcs_)qMrn{8>t-wfcXer(YxxFiz2#e1$8FY!WI|NU6N})~rbd=lukhxmpMky^;$y~nzK5#XlMQ18FQ)8nR*B@^lE}N+jm5$&o;pa`8J0V1s-Wnf-vPCf?QaG zHPoQ3E=5}C<67t^%<`dQ9P?*9e$;JSI?+l6kHy1m=1p_xwXJ%9p25l#FT^18sDBYd zWLtmY1(Yn~kf21*nkza^wDXB@7kXJXRm`@SaF~+`&kFGqa@z247Jg#J3va`0$b1iX zi^5@tahyMd}dTM!F201qZjq3jP`PvPn*V2{GeYJ^C8k1f-9 z9O&Q}*;;ylQ?DEu@g)=B6$jD8`5wbe)0nLEj4=W-+d8CdJF`@clZxW-IBT+QNJk-U z%(1sVi3u|kQN-NIM8PZVNOaQRpc$NyT+>eTHg+*Wjgvng*v1*V`w}wIr=aThh+{!3 zpD6gNS!__F+{M^JeAmc&bh47UakyhKkU6t&W|J4~LT-jxw!ZYp_ypALoM~%I(rg?K zXjS|@e30oMB`I;Y#bSHuI2dlqtP{@)zAGe49m$jV90{bt&Idmr1w0Z8@52NHxGKH} zM>A1h5>LhNGboq)$?}{JwRUDTuDmr#*Vj{eZzE5X*+8PrUUXx5zMu6P1u%K+wSR3NA zs40H+QsAM*Cefln)CoI+GXs6ybq~jS1l7=*fzhFd-VebZ?YPyQU(dy)2s;8FdCg8k z_Qdj2uG|**oJ%l6&KbJb^%@6tN^!-Zwnti_0hylM%7ysm)Zp- z!=1;NsUV@E7rdT9F(?V>*X;~EWVApw(RgeQQkt@b2n38F&{)8eoZd*tMQr%#f6o-9I(E}=v;;!b5MJ~(|nsHuZ#;SVzS+3BpDA53XbQs_nB$oaIM@_gX`PaU>|xhgbTPVSg69kSpZ9U+2u=P|k0OuL!SgxYc}mEp<6sjuOL4 zAOj;(KDKv*vE{pR{ZpYo5X%ysP;FUgoq3f`<}xwXcWSWDjjI;UzjYb2)_%5TeB87t zf+3ZN;wBSPfkY0BWbiA&-W@Vjb>~;h-6YQ!$A>t^EqPoIFkKp zku_yC-`}K4a&9PgYt=R-Mg!+*R1hv2(pkCZY4Som4X5)%X{Zlz`=Xr{nln4wU?U7p zEsMj(STwHcn&)h#I>l?bgw)$Mx~%!~=9Z48XASw3qx0(NOp5k(pmtSx{^M|wDhUKe z4KJidMh}l&)XOfLy5qK1rL!wYu^=bo`_ zP}C{L?sZ@jEC<3Q_VC-`9he9+a)w(n2PPIyJ}u+^h&b!Nmnt2b&=-P-no4K;j26;B zgZ@FUScVV+SP^z=;!HzL;kt!b6nLND?ym8}j_Z$U$tiz0{+TFg(==pQ(6EqUzsavz zR`M;W+tv>>we6OR7SW2*`L$Kg29K%cz%1|_#v3!U64UTttHFixc+Dg==#r5HnbPm? z&69e#ZnopZ!i-Jnx;Z3qAGd2ya_xy9;p0_2H^z=W+jXj0K9UR#G7cBsG3Do{HyKhx zN=T>Osik_k^11R|p8;{x0FW$}^nZln>*UG%eN#z!DA!Zn96bQkv0{fVfysx110#{9 zmZF(fn|bamoHp>KzPL7jM>MAben}oWFyw)=R7oInZ@mvE_T@Q>aXp;?bUk@flbK6M z#+9LIf59uO^ACQJEIl`O?jbTRZQOYoamNh$#0C)u1Sa zV>qMfUW4rRUY#1fLVj~s`GmDKDO9LChrxXBeH}1dl9k1AL}BBvYJS^$ynKDcXg5QR z`w?+!h{hd;!BIx5E+E!Bm=!y}s-XZyg4zPR87sW)SNlOm@(bfP0kSZuMV6>vK1mfC zs@7ni$XKF{DVB?IUwJJFB587==~{vt=@t_0k+x*BZ76^rlXB0N7rw;|;p{Xx?Ab2= z7I)8&0~#}3a$b9??5HFq-hB!*lCn@Gt0Xno?`VL9rkxTW?1EHy(vuo)^u~jW`h18K zS@1jliR$8>7-G=ZD32Keo9}oUyGBrh5{Mx?k`RO_c1=nnft(39;q_TrMSH~%ub#JO zoq2KF8DCerqJ|iTLGQ=bKd;JmQ7zNNolI{V7=nqO{O4o@0Q zxOqBlhkyDi%!RRh>`i@;O@z2L49g~*hP@aGTmD?KNz^AOmDsV7m*3Wgdj- zwyw{ng}8hmS2}>8)a?0*Ma9_z&J55)@XTa+dh96I5Ic*N=pk=Rytm4NZ1jr}Ol{do z2Xz8iL6jr4MQeaf30OO0OOzD&%r146*!dgP_t$b0H5I%kK!Ij1!)KO08qTjHT8pIn zeik?t!giS!%fT>F{$YikuCN912~_7d;{0AA^g3ilH*wmA9GX7)}QF*~Y*s$lfA3~&j?#uS$Gl%B736st-r*Xs=pfK<*J;doH@lL13 zf;+V~Dx4imp*`jWN%fh}6k#HZO`wlA?8-0ZOiUhc z;4_R;TEo@Qvs*)Bm4XfbCbL8|cfc8YnUjVVe~kt#g*vk#@Nh|Ik@*z`1Q`qsri<>f z0+S*So&gm*8`KH|U!Lc%mzmfgxF!I>BFb#qi6s|8B+)sDys!+ZPI;+BE6CYJB>gH5 z3yll}*2~sjff(sK@r2|`nD0=On6$0juLE@|0czgniAq#g2&77_sKZ_ZltXmrBU6T8 zs3hq&O)pp$0M&&Uej#7FZ7g+D7l6Ks>>t*j4;5$R%ide1722;mNl8kCM^ju-S}I^n zNDF!xPA1NvZiyuSo5|;XnHVt;O`4IOJwTHu6((ttvDsr6V&af>&zShq!#sm}qkm$u z?hgoMPy-u!n8-<>1b^h z%pyGlx$eX6#p9f}P@ug!1l-Qf4csQ;_&HSR8;o!K^&;(tL-NI>#adZ+J;~*jywoW- zm7nRMZ0EJbA$!5aj-nu5amN(O zO)+#xgY@v(mdVh2>+lx2frFv+-&YAXZWyE#zug-VYnOKT_m34iOZfyq`|`j8{1y;1 zxy9RY=Gt}I>v9Ssf$l5X(4&rBm18uJa?V5(_|(*a#PQFfmsIIj+n)n`xPnUj%It3A zKI&z{U%gXF@A5v<>`tBsi>zn4bs)0o!Qjg=uv$QBdZ#_%a6%>$WRs%;ehNb0pfECZ zX%SLg%?RT02DrPuHzRfUX*dg=I|Kq(xc`ZaFlh%TGYL0TacXSFqEXd(XoAYBk@md?O#?8Y>!cAA6xNU&qy$^-l6d4zfxUE)PNZq|yn^>T!c`lsG6xIxRA9j|_?_Q- zRvfQj)4l|nm>)8;3`{^T)zzUvcLYc;V)vcCyjnH#$vPNy#?eE$=>ju5SLblj*QQi@ ze!-eFe23TRj>$-8^452@Q$=9a5?=8l`un&_?@wGIE@fvD!MIa3zugfN*`ISSIZhBA9!|J z%4{JWOdxJQKgL4h4 z?#h-4N!H-}^&ue|kd(~mB8yv)nV0bBiv!K|r&ck75wcC&s{ylCmu6IPu#ACDq#;Y- zB2$M|0mDMyyNkW0&IUfsZneCP|YN6$2C zZ>c2-S|?c))E%B4WGl6w`S_>n|0~k|*A~jZL)tn1@r(bB*^~bt zAnok*DuzbR^vd)qo(`t;3WnyUe0;E`b|!z_S5~IK?%n_S6P7WxGk38d;N)Ou{r5vB z+VZemohrNZcScny7lch?P}%^oEp7r^ysH1-E!uO6h&7E*IZ2HnO~4@6TUa(?6J? zvq4`B5@$q`=4@|7H|)*%%el33wg28Zk^RE*;lfukK>G?-(KN17%vf8weHW^j0I#$! zkbiE}D}%>IDuIc}`;$3Y14IlgIiD;NsD!&dTw4o`A&cv-|M0y>Q$pXGWg0&wvJ=O zq5w#uFsf4DVYE0Bl`JJ2R!cK0m9-c{Y_bg5WupNz<@aqr1347cGC$T-GRd4YOan@GvyXQJ{Jv zi&6LL%WeJND>2z@(@2*ZU}({HX|S_i0Ma>lM?@pf03#f+ zzK2fS;s|~Y7^BynAMd-%BJOhV`d4rbdJKEHx#%$%MI=A-nRxmyfMJBn740%i_szm^ncucs<$*Ux(Y_=qdoe>8(!3Up(ifsa){ltoWjP(VPQ1jmY z9y%eSFFkPuxzTeRUy=PDIzjyA+4ugZJUh-mcy`Hu&$E;MJD$Dtzv9`|zn4zL1^$I+ zultWYd&d7)JbS|b8$7$qKlALZt!FO(9nXFuXwd%8Ji9PV>fS$icJRM>cH{q>JiD+a zmt7dvH_txwU-IlI|H`wE{f~HdvHzB5FZoY+b{s%lWpEe51#&=L9Cqx-vl+n=(=fQv zo#lK}k)jf!7|vu3pA9<>;RqQwUrbOlnj~vOTY4d1@bpBm zVsEf~PR!@m9*`?3UzBhYKUAwVQZ|u#nEcF)Nwo*DKY_FE+P+`8F0AB(uep&QflP8f z3S1j?!QPdU@S}z8>kpvP(NJ<2=s5gvWk}Y&zkGXt%|S))b(KJeDHs?0I=Kl@ZVTAM zL&=0<_QrvVK7o$rtlHiW3^10b;K)QI!+_nS5|dWZB*&a6?%MV^`UngN1a_<^>919M zE|0@+2+BF!f@K%77*MvAI*Uono`G%u3iy-J$-POx*#B*k&rAA}5kxP&a1o$l}+>o5)pNxl%2@EVj* zOojszr15+IS90O%ObXoD-VL|fZY|Na_sR*j^asrgNs5n@=&yRAV~kOaCNvW~ zh$J_w*`z40?%a#h-FJEB0za}xZdPqS>GF;j2Pl|UKGV{1<#ti2clwjE3PpM@TYP2& zN?SSQbUZy(OX-0<1?^Tgs$HQ72_bULCV-ga) zrjRI$xS|+{T?8m#JW|?eM86<+rlt|mOqJXo>R&nuPR8H3fr!On`5vs? zn&KG(j8(<8s&XGsy~I3`+-btwf>D$*7EayR)FT$An4v;3;#}0!>&IT;q2u{}H09=W z)A^juudlRtbiUfL#bAw@A_GKQH6oS!an#T74R}JJ9}W)5nvwQ_IA;! zRsDQ+@H#QE;q{}wU0FUSo3{iQl8^YhE;+eIBJvOGP?*w_fCG}p87Qmeoji2;*NE42x!wVAG+s^xdvzF@3 zhbdaA?kLsCyj25lFa)99wW#hy%xQE~m)43gy&SElLOx%=`Y}BkB0BNKUpzZ|VS>Ia zf9Twhf1EN0pF<OGj?=g%uY>*i}S9PrjS~e6_md_a4^#F98+5wM; z?q%Gjuik|>>wZHXXBcLH4eUCN^s96Z)A$sgDitOt>s z2N|13Z^pO-6M2T8UBf*uKBSb#Q_!z3o9~PDp@BMmi24K0*Y>BlVg_yFD<$0Yv&K7x zcRpdZzrfRV>4q2X$!8*{bp3c2PucNB-e!rTndDmY^)c>+IT>3QFh8Wf#b%e)Mw!Iq zSNy*L_fHz#PYkM??+GSZ2U_k?r5Z-uWz$P1sTeG{TGVQJ90nF%q z^eD6Pb~|0wqeR#DRA^UD;_d^-O~O!e$31>@sE8W>nmA=_QZ6>5ZK0nM1xZ>FnP8Ns`c3 znv-7aL&lL?^2%{dTOI)r7QU3*hn7tQOB*g?w>By9<{e4%u)waXar3>=q)(Cf!*kDz z*B+v`8nlw4S7i=BMW*2gP%VrFEAql|6FIdI@Zddnl092{TQns{?Xl+**;~fWVz#3c z)j=7|tD)X#KesK!G%q-JAS}@U=uhf{dpTc;3R0G5VyB|-AZ=KEeJOsv+sMbS=;g0x zF2gKTK^Lw5$>mL2fk;_IZCrJAwbI4P&i7Pqd{wCG8n#@IChgJB&ygjkD3p(k};R$YR&ta|gd=H}gld`7`kKAm4Ka~yH zo*Y7=N4qz()SwWeVOz5nkhm_xiJ8+KLg;sWAsmm4=@*OW?=B~0i(^|jC*a+zLgYwMJBzto?#R!x)MlpZ}Itud?Xi6G|oh~{EavR1Cb}L)2HJ+<74~F-q*&xXFQ#KT2V2i0$Z_8j{}EcpPpSJ13x{* z0k3tPT#ZUR!W(=#(tIQrzV9u3**1zZWHlzabW$qhOK|Bo%aCbs`fNz?`NF#=4rY9p ze>}LLFv!FJ`1@0O3v~!oTHxk6rE6l~;ZGX3!b}6C>q*=nI6+%NbYbXQJ6--SegvfV zIXImIV-(SD_B|xdCc>UJ0ln=GX;Z9;niynf>3He-&y4ybJuksISMI5F&#mOBxTX;G z#(>xc27kWP2}j1TqOoy#RB~vcP1D`;A<+P?yi`J8K*0%u(yT#tJ?PHVk=G;2e4jz` zlpaG7N*jJwde6hy)9ZcVvOz)AXoCQ0aG*F+@b%?`cwR2I+MB><6da8f9a-C53MXH! zq=0+%X<|Y8J(ERG-Vil{e^My2u{Ym0Vxq|j8Di4QT~3d*xLgp0xx95@2PS;k!X}3% zWf!G%4VK$>HRMLlL5o*wm1YW>{P1_y5QV=8%gM3RD&KueKD#Ck_4%WJGHA}{xIh!_ zC*FAS#yUS6IV1wQhSrS51Fh$`t&iVhSvxV(e>^T@3S_hFl(r2H`peHq+0kGggd-7v zkT&TS9BExrxb8LP9Ziy#eCpzelI&_4%;bQgf9CuDz(R6$zo{Me-I=9D2MeO&0uOE- zX!<+xh}_A~?(R;grz@>L1CG>?FfnA7$;M;ZEWmXaqSAfW73!MHOog0rS3qM;)Yz3d zc~|AIYQwf|=D#EQ_?~y2&R}HJSS4Ggo{}Ss2&ztb`?iz3FT;3&Fd<_Fiz`acr0f7P z!w?dB1mRta5n#tQtUuh%iYR95Ghx#Dl#t*T!cj2@Mi;XUNPo)caE|rEfLdSG=d&QI zOQi{xNo^>~GdotXK&k1wT>vJu_*D`!Sv7%nJLHWyOv5yV~+8Ev3F0wx$O%x zK-DzNF=n^VhDW~RxNT`q%P5AA3wDPH)g>|pKoRT{Ue!gsb%D&J@G^3|n~+RPWZDZD z#cmw}H-F5>l(f2|y5h(+k6>$Q7wQ2Oy!{DL1meQ=8_`_a1=AnZw?}hIf-kYYgwB^L zca{!afi1SpG6Ru^5iB*M&l^W)Ac^G%WH6Q#TeSxr&+c>Hwu<0LtWaoLSNOY zI}o#=Ie%2XrGE_|QZ{|1mBvZ!nQU~~8MoRiZrAsupJyWF!b;x~QFYibOMGt+`hKhi-Ez$biqDt(^H z3!WIH^zKAqsVlOfS2e#Mi+YCbeqn;8>A=;JDNw(*8z6Y0P4=g&PAfI#GX%HXZW>E2dj@ zKh7~JE6s1_apoIkJgrzu)Ff-jXV$lhszjiRe%F*ivN(rcoYrKNu|# z*wJ|pa&}|aBHD@-3yotzMSWqXOBi4O-DxFrm84*)-&49ILpJFEr)qtoV4?q;rjn8J z7RD~@E{Tz>8vo-8eO z&luxX(c32a;y78cBNuJujw-bw89~Qx8}BkrT@yA8sk0Bv)!=vq&YN-**V`+pQ>>DD|idW6c)B~LX|GjP@jpq$`m77l*2ubq6f^ zdeWBBBf!^D3xCEthpeZmkjs}TM@SsPmLK}f!rWn4kbL9 z$lNlx2&lwRBFCp%bgQ@I;v#|j$tXAl155g3x*{;h?&%{}s9o(VR@4E%((i)179oGn zaTP#8vzKQ$w+ggot$=}|1P+;VNsC&@!7_12Qdap`@ z;k#YTJgMf_s)zFYsrV)&X{F?|2f~w+H;+sqODV}Wp^#V&5HHZkkS#zp0t3@j522R| zdaIV*#b6!QcZ3*J{^PzlUU_M&8N>~x8&7=3C3yZRIrvkj3B+91Y@Q$sJ@(;{oyPcv7+*Q<(xSnLl8 z8L9hEv2S=N%~~Xkdo-U3p9#B_U<_DOA6H zQCBehsHnCX!(fB*^?a~&CTD@7^nvD2TrN=kwFL_1ydGzLA}3*BY$o|^5%8UTFpNx8 zwr<|%0v@GoFX5|5iu<`1! zGDADWLlo2L|(3SZBg|LiO%kxAhw*K zbZn8)F=iE_w-`gbe{?z&qb(b&pH~RR!6wXPK1A?5ArxgSB^X_oz~o>ZqE{X3Z}EX} zFuJ;TI$S$lMdDa-kRupfN*>$m=++g4OA|0bN1sbf_YR)Un*yWI2>GCIFW&BOHxT8jwQ;S5@9?_(9qz6r-Qq2vQCC@iuEez=PKy#w6`shpJnqesgHjb%X{|AT79CU`vwXvf^cqs~ zNlg_lQ$u_&b<3LIKj9qG%gLZ>WmGSGTo&lm?C<*Hcd*Ef57G_qD{Z%uQwiPGX zcxXU^uq|7sY3SS|PsNXQQli4JeR(4rDK?uHdR6Pvf?fER0^!=Jeh=`QfiGnjS)YWG z3A2K99rWcq{^e;XA;B(>q^??q^P_p%V(o(6o+o=2BVX;%fQA}RAEwjD_ zkZz+mJYomNGYJTZy!xNa94#fT5}YGMF=qn}!k(gcZUz^rC>V0}Q)g730qkX@ov#hE z1Se`h5c<%Z)P$&dnJMgIUbrB z5JX^FXws&N4zUzhWi1qEn(+}<6?sPF=MmZ5UlBZjv%RtJfs7H`p6DwkHzo(`DqE)IqlsIuAv;74c5PIUtqFE)I5yW!uqsIu zwbPSX3v$)UHyq9}eQ*T+%QQN&$ZFdJI#LL0hr*3y3&RvZ7d9mjRmvHpb!U?W~endMd=miF-tB` zkcDAC#@8U;oS+mA9b?k;O~Nh^cR|h;uIvAVS)coz4P{M4en!KrO3U>J);^|!lXYMLiBH5uBsW78 z5z|jrgjK&lZi3!Y(9oPF7*Eu<>DsEe6g5a)NL2qm(}}p7TZm=I!aMTcxSv}LtqfkH zn8j}47FBx;>Z({=ndQd@Q=7s28LGSlD)2MofzH)YEx~oK`ZJW038^>YBh6Lb#ni5M z75QPBYC7A{y2sY%@MhTe?pv9#6J0Knv(o_vGFM05{?eiGIudfH%NazaWk2k-2aPWe zTSR`Uq{|OM$w`HK^|G{jZG*Ryd^hjhTH+cIh(V1NMkJWqJb3$usJK-O_P_T(gfd;p zJ-at0;C^?fz+UoK@gAclCo!9MWvrntEn?D!`p=-+JH!~L+Xip4#_L1;f_X_|L|C7E(FggRZV1yhSzvs{|Zhg6i)p=cSpw8jLA!@f zrj22tv5^H`F2UCrcz8mq)MTU+E@C(>WY z6Td>BHrlivwiRN|I=m#T&hm%Q7W=wDoA65dNSnoImr}UrhISK{$$cHcu6-*s;_4}# zvE8DoAIZ{n{<`=KpMS|xNfO*TobVl@7+xA>tNeFtbgts)zJx|gJ)1XYz*7HXqmkCU zhX`b7F8vb{DmWERO;N<8^sN2 zbrzH2i%AA2D}Ntjj>`PJri9#K;M{U<90LHc*8}1nW50K3u*c35r5aOlw3@IlBPS|~ zx~X-j{RP2=umRZN&E^K`$V-_FEtZvE;+HuPNFd!!^?(`}!sOm&1;1pHeXZWJIMGxW8lt<;7VUpBGq@E# zb)+PzBE;}6+geAeuf$!djHUV{BD2UR>rSVR1)V{P=^5vk?YqF776d@ks>G{_;|wL^ zY8U87P@hSsjlgUPYg@5hm6n4U>>L+*%OvR;H-}s>aKyO8S(C3GbbelSSMTWaS%SL*UAL!XR5o!vK}E`H zZy1C8>iU+yaCBvkJXHt{#ENC+>Fieu>nj}=oCvj}!~F(Rr^GLztDLN%a9Fm={@l4&0B6HK1B`d;u@~;5`Kv; zSVKx&3+)19dg%1J5ADEWm7o(oa_vNU`5hc#U|Tx9U_^FU_)vzo$6!pu9`3VlwqTr@1-F3%n}sQV}>Ivd*e0_NC~xQXqgm>RG`VZB+pimqfwQN3-#g3qmz(8#blt z&URhx2XklpE&V7Y+sJ6;=+Ln~vYp5MFA%#w-P3{1>BLc~@QuJTl?#d-2t^*UA(%c- zo_OX}2mUNJ{ntv+12UyVV{$FfmFn7RvZW_6qQ3{CdZE1CCgKR^XIb9D_EJKW7UG(j zjizDOA*BmY$KH3xS>gq(#ldZb7ZH~QtIK=JM#lr<)!*Xp3k*$pZ?kI!X2Ps$9FI#U zv&LwN!4;gZOp9HN%qa=HISD4H6{Ke&<$)rTrNb_&ImwNUt3L1%)tDN1#-I5WZl%5A zM=RxDI-WA?ydkXM6=S85mJ>uCgco5COJJ-_vDI=}>L^FO*67t)l_yWl*ObZt8yR z>ZB3G;NIhG&gKrLJx_BzMk>k&xf%ygjPG0L6ZYqNB*OOkHslG66H-|=M|fu_MVFd? z&npTUL-UNQ*)4cp?uK|n4~SA?>4y8k@S_(xo#;Vh0sM~bEWYOoZk460k;okr*{NA6et5Eixn!=Z z%m*7$n;$eRAAs;-G>^Uq@#0lHcz;mE(30Xp#P8AootWq<&y9^hkl8&$>RMT{&QBIE z221JgJvwzpSj0z2i3_bORp43lTB|nw!*G=DAMAtyyIZk{f_|>OaIW_Ygch|IooYqn zj8bjnP>Curx8;Q@EfQA{H)}hT4d*mb1ctyTiD!_*zXkRa_WK7+>J<(~w=~%*HXSO8 zBhCXyan%M~n~%2C6y^_UsN=J$rG#>MDj*zLNf38cA%yEKT~>+cGh4z{ggqQvu}Zm5 z%BMA78e&kN7^V#qOgQlMkx~q^{TRWY6uBfKINA9L2nOIf?QQ*U<3W!9Hf{S4@ggJZ z{}eC&%i?VUS>Ue+_`WY5G;sL8yD!sU3hsZ378(C(6m4ysjBT78@mZMuRWbg*Xwev- zo=(xp!P(GBPT%40!(n7(W9to+9!AX}gEI`e(;yxs zK#m;6n5s@lv;^^O*R>~|2VJ3MAQ6rKhef*S@#T8<_RDd{r0H{K=EdZr43B$*SIee$ z&8|(&(u+g0cP(uS8QG@nH^a;N7sG4)H^Zy>&G5E_o6OyH);&MJmJHb`=F07pAom zKzLK!BecwV62su(LL)y_C3544Q=wd)4_o>QdL09$LLi1IxJb!5qd|n+K~gvmUNnUh z!o?cQeB~IBls!AHBj#u`XJfEbigF8z`~)Vx7*~T{$%8KsvdoNyAzq_{$hX348~d&B zs{N(#Ht!_wooxeS7yt6a#{B3L32|jUG*XB70D!Ycv&<_{{jD_%wfI_}OH(-sp;3zg z60krpLa&!l{1LOfHV37TE1gwhPb)ZunhDQbvJFKIRBhhX&n$`ZlfF)m4b2Tnoo%7E z&N&=8cZJ6~k7TPJh8ky^5gUJXp3CQdj1~p|L$ug7`yDOj{2eXsn7RindwxfY`mz5+ zi+>@!WCZGI`Hw~vQbPa*2H0-7+>y3umzt!8MG?Xx z8h92k;4l~=yh~s**Whx{16gBM{Ra`QR)}AP2T_{&n7}g|j1d=X#~o7O1;LA#;Nd_K z6tODU)q;w{IckU=rp5L2ZM1m+PYo!sz0x6?3VF+@;5gfX^C%)6DT>I7-(0Kc0mxEs zH6Xa?86felP>okeIS{Z&&2Dp=ft-w{2g>9f6#&Qz7L~EqK!*CU&)5^v@8F2zLgk;1 zKyHnKT9A9*^V#{`7^Uv~&)MMRzl0qfj0v%`)n})X7_y!iwttt9^N%}p#tWshrC2~xkaC-*Q&O1|)$UCzjm;naFtJrdI zTs=FilGBZMg%XdF^LL#K7&;cpd!K_AMH zr<_tl0|(OpM(H_#DQ55_g3VXCZU zKyp|L1Fd77TMLrZ7{XSE{rMii{*sy|Nmh-EY;*Mf2yFCE&mZ*7Ev&N9S@BN9g7wr7 zX5_bdGFY5R5g9A;@9elsB03^%+o-S{ZZWnK)IkiHS=nYy)NG@*D}C=@SnNQYC2*$# z6|z7b>^7{IewMkoRFz3k5+n>_K_VHKU4gOXie%kG`MNTLdq>{G(w>~XgpU2VEg(F=t!l1}rp-9ghl21mirA^RWMCnG-%6h}b=_f5DwBihbHX`veHXdK9 zr)Sql8Ma>ewiGf8TnG1lNhoA#=%o%b_c{u5FlO+2K|G>O)lHFE3BpKY)4Otd9 z%ibS?y)+^unF>glo0+v(UK`gPGJw>*Z*V@BG zt=|-=Br{O?hUA9p8gcIUN*m?kqO9i+Q7M&;i@mc^ufc0KOI9y5<-d;y8R!o^lJgm5 zuh1y&dW%YHM0hTmyr=kznmA<4JKU6uX;5Rmi?dt2b$>}vhlY;_VSxj7(>6H(6I~f! zndBlg9C1802$*@}EQA#4(# z{%M6)Ln8kSZKzRue7*@P{_fU@zjmSu9nFEAQbQmWnf0p@XZ<2``7$%LG~&_IrMI)a zN9$%Ok!;embNlG^>R2M1PPV0U`$F#9v<%W)dfM;367&(uF#+2wHJYyqt|$g=O1kCEq`6z2<~W1(Mdy#pe4VV;4+-Y#YcDgK zW=!ISqp^TVRr>YGMf6#7mEq@A^*k4f$|A+e`6svEs17Lm<)ttD+x6qBRGz1UE8o}{t|_C`u0NexG70Hu9=S_A8maqwvCQ2*@G zjBO@=42qsVmqP7^uygkL_)+)%T-WiwwwJ-3S)1GFP;!~7nO1U&SzAn*z_RRXVN(j8Lm`t4JOMQCR{UQ7GgY~{V`t#xx4o_;kA40>{t2fh? zCi$I+^*$--NuNhoZs=UL0DZZB5z7+Y@aS_+hkvuX8}0$?L`j|w<~;wKIyjF4BT>v! z6eymqITGxL`~&>+9NfkFg|vppE6CmnFR}L??yncgZ{Dul*xeup0Kt@Mic z`A4sl0a&vHs+{PCT!TA)^Jw1NA7dPd-*e`+m%uQuu_o`$TxaO1F4#VI2dh<>T$bl8 zaxy0~d{HRnG%XR=Rm`C+)fOK|`MqD6uasxjMC}8vUlE)YfpOOrI~Cp-_$x+0gZ}z| zEsKHyMOdj1i6sfhGu^$vc2&3Nl%;T|5u?*++v@h7h(gBhbg-;Z1ZxjSA4A_R%(A$n z_jd!qt`+H)LX5?=3xJ)6ucn6xN9Y~e{lv+gRRRuH6w$!D0>kdew_f*#!44|$Cbp_R zSmLOf-@w%BpVisQ((^GLZ^T`Q%VoE?aSN$(BinYeKkSi}_ZPJEIhDc_D6Mz8kaJkG z5;Xuxk%M!{G0cg9XX5Cwv47gd)9W$Vl4un23{8P?Y-0&XXKyFsb3L{(;DhK}F zj+ngd!M#;7TTXSM2s>v29g~|L2wc+Eh{}-Ic&`VSK@ssFc@S{ud>KW~0w{>+c@D<{~PP6~5OUmR*$_}{oaHB!O7Mp7%o3nGEMc`lK98GJEZp&Gbr{i_+qBq&_FRs%*iCPFaH=3$`a@QCMt-~J3Ip|5r;wi= zJ4S!(+J+0GKRX8C$w72b<50tFpeMkMfI;{Qx%vw%YjHXi^JwEdA_Md6*d+VS?*^VN zXxKB9YQ!FLeCH#J{9(5}53*~#jVz;E3<^ZzehMA)0C+n82GiqVJT73}zm;bl^Osg; zML4;K9eVU*?dNv%?}J*L(Xl;UNf&08Y-*NLN|F%{rv%t(4PLYZ%k*OuMRkKv1^d|9 z#}!~35m*eZjaTX+$al6b_nYeTF(`~L@0-I)z)vl7F_v5SAlzjbE85rKwThF(Jp||& zZiIMoNWYn2xDKl)4k?BMBA{ep!)Q6tCx&QJxAAiLR%cG;=LGCI{iX` zBP?!!s25dYcu}H`|JVTY&_bX%e~JY~fGWbW5~5$7)`rD`X&ROLqT@z1tW$f=o+V8W ztDx@sAj+?~q_WiNM~~htJtjl{U4>vrHZ+tMPx~8x6Kt>c&Q51*zhYJJ4V!zCi?dq9 zOuR^EXX=%Xfl2&p$Ads?pHjUqRm!R-9nJG5IaxAYDIEg%q8^@|fayBWbPBjMT2NQu zk*wu_m3LX8F}Q5Ya-+nR$}Y#{uS7?@L0~UR4BqG}XaS&024+Oe54hGr8oEO>L_gTt zt(tRq0_5o5py(<1A;GIjPs6N@+<<1gWy`b&BfDiSWSwd%EiZWM7gG}pM6i`SriLWD z!BvxHD|Q7n>H?{I9sc2usNo1o+omZh(-J|DzzkF;gEald`r-sAgr%NnpK-zR;F7Fn z=pu39Z_bOlnSL2f94Rl1vLo7byCrHFI&U*#ZoUYgIgqR0UKgXXJQ(vc`0=*cmwlRZ z63CY=d;df2rYXU0-~*|$f5rr#SK=L?-Q$BTA(H6U0q}?aQ}!K!bB4O}Z`R1B?fBsb z!-FbRicW^Esb&DywIU=Ngya*LQ64K=ZI(+!+=S(>ta?sF(3TjzaHdHjr<%#wZR6G( zmkZjZ(x1+{IXOob-tdq^*#>u}+T_l?JHpO-B#AU`jTfAm*Zg!)zbaelf> zSoyk|yoR$S)Cr2z zaxH)h^Yi=W#Xf_#z1_mQzw^^J`gAfk)yG5!6JkP_J43^+$|w^@-e`{~Z~h)vTVU6# z`%*lv8A+K4U`>9#1B5Pmylw+iuP+~bs!nxYOYV#={E9=h2d`%Bkv3D$KSeV*JbFbN@*9DfH_53?Yoq;PWe#nyt^ghVQ|#7RtPz_mS{!zdC|9 znD}J-z?q2`w=hX_1Y#yi_MKW46_!qE#$bHEiR zb}haVx>V()@^bHEe1RK4S7a@o_a8X|G;#ekeK!#^Z1q?8P+Ka-?H85hKJjng!Cp)3 z+3<+4$*19ES5AjD8=2#sC|>UK9Y2W$jkU51qADF@7_BWRPx5RC&U+-yCUbsX3nIVa zTO$#MSTjoM2LceyjEQcA$Hk|nVWu(V?hkS6##+}2AG4bnjur)H3vjW;ft`1S;{t18 zH{5%bUp1ti2xq#t}&#1ZQ{71?3$1y>IC0zkspbP8kk zSnqrBj@Rjd%JiI(!?;K$hw9CFF*|X#_m>|$D)j4vltW$!mkv56DR)Gx4+hM4kDt3c zPhDDUP`OZvJcHe^cUF?u+uD41}Ai9JgyWiQ>1&5^5|f?Ha$1VK+SMi4X|MGXJbfdC}}osrVECK?IKF z<77-5B>53z_G+s`gNPLXzVWrvSu)GM-)lXtUiYXF?*$@03FmpGWyhQvQB|1;Dpu(n zuV$M=Hq+~@vZ{lVCNY{vV-5DNms8{uHQ`Iqml>td#mY>hp%uLrLa9bXevM2P(Gr zJX&{h>5Zr2Bpn`crbOHkODwHYrMf$2AE6zK)VC&r8Q=g=lzmAR%0d1xH*hAP~T#5Px4?>s3OZY z;+!1i_emmC*?>xRSHA{`JV%5TlE4Ie6Z#JSxfgJHH$i1reWnbcCg!-QMVW;mmWjTo z3pUH)@>nG0$7rWb?w{H8Fa_iaLHGU-j5rb>Rj;T1O|fccDZZm9lr8wfdV3ZbGbB6B zEAHM2zOyH)K9MP(5n=vzIa{sv%iDXpghg7Sz-MshwgPv#SKD)Hsv>UKgdUOyiek@D z0h@Y02|O5fw3bj?fk;f+qO>rhT90c!6NX~^FCK>8c!I=drVCH?)HYC3MJufc?;(;^ zG%~rY3j*6cx7gyeeU_=)h7*h{_67w~HQ%1E&=-p{>jSX?U*i}o;C-2&$48n-Z}@u1 zMl_(2eL5ITQjb;CnD&FKW}owVs7!`>DgbY1Ryl!kI; zTaMct7lzO zX(~?_S5)6s`jN&a->FBXtUD{H^t-n+ht#+!o8s zrpkboCBdTK&81noyfp`4-1)>}K?v}XUUT*E4QUclbz{&_TO-)&U1kT`_-U?Npe31G zF&E4*M{{E;nRE$ELv1`R{@E@qqX|yeM?zj};PnzpE{>4~pU7}_8v-Y7LB6N>4M8ykylJ6tA!4&3*%Z}An1goqaAxOzJ8D$i_% zp2Dpbmd~*lh_;kmyVOx$PJU}6q%9|C$L-}-4Hv?|&N=?(AbX~GzU+W}rcPN)=rDsx z%9@+;RZt(JB-j_O>B>qKol8pz7N0Z_m#04eW%#f(5LM`YGT51x%}bHpauJsf=G@L> z>aISsZaz=kYs7R!;sVI>Ypg%md33# zFUhY|s{nBpRD84pHFmd2pnO50HKNlBFxMCsA+x5<%A1TSS_@vgb_l}D`kAW;PCSr( zWT-ud3U{KQP5P%QE?lfC;z(qaT2UHLuqm*AT`Ji~bQE(vOFTY%)`$RW{)`)kGb;&6 zTf&Te`tW*<;BhyI3ueKSPf$uv1g&(Ekl7uSZ2A5Qj-ig6HJAcC`|BQX0vy$1 z;gJ|CKqUvmZeeci;3O;ul@A2gW`GRNC?*=1}9b*u9yFkLmR&LXk`>-CvmD;FKX zn9rutxPXDxFS?g&iKwvV1X%_?eGUf4rXJml+Ig&$2+4TuM#u=9q?Ar0ADGz|5R3w@ zgiD5vILC()I@x+MmQFSv`+)A_pY&wvKo`WFZ6k0D9_ah{r7vnqCAg}K@%EzzSbl4I=75JRA+WcO z8`^yJpGj2B_UBBXn~2#AE<>E9BY)~ig}?wfE}8UhQAobm@jyw)Ite#IMOfnmj;+|S zSY%I75LRb4xoS%Ik^7xy0#ZARltginK(Eo+Bv<&l@!fJ+?QeS&(=k;xa*+ENK;-;6 ztFUP~39Cm5*;#o5x>W2SXaYR21nD#bxX(d-a0L~X+f5?(UxCpMuW0Jh0S`LCCj!1i z=Djm8`A^Dbp>N6r+*mGYNrPI4+nt%aG;kj`q)XF&KuEGE0vbJ2Icaa(4UR(bhj2}+zB*v6& zr&#cp;|3bc9s0IaL1sKbj`$HZ0u}J)1jfKr0vtGXe*msSEXw?_6t{-ohJpW| zMXO*j;Q8`}j|wHiPeKZNHX=oCcW$KkFufTf!l*S&M#G_alFyRHpwt9)C2rm67LyG> z5YGUu^?loqXv}-*x3X14Nx?UXE1aV81a_;?8Qoy+;|98H*9}hUbJG3oHL{~?4g6Yb zLW$r(Ho7?Iy2Co+iaa|ob030Rqb)O(IHCjk-^)qou4AFFuvh`ag*mHG^&*=v^FJk7 zFLTr+bpC)y6Os{H+?dxZD(4MjT1x;g#(1~489DEgIOSPU-|B~X$IVaQO(f1{_2|gY z3PHLn>tYGL`VxEqU4Gfd9OhJ;Vwfe+-daX|AQ8uLjv+-w@m6mZ&Cq6$v&o`aU||iE zX3I$@y7ez^Qc&L&1s|@*cM$6vU&AEHsG`R{7Q^oDoRDuREC%4l$vYBbFkP2qnCa*H z+aTmaSHWuV9$7KAeh{JdCM>sPBh&oMZ#*9`;4BsKK{M42$&QpH?{~)QaJL*JBtVSa zdG*(VfDh7w1w%Jc{CP%+wl7d zUtelk4&ULYMMR;#Up);FBeVk859A%i7Vju|du+u5!zQ_9!Q^%T9a6Gq?hV7nuMK81 zlQM4BI21}7$XX>U@~Wi|!b%CwARklX!g6G$jU2GGg2v>$M)(_`?E!?=sj#Dl3x5I~ zoG*vfi}yA$7V}cwqO5hc&xm88;49zM-i>>kibXH=z8ACKz~QT;M~PXBSAy&sQ?e3% zF8(wP$Le~I_T5KUJk=*+r1aGR_9Uko3 zqVK)-&ny|#8&_PFd|wHvf1Z52p3?d+z_slJ;J02soL{LQfYlJTS;S6-qmj?l1LAee z!5;6o;JksmZxSG0Rmq6~zxO)$1Bd!}eAf%OAKC|c`H)}gNQ((y`wCjC-Vmc()Ysp| zb-XI+M8E6>=TFs#x1?aCAR1HXk#9Pj?7==Xo@v)IGlDS>6-I=ef8}+M0T7~SwI>%557;;8BnP=9Z9ENA$A1*Wp(Px0SR{$bo5`HoHam2_{rpQyuPF3k|VC)H*zR&MeL z&l32pb8M~zalBp`wspH1&xEcUxgRvL(iK(s3SVmN(u=X zwcqNOB=@BCkzAY$3RJ}_Nw66T9$|E)(=uSoNA#ES78g=&?(V#T!R9b7Uaz1>MRg_e z>fj&Z0S-KHn zn$#@^gc;;`Zc0unny$9Ot&0(ZeA;d9#jLzLH9=GSsxq1_0B(Hy8~q>e-1`TiTSU;@mJTV!2A6}r(o-(@AU7w zJ$9!5w%hybSpVyP{=cw626|>z#{U@ZVXq?k?CRR%jfZOI{2lH!WOAB8VH?Dw1t9+K za8L2?aIdkGn1o7;4dQi%H%WW5ClXDk5PRMB! z>%19vfs15N=)86arOi)%DbK|%G(&|}~1Rn|=zOzukb`FrW!F9mMiNEG`0AxkXxCjWsoMC^XM<5504Wb|`^}2_> z(rF}8A+MiKdDx_c?KQ<7rN9eAGNup_-w9J18YQT~e$Su}m~U1nlr~Jr7>!VN?guH- z7rdNX5BRLaCZcBGI3ckMagWZBkB;jt zYs!&?L$D9ALjr~&-;IL%Um4;%d}N^juSYTH!5Q*ZQfq$?sTsj4J>~F&3CEffBkO_s zgY*%?V8%%x{FHG-_cJb$k}5^31)ir*561%YaEmfNt0S<$)ji>HH9rM9%ZTv~zW=cf zB|kCkFPCaDAXMg9nTY(`O4Cj7mx}l;!xqs9Z|({R6(WtH*0J856-ingL7Vfz(jeea zd3}pSoAy?>HkR)bubzdqb&f_m(V19?f%@sZ+%NuYW+!q~mg>Sk_TO*xbVb>9 zQeipV;_N1=Lm0AhaLt>k>%{0*`@ej#*nyv>dE`Nt^Fp5Px2@Od#==R^eh1WWt}tLk zi5x1oCC0>Q;R%;4ojkwFv$y6DRJYw>6gb8up0$C;uyDp_6LPS5HsmfwVpk}4SDtDf zoBtPk_Y@@iyC(R)tW~yc+qP}nwvAObR@t`gRd%hiZQE1-z4x5%-QA~WpNSJOHxrS! zd6Sv(PUSL&9Ht9uJ%pbafBipNCV;O?300EVV<{n5+G&A2@m+=d8gCszx(WQj`h9ab8zx>-_tt3`cu@rh!y>o| zHg1x`ZqVFGHqE+`jHf*5#!)pmb(@lXzir=52Z4$q4f{9FbI|N_Sbw?)M6k4OWZ==Y zm(;Ug?+R2hFpU-JWB7gzqhxlf*`FhThB5Vn6!dBRHV>yfBQ98BM#jGC>`}9~$G1%h zMrVc6W4R4Vxt@RT*cFASaxa&$(4pyw#@$S)r9Z%)LlJOnIRtcWV;i=LY6nbNon%(n z%N-}O^-QyWHTU7(N&B{W>E^fmZ^4OIB-lExh%sD@JA?5rAHj(wq}Y6<*iORz5-dA~ z1UrRgkl=s>DbybMBxSD9MFX$y&oRmDgcA&F-lxNJ^isT|Bsq!-yOr>sp^Pb2 zpufNci@MUCO$ubE%{w@`KD>gYQSeW~Uz^z2l!_ zrJ9VyqF@B<3eGu4$fi^^m;;IRzr#Hp)$t6|;=^%0%)iwJQ&J~i&ciq)a(FW4r}>V$ zoh%QnGkY|Awh}Lmxn{nx|4t0oD!Z- zJY{RAX^$|i7O8cF(oi}2)Hoa$BTeph!<2r%@^q}}>giNApN=N}Zn$_fe6aN?n?fVY zxNvnU*rb+~WzAXm2i!9|e)$L7(-3@}+&!P4UZcZXw7f~3H0|hCiX`#tYIpbY@v7_E zTrV$?lgpkD0LejkR+k!ABOcmG@AyY;5Y5SQ&NSypdC6>v?LAKy5iq7j-lrp5i~J)6 z!e?_7472Dw_GTQIUQz6-QiuMTw7VzVtk>F1bYE|>Of4;boxh|K)X)Xr#cW{0xW!JD zD{aBB>LdX#*zS<#ZEzt^c>ZKF%v3c)flw=ky44*t@x%W0mZPft`EwP-GPPck)1?q< zqikLyzGMcRg@vzNtNF#z0xpZ)WuHHM6<#l%FGFlSGKnphu1Px%)|clc&DGwP-eP*0 zVx8-Sr*XL2?)p05Rzw`R)ol84DSOr>iRl}kUB1cun&9(8g1)pb)dcx=s1O~18D z!1^ug>=OLXt{qv%I9-sAq8(bM`DjHWUW{`cmGW{7`#kCKdzy{(>r%C?lH4g%Od8qw zuVIU=gRTKT&H^KfmCO$|v6x$fDxC{cJ{A5RjYNWqWgnT!i$@%r7es)B8u^GR%g5bV zg%Kh;zXgGz+@etPVJ_`mm=BM8AkHZQpVBAn1)d+VeoLIPrHKfqP-0|n%f=0vkA5E(QD>Q~Z z#N3l=BydUb64v)LNlH5X4)`%ptIh`K?-SvK1WLij0P06Kg?9QzKda$nACEr5?kUe~ zu+$3tL}F(FR7E243jywCEX?eKXpaX0!O&pjTURinG7eX>Q9quZv?MGWvL>X?)An2F zj-+v?4(6?R$Mb_03E2lNZhJwgl?exxbY4xgFAdOdt8cP?OKA3?x72;w4w$DnC2^8Z zLHrJ7fvN@Ze$b}zJs+^I1N9Q_=>`Qt1bo?B~Z8)IfsgQgj_ z=PDAnu}KuJf+uu(XG`}z&^X%8434YG&H_{JBYEha1a)@-WjiHBpF2KKSAusuq7*Tk zho+G=bg>`5RM32$WsL-V6<-50S{Tn!5=a-QlN74`G>&8;SE>Zc2{wK<2k8iOlS?3j_ zb2ShB@Y|1GrF95#0R>K3*do6$G?u}2=>$KE>uGV^W@xDo7=f&;V^&f)siC7Fdm+Ov z8kuR^F!)Fq=G#TbsrY?BSDOl|q7xB0D`kegL9S>3&zc8A^Qw$a=hwG6Erw@4(8Fm= zm?g0*!w0uP3aAEAKpEA;I3M%*avg@AxIG4W#J@M4`HwPSBEidSxJGH|oTf}zz+g|G zc+f=WEsAHdPotrve*)?FAABx>c=D)vt|6YVp9`rJ^N#$48zoz(ulTJALJ*#yn8Yol ztn%o@X;*uKF@dDsNIr*A{wv625}8_xv0l3d-dsS#C+xMHkas-g)ka1Sxsrl8=&Rvt z8}6Efzwx0dx8)qTm+SpjW|MyX2i?~9wj^G5-EKl|h~Dc-kYg@R0%b<t_|46(~Uh3N=@# zN`B!C0>RTjC-~%D&ufl6g7T?>R|}{Vm6ykpsu#W?gKF32R#@___XImk2s~|kb~c6A zxwC0Lf)H$wi-j|@LJDef_2I?2yOFC^bH}-9Eh%XG$ZM+;(IZf0|0HkiH>VRs=w*u_ zl2)#N@!6aUc36&!Swm<)U@qYuqqPrFq7#&JbsRAn0n6N4-?X;Ht+vf4Fv#rw-Xq>n zLDL#iC+L3Z^Lv|Nu~#gUlU~9g#J`_O1Hbr%j^dZU46c89($R3D+_?A7Z0|v7VI5*y$v$Z0K5TS)`l&-u1q^TwR$tcA)^ zF{E_(0I`UGLKQ|V_j1x8-B9F=I6R(2Z|Qfax*@Hs+UFAb53m)T#Y`|W2cw_)Zo|l3 zu;U`f?y>wL*u(mQM41@2ucT&4zoTbj(~ru5JF9&Bi|2uYUAx)M!Zx8UY0a$KWGiKV zG;h(OSe>oL6#%Uj3L4`>20Zrpcnbv?6Jhbbv3#F%VU#6|d&}btiiJ6$*{?>XW^8<1 zoLZvEz;Km_V$RGS;%^2~n2kwrkGPQxfof9$Z-KN2liegsWHWqcX{m|2g;RD9k)c8q zqJ<51m9!Gv=H)`9L5vVqN#(3Y)++k~BM+*!cb{9UGxD1o7=X|YnjKI!#R&_=irq*V z(!9xJWpnD#<^_L`jfNF0pz8O25s#{X^TcqlHqopWpWOi_$`|mmLz;IRSSl_jR)0+B;mBoi`G%#(qdu>xf60s^5_Y3%Z*S)w8c+DZ4rD{5at|@ibsW|wFQC^b^@&abcn4)ETfcHju)2< zAiu5lOGKSgGJ?mh=5FQ0y5l=hL3GdHe`w6vaORU@uNnv+-7#*DG)|e>3M#ze9ox~ERG57UQG_pZzn|4h_#64eF>(PPQp=LbhRtDwbS&^&?M#KAFF(!eQ zGSQ`8H~EY~PA7fEQrb%TI&k`juSpfuy97eauU^c%F@FBdAnhLCeUePp&q2vST^wJr zw1T1oO-$vQH3?ibS}bY=!$(M;rX$brW_La7m;y|^idIu8I#*Nk&%)2CKpqmTlP+`( z!UC5;b062AbBngb^~9+H$G@%OO#hlrRQwyf)U^tfV2DMUB0#@z;>X&pAHn+Mtaj|L z@0iV!%gaU6tvl2d{DptCmn#IkrPgw!nZ4Nh4XqBUcVf|a1;*KCK9Wbv1ja31CTYMB zZ{mz4)0y4kGAjRVFl9;%+5Fy9HIJU5pfXj$b_gt(yo#6fDZpJhh{3|d+-EL19HoU1 zkawarUzjmyP9^sAf|h`0;Wxrv#F`P5cPG`FWtN5@1IVRoXOwUJe+EyIqffv2Lm6(1 z&`vwOai82On%VC6+&Nkr|C%+8F!|fg_s7xaR#TDYD7&lnbz}hxT$Q)!Q_TFSWN(q1I7;`N)M$BoKC{iI z>|jWkI}`TvY-yk~6aSbs?YZBxhOPA>H;Zm+C9g#gYivcc8mVGF@S;WP_i8)qerYdj z89a`JV6Kln_|^>m3OT?B3z=}nKW0s}`G)x=P_FllV?#%ej?P7XnZZ$ScV!lpBs7g9 zhj^R7x+fKLGZ>}!Q z#KU)G&X+tzq8E2OTZQgJAsPoi4-BAw9XnJ2{yMk!MVRdv;W8$un6~oAX}#PX!^oA= zhiVcO9ayG;PD~7`L_-R#WQYPS_dku!2mmf7ubO{xg}TrE!E9^|W*BDw3twCcVkrN? zjCp9BdctNY=Vc~{m)kd0jhVltHrCvaNn)K}_;cE;>0-!+c=pK~6mJrr$#iR^*Z@vH zy0Z5t5_@y)P`?Ixge|T*mnt=d_~ThidHbs@+kq?~ zuIgU*XMrY)%NkZt9zX4zK5lhziK0_r(@(%-H z{h(Btk5cC3%Y*kX5?GAw{({<2h(CtJEq26Mn;Bz{EkA=q(9koB$C;QZi5}!CGrO`X zT{w(g)fdl8y!TTa#;qLL`i^4VOS2#l5mX{rihvWjZ!&)QJZM7egI!Bs28JafIuz-{i`YN*rxs zj>v)TlygRQRxfpG8oXJOB{9PJ;P%DQ!SjXC3T0qM0Ff9Sj~A`G1N(;W@-(CX*+1ro zF*|=I{?Wl7HB>I_M4iL}uo1H<^Hi3$apY(6aneHHek+S6Po@Nrcl@|{aHP4k2;YC> ztclKA!pgkO#NTb_LPB09yoZ!c*0(nj$;L-LpUT=^`GnB_J#v+3{Jvj|LUisoJzwaZ zOu5n_nSsNN6-3sUYr(+f-Q^d_G#(mEmA|C%9C+;0ng7b#-7TZK)jv}zY4qADD(VSU zIl?Gm$zybn{{&BkxH>mi&cxO1lQ_8O%1*L(?ti(CvZfonthuVNdp#30<2l;xy2j8Q zo!ReS&abA7yXvc4Z5Ib9sQ=4nE_CN>0&FZODqL7%yT4YdAvk(LRbrp{*~w^&u2fB#Dw9Zd z|1*;^b1tUs5GRs3G&0S$))W96jVKsIZB(hmXrR41eIH!GTNK2TYlgv^iK)mC!pn?S zyn^thI%hDURflgstY5q`#M0_)4F7Bdsyh`?ER}k{aWZV4VRR<_;1-d_UNSp~-XmI2dysm>N0wj0q zpCpeh66)MODo}%+p*Z=Y4vi1bx%Q>$Q0X*V-YYX&e*A6{Y=HO^vsKJNP?1;I+ zV0MhIL4~#C;FkodwL>Q*UK{~6b!wo66o05hWh~N8bm?c+J)d4qX()m?L^;cV8N!VI zh}s(Oin8jUcR+vQZsPL|Cp}fweKSxULH=y#a_jhWLOA3Vx(DjJjz8Xf6;BJM(w6{? z)ggk)eo@pv>_2tFhc7FNk(?3@nQGi`!!XI?+5I!Z6}_ic(#rAK#DZc${s{Hep5-(C>=e42G$?_rq~w*eRC?T?-8$HI6)kV>W|-O~V5-HP59wX}F~Sbv!h!bcEyGFQp5+BbQK zg9Ix&u!U#Gi#Hk(uc~naE5P${&2W8{65moyjA65_hMPI@Tj|k6X*gxhfzCu609|sV z=m0i6rmP$VtnLI-=%ZHbwJ|QTrSC_{Nf>S}(mc*vQL{YztSE!xr)M;3VqC=+hWO@cjKYRh1ozDvgL@4U;H zK~^S`jF0X(EA+@g1QW{cXK*bN>0nvD$5d+)q`5tX8Wa^97Z=&{r-{_d_al)`nK8Y%lSz0;6OB*Yc#TL; zAzIz>*_Iw(e-M&^HcAU$7iM&1w}yH$72Pj&OK>cm1fV2#oJQ@!j8Zmgh-BDLD>5#U zE|WR6e@*^`8T%^%`cPLOq{Z5BH*_@E*j78IAY6Z9=tJ9Y5H7*S*2wmV%!{M8Or$zK z?cSY+k9i$U{tYv#uXY=sQ@b~4eX+o_uKQu z!zgO|?aRr<#^}P$3to#{tS&YVj;0ChQu{}TuUdtQ$OatnQ0@5DY^iV4OfCF2pNZ48 zU}Y{j@ux?vt^n>vxP}1%#%qi2?0Wu-qj#kT5ynz=BlEbiS$v(r1@8a^*RE=m`yM*E zvGKJ2(_ns~O6j#7#N+$+1vHFvM$-9Pm_bu?*SbZg=9fxOxKp{5H*nbE29zj{N|4jS z$DK%N{KJlI?1Ex&3Z5r+x*tO5vTf{ITMrU0PNfbBRhr!!ay?MOx&eWd-bj5CcjDyt zeZ$7d^{wr(-2LPkDSm(z47iEy*+^H=fr$3|+K?|q@Za^In{%y0ob24~EgVp!{LIl& zjMQWJJN#_MvA<<_?5O7RZ6JsmlTEA(ko7j&o1+$2n-)mUB={l|ohzdelusyj8P9cPW%w9sVaw6X1Gk%s z9!f2^30>_}tlO~0Kmc3Mk(*eHO@n;#FvfZQFUb)2VhY@Co+mm+s@uyIV=ID!B;{+p z86u7u>SFgqLwzFP3kD$F`#0?v5V4!JK4!r5ZpOfP3f-hG!L!lFBlOyCH~D zmE6#w3Ncyl!LmNCZ~V~Ua|vYGDL(@O99P^TE;QJIe~Hi_5{6j^Va(KIqz`PgjR+8j z4`-@;%)AuUZ7hxOR)tge7{ie1SvsBs_uMtzr9)-Mlv9!IbfV%cE<7UA2>|eJ{z-wl z2oBi+-fe?AYq-_bzt1#2#U;Clfvc(ETN0!#X#W$~KoE~~p*XTpcIgHk6QV4&LM2U) zIMW8{JBs5HuMSKYA|*~FbVEYg#nHq5<=D-`6I&cks=V`Rqm;GT%XHkbx%;K1(x<=T zJ5N7)D1zA9Ojs?$t>*lNdoIz((yNb^@M$13ov>jVI61$$gIAZpazQP&wS>TiAc)8( zyc=fk>%J!RgSku6tFe|>U=8yid7xid34pCQn^a}5Tz^?pqVb3tZQA~JPV@=q5@Jkl z`-FJZL&7kKU5Ro$Y4aCF*PmBB$)t42Kknwl7+!D`1#v$6D+)XnAz^i>eBNqOxXNZv zAxc*$vhrABYEhLzbr?GM@!9W8bu37A(W)?l?YT2W9TkH!vdHEHB0oUsTvBD|_@){- zHc6F`OhSf0Zl}cN__b|lXJ&4a{<88pi~Qj4pa?SLQp1#ijXl(EyyLysM zQR<-h+TR8N2T%iXqf2i2R|+$qzZ(`KTllZ6xZw&L{v2HzKVR94nl-}iyW38$^<^zB zncNLR1RrrOvxsTF+bPaFv{;5L;9!fpEJB)zB+I9!X+W7#{@KInF4)#bMV2;LLy%S@ z27#k@^T5h~`s{CZXym%}_zL-2uXQ2fG7UWb30Pra6jh~8MA;uEag1rwy3SgSZP2>mO}Vt5p5PJ<_3C3 z8mA?my65~+Qw2CP&;G=?zGw=(-b~RIuTtZ29b5$W2)y}HyX~#$eumXb?X~&3L=pvd zr$*MP@|VUU)A!#9Cqg zbPYUPI;1L|MqKkaHzT}qvaQLh>E1=1C8A$Ob5W8(}-tv3rWII<86~~al0RNP3@nj5 zrPI}EuWDU=Z?2$IKI*+#w;q9Sc)S!DY^@CZq>+o{W7x6^g-=BX63D6>1-W;o;UVKp zVLPu(OOrs6n@9iy@HQU&Bg@OruGa~6frJ^h<7j|C!oDxChw>cc^ybzmi3Y4Ypk?0m z-Ys-I1hFLDD5MP*m+06N9x%oik~wB}O^yl;=JQ1EuZ>_`mwdOY7X2i*RsZ~C-jRlb zO4mT4w81AYBE-d9=GqC+f}&4`A1^t6$M|8vhzQ{th7c1l?)+ZY(0=Y;hOeG)PriM& za8}de@H>FC^=C@QvOM61u*-0B#(_$i%~K4SO$PkD6VS9Cy1(Z;qvjLlIwi%`{-h(` z{9WoTPrFnQ?Ld@5xUiNlWE?qA`v#lG{9!K;IT$Nxv$zIemrd6`lsUZ05>D4HIu7ph z9nXFCmk*km;OI{sJhee+TX9!K%9TpS*d$4oRX$tOHh%jU>8iM`B8kN@9C{1(f-;yy z)wVxk3#X9EGENPZzbDtp*_?#obc55|oc+_I;p-upqbM`o(@a-3HkD_6F<+qE`KC&; zex(#1H5^0totPk+gdw^_U{e~Y#W6yyi2Bob;$ek;FwB$&-#K{~3Meco=7;-h{FzdPqrX$x|YECmcY+zB&WFwQnGB?zR=;H2aZR-YW><6sx zb@Z|yqVRtH*lQ<+7t`D$z~vFDBvSv@Ox%L&VwdX^tOJPDkjxvu0uA)fjS?HE+%1O} zKT4C7;27ph-n?GIQrWMLLryJryOR~g^0D%UF&B$N^~B$W)6&zc5JJQG`rj2R#W2P>b-^1`h+R;2*BtA5JU7K485eoe{JKI8ASfC!pFT=rYi{;PJDgXO=qvwwZ8|7$zT#Kgk>&vsVB4oeL2dsFwZ*sVdR z51zk4Zvs+H!%cr^>j>E2?W|RJj5N_>&(>?XC+v6hQUbM)*BZOd^0oBx_4NE8v18+5 z;kRcAE{`Vf$_4GB9b4+DciU>WT21Qzd-L+_-#0I{Z7=`)wW*tKQ+rGIyt<|3^ky%y zq{Q;^jM^P_KS)6oNk#Ur=4H!w^U~HL;D0tRt*%eJ#RD|n(G*Rhn?$SZOvmS$suuBT zyQ6t`mOP7iDRx?n`@&S3ey zOI#2Qg&)sa@7qU_!Kj+1?a69x;$pa%W{V89=#gmQ2Z&Wb$nw*{Rp0m*4N)-!i|nuE zz1cKDw7Qr{_^t@*UgWSfhExZg3MQ=);}sJrgrZEqKxe`qrjlPZX8of&HWNmwm*!b$ zmlrpz6gXC0TTk7K9gOduZquR^&zqv6TMh9$NH824sDgd~VeFAS^0QPgI^WSt&Xt;! z4HJ+mHE1A#6L=$Z`q4R$VM}ZCu-X_@*?IO9$JFD~0c6Rtb+1Z5uLv~i? z{}(&E@Naf@{$H`PhX1g$(Eny<(f_iuG5?~Sh5nnJ#nwB*`ETv4(!beR+W${>7W044 z&Vo2gk6vD}uJ!y=^s?hWMK5vw7QMvyTl5n6ujr-1cl1)_ zpQ4voFmRGvHV+>`e~VrQF+Re5M=u5b5xwO3SE83;e~VsrsQs(a%O@yU*ulxaMK3Ev zegBGH`Yo+P@)#M=P-PDt7!LwZwMz=#_y( zl0~b6Bg392!&X9)xwJ^NzwHVx7$@IpB|?(LPTP`W_pt205$b0UBhFMtl08L|O;5Ln zhc_HeAk$2+6w~9Zj19X|4;QkW;R2wzoVCa6aO=M8KvxT zw}X!E=rbn&tHd=j=~-`1Nv$B;WtG<$UvU+?gqf$ad@dzoxO+}^t((rCBxPXGSTH)s zUl&cYEg=4p@u@K!aW=lm{xiN_W$Z&Z~qo%;c!a~$7@ufPl%MXe|){2Em z>uS^rJyT>@2Evm{TC<}&w7)iW*XQQ=(RlwCo4Qf|+SFa_o#9A#m=ife%JNmK(V8N> zK@(-sm0n@?BjNeRQ=s;HBPFI4>%X(JY!xePbh0RCPy4oRJ}z~eWn!@@a;_bb4{MV} zoO;>TZXFlVo?YA=E53?H+0;@O!7NpIM@slYN>+Q?R*)x4;|;NVSG+x2r3Wo*7q(6% zDO8`H9yf=tkA`ktT`IA7Iow76<)&`5AC_~6IjG7@M}OJbY^1;0*%l3T@{iDewzE=K zl?>?KNLw3&O#00%z_)a0f2bxTY;%=Zf$BKpI9KV}R<1G@9!;CntycHFcGg4&cpY5G zXB*q^^4b`5e$eXm8`H_=@D-_`Z0VT=tQJ)!$kLPvKD3aelFH@5Sz7waw^-jT zjNx)RSdIoDHW73a`ZfP-MWe9e&#`L5$9cEBWys`yl+Ntm@jxU+1G)m|REavi_84BS zP|_FS{erD)EK<)eYl`z5FHF1{=?=J|!Xz2)3uW`}9sVs&C|gjs;X)S2_G!T4b#Z*q zRI};3{Jpn(Mc4PTDwXqWM_9>GYHADT7bL9LM0^VMF&=ZS#CHcU!(ASaSO$+|U}Xi- zaj(Ho(>T}r^<(R6FkU7Hk&20P&cf$PeD*F0I4A1!qJhuh(LRpCWAVL+-<>t$aMBc0^V*CqfhlEXN9d2l5`QlMh+kuCl9FNA1JZg z=P&0GpGXu)tDBKiJb2BF;AR_maA13WD_dwA6u~vupUVgw+I01l>QqZ`!)v`{D-^+1 z`)eU5V835sU+@N1TFK&Gp3Oo@w~VFtCBT*j!3Wt4kQbZloT+;rPktN5I$qj ze6fBXqRRXxX>lU-mKH8^LJYMDdBKy%*TpdJi|>Os`_i9_fX8SWlg=(rpUT_TPOL}c zA(G*V5rD4{g@=t=#a~(m35^VZ1hXbmWi%CH$7T}THt)dL*)=`*95zog4<6?{% zv7suFx9UD2rJl_w9JCJ-lL8XLY7)4JVz!+?)e%1aBO1s~FG80<+szIg(c}|}7}UR` z?phemOzslPPL>j!fsNe#D-AL2Bn`&UtY0^*I2b2X=0xdYFv&hqCf>#jKAAskn3MUc zZvQ>;0XZYo0e|{gYs&=RBADDv`z_~Bq4*we1+MRr;{0;H} zxQ~vm#n3X^Bi$(THt&~ zN55J&OUu*_bZN2HJxjA{&r{H^yCqQsymawQ_3rRM9+~f{F5Y)lM)?|&O;Ysz>VzB(zW~Xs2B{qwtDM>(jR!n3fC{1vB4r>fxo}u>+ltqw;y_`!DD=gykH_L`)hwsee$LfJOU(C* z&mL<)kIQE;RK^AYwpBHy##ZrgLb+&P#PaU#p^RG6BHys>6J|X9Mp@~b+>5uszQ|^X z6*QtXqsi?dQwDM;eP=h9_9Pr_w=D<`wex`yd^(VT+fCqx8Rg`D*r1y4P#6o6uuW6y z`%p93RxnL=cAP5p&Ul{sYWUi{EV2@=A&0tr6Fy)$$(^P|YhBfn7x41RPpaH%wU3%N zBF-&Yj&&2o*@JQN9+ISCXfreY{0GnB0ZcocNbCj%wJHoxQAdzIdsw!oJWagQ=`+c(riQa95e*dJI!yfSY|1KYJY( zmjdnU)Z!IrxC>HufA^N=QALYJ=TIWeSj+bZ$UxzU2Fk@J#$|WXw-NOSe2LkbV-ifL z6B-dX%F@0_6F8U~k2XN_4*+w%uopV-{kz|ikRnqMh?_!^OqX)#;8am?fi*W6Q}1#O z=>`F5XH18h=PP$bAM&twwk#ENV?!%El13V52v+NrvpWINGDr*v^z3o9H>#>@a3#tLfK~_?Lp>KMv$Z_UN{EB-Kft z(Skq-$&UevwKzl|WbqPYN5}3Q;R=uGV26X^ZC>YzlPd?m`;2$U$bF*iP(bje9OYv` zLKQZxp(Cq>mc`5Y>$>xYgG;bqVTT==DT|Af#p_$4(_)xU`0u#@4jwTD0Oz<#V+36B z(8AD&wG#x8$ulc!n29VU!qCjH#>V?_TKxj53r) zx<&-q24X-gn-7Ob1ix>U$D?NnT7VXWqO$MsREo>OVPV& z@EFnySP7S+t7Ws*99&JFg?^Y3ay8iq7kC1isOAyhr*jA}sALjBrmMLstU(tbH$YZq z`8Ub+rk90eQV!`da(=;)T9V~f`cI~L^A>NOAQihMxWLLU&3;@AQjdp?{UR)r& zeGmAlG(}3tTSSu$d!WdxeD2O$JV-h$)Rs_9F`85@TsukNJ!rphBw;LB%LN9)c3p$0AtFH`g!Gy9YPky2br}@=Wa+1h{gpZ5z9pkFLzji;$%`X09sFj z4K?Z2(oZqJb2~~$d$6A(HjrgqNu@D@J>VWwFV9$v5weoD#(A#vwa^@@7?d!S9l#o( zpxsXhRdi!jSKQ9d+uZ5ulJE#L2FYDT6*Nt8A;xXHIcD!mLjb(c!>v6H0Sr-|(O&Z^ z5B4aB{rMqg1)C8z&g|A*<3SO;jVTH3B*S4z&vh5m!8M04Z?``qo$B zr%y-!;sKNwE-ZUmO+i8M6-kOOK00YVs2CEtG;-oBC~p#@TntRqM>8EN_c)vwiB*h9 z<34+vynwnnW%MX)SV28YH(|zJh9`-VGa;rYCj!Cid1QG$gFsrDa*h8Gb!SW8=^i(SN#$apSq!aI^1lmd`XR(dl6*LtoRy z#tBtYNlZ-W$Bk{p^k@6yj9>EJ8}k=`BK=V+uFWnorvK?xzIVKa-oNIbF|h13$mv9F zm|Z?(V8mJ1d|`^9RM$$ah{k24pWWavxM{D@c8L4nfhKlgN8!j*|29|q-~y4+mwDk` z%#zjyzPpIUW7+Px$7EA@h%r1lUPP^5H~h}=$6q?N^%-y##(3-E0``q}2aQlSA?zp( z{ysWIZ*DGa6<$e8%a;;aNzB}ryjV7NwY?}}OaL^}0W+fDltk*!FsMdgowKjCy@giT zt8t>3PxzHbSmzqkRr>V{OUxxl@pp6mra}vIqjYU;Xx5r^7WCuU6fSs)P5#Hg(Y8$W zu!OY=ZB@jw2!&R!QOy*%+6_7or!Be8(zOef(2v~mJchl`AD2$d=8dgaxMs-djE|!z znMMwQ&L0#Qn;~4KO;Q34RtjU8V(8Ugou;-x3gFpnoGFAGf*3n`<=~b^JSs)lc~*rM ztEWY!w}xh8rpKal_y=yLV5mu+KQHJRs87mUhyw_!L_5#HIx9PAe96jovf>%C6&z>f zrGSTx^LKYLRT(5?Mv*rp+R3wy4EiMXe>@&*F2d3f@JgL3ioeUsByw7b1J_hgZN%zL zZpHGF>ax-%Ff@p^%;BzJ{@P=>>4gy?{}mpEN4K1Y@hLj=TxA_1P1vyP&N|7vbT1Hk z3IzZYOGPw!B|jFz$7DD5O1r$$N$k-r7P3=dpM8~EkLk4?0_ISR564sv>AU!>AbnA= zC?GlrQ#P#vH`x@zak> zZ~tfCE#kZ{;tH-phht6YDNS-`etA%^IW$7X>6brD^6G`&43CYAL(_+JoV(1I?!}|i zyRNzD%!+1v;~sBp-E?umV#SROg&nFnR_i4Q9G#j~7%s1nAaKbh*}GS9ibLU})1Q*O z7@zvMCwuDsiCW=bAw1#roMZh?B99WdNf&J4A2dzU3Wt_KFk}U`kSZ;}0y5rm2r66E zo&o%?oqEv_D_d%3V17|Am&}QT^#vLhsQygG#olWrwy^6Sff-{hUx(mN`JRw|@N1VL z<~8x=J6~8YzRzOVt}}rhnZwQpJ+o!Un;)gBl+;KuSDK9l7%+==j*X$?f?_#)Z$TAR zzI}Yyx};*;611sSlas)MfK2)w0e0(ZUA^!-vmbEKkin&|Ko|z%>%Lyo;Ey@NF>3yK z7uTt1RJ!iaOd)xi*GDZINHIT{dD)ZRi5Kz=t=_|-NMixNJbU$Kc$f7>mG_>kPkb#S zphXW1gYuesK??@-nlY`T?#G#@Xzxo!*rZbR?-8XR5koN#W=PP(C+|-8u{r;US#L?R zbQO+5_t(QcVT2taFA!LqfpOW-I9US(>2&KN_#+s(CrN?P326>&Cr?PO1Tg~M^wCom zHNez>xyp)R>4u2A%v|Q191F23WJJldr=x9*#z|I8QIL_%i?DNQoOO;WhvbXQ*YY_K zzvt#gYSgVK8qWq^+~gDLE~4RJ4H9-RkisIF#-2$U+*WwZW_nLxB9#Ds&d7#ZqcE>~K$Fx}dSGA1YB9Addzzpl4~9fcL2$uk1l z@qlj%CBUkbY$D{j@8Hp9Yf-ezXcNdXxSQMaM?up%N~i8vsP^G!JnRFAkXas~e;|0N z<9Xuv1eN%iH}uSP*MFr8oC~Fh=-cEb(>Zic2Q-1CAy!u!p_ht-k)$e!W|CSu|Cq#a zoH)NTAg_Q|jB(zV_xME&v`?}a!y#yjqi9^LNqT(DJf4nCFs$)tDl&R+BT{qNussE% zG$pGDtLOj_^I~LTk3@`%C|?aq*T_)hp0RvvX*p3B%~yrr5wL_^kFwH|sP@?yn5qCc zMs%7`mVDm2Cs*`wIzkQ)2DtKNDI#~mB9&Ov;`cqwwwJNRS1DLxeOI|g#vJQt2&-aB zjc;RSEwKC_D%NjW!~%4t%k)qjuUr?hTTxqlOdoG^D*`ELxn(I4J{|9b4xtxz;3(3V z-drm;hIU&PCwUJP>7CEe;%jAJdSROILL5gbpf+FaW1oBF z>3nU-{ck^9f%ffZWtPkoyhY;*a@@;KT9(Tj-k0xj7EY=84&fwSj)Jr|%plOSm}eDe zn(~t*FQOK1HJdIyyuvsXP#QfoOA1I#DMcW&wR#YkY~=Fy;1AGPpnVsJ$4@OjYdw|N zD<2QxoF{RJW8EW6$ASu`MU3J=o_HZt>5BrpN9hy;poncJZ^YQd)J%fM(3 z-ht-RZBGL(*DFF=Ak~3Yo+yPV#hRWk4;5*ei!&k``AsbM>z3x)kFg||e#U-AaIID$ z*MhG!@8#5#k#J|58-ggoR8vE`CKgb%$;tNQCjf$_FP}s{VWex4T2{`l)7wp>nB;}K zO%g7xVgGtj#)UohuWOV!*D)Kh{#9se6ehCh%E4%aqc~Qqsd&n;(u`wrKAn~as}%1M z(tgC!LVavT(|ppAjO3m7)j-@lQ`}Sw(o@3Q1k3-sxaKhd-#V-&jH`S_cx)FLlBAQMw4QD`UI^!N|i@t=J%y0xalP1p1M_)=c9<0pRDuIrlXui^ba@LfWyoc4mE@m0L42*)vacYrKYwy((4VPL32hG+U(6=Zdy9>yk6V46Jc%qt}?ChweXn+V!1RJ*e>{AGl#9>kV*%5LiefeHp>E}k*c^R%d9LALm-g{sN z$E{&qfz|6o38~f7x~1|J)MI7rmIVuOjKhbA0px0+KdaNiIadm~#W2|TgmRQVq|Lh} zsdF0?)bcA@k;5zdd(8+e9TQ8&2{eH5skSQ^gv!Vt7yWLLWIKtbaxA^Xtvx2^Jmiz0 zyoi0%*fcy<6|1}4zyqoQC6Tr3W=l%g+lU8`(=P|eV_`H9Unj?3aKF1mB>L(k;Id&< zidpl}=JjV@B@9{B0JAc7wUc?sbBDpDoK)Cu`VtS`B@?b>NbAObp9U;PNXO}TN!HDKz;${en7fJ{sed6i+I~Nq+h>)u>b2yd>tB;8K~a zH$EIh*vU6SjCu~>a6b)1@J$;dq?)1XK4PkDLk%8!T$OUkzLo3lOZCqX>>*BCSm$hD z1wSkI2xqPES;8qs=P6B!C=PN7DmOf1rtE$$j_WZl7??Z_``}bpldH(W4JB{eIC&BZ zmw0B{t~e{v*j}3xqvkYwVt6#j_?zN&m~kBkPOjT$E*ynI7o?_x6O#gp(qvLFc1|us z+Pe{RFS#OQ`+*R-T(#5=6n`RS{E*}lHqi-&nRR%@6|<8AmwMuRKg!noRHL<~5*L?3YRbaX={O77m>n-)6 z-(x(P0;JBZ%ssw0v9*A}np)hgf(bxjCbKO7nsOwZwqL%}TsTR>noe&-iP@a*PdVL? z6I&vnPoW*8J|Vdd&mj?vhu5HeI&T{{>+nPEu=CYE=$+L@Rq|7-ABFIhJ^4uF%1$xT zhSn>Dg1DRI@q2(%@lsEK zTw-1WYeMLm&s3;izpBwgllO0mJ81n!6Uq*)1I_#s45w zTJGwl`IKu_W<$5!EAj19>x9{qbFC%W>3(Uy9Zd)X8*1fCF@9k_21xvXKYREud3)v$ zeda3c_dL`t)(sBfg|~e8=Dz{44N>aaZTjbv?Ko)l8FDwUuy+zj6vU5r_WEO(ia~)j z-gY{hHrY%7-liQ&C$!m1`(Ipu2pBgqf8#W2kFg0ZZZ&(|ezB{7DyNlXIJdp(eNC@e zJQ-WBx|`liXhzznht(jl;QyiXC*^(E`O>rIcdM~@mEN0!RegiA71#ZksY)ifsIqI# zfNi@ebD=XlYm0#Jg+J_j&lR4yKdfxCW}AF#xor>E=9Ayn8$NP_-UJ$0ijasVVm0&b z7(z=KvnaR<$_Y&dTgWeN6Uz~NxMoPY%ViDJGIo5Uz~h$4USdH5PlTAw}DNUuzv6|;gNMJ8-q)P3}%#$UYiUW?k|EF z#H@hmP}r>8o*B`Yk1T_SujmH&YkqmSUH3quN!WfqC=EEKhna7vU^53TxePzE)-bmU z!brmqhR-J%uh4~tF8*{0`_{r81N~Ia{Bp2|D_g4|ai5q#gnxb$Z5l`Qa6al1?5U>| z0|hcPm8;S$p*h%+T2zBHtPxpD1aWl?V$pG zXPBDtgv2w9v4p{D^B!B(4I%W#541oTF@QC+WM~ahy)OJe1X+d#n2{6Tb?EF zF*7p~w7nzugoV8V=QPEV-dSo5Mw=g2uy!5N8#K!Wh?`K%!kqBPCj!GxpveukcadGG zrW}QHAPU#4Ev2H&lG{=7%?s$YEvB4rnZI1mjM{Iow#Ut|}9EjlPbk*!nXV&IbXMXD>JGew0BJc)7hn|{R-CSqsKG%ko9_g)J;PD+rrZIX@lil9dp5H0=dwWlh5*E zSbR*IIS~4eR8w^=a%ef38dJQCX@mcr&O0TdeJGn}0Ovf57`9CI;!IRgGSfwLP>)1! zur_> z-vpH$7`g4SG8F=hUzKrYO7JUB21YB=J1;t+C73mqUEx9IXCUqtpUSMv5|ybTlB$aY zrw&`FzyjE5Cy;S&N&%p3zwATvd2IbQ!SMmy>__Qbq zo!kqk#c;zba6}9DB$r5VV~v~wwx}T2o%;hpgP)z4yM)65;O?m3ECiyaaP^GKM zBNEX}NM`F6?7EaE^$W@Gr*n8zezF7cd?bTi)F2iQ609Ofpl#T=!GFw*WE#T(ttw03 zukE#VML6+v{`!vZHqx?2q>=O{;_E5N&`xB|L>N5vpJS&wzf0HVY(Q@q8tgpgzNhAvLa`zMxPBv!SS1|RTtV!JTSS;mN&%3`YIBgF5F58hxY3FdS$jqYy~9U{F0pa7Af6^G zOe7|h4TK(-UkveDC~9+oo~f}M_L*j*tB6L*#&fF){B=6*4uqXa02{O*0tgL^GA)sp z9wYfHvrzR1>d)2?g4bF_X~=+xgm}6@Z+aG~b0;`i#=fbD`5t|?NGSNnLJ#XPEZtPq zQOzpL`T9_F!Fs3Mzx;Lh0xDpIyDF53UEQi-R9Di3S}8*2mPL}-afUhy@p`{TiT){F zNo`+CLY+4wfv8F=`r5LjU04x(UKWJSQ)hq+8nI>i?H&;rLJW1G%aWtr;rep){Ih;* zBj2wu&o)F_rCz3s(&VV@7n|j%(vq!<`h#?2kN?tnmiFAfa_Cc3>a$VNhygq;n)xN5 z4Ilbun%Ys)A014Wpylb0X#wGxVPp|iXmZFWf(olY)*7=I^7I0htGw2WG0vY~*^JY& zsLb)zmZ~rsrZHB%>V>VS$tR{%c_SsMA%&+YMwunO>U^RKY^vhc8S5a`-BKeQ5w&+jwSh?3aY9aO*rO8C zT#?LY_Jh*b0slwAZ=NWaMQ>57q`$jSoJ{}U)&q2sps$m7tS*Mt`LGO6rd>iyt++$#>F> z6pk~=FIm@{2Dp!y^0SpUG8X>592Cv%`-3(!t9Q}T2dQ5O3e8Oy|2+d&X+7w=Tie;{Ui8XSY9}+U8_bTKnK3Y%UC||v{Z4USlo%#(&nr1i+r25BCe6xSB z;p;3hmElr1odm#mQS^70$UQFTG=&IdN2kXDclDhT6!e2V)f<|R0Q&)G3PkehZPd+` z;;EYoZSoB9-fIrskvV$Sh8NJdlDEYJKSBMb+!$WW(rql}oK6k>Xq{Y>FO^%n6OgUT z_-uuxoN@5{m6G6Vnu!q{HU4O(-Rr**rf^bn22?!CV;j;JE0{XUaUk_eSsmB8CXBJn z7}L2k?AA|8UK^+hi~_$I7fg=759`O9u@XeLmsaSvNLgmMr{c~#H=<{-aW4njOC{lhY5{gd{QafTRz8D#`xGy(vC0Scy&&i~U-^$(@sKPV3W$mW#(jpD%aPq2Xge@%(@FNT7W zsk6PSld-8Y56{mV+t@oPI~W?9{^LTK;h&tszt(Ze|3WSNlT-Nd6GS~+#FhU+DEzDx z|5?e%#Q85DUi@Dd{`2Z*q1aDW_p|2zncMIqHT+*w4a^K||5a}G&+qQPk()7bvj6+s zOxyl{Pz^vGJkQVu7zqa~t_tXE14OhSMG6#SY6d^KSf#X01 zZyKk0S6lAj=;zbXn^UvubS|x2%li1@`(sMG1`Rv@;`-p}=}~n1O@;Jo`GFLA)HKz= zTuQOhTn_uxO#A&bziqdlPSv3PQkwd?ceBRb^7*(syL;Mt)?|*?*T=(PhLxXXkhvfq zhAE5Up^LhY;`8~DxT`p{OIa{28%W8iezg3tFx13ecLJ4lHLI2DI?dEnvzBk)8{J!o z{&~t<6OrRs9R}CL=|)>ZkyY$C-3}O92cz$L6B!DQxpOIKiwYcnmDoRXGwgrlW~$6F zFwt&qaR1EBGS81-Ke>gJk+fJRYnB%TlK(3-xl@GhNaf7^@V6F;2zB&@`HcQwqf@lfij3mClG(8#9a4 z5MWm4pfPE>ttyF7ngE7b4Q-t!XOPqzbF?kUYmP-wt!S5lQGJ;omkn&TPHPj*xUu@{O5I9E-7Es_C zzyOnJaM`NI!d@e+E()DznKF%OGrA8Pa?uJD&1a4MqhS`g2MIX?KG!E5&zhqTz|;X zKA1~#X+uJ=gbVUxeql{X4f}Z!f}(hUIeyq;RDw%@;!jqBOTKrIgzBe}Pxk+XR738+ z%gz38Pz~Qb{~W!*=z5LN#p1{r`b#5dWW04Z8oN8iN13 zRD-|5f17IP{s-0Y=igBc$p1<;aQ$1V0iWdGPz{g&uTl+DQy z#bX`v|B-6wTz;bbUr9AU{ex;C_$Sq1pE>_;sD|Z#M>VYfgKEgb{uio2eRA+(F}rwL z?&#q@zU?|YlN0;cK8>HHvAkY~4REJCl{%2C{IEOaS_yC9!xyi;*eq|!c{#ECy^S$P zo%!)Io5Zgx1Now{s%F~jIn?&=Twa$41IuO}PFhE2Vi zt=ig!!9AD8O*^~)@dIz7X+>Ur3d5TR^mDQR`+6`S~9d z({kFi-4)#*_AKtlkJnRZu7n+CAbe}f*Qfyw9cOgKGdu`6plc^N*|m%D?6bFvqg4I{ zX`-H6xJvtFXXuh`#DZz>Re_>kpNxL40Agn+InB^u+XK(+w=n6K6A>ThoR3h^1{KSMYmYTZ6Je9sp*JZ z)@F|1JtfOaC9f6aq7J-eQZoTd{(BiZTl>-`fzW?=wO`O~x)i)M4pDe>1g@O!HtdVV z%kRWz!cgU+z2RCI-FXb@Et_Pr|FSsw`#oOHM=Gzez5J%B7p$W^ky+G2 zg7%>+It6H(UKKy@!^iiHp6y>*QpuOv>|vZH#R^>?-kbiaq`?PnwQc>9qm<>-R-`pYmm<$Tf`qJ=XKm6IGu2lvIm78NkxgMvdYc) zXuNt?H$bXGRaj9UXqGc(e>a8WS(vc^=(Ri>@P;(t9V!}uwC!_4CeLO0iJlStJN%&8 z7NwmOJDBhYp;>%#%8iR)VG zf3!22oqcz6QW{04MImfQM9mvd7S1NYW~1xp5j4VMA${jey8`{y&Y^8XPv8bvVrYSZ z+ANg4qU^|2!+VDCg}<^xe{BOHnxX0~D+1gNam>nX3zo&pu=xQsP#ZN^u2VWe?Qmek zLQ-&mEByo`qsK>)DpaIpP+RbHQo8mybwUhmd!7H(Sps zaCI4gaZQBL>h=9fL<7NtaU`&xZtlZ73j3v09s?r_#{Wkn(J?y5RcJcV z&vH;(&cN7mXaPFei7W+-UrC8XRs|;;Vj`{-K%ArebatYBR!H?{U^d99jY)Bsh*f|jY|Bn` zE(x_0lOx&9Aa1=R#~~Y)VZDKR=?A+bX|{>F3FvAcX~IGq(4;lP!$RuXa10$U18IjR zrY5fc-<;+C!?$9pYTz=ET2lcjRLqo&AU2sIw$8fV=@JvaIcAAqlMfi@93iHfoiq{C zt(m0{s1SY!+hu~=J+;+XBzemxmiU+{;^fI9zhXxgHVzsKoMxsCeHP|lerXvaJJ<*2 zf`=vnB-0Y*-UeMZhIJa^%FriWunU7n)pfTRjE}+w;K`@hkfsE+#f0b zesGE*ZQT+Y_kmMB`%R;_lKBR*e%vL{8Lx&l^DbK71ss7Z5+s}M2yzur!@JRU%O1Il zD=XQw4$Y)zX=D(~I+RXsJ1Anu^sr^n7AN;%(g z9ULrjYe^@Y=jIS&hl=NXLPuJ;D(Om?e$u(1LRKTXaZ_}t8s^uztKUgKidod@y}*g< ze0V)~15Ch%wZsbfeMl`QkwBi~6wFUumt=zc9o`^z*>Xmz=J>$&E%XCGf$?n>ZHV7d z*+!HL#|-v#Chz7y6P!h1@hP|jSPF?UcpYKWQn7tu*{G?RJGT(KG_+~IxkufqPyrsB zLGv&Y``85>w2m9%ZF8pi zOIW*6ErDj#_66V$QI6^Z#ugxlF|`4kB^y=qbPcb%3Ksg)HQf2cs79C>@*%Uzf{N3` zP(`mNT>x{!f)0hLD<$WzNF2X_iFa}>c2@p&8KRuawcN4zc(#6K*cLT<%EFoVeebJG zyKI1gcb&NY_!l}lcOT1QMEs$4kSS1J{a5)8HO4!83|ticWvk;~gQ_Dw!OXn-VUw^6*8W@+N|E`S{6a4EbcATW>O~3|zc2Z|mhl zU4K79g5OO4a>R`QVu{V{wSH@|@1BVsUxJj~LS)AV#8)*=qTAAowr(DhdASPufui(} z;xV?WhupPzyk-QIl*4_y2TcYF@f}h*+CXj3jW2J6&e*{%5gv)^{E43wftpvY7oDqr z@GWh#@&G{#cFN_SpEPVq59#VKUb?i$^zew7gl`SN1tv~T+d#)c56Te!Nrn)I~I z+6SwJ9~Y8IHMZ-ik;^^Jd&=r%$^7FZ4Q*Kq#?l&UQ_vgRvb(}9&A{CRQfjwZ#iLZ; z;<7EL862+fX07|_?OXiP@U-_5I=-q;d(o5++_|;$v<@ROfIPdf z-!c~C88@7kA<{BUgu6HsR>kJk_ggy&`Umy-o0Rpk48Y+&Uw7+APj60bkrv^fHNtN& zSyV?T38KU*k60igc)r00h%ML}t|uUnhUYd?=%&Pn(SJwjGwt#Zqe@;nG9(;Jj3^Ce z;Q3JdD=nBC!K7;^OF$PJ%o-LrQA8?iFZxQ=$s71uZR+fh2xU;U(3D9Qh*77iQXUgS z(R}1XX;B?^XDt9#Y@Z%^Fo_Hu|l#iGx z3`9ws`VxBTNW$ho>)(Cm&=<#=M*AD?2`$sPJI;x=7kAx%c#jp<+aET(bf$fo(dzXx z`%cxbHUcn)gV&>A;M?Kq2SIKU@KbZ236=xt8prDvunHH6DHz=ybH#=j7!f83LsQyOcAf9A7Hpq5mx8 z5^<9a9bxdg96Slq8T_{(YmM8#99Q-bRVgu7Ellp4Z|PxPol_~&IZHa@Af84exDf@2 z06>J7L4fDUK7y(y-e1^ZQ=oU9@m6=wiO}a_vWi~ae{cmvD2$BO;e&Av=PfjJwliE2 zT72EnJm6Qz*f|_4Yrk%=>#0?RYjMNC)0Ptio!^ifi;38oB;vY!(B-WBn50XSNnl5D zScua11u%|ch>_Dk;bl7uBaWVhF;k0$AH4TW(5qg|#4r|A>8s*=J2Y+|OjaZV1>1a;u?lUyEF6JI zDLp0poabeby+ihm>^7!W3=hbJnJ<+HTYeh(XNbzV zRtKmx(SJDb*qn)XIjD}HmH)9+H+aDy=Ml4*o)I-o+JLu6A)Qj5b1d4zsYyirNqmWP#E4xw%9Fjuwg;6> z#Nehr9hapQM^mvnv}HINK^Fa_W89%R)-Zyo=DMyOa4}4=#8sf%j>!Ywoph}k4f_ai zhfH}}Xlhu_QhUS4y%(FT(iOKE(s9+}Bel1x@-?7*i`o&|g)%(`pnC|{nn1x)G-x8! zUb?u5_SQ`}di_$JC)@-#ohP^B6NsoV4j$8-XXr2lKOWrd6#;nm2xvX}2T7(}{C3+5*`0pn^cHCb06A}f z$_mjRch2Sr*Jn8G4P-f})a^c+Kxg-;n~$^7OJIp`^?c|&x$X|2-A`IWA)-fxtsB=j z<*9|4GOmO)JakaG!21SdMWaAqz2MkrNy?x1S4V^_tiru|Fp3v-y*3vb*jx97{t(Bv zTRZ%x#b&b{KZoGW#vX7djX%hZ$1>2HdtZ&;FiZW*zZ7dKzDCm}w~`Xxdtz5J9rk&v zF)=QE-?EdH8K0S@3SOK28}em>{qk+@4%s?4Po`rc}d=U_TXO!;(iN@H02Lu!q1W~1C?I~Xv)HPXH+Y_+U> z`wQU!6TOg%b*K*qF6o=wPFk3q?{yi#3+Xk1&4Ab^MXPyp-~Z(+fI0Y`f2&S_s#6Hb zDY|#)&}6V>(>R9%o3M=X)1}%TZ`l$lq;a#tAD_A40i|N|4hl7Q_Eshbv?fZq061#3 z6_o}l+h7Hy(atLxQ*V!O8IWox?++2lxy%_i+T34GEWHdxE!a$~K3Chi$`wPq<%>DN zoV6TKF;=chz>a$%_qUU<eDo;W>I9lfp6vOkJw8<5`!uw&X^JwHPDFw|g4_R1D>2Y%!JBQ=_@m zqSGk0);&+kQXBdxlbBC3(8(g&4H_bV9SeQm`Mtwc<{}FAmuSBe(M?6`V=^4;*g)~Nf=ggZ=ZVFqqaF|<+WvS z;Bcc@%^;TaEC|=mvSjOnr@>6%!C>B6S{3czHm-IXQNNyJ^$3$}qUcXVB|zDasd>14 z>dDFX&J}E4|FC`{BgZ+Hgow*QrA)LKu`yZPQM|`@Qx3>gf@a4nx@Ez^;U<*2k%N3! zQ7FhV&iKzO{h{NtbqRKGH%Jru$e<}Rp1!JRA>S#}H{6u%mETa=374I}L1ten99fcl zk;HHoY)Fplk8h0ajmIG0B~PK#u#8=c7Q@mi%&UCKKO$nJijIvxbJ{4s4R`Hw+&BH5 zGlAsYUNHo7v*b>YVzr+V33}PHTOI1w9^l5r3wgkyUeU$CN_ZdvLl#UhWZI&s>bHVm z+hvq82>p&lGmNJ0<%K4jC|o`mbnHoFM-mfj$YWaOE}}CsaZHduk$iugVW`Hj;U+L5dCe4rTbh1@=3JizJ43(xdU@(^-gely=7mtq$UgH8V`8gqR z??}(fhxYWoH4sWwopo~L@?+Nwl7%}kIr!d=27+hDUD@$oXYNy{+fF*J?wg8o>z4@b z!&B^qNIl<8s z!Y+BV-ncHN*$dY8u%X#(g=je1On8=IOA*G-fm_1boGmo1^CB8U)I0zClLFB-ko!|z z)x)z(OM8G?;Gbx+UVPt@kUAfRFL!&Bxk1gVZRGZ?$VbV$uhlM`(*Sm_9&`QKY8#UG zRm*XN_^dhV(EVS>jE7a!_dI_r779h7g}H?0eD<82!&W@N^5jX=vKpZx%aR&|y!Cma zuFW;3LV6zt`J>a4QltI>C*(!P(q;aYPB01=JSmU(MfXQm%NO~Z}zLV6=yzlBb1I60?si8ZI{ z6OzfPOLz7nk`!eJ%9FC=l2B$(HmFdP6n08a%fw23^yoKZqjQ$a0Ow!<-2?Jy#jPfh zfQq)uuTH455H`HM{##dmvq6$*i;9SPp`Xa3tstX1@5zW?2ccA@Bzo%(uUfu9W#ra_+IImMoqnV;^N8DkI z$e^1XMx=33L2%`^QWin2xYj@|(vm@~B}(8KWGI4tNt^=5SjZr`h7=G3OamyywAm6gN(1s0Vgq=jTe@t zwYND77@+ZT9Y~Un%{gCr`Ps2~=P)wkAxedlm?#_^z5`AqQ=(pQi`4AgAOSP|tWeZ5 zHc~;`i^cNSAj@LVQ&R#yb_67`?G}>Wx^U}JEeYqRSIHUQcq$HkXKXpgLmonrVLJw}k`1`(bJ0k*Kv0{TV(jCGL!*8k z!77h-zk$kA=e&&gdo6_LO)r?cFxWN)a1H#68!|~%eoVl9iJ}+?BsWCL+y}u@38%OF#Br?yJvs$G9k?9x-DYU4#Z%HF@$YrqD%fjIM=5i{%JHK%flbS4r6)nRyN zbRG02RJ1A9x#n~Q3>JQ^XXMoQF@g{DI#x5MXwj6$xuQQ{$RjP>R3trLhUmXRM#Wh| z?FBcCoaEQ^!(sWWm}9ZRay2so9=Z80Qse+t335vq(a{*5U^t&6I5*-z9&yP_mNUqL zICFv_8#9G5ZW!n$tRpzz^RUV;9!|Ez){}$p66e4%VH65slMdw~9!xm%A+ej#7DN-2 zZ7x7FqHyZQKsX(78XZhz*x(DwMfRq7Vw%Qf|Gq@9MTs%X5(IFhzF9S3Ue42~jm}ah zAoD>l)H{mNc_}FCxMHfiReJp^H_VkYFOhX)kt>CJQcF2Yk^i#e8pj@0UcdsyCc_q0 zUa_cUl4XVBs65NdwP{i0keVn)SXv>LD1mhF_xFQuql|)!j4}fLFHtdYS6sK5mm;qD za!Zfyp?t*!T)LQNt_m!1Wm$#|AZA#XH8sPc5oeYePRF>p5`!ofsJPxkUrggE^UsSf z<8T*2e7p31KHy4hWzPV&CwgzO34cX>+P}6qKM!Um7=cA}+@_PgnX^$12n3T-=%aDa z8brl`C{`IP$zPwfr>}BPtr|`@$Ms0278W|%yK4)B?WFHA2i(v~>(Fz*8qh7C-1JP6 zjK^Rvo35Hc>0I^9sirY5*Xwo_IfAl% zPg$7T0$r0B?)($q_B1dbOUdh~V?wnFIn9Yu?is|i6AiC`HkM4{V239tkaxkk8kY1I z^4xsov*&^SapJhY=8&1$tLgQr?1t`P(OiEv)k%` z2cI;+`-mnsIhjd4bSqPP8@F!c1OFSk;hNFRWxSG}g2>ZZT%E{=D0E-SFrP`g0&|HE zPm_qAUs^?LmRHq91^gPx_qSifZ6n7#%8KAUUcYSj{sLb?MZc0LHw`R&Wjrk|0gd8b z_~ki!#T5#_lyrb^V#~+u2Er>)MtG@$wAyWzp{EMBKI7#{_*sMD{DN)MCL*C=l@rsA zM$aundJeoa6eDtwRn{_~K6P(Q1u?gEQPPI;<_ zZh?eDylVm5X6Gq7D3hrckT!*6rqCoYlrGVtgzO^D+8b-_J+a=qYSYwp#QF{?k9kwg z6kL7#fE;FUc=lp_v^}9~?n3bT_Kgtcp-%tqw-d#DyR%#oxV)!2DH~RGT+TvbJ4v)x_LN;u4=jS)XBggDVY($Tc%hs?kl7q44D+do&(Z> z*I1i^DZuPHgAH0vo8*{Viezvx9P?e3Dp}$fV=YW^yd;rh*UKcjS%!9L6BUn+m60(M2=yRi!ImgE6sv4u#OKhA{UhadNsyd8;&RMmZOghN6}#>xwdham zVYx{e*Cmj$`QDJ-sA3t;$AN8UY??)JcODEHxmqjo)v@~inrf>)8%ezTEdVb>Ii zxX8=(j z<)-!i`p&u*NH-^34ePeb4ba*L3}_>C*{wkHtj!^(L28LdnO;)Pg7pKAw!BBJI3=qrnr zp)Vl8i@WTBSMw0|k+5HH52N(cy+=&Ahl9eQjjMoKB_iwJ_24v}^tR#V#+?wAfG@Ay z@=boq6z2?@jDo^@tPY+;m<+ugi7f5Zs+N{zNH~eU{1w!1PBG`9@P);LdD1csTeTL= z4;Iv01;)QWNlL#M++r$kp6gMr{by3JFrVYbwc<{?)Ehy}LH{`kKkK5KzRZ-#HtovtM=}hMq{6 zv12_E!$Q?7^NN)YYd`@16xwyL{keC+ZD6?0^0I$RC?3r`gxrL|?yUR44NW&PT*8g} zmLX={I|%!m^r|@&Tb0jDKEZ`E-p_g`%&i@WJqR3_y>f!VP6gx)X2SqJjc)Pqj4PuR zvBS~5H4SvX>dKIvTWjm;VL8z6M()6*RuXOda8MuJSZ?j(;$&lZ#BXQPEq-6n8dMgV zj`dAlG|zIMnMK(&M2^&Ti_j844xXHJbA#x8)W zQT0K7ji2GXRJ~HZI?!sWd?3|ScNnmBb8_kdsy7A!swtRYRZ#-uz||}L-$2zy>|fM% zUZDAc9f)6xwE$e%(fAc9;4A40ZEdFUe_?T5ImJ#+aN;|36@j^rNZkUKyFzev!~ci? za?6PJ!l}_1g;!v$V4kMd^{b(_6z^uPAhN<(DlP{(7BG(ht^tbwc~J7#W2``5D!`#tYEbQK27Hj<&*ns6oPR{`+S8R zFYE(;B2QJ>cfCJ{KbZ|2(}N@8%IK(Z~RTr$itzx4|h=@j&FN9PGgI z?0%`|OV-)vONJs2B}EZ%153~NO-jw~a%_&!153`)#kj27V^Wc|eVpD_Y}(=o%HZp3 zb;!wTm;>5{6s3r{zKc1WJejB8)9y{93l;(c;Z2PKK4E=CGS^yI7%Iy;AZ7%VrkGQJ zmlo&)C1=fs!pi*Y69X}1L;=ZEYk-g`t4_n7rv{9w9cseuX7-@4!WtkgA~B#wftcdo zv{L~j(=HI96epF@F6tC6fRJf(^4IlarQ^grmg8QFO%z7R+Of~{yuxHq{YK!ZNV)sX zbTmT(he5amce3Ke+u|20r@Mzz2Pt3)p1y#Dkb~z;(tc6d#OIklx`3Lb)n0j`#Ki>N zwPXzB&%CvUUd4{NLyq?nk;2RH6$(-#)GdV$6Oh)J?)(xh>^2lgkk2)8TRR6OxO2$h z&IwQn+@-lh7xS(IAfNNe4Ef3xZN6J|JTBj>agxREu@5x9q{opj~RSO*#1t+O5+>w$8CfJJVqOOW&^JaDeXgcjEaSm z`L4IfF-Ru#FLLQPIUW92{1^P!`din@Yv85df0o_Xk3JF402HqhI%ABNS%#nKSGMIW z$Wh^(T=j&afFJG(W`GFzKOU$eZ?5J)rIE7bgPd`2Kuv6v z{g_>H*0y%K2JZRIy?-?!ew}JPDh{;kUY>^d{LG~bafKe+N%9T~vsif{bd~#_f7C}8rG_;h1*)e8*@Ps+%@_j%IX8KWJ9tNpVvC)mAa;KivYN#YCex@rMi?I z&nP`QXMo6^g$x|MxgI1o@D(ZYI-z2f8bRUI))ABm)fCida1Y9)@(gOAy##ec?GTkB z#JWa6ab6=(q`3(QH7cZe!vhh3{Bj;>m5EYNa71!VXp|VSU4$xetrAlcd)Vgnv@~{E z?>!MqeajDQ?kyI+JmLgqGB3%WJ)D)>+=>m8W2bsL<<26+TZR{UMkpW@Ml9y zws${4tWfgLTiDfKe$%uO_|#GFT3riTfz4}Rwljg13hK5)u5=-;QjlfiHpYfRcAtu@ z5q`SRGmlg+jB+UZU5^@x+-1YWZoX7_a%0_oDFSfU`Ia^0Jqh>vq8+*tG5Si96=oXMi}0{2E*E7Z*3o$E@7z-G%dxIXXO; zQ}d2mfcPaN_-? z#KW@5&;1IY>?#Ht)X&?gw!XhkANlN8e^=`cw)y1&%!#-==ZX4Su_mso8aeWZdgB>F z8Rm!H8g{&FSca%UA1L}3Uyg#z$`_b_;LlB1&phi->(-n{u-S6CpoW<{(}yyOABds+ z!H(e8PfQkWtn%`PKUY=y#}*^(3su)S;{PD;9)n}?_C)W;wr$(ov2EMtj%_D9c6Myr zwrzXIwr>6hGv~~mnfv;w=S8}!tGc>6t1I1=)t}$`T8Z2&JDAU|`{i<8u%M(xqFmSI z+SYgT-6|v=2#>8@poJ5P+{Mj#zOsxtGBtZ49N*UoTH^Ef|W$jlK- zFFWBTgpvONh!JxQ(dMd+D1Hb3?gK^@7}sqpuuB7xT}?nAJaPYfqJs8i(sVDia>L1{ z<-|1K3{Ta?fdHF^3liK4{jEV2)R4kT$Nf%v1j`a9=>uL`>4O`nm z!<*ipiN-B&4{lMT56|v;q$eZ#_B7)FCU2g zj`4fdy>pxrR6#OT@J`4NuWX#?(LMcP7TL*inEq0&+F7Zfdb5GMRVm-T8iY%cR)fek=eidSSzWv%17uvS&r!eFC z>DkB(`SU4vXye`2XwOme-o$k&#iohgAuYS4q`!{ch!KnB*PomS`kj>~=SGVy>Nt-S zNs{AK??X}~otY|%xVji!qHrSxA`=}IqHsG2qC*`KqF|?bBuWocl6Y5Ii2}j6iJ2PA zw+Y4hkG1gx5_JcB51=Bi%KBlDc@tp)0clc%I>!79rV=Kd6{zcjKrk(`5(dSOM;v>` zBAEbDqe?D^6HF@29u|0=8Wa6C!~TX3M125+zOaY?ZZ?bMugR$Yd2;B#OpLK`{=X*1 zRQ^6OR{z(;7=H+9qJb@pQECqc*muP&$^gX3FA6dO6oLW3!3sY5zkNDP|M_%;{v%Sx z^0!H>|9^~zG5-B`RaR#H+eF%5qjQS?`)C;B|5_sZ+uHrlFR-+Ut(lWK0V4wo2lKy= zhOOITk0N>>tKAd7F!Xon0rmTla%0o)1>-V)Cj$^iP;9IWBy_|3)_k+MR5qDO8p{ts zdWqm`CrZD7J{1qSFIOqq={$SizjD&z-?6Q&Z^ZR$2{ov_^qau`N^d0BRi-u|P1i8W(5Lbj~1B;xIM<_-hJ< zE6mwCX4a}8**KL}1jQi?LIJJ@gVJmFZ%A^pM#if;N71tm`|Oxz z35$vcpY1n~tFh<%OaExa>%izJAARC}ZY;+-njoLQQe>>(DKhsevtWx4j)~+&6L8IH z43L01!XXCz_`;8v#g!Q-16-NRA_qF73DgXDmZD848lXyxj(!#?l)qAB*w8=1E3i-g zIUDBkZ?j>zeEWoLOdy%4Q$P~M!Il6rnZFN+hG6{$Iba#eVcW$KdiHW7)m@x!+p8cP zGJp4|;2Le1@o;m=tr$cmKW~}22P}GKhA|oZdT4ThoBJd7vUHaaOEWR!QHMfi2r%m7 z_{p4@6!gF*1H$wQLGZE9uL(E@$G^4>Ja<|D3t-gp*M={!_yH(uXAHp>bqr^_1xI>A zppirCG-t! zb$9^(7*b(-r9m|0^Om53XKet^Bo4Ns%q7fyn5km;B}lo^2H~crg8X`nV6sZVfq+G3 zahuiz#meYV8khigkQiU%8OLKn{%(rVWXvTT3k_w zoK`zl#bJORWzOr7QuF&^-#C~IJPU*kQI2V&PCN??8lE;5aClyt)b|=9zr25#I2?4qnk7_xSB$(ya)!11jIJNjDg!ObzU3@vK` zI?O}Cfol55fr7(~g7Z_mchnPf7*D~TgIJmYt3xF!xui~(DP2aW_h#x1926XT&sZYN zApcr{SkD}iZ*rQIUC?|`$wuOV?-twr0V$C>#r1 z2hU-?KWIMh;U>r^B*@4zZxq-DlAKSRqwSmo$JMwmocQhyl3Y%P!$+FqC@d(!vQI*& zPeKV94oHwj<5pNo@d86K^x@_jkJ>>T*2730_=LyH(#Yajl6RCeTTx-J0s%`1b9^aa z0yuZBhzxhNWS&{GYD(5O74rePcKiENgj?}&f0L2nz#}P_N$vuT@}|3>xJs1AYK_e(^6pWcpB~gdARRMb}}nE(zX1`?5>1S2km<@Ox5apGHj84?1>WI z@UsiTTk)=avhRW9)WtyhYEj#}nIQZr1vr)x5AWOH;ZcN zYW3prw*SSW{PzzH-gVpQTfx z8eI}eZUXm8>}1iGNM!ix$m?Y5>E1+oNPuwY3O!ty8sy%K5#4)GLz^eo@eR?#^@#Iq z*1PoXBs{wyP5Z5GMU8ap%2zXxxB9!RLJXYppH2m|jyh~txGfa>dL((7i?uo^gYCI zx@D5)%?HT84$PvFmAe$E&7scmlwa6S3^#BbZ8g9)(wDaB6$qSkx%k-}A_TQhQo z>-Q-NlVyK*<0zou)-2BBKJ1^`E|hS}JJ5n2RzoVXAHylbd^}yxUumZrM`feBAos9P zr7&YfWwVg;SyIL6qektT8mlNbN`SVl#dx(!)Heu$5BypuDD>8q)*+?V?@X>460O9s zf{_e>u#CjA{@Ui>i(%UKbMd%S`lXH!xyKCB8P<53QMUT(@PRq1`DHo@Q`Q#8nZf7B zt53T2=flC#-nxG722BtwEhg(*lTrAFJ5GJ!q!Y9Ef;8F3PqoHU?nW-6WGK&MN3MGV zyF1@bVIratUj*p)j2Fj~IP77-YrRsVWdIc`jXLXT8?;fNpDP;DY=Jy1Xaez5K(HiP z1oMzb$Mlqn@LGv7u8t#x<>e&2r19ChK9MKZ#aGozaZ75MOT`pAaN^xj;1mU}CO@Vr zqqe)`FkCubOWk=Q_Hf7AciV2DuI^)c`rLfC+!sv&o_=$d)XKQuL=b8*TH@FH~6*kptDP7NbyBWRw_avoYtmh#qWrYsk4Qt5;hk1p6PX{s$seAHnU?CMS4j7HC7 zWPkgQ94GP|Uk}zMb@E1}1MY_n^MHa;%%`9z`AFR8N^8x3Yv~seN#EY`=f?}~ffW9L zXE1H;F>?1f8Ch=%Z*NnZxYgF~*Syr`yHiEoV?vl!t%EC9HvD+9w(!!8I`FdM>1NV^#7mb9tC*9V#Au*nyC1>KsUrRG#vR`0Z(IlWF2s4F`u?Ab#;#5?X0%Ca28; z`-j~C@8HX_)v3z#qEm_DfzFZ96ByGXL5;wQ+UNsYTWcEaW*{5(SO8b5U&Whk zjVCkYhXx%wIP_@XKD!A+0ioW-`Zn@S^>1CvjA~60QKJK$z?Fw;Y>YmPjdUESp#M8k`iobcI zn0^(1q4_!dD6D=m!w$(hxwR|y&16hs?{?JKReOG3ehG;5-C+gF4gJ|7;(A=Q0A9#3 z*sW(C&i*^E4gB@xdyh}thiJ6r?j8rt|2i*Z`I+18Hl(R@SbhsK;q?!t27$qL2XZ%f z>7F48mhJ;R^7K%}R1y4vlwGPl8?MpNbn(tdFnj}t2F6TrifhdS=CBvM7}^eKJcB+I z4B3t$n6yAIjgEXE)ea}SmSR7k0n0RcZ3`Vdj?68K!z1%!JVLR?ko!(z6PO@8cq7w2 z4!u)LK`dSimfhCyI9c3A(V?{|6Tf6|8c)zq({TI#C{yF0K-dQ<{sx13mO5a!RQMFSr8;$UvF*M6R zb0>szif*S?bB55N0@PaN0#c<){ThR_KI~I@zmmSQC!(;rup9`~U0BOrNwZZ4<+YP) zV$(x0cc<2DFJpzFfgx;Mk-G2t%B+>8mdqv*4565f=S+)TX*LB^6F5X=GVNA5lA@RDgchTu((=?0N>n^16A_r0SH`1NkUW4NisPF` zcVqP0DaZGtii6HikXH1~36nB*62qr0xTP+*9rXuQd}$<6HZ(44S*A{ff|4pK%MUdv z=2R~8VqH>Zg#jpDSGnSsNWK$s!DRb@sMUky-u`eX@BO{$HZgNH5Q{v@fvYb1B<@X2(7J9mjEea`e+=b{;b3xAsjM)1hzmzrN;8&tNJBp{ck3T%l{e4QL^RfdLUo@uPTo3TgO{I~^8jtzlhogiv#g(u)L4-HaR>x6ftP17L(#1h= z1zcc@8-rPAz><8gZ20%e3g?-7%z&+;A&usJpRRrxA)ZJIN@6&RhQu20>J|olNqo74_&r z&bp@JqnozI;Elb+-2q{=O~iDgFwH9*6K^eHNUPHwIoqhAtEml7%bIMt&wsx2HyTp2 zaWLYXXP*>JI?Jh<-|R*h(^i&cMa|4e@bRHbeXLI_Dq(bV8r0>5mKOHG*wb~$Wq?Hv zTTm#-2zM?#i~{iym_TpbMj|NF>gA@rb@sVZ-@iGn(SFpfntce?tC{D@R$tFSX8nF( zCeaefxEf^BEBM+z#-yKmyNjZ4u}2co3%%p$onPGRcPOB{s_s&M2R+>C`DL^w*uNX6 zTYr6d|BP8YK+GewzuZjHmyxf^M#Lk}g4t4lQc$cl=I6ZWtVyz?Mwb@K%sui8<@sEY zLFxT1*u^W))po-zz;!B!u{L1&ox@9LeJfi?UoMiQ^`h-_t=V((N}!_UM>qlNFCnv9 z>=0w8@!{QIWOd`YnX`w-?+%$ed?z^p5D2gS3RqxQDqug|NDj26Jm`ksYCIMku#sC= zRL^l!R|bPjYz{D%1jHOo-~!&bs@^5|o$t|jHM=b~0!sSiH!w|uf)`Q%LC9lkR}+)pX7{tnGFpj z$`f03`~*OlQLlw(^Y72H1Kiixml1d@+;B~4v7ExXxRMkEANf)7C8Jb4Wjf6T5IRAg z=!nEJ91m`zA7dtJXhR#Qlx7rCMnsXy9p__XgZHUT$_M(R*3c+vkZ1nuR#Z79y%3DE zhQe8PKSza)eb|v!d%#8yGa~tRdK{=j0-x;jh2l;f8100bU@<4NdV~*hK*Q^vwPH(p z8ITo(4vI+f8WvayG$&H{7xaVNN(e=@ZV@u7`~7}t4M%b$CgJIW#|J3L^YB>DhS5_Cx~Ab(;gT%g)Ruc| ztr&cCbZ0L^^FzoCcy4vE$>RBN)$em?oz7l}hx-tM&YAhu&n;+*r<=k9`d@gIKeWkT zLLj3vY+Vg#;oe2Ox^{=I?EQWC9*gbaKiD@UH*Y^9=v8KW?+0F8_>RYvX~n$%Z_gUu-hM*Wj?zekV;6=1VFkAE_+b9ENq~VDYfW=i8*x zZK>!IJX$sD&cV;|9?pyhr4D)1+*e(57dFw@#}4viaPF`;OWwvu7-I}NMn(v!m>gsC zB5?974fk@&2}3K!VzK&BV z)Br@;LlBk0Va#UeY^%FSqQIzyF(Of&qcDgGz&PDN4E0yhil1g;poZjasxgcjY-Y6} znMOpQjY-g>6KD0)DDz3($n`}v*Rf1#;6SF#YXmcAkxc3Rz_>ZMS^G_I9O~T=IqN{( z+=#;NW4*%29N{tF6Ad z8s>5>W!cRsFtAiL~I|L#nDS zhBib*p*W?KJfw|QI>J-u$jMwp`?^vK?O7SY>w;H9)UvDX&r7Qc^lGen-^=!`-{Dy9 z6{hlgh2pur19g7jba(G+uk{bE^R*1~4g7RZz#mV)8%*f`Sc%WN0AVqNhc!<;o(mAA zO@@q44`3(7qP5Z!$e$1h7yW)rEY@HPDV7Mhsi`$#vN#1v7EO8p1wkq(=Gl$E!1Z4IV*>5p7-jAt6`h1QtHSm)c`~7+R#&KW+?)f}CTr~a3U}3Z8 zjMH#2HOE{^Ppbk8viu#b{FPOevyUp9^L_W03qQT1sTr!F&K6vAl@h0mJVdo=J8v=V zdsR8PejKPYl(}>MQf*DqF?SxiK&wQ;RbdUG&Rfi*%t0-;G%rMfT$}c)O9)Vnh>gsVpp+9CYc*DctVB6dg=Xq!|YcTWS5{mrJ0k?FGsVR4UZkrxsui-Z2mu zZ@ZrX8bRx71Tv2w%$ouF zh$ELmM>MaFECcFrfW#eK9Xs%W0q})Pn|b(MJnvCm+6|MYBlkQh$-KW*rw#Jx8E28n zVzi{4jC-pY8ABfW&sMW9&_M~g>O&EB59H)v5wd6j6zJ8Z;-`$bD}W6Lr9csw>E8ep zT(tlUZxuj=k5&E5Kf+{%`5Sx$-H=}7mxy^ucwpg8COIqv$^=6r$R0j1%0WWY3?V}+ zN^5devw^9mRgHu+FsO6HIi)nPlr##6u*b#@oz9xA&5ue!VJZh5cSM;%F;ry`Q0d6- zcexXl!TxaK>Ou?y<&WoEQ z-2p*oeW1Zjcbn|>7iom_rB;j}{X4uZL0Z)5A!{rHuB%CVN(Z|2JL4KL?(3ksxv;=8 z0sRS-UmpMLHSXHqyddZ8G&>@k-#|}VH|~QDm1iK69j(|rKGp9d)Wm#!BJo4Dn)S$h zy~HrRJ@QPn_Wzu^UuV5yrUL%pjio6gA+Go-GI9;~8|c$LF3SaFRDgKSRRB`<4$qzm z4E-}aPHEd_RDxPfDgrkug^M-oL0+I}u%FIwP8+QS>zhY2k3t8bk3Z+?`1S!8_ zV&v!cI#8_FN`|v2lM?6eH5m}m2(pEn1#ZCDkv35}Hat!(hPgdN0LT$@Fb1x@kk;o% zg`AW-pCw#6ViN3A{12}~*U4ADqg35#_lO(f znR&N>3b^WP<$E_ct|{L>V#gECJCS?PE*RD}POgFg`MiGUPnNRx;Qa)A9$(LA%+3dM z?;bwAoF!h(;_Z^p-(I+eEzS4uv{U+QQU-HZz#@*#pGax8L`Beh)JIva_ZneR0c|>w zt4{)AYn7l25BqQ>%=>=e52XT|%KTZnRmn8ozwDL4+apr$tW!K!!Cl+dX}4*4PyN`d z0j7H<0Lh8=3AvmS`rPV>4DhHeQ_0JR7zNv)PAJP3wv<|s&P~!uuS8UWpBjh+Hro?u zSD--qkwi>o(Lv@(lul{e4AaaLmjjGXHN#BvbM zz00JiYiU)}(5+&fyl&&qKKoBL9yjr3Mf0QNba%_*lyQo|+d07DFZ<Ukqj!RejP)su0GSi-}8kUX7@oTTssPHKnc_wl*Lvl7`ACevx7TopWsxLY7 z6Mz{@4EY33?{_3}3h7C`+8fNhfPN9Nu`^A3j(WMVYCt@Fb-fQrU>s)O7VeG#1?Jri zRu)qO&tP!#GWe%qhDZ2m{Yxzzfn0qx{OgaJ2#y6@&gNm|Dn2hRFcygH+LN%MIy z8X*zr?_aUXjZ&xY`Rd+v?)Zy8#C!&MfREgSoA3p>yM8@i*LfzvUyuhkV!G3RJ{*2L zRb0+PEw=AAJmcX0E(6Q{&I33#-I~7Ju=cvXa7rQ0#~!~vI?JBFbw2+YtnQrHAFW1u zKlzTSwM2YDZoqPO1^d4CT+`!aTi%9wyPZCmOvJ?K>40x?gtnsL&L!1d*5F(77t#!~ zi*amiZZ~MZ#yt( zKa&UkC!8S`H#825+8fb2;~aXc1$U6}To1kyV9{*jaX0fl``%K`5j(`c+p#bUlbeWA zOS#Qhu~<9--d<5V?!fetY#ZOtEfz&U<2H$nLcf&+ZJhqu&r_7uw;ttvRuJ*nvg&8I zTtxA>m%qZqJs^y+adekN)-uj~w9y2kh5R6%nXJQ9hqn~m(rYcz74|6fbnkYzB+#}R zb&!)y6Ea7uG(oz;5>Msnxst%bb6dE-Fg{!B$cP|CqGFn3t=Z74VF~vJSN*-@bRp zW{qKlrK#yBniHd@vcF)xs$^zz+ ze7J`Rd@pa`nXkNAlY}P^X*eC9E|vGo(D<`HV`0>-UONMTAL3i*52vpMgykq_P7j20 zGDH!$930IHvNCd33?DTj3#yYz3zNdWgUthpBU#fYx4(CmVK+%S7vSwS+S6o21N)|UJOwMzr zC0+sDH)qacOh7!rHvlPU@f35WbwG;G8c`n*(j>qrremxPV>P9TW~IydGY1lkPXSA7 zs5QA&kuiPTo3zC#%A_;}y&H|5WFu%h zeQmuwvkH$~!z^_|aCuCLT~crNOL2eqzQx4X2h01KsX68_$~D+ii@CQZ&2KV^_I}Ps z7%Ylk%`oW@jSrOF`tvE#<%$x?^g1u49sHfULCRj0>4V77H@e9QUgsC}Zru6`N1e}_ ztShD`7B1$dnkOL;6M-(t)gbXjMe4t*5O#iM^wd}Np5q*xUSHy02aV|fAFu+Jw zWfO*iVQcN7dm%6)>XJ0`i04Z_uDG`+e*Y97Q*?_W`XjU-#%xAk&%Lef>f_kl*`-<4 zbUK>wGV0Q<-KnQn7Wra$r_h;|{rj7!LT9ffMoU&Tul3CFK1{y+@GA(Gqb*UBmIEB?WM>Mrz&3Gi)_=9+bYr0 z%+bQNt|g?9`NHzz!dKKs`}(_0EzcNzKi4i_B(Jc`mwRs1EtR82Du#*7?a!R5gyt+8 zYB>k8)vv{#XHOUk3fQ-vYUoKG+cjfF+)6OlT?#^}MKfkS5qlNk=Js?T!uwK9C?BswV3j)v=jquh^L7qThJiw^8I;^60+uu5>kdez^SojZ-_L zqq@w9`^o=?Q$GRV9MC*-^3-nI(@~0?>-1>b$Ke`u86iLlg`y04NTiN&%WHFS`?xeY zWcRg0QmNVS&83S`)j>4p-2zRLDL#980yfomM2t7ZeS<5dGM^hREr4lvV@&jS|0J&h zw8nJZnEen|3-PP((;zr$kcZ6)pU>AGXu+$&7zQ+LG(d+0QvczEI36SGvy4I*GmqR- zW)$^SVJ3DA%56Q1wEadyU*qu$S%K`lcFQF*kmWVs>+B`vFP-XBhl2K(PIdZ+PL2K- zo%+bX#s~BdoeKXCo!a#eo$B!KI<@&fbt?OR)v0vfI#os~M8+C?Izs?^_^rnvOx6nN z<6m{^)u>}Ky%74}b*dCr8OKUc=Ul|v>RBG z*iePXL$GV(peAIb0QF@8-&_s>HzsLDfxqii)_>Kh>b5WPf7hvc-#V2ne9>P1l`gZX zlFFH?{9M?yqK;aY1C%(udW+=T?1MuicT|AfUuYl!rkO^uxVKLT+PLS2MQIfOM;~eH z904u~$AT>jtCKpwArHWaLqby7k&_9X66UsU4s^qYBqWOt?SMByk5Ce}?IqX0>D0CV zUZ>K55iL(EFvd7|Y+MiZTJJB8UUf^u+>}g52!0xB{x1 zj*U23-f$tnCSaBt+wp5I!?)F90=4Vl29O{)e#Vg_ij%ktpxXJT2E2dyuDAL6C3(UN;( zb_HCtv~*M&#~mMIOpzRn2Mda~AR!d0s4&kJfnOZBHZl&;+@41WK7AvT7R+FpP){74 ze0cb*4?FQy?a$3Wya1>p{-D5*K0F!X&GD^MVg5y@X8fB@_5OFAYEQx^#btYKE>wxl zr&;c$wcb9oyruD*k|{)aJQzxvhBXhdAE$LBLzjZj)&wMv6La1e=lJhBb^mWVRrgz` zzS%POxBpG2`ZTY8ZjwQ;wch_jr>_5BI`!&Xr}j8a^Rtix>*1&F=#>8Yo_nblv}qp* zF;5mq{5ii`wq@=n|7u%)g`Ze;G@y>3SnDf46bCO^%-v-Fi}h3>%_*h5%V0Ds0NDjP zW*fE?$*MQpyEl9vD&~8C6*^4bC_nt=u=%l(L2x=44UVj@$7^XFwpZLp{krjly$T9DYMk_R%)#KY6Os%aI^|8JlA)DZr!KGowdpUNG;tWk$z*asu zXY6PI~e{@wpL&o~i@Vhlxcylt!qBFe=-<0I$?jcG)TX%|OsY0VIgn`yEpxW`E;y7~j zF2yY3fxC5GSC3Xr>)~MZ-MC%nyIerOIQagza>2s-%iq&Pe=8SQ!2Yvbp!r=c$jbKp zbpEScFsWzFw*HWnPXBzic)R&<;po}fsv?*Dn>QaAl9%|pHaWRkJgT476|wNre~08c znb~r#NY7Mxxp2*)n>)rF^C{uLMTwIczRMRFB?KLuRBAbCrpUH@9f%N6;X zr!K*abf+s+Gmy6W%W6RXji-iCI=q=Sc52WrrL(>0KASGp$Z6wBvQ%DrnOinulGJ^F zrF5spusU9kpFR5>F1SkIzfe?_C{9++McPz^7y2!Tzp&7u&}@A-*oVjEU^(iK)JWJx zf16Mo|v%vS# zlI=2+E+xz^lm~eQ$A$IdP)6l}TP}Q)(%~yHeyyc=XH<_eUcdjifavTQnh}qf-sjUt z%;41VBPjz z5^$#ra%%}X*n@ll41NJ3W>F!HY^cttutjP49^_{!GL9fqC<2jmsK!bNUN|=sW%a)9 zTYabg&l=ZQ8W&ts++BZ+jdmOImhAVXXl`xp-ar6_Fh}hg_}&>AAMEdtLBm7x(3Vhc zHcNZ`rb%~eBlmSi0z4**3mB=@j8+&oQtiC&2z~u;j(8G)uOy!WnprLPg+{xkVeuu+ zpKdg{sG1ruJAjxBST(GlPuOa@Nok9V7n|VY^!$ivh;eZaUaP<{XVD@Dn-u#o4>WS_ z+YK%IPYGLnz~lVfmqoEb_+IcypamKx8dxRfzbkkWc`h&Qfl&t|MSCHJyFQfvEQYRO zq7Klfu4*6~l|Zf-liKe9gBUT|C#-Wsn~%S68|siaAUBerb(YKJ>z6i3toR(!5!GK_ zQo(JqrvZ7?yZo9tVGDwL^%tI!PTiM^3tY}PSHg8ab(}H`A;~prq%rAikEv(bK}lB6 z2D#%r+8G3`85C#8O6dQj1M$q?I(5hnJEh1|8ebN0-k=p*cn*^V%h0fQo3TVwEKZqk zT=&M?bs$_yoNz9mFW}_%_}-Hzy?%s1sOmdbLR#(Qfi!LGjITJ8yR`bb>V_;u=><<&j5LRDH zE@^zpDeZPNA&rJwoQxyM@qIKdtk-Ed(O#G4y+CrDi$!-D^qB0A<4$-+3ir|{B3`;j zB18vzDv#cB@lL0Tjc^A;BudaeXsNi^JXAuGc@EVMd+1RR`Y4>60%e%M7R+5`Laa+p zenB3COEeybvk|1~apC}0{bPwgH;a%`h;gSki2h*O;|kr%hK6BJf%JF?QnF$Bh{8u* z&nX~pOypZ5sNL~8aqMDfXmW*xYtrR%>i}6wly}_C`m)UypeKwBskAQx!EH*Y$#XNs z1lr|8Ins*_0$f&n(c>`^yXW^X^Evn*0CR^kQgca-qn(Mts~~q#SG#Rzj8k)R(cx~o90>vA%3&8Q0n{e-tnL=Iwg71>%Ac|M4D`meCH$7UQz7W$vC zcXDBDgRD>-X~6dGjySk$ zlE@8qdjGYyZSCyw`dB6X{@Ttb>W!snwX^etPZL+)o9L;9G7!wOmwLLu!k`EYT(gQBU{By2L-r!2PPL}&BPD)+3}ja0O_^L@eU zBp@9#7{y5W5gh%z4D5}}uF4{2La|=C zO}a-l_87*CAOY}ddx+_k^E|g~Y_u?5Iw^}RcmP}GqC9KLZTxBnBJtD;dejt3mmT)= zN{6*RfE+em@2C|q@O^(;hcVxXN%LC=Aq_>|ZW+Lvx#s+yQx)kV4y;W(JXT*h%wbE1*(?wv#JRSoA3_F(Kb79dmQ%h>$E%Y!j9sy;x^f7MjZg!eMMHa|||9 z^O5gs^@cwlT^w41{d>IA+tzgvAxQwe7g5jMP*};qbU*@{!u_|VuIY6Pty;-b$LXv; zSIxnt<^Tf6ADcw@AX~8^|kVbS;^hQ@mRB9F=#E&)DM-Czy5F`XdO;&%Twn zM=ioN6o%frnTf$qtt|6cllL827MSe<11EM}-rvFc2neL!xPs>Rb87((2X+C9 zvr!r7U>_VQ1PHE!05{l6al7po2<}OUfZ={qrNETQ~ipu5TP?zX~eveM{8 zY?a61vO?Gef3C@O2Gicx>SCa_T(nZ?(V#Fy$EuoE-V&V#?Xu`ceLm**eQjQDK0b@~ zsxDZ$M>bu*4`il71jM-Xsf=l+66AO-uDMq+X38lkLUpNHaOXc;8dZM|5MB>d-zH$d zf5dFliI!I(E@e~V%vDUI=!;*vvEQrDO0;VfWay{PzSP}0|;DE73aY&w}Mp`XB_8X z0avmGwfznbJTJGj042IN1`Ly|fsg>e?9!fNuftQ2(Zlr?>kG(L&aa(-en&4y0+ym9 zxijw;3LQo^33)GbV7ply>v@RAjM)4ps4;L9n4esJUH z;8A;|X2i>i=&av-vSOKrSa10%(2J*_?PIs38r$)-e0wyVLvteeD(K~uJc~G+dr@`| z8+q?+$fbO|XE_=QxvI}=F#5-gn_lp1Ul-^7XcyEI>P0IY{cp69>Xu1lR_uyeJyJG% zCdq>Dze*qw{&;a6ot_!tI?c@Sp#TOoYIT9UHCm9$GT{;dyK_W2FQi4=(sLV<$;}6& z^nUrafx0E}bgKu{flJk^7RM9;&6e-;YmzoACf`D-qhOH3dJMC|q<^MUz#%HTG|MCuemL=@<>j)n4i!SH0;}HG@yMg>@7F_vsS{=f$>%bfAhrz&% zRxH153SZ>XGp2}}IS%w{r^QU$FCd29mP{3OdH)o$bE4LpxNOts;<=W)gXs#&hUqQD z&hAZR?@osiln}F1QhYN2@j8R>Y1ANQ+P!q;Kh5`i*OZ$T>WRnW1S3%U^pkC3tK-?} zg>_>zc83E4g3a@Jv0O#e`PWIU6RqX5D^mu16U$@6Z1$!1y*j7%SwWM0QvG;ghWl2} z#m8Av?Au$2{&CXG<@8qqGbWFXmR5J2yr9r+2Xnu0rxpzyahJd{Mg#8T-gAo?tg`!? zs}MYbxeEQ^;cfPFP$)Kzjio)h0j!Rsy5+!A;V=Yh4!?oW?VS**JU>%umUslZ$-Q(b zeweKK9JShn$6*L@t$*0g0wvXBU%Vl@#?pj;^fd8&9TFHhZ75J5+%Ji=YN)m;hC;E* zu&jR6+ImgIWx6H4<+Y2I(#fNnJ>9BtLqw#0fv*6w@|nH*4k)krJqLaAXyPpd$sniq zo%RwMgvmQEo7Hf^wLaLVmJBYwq|r#xU1CtpzImgqO8CY=7~Hf~4V>9KU^eHq;FwNz zn5^NFaK%ygAB9O#xj)k_04?a8>R;0mCE=aHChPV`#ei?<(p z$(yi(cO=5VY1x&r*t@7;PhJM9w$_u(9bn~U|9_}^=OD?p2VJ{t+je!?>Mq;1ZFjlL zwr$(CZQHh8U!8O27c+Cexik0vc_T71Vr69R$lNO;bLG39{k-IL3o&ya+>O#qRFdRpMvc`fNsSdAj7Nb4=~0_*r(1i78jz8_d=1o(#0w{jiv$)DiYcw-aluXRpm zKeWS}ZmjBt@$24PY)zgYii^BR3$cB4q{Qv4j{^ex{GvqDr1qUsq6JPK&C#&JX0QqyR1N@L^02a`buYFx$rzE0W{%OFVQCF?XaKz1$(z~gBu2sactG6h~ zkRV3_VB`npZT{%i#U7J1@pC)>3ked&_-hL5K-*F<^43FEp$$SjSf=r;zi9a`5(f2g zb=NerGU3}ahf*0%WEX2Vv70aMjaIIKlL9*p;N-V#7#zVJHu?$VcmEi7)evOHE#^yz#Ci7#ct z#1khs;$Fk$WI`=rYv}1)EVPw~gDEfWM;a#zYZp3%Kpq5pa~SDA!S3_&ixdq0lU~Y% zc7L0J1+fsSIRF$RRO3@IgC}FOe6D>@4wJ}ID)h>qqjg!!t=TCI`*QoXWj0{RG3@5> z6ZDF{d9v%XXyxho#7pD26VwkN56 zKX=n-g*2vx%xTn7w4Q!JZAWyeoKGQo@w_rd0Ir^+bEDeey#qvqBW^|gl2tnSzti%C?zcv^j&G2v%UMj_4HH9(A z;<2AF9f-rkpib9=HOkMstAfbmmoydMtuqX`f=nx|lEPU96?N&c#z##VQ#+Y>!fcCr zOuwi4zB_{~57RwhW?3g;<~{;5a-?{|R5_90j)s;1QgR6+G2B>`5;jqih^3zrPaqwK zwf{_SSG~!n9&IhFN5O&-nx@mJ=JGvp{1k;Daw4?6{Vy1iy8)Yl}gq~+Ah1-r^lvqZ09hp`$U)rZicsj}-9+NPhJYBq-j`SxUx!VJdJrTUhFe{H)SNZ#hPLUZnEzujSKO*_NPfj^s<@F1hJM9trnp5-PxV>KTxvaj zJtb^~#Zn8>5(2)IMsF@k37sej^gVYt0_pE8{cDsQ6?)9~b3H@iSg^=a1m#>!IWy`~ z{&@jp4*6})VR`!dBm`J0D6aEEy|)(UP=H(mI?vi5v^hHuU?{E4N z&@fJ(T2L9jIr>F`0uoCW?Dfhlex^zw+JG~P=1wH)$#SCg>MBj#W5~4Nph619mAW&x z^xt=7+8&cP7Drp zg~;4q<%*+hT`%z%T(Q(l+@YA;)!NJjzG}96zxG0`Gk$K#Wu39k|E9fT8Nt%Ed4J#k zEh%&=nt^RM2J5x47biHo_W}vjWnS-0yUtQMQIkQ+x2=&h}f5LzY_!i)LGhaT3=J9=?`DjdYMs~AoFjhu!OtRO4FQsVZ z9A$utUWYAn@4$tGU~#sXZArRWiF?Neu}5iOrt!h`L3CmB>~hgh7Fp#3P*jKMFpeCx zohj-chrE`ov&DIQ&(RXtKR0v2uAeF)8L4J^jyx8$hp!W42v|{am7$J)XXcgT>R-Tn z%2Ve)jR&$TYt-A175?7Z+tu5=heRJHuK+i3-Wu&U`6#9;JNh$so8O?94``1Q#;&l; z_Ab_{lYR*?KD%nv)FXUF*E6`h_waVUEb0>1shTywC(|Q{-sk#0#LSK>F4uypc_U+b`vQzEY)&&q{s#B-3gz-qDW z8#}4Lf2X$vZ8_8J{Aqq-dpR*+(mhL@7=o`3Yc^yd$cZgHIrlzaisJgk_XoT*$PC;H zw4S$}oC8FB+;tfYVSMOIVQ$a+O>X&0s4dx8rk>HUHDQR2TN(e$vNt{O%u5sTMD9ILyy`SZwZC3!`i!SwJQ~F&xc&{ z?TRtKC>4{~h#%e@ntO)ErwBIrm3!dGb$vFB@#$=U-54{`VRg(8L>e?jFNbL`L3VEJ zDCK_CXL1+dqBf5On~h*`axxuLy!x167Kx#$T+N7o}X99 z9r+S&bC)RYnbZLN!_gkc1BsK%+^Z00*C40I8(L-#ap40o@3MKa&SkHq&Hb_v?Z}Dh ze*B8gEYyKBW0At-35sopT>wRi7z4WH@1I1HM#ImTXkrf)T1wa@PyDVwx1viQQKgkn{|n)YSdDG7H-t{MwUm3$H- z<}v$!wz>4O`=NrbcES+wDL#PT3x*iJ7?LsqATxW!j7!E~X_-;jfrc%AcXUcP7-6!h zEP$#FofbgaXxg%qp-DIQhLL1^Q1lf9#w##9v|`s&9RaQ_E{4Q_xRJ%mP6)L&{kT`q zuD#($i|lP_uC^u7i#zpkNtqFMAnAY<1ykC<4k9{RhO1gT1g_%MOwturLp-$}J`nTb z1&O{o7SBaep2XhLKb(puWxA#?LUJIQYvD*f2ENK_juBtJDmreDq zwSW02y0>;Z{%m#R8fCmUS-m8l?c!UTKHI=La_2OAo*SWux-1*qiMN9q*D1v-hNSaQ z?!qE9`T^Q$@^0k7`AM~iQy-|OXH9olIfKc58;bC)J3C$F(1EfvA9NET)y5ebGsd^= z{Sz_`%Jg*vJFRW*mnNXZ`$0s231BHh$UTt!``A&hj0SGs464oV?5rJM{7RuN(GpWu zab(2THq4-w0~28KD$~>a(eCp?8Msk>%UC4Pn`_*XqFA|Iyx8w6>c#vdVlBAm=`)l= zowwXvcvf_j26mRnRJ~qJ2MQl*sLcytgtht{<>l$XftfgB5xdFTeI zx+@P5nk5BS>X@^rxJg+)ole;&#jh|z@x{6jEl!ET)^^gc`FAuGiX7)?S_4L3>^K?d zow?Y6t2cl{Pz{yH(oZmQIt2i-5~+S9V{J9R5s8JhcE=U0J`daOhT?N_{VN71aBM`^ zMkiIzyGE~5pG-G%PKSmX&juV+OKCOF1uPbaGW0su6LaXMLDtCA_x-*;ijP;8%Ox8| zjtm_Y5fRg)IFfELl0W_oTLnD;0z%_&@yJ{vpf2HWv`lQvcs1{PgND}o(C230x9jYK zZ=6hQAQik55@FERiG+v=bA{K`BCwp_3^%gP!ZKg`Lz$Q#&k^%&9{?BZ+; z20Ch*4+CSA&3rpG_-4{G)>L{0!XVb-KLw zu7kdFBs&nV9Uq;3psb+K3LJ7e`_(BuNcILpwalm_m;9m#pYV;*Clj}t%yfkwoolTf zKhOP&sLV4lW9*eBg=Ib-2^?H0*~R6El^Iasl$M0$=O z6pZe@9LQqQihY(^i#$+vEE*b`y!^|{*&vjH7wnZWebre)uGgIc;#)mBH`n>4aA$&! ztksCpEeUv*F-KcYE0sstjO%g0A@K>*c!SftRoVd7a7Gjn`a)Yz!YpzO7!|SO6)Tiu zx7#)waj(1j{kB@0GuK-iQY1Qft0X{0VRjnSOZ z?9|FLa31^8Un85&q`$28y)m6e$#zD!Tp7$B=D>};)z;pduv3GNBZ;y$KOz9VS7eI-_`#g~prcG+iU z2Gyq3R-$XQNv{~RD=^myr$5JF$1DKj7L2zp<>CUQ!%vNPZdH3NLXAFxErLO*Qjks4y>e7vP1tvx-VaR5DX3_L5!ooa#r0C#~wb zLpQ%vS#h(I;^`58L5R9~2?wB`jmyjCh$U;?=Fa4rrLiF}(t$_OX(W247sRQ_0ObT6 zy#(|)oy{Vkr3!#%nhXt>hSZ|=u@*W;(qhR4ar*oVF*_yOjkHz}De~0uke+qiN^T88oJ|{BFCdsR?52AV8yb6~BP^%0{>)1y>La^FtqULc32#MR*i@K}=Tg&{1 zo?OV^LM=qP@nq(;*U@Dcz0k^@Gp$LfN-6V64bG9XvLh>hLQlnX_2<&_*SFmF{z``Vzr?BkBB%fN zuPKt@Ly*@o=#Db@!u80-yuRFFb1lVQATk{+!5*|iK4eEy+^<3Lz z*Vb#Po3SXBGJ7}Ca-aI4qLj>UrzMps?RK~IE$u2c_lMnq-370%&2`JF`L_Y{r|h3U zXlb2w7TmL1|6;uz|7N|l{$jmd_h2S8yk3mH*7}rbyp}7g8l%i>cAI{mMKwL0eOz2> zcX+toyvk2}azJN-zUao!2qw&F-3akmoBUCEV&?nJxvVcBoBFBV{txTTUs_(XSUj;| zY1cAueusJ2c8r|4Nd4d{@GlRs!?%YR>t7z?jdGTM_Yhke|Lq}O{mVmaY5eUWKGFTh zL(J5c`62knO4Q8%N3~2JME$1O) zq=l0)bjpu1OUm4MCf;ag!yd_#FJrRw^o2o>;Ze+ryWWj-o4DD!#W#bivv%sexi)fE z@g8_q#@CPtm@Cuaky?aTK%Ctli@ZWr`zI-ks=6=Y%f1}FzxVI)gR9k3lnUHLGPIUjQ^2t^V4)xUB}E z;Jtg0%2SLzhO?C!*Iq!4`u-<|G34@}yMpnMBIQjyM+6aG@isiaAV+#(D##`Dh`^%H z$&Y>ssEMiC%n1_YMFGtIg3m`KxB$riASSqAc!P;9e;oc`^A^;WojZfr?buDOOAE{s z17}Zk3^fpAd5pDp6sKasTcGzP@Br?LBk#H3ml{*_0P!iNSR;|P;Jtmlq`@rXEH$9R8Af=WrLC8b7@kc)ZkiEr!iGSHAMC{tMilVM@#AlkG z&+n-mHJjK1O*kSo4u~BwqjI*Ji~w#^bSP##{5OFQcX%xwxi>j+eMU4we=FDv^Z;aU zoL(rrVwY?1D_v?FHS)^wxSMri@J@ZyNg|sNBx52m$qgTs!9H;f7M%E1z+9tJf!8P% zR}5y^c_^}TPw-)06XcoNFKJ~{`)M&<g#DBOk3^=#ar+wTd_)JQE*7O z25c!PnLSOEKV!-7%{+>|lJ!8Y_`AgLyKASoSaJ3NSM*@MWmHf?f~fY;D=1yOx} zsCGXz5mLy@aJIpz4{iP{Np{$tai7=!wTRfw`u;D}Tjw9td+{6fuKX`hZ=HWb zy@%K*_7K~~54VdjeP5HAHx=V}o;HmK-8G60&O1D8>iRmQ5G)-qi-!#&NzrtYuqUU9 z;^uGw z>P_ozmBoZWX*H>kN~^78CNZ$5YF2bHjoyqjW~R@WGswqV1zoW|Eb5*DzA7AYJgm-s zzXC8hCMpVS1c|c9^Z!#3BcmC~cd0o=*Wva&?daCM)DfIaypaPDYef8fm^;@e{Rj0{ z=et;V2)`wn{0H@>EnC>ItV~^~VuA|7h;dX_se8I>f)&f^GC#hF8vOm2ig+SuA*#-G zKAAQQz*ey=f){uGbZhkYUn=57f7+6lBda}5q=#jUIad~yEjE&yF)M-UsVb}p4voZ0 zLdmf7?J}H|v$lovwx*=e2lt2Ot`2RR$J2qZfwB*;j+PbelBck+4X>^?1$;Dg^tP(g z4)QU1EG@UmB{lxnKK+-2qz@Z-jfUqj>!z)rr30Z`n-#w{H>;kS+T0&* z4+bn&qqmuW@GZ<Me~E}EzeU6r#VW~h>%482p!!Zk54t_;`yQ6cT*(Xi zl_#X{{G7q+oK(OL5-T|$`LI8%(Aglw(uS&7$=s4#4=+N*6t=AW%vqc z!`TB&%a@@jj#di#K4B<6FKKBq(I7aVv8VBe?JLKArI_9WcwFv+-}j=dal_db7k4DX&d6PN zHr~JWvf|ezrsYB2x^m|;dAp-yLFBr-qX;6b18FqD!Jar-nSgVtt&EUy0j|kQe)3}x z&*Z=ow@n)RCum^2$JeeeV8NsSs|$#-e_yWe@HLF^D3#67;Idlon;|Qz){2yID+n`Z zD<)0_SxvJj?8_?QF^^na;%4!#vpwM*<~Gho7tdoFmTFAiL1DDi*XS17>5!G*n8oIq zb=$9d2qM&aB*-nEOI8cOGR9R=zAm7@oOVBJd>3&vMIclwsNJ&X-(E%-hNO{g#(Cac z68J-W7`W0`_-fO17~{792;GaZNINB^FxyY-6e+Ptgp!#e-2t$HMe|HSkam{bLdQl} zH4nphDJj}yA)^X;U+_gzFy1>jqfHsrv(Uj5r&*#F9S-m%dud~W9#Fm`Gn-1#bf6CMnGR!OI_QzheeR1E zBXNTl4-ySKrCfwSB{A0-hs`$o+NeE@Jz`)#V`cg)-mzz}2i{O)X~` z@dlghQMmK^y!t+3I74oC`1w`4x9H-O7D{2SedlQ$Em|a#Z-$Kb;VMKMzr&bm7T`u5 zWI+3mFUOI*5rjG@aS;pv(~6e@51Ul6ccw6P$!`S4cwn7s&Feo*}n%fy0 zH7xiV3fm+X6z4N2Mvb=P9e0lFV9=h!uX7bP&Tay)l9%laSCnGRlu(&r%CXWPn#~)z zR0x^vx!aM4V+)QURAShMMtgfJg>>-NL>LT6$spxz0~2{rg5{XZ@a%oLXC>~u)e2Tw z8FU62H7F-H{%L#7I!68s+Jn79sN$Rgx`nJU$htuqB|%j40-l=t#t$ILb-3d^!I+Go z;)C23aHiGvHWG34-b?8n)We!9*4m1bntUFtbsXzsvje(mp-qqxMuxZVa>V>olMXDJ zSp>$zx3Qc@DNt_tT~R3o>V-`Cmq97dLtB?iRjJ{eqJag5rqAbe$QJ-$jhF7qZ(mfQ zsl>&kYEGG8=vWJiETH;cxeBQBvk+-~$r(JDl$S46>EQm_2>8Wa7lK_p98 zaY;JaAVtYF`V)Fsi<>;A7%P3$g*Em|GPx6KczgUkY3@ZvNG&=+2f?JLe$Y-+o=`U4 zD?5;X(I)vps4B-_eB|&=8MtKG9M{*L;HRxp!9cgW<6S$A;Zr`n;JawnP!Ra%1!AN{ zeY7pOWr?Cev8Y#G{DvwewC+(ZcU2NlSgBA45auNqAgs4A&nem7$^LoqWC+U_TkYqh zRPX`x9b~(x`WT0X+ND>=ABe6|h}*nCu!utl-PuR-I|USjmzsxmK-u(+ED$-lLNv@3 zT47Yey#OV&1QkBsE$(NWWbpxCzCHumPU8;b-ulZGQUjCcH@%5!&urXd>i0b(VcA2v zy;7wX<+6wBC4WytaP87HlGU!XriEcm8TqZq!p#osIms92QxQ=T=?@x2{^I)($zXwS z44@Y$vHV-#ur^0n&oFJB5YX*b%M2-kH(8KJJ-dh98Yylbhp})h+iDHLBLP1WxP|@i$M))_*qOzHU<7Fc=qB- zz)0QW>7v#wR1dm8527FM^Sz2Y!qshWniyd$Zb)8n-c&N; z?LNW`>L%@m-9gmNcS!XA`Jh`2rSNdTeKnjYtA(^R>(^)|x$qFpuUTgsTT$9}J>Be5 zj*IG`f=)gm%0P$hPQ~)zk7*YA*akGuY5;&+5RQ;t0}vg_Qwbabc}&ke#S^`v5)N$6)dpwSB^B0EVj4hrAM& zD7Z2Wsvoh=3s`s!O$YU+F}k{93d*@MkfyB%i?5jkoYK9}L_k01aB*~{Q4$)y{nGW> zOx@}-#36KAvQgXNUCOIrGJpKpvhvPR7Ts}jhi*D1|HHeGj~8(aO^awxy&ts)2rrSV+4&{L7M$8ae2BTMp8eyjX5 z$@r}REoWgTK#c;j4Xw4XQ56VBFFrgAki>Qe_q#*9KszU2Hic)Gph(rJL;; z-Vd8?z#CLP^OoP+g7it>--upcEEWv7w_GHg@L6pN=$Mp?5=%5$l=^0DDiTY-ja0|8 zY@wUie8mi7$jmnzoe6N|KcVycz)tmPJM{z{CACN$V%Rx%@{iMW)j`TzJN%1)7%7@O@U_=v&&LUa12CTYJfaRSr9;5 zYi{oHU&NkU&EPxz3>y?+E6h;?^#r1L#BnLmtZUgx{N30SBXz-HObi_%3mL!2nXA%5 z{BE#Md4te8{70gcp~{Oj-n;K&-Cg9L4CG&__$fb=tiSo) zHfBKkPp`aR7i6^AdVt71);#ivnJgoM1sO-vC10q>1-GmKXIw=y&U?@LF!+jZ9VCiM zxzgMl`@k1GqBCTr#R4z3zGg3TR0LBG9(D3S(L!#J9nDh?>%Dcg`GW2fo&_D`JZ70i zsgtp*V|&(VAaBZ5c`;SQk_qX{L{_DIt%XOPY zw$>JI&5h0Cp(wgc9;L89biU|(KQWA$i|t$KI*Z#rc14{0AX}Gu(6D`HpU<98Jw*9b zKcP!%2G#SNm%<+AB9z-?(zisip6kB_AY8!;!u`5TMGjZ|k)=$uZQaPKm4`=XLMHq> z&a5Vq)dhAQ=V%E}2sD3{(;da0qwNi|pO_v{RE8dHS>HI{0Dn$SqwuE{uE3^ZnVaK% zhr-4Ggu)y*eYw<$e}%#XA*vLAm(!(xm(#6$m(z)<8U)HwEqA7cKLl8YLz#QqIqRP? zYeXi?mwcDgA%2(B;q-Gd2;5VJ0x00fkZT^=RZQv=#+^}Vh%1d^5(VQ5Rm;9_(NL*O znH&5xKKl-Z6WsrFN{x1_UtPKDTxq3bZt_&iL3!23%)nb38j1juk0or2*mkQ`=7ef5 z99hfxH%9H9x3=Q&oh5h!P~aMb1Cyzp|E?Saqoz8ex62%Ck^DOp#%M!T_g6P)-(!Xz z#m7{)#eimnT#R@|t)Xo`opZ~_ZOG?J3@OOd&;vf;Y`-(%7GeYIUKv#*I&myW<@KAZ z`__LTR%0A}>pu|DAyKPcPKlIpaJ`li#MAT1?WKk=uIjXLw8(I3gqEH=r$#}_uI!ZJ zA)i!jz$fLfyoQ^!GkI$v{2r=N$nCvHyqrIohQ$*eSSP;qAEE>wOFs3eqFg{`Ul5}1 zSszf*xsUzdp|GL0?A;l}UdPUNC>)e0Ch|`xO!FNIvy+a7o3MnFz-$p*U6ZtGnVMk^ z6cHhy*7D3@z+*6eUuE7$R^yj6ke?Cfw9oFp(dw_m%&!JrZ(CoYnn?J8msn?yfrC<# zg#%4cWUPAnQXs&B#t-%9)tdI5GO$S*ofX|Hb-AxBMJ-jOqbtBmNMF53t z+w8xT5De@mc5hl0t=6_LN)KcDoY_F+SZ$0rKb?-!J96w80mcBx?nWG`z{Io_0&+(` z=EVcZlag~m1(~D1ME|FTUGBT6?gj8cBXIhUp0u?jN*?rCff^98S=L;IGZ@nZizPJjKsCPvPu*m`6@%1NNOoWX#A;CiutKE4sJU5C+f+)Xxsrp6Z1d!C zNmyW7JOwpQt6)y@p%(%ztTtYpxsWQ+PrnL`f>|8JQ+bJG7$-9)d;QFd&>s-9R7xJ< z_rZ3LxdFj?-8#oJ1Ga3$ngP?}g*?$<-q(DZ*n9htF*+^j}?I zc!0Qb0FFMVb>6hnsjzQvGd}x_Lg4nz$n+p7KWcipDhLQA%SW`s*1or%Mj~MC=ClSW zlwvI}IyC^)`e+W+8ExZ4uYF&N(>|$fiGiVZ$v9Jz8Es4U~Wa|>igiOor|tTWGA-h$g5nuZB%uerLiVn3X=3(qx@l^doJvv;j)B>rf52NZ5HTaJA`a zJ<1n?a{;sP5+C1%bTs6H&N<krt8+*Is4&D+m=(Ns04 z>Fj9f>{Z+BC+>mX5Hzf<$(`D^qq4T^e!g?MXi)||4BxjS)O3%k?PVsYNJD>2;k+j0 zJW|C)HQ_q5AMBg1U)kHX0+~OQ^!q97=PA(Dw~G#1;)H`MT+F4+(zH~tc{WMS^!9O% zM+sWi#sJ#Z&+b@^HweO!C;=cf_|&+ z&&=TkH`E^T;y>l(V#^W0z%a)eeSZMw%OQ`lEsIz_fg|p#OpUm;U2B z6K)&L9?#qGMf#F(J2jr4$i7&D0V$Yp72n%kh;O98drEL#jr8=Pu1O~&LsrKDd2F2@ zm6EigyAy3wCFTr8i}YmmxpN}Pj|m0wf8fOw?oI;HBgj*wiWe(Ka)kv(lp}*pV*%$_ zLwrYoV@>-79oy6Xhlt*(Y^2CbRnyV}W2PpR*s4j<{mt2jdxQxsz?v{%-lU;J`^SR$ z+a1t%Jzd=7MH#rDv5mJ6><4pCJ9qYV?XrLU!Uq}6NUP3aYi0q#L@W-rYyzDu zolP8N!F749mpwE!H3fZSsM{P|92~TjrH%D1Ttv9NKks6)f_N16lB=t85Mc}%;1P#j z0@?z#kD;d4A0(lkzTTdyYtK6%?79dnz`rFF%wF+cyQ!e-qO4@?*+_r4(_N|!=?;s% z+al3@x6?@ucWLIRsPbEP)4oB~aK^aR>zdiO*eG+QF4$L|#Nh{QRdU{hG!-F9Re|HH zt6PzU=(jvbtey?b9Zk_20WB%pibS-^70lLIpn8nVnEAlRmUO?TH z?Fv7LvfP7vNG`CFGMQovP28-HMP5!SM~TUMF$t8n8F^*$@P9G{T5lnLd>3 z!fo_nX8!6vGrfeCgiCLTQ9C?tkDHj_N!waG19I0bgD;bQ^o`NCnYR~#GW=YK%+1tl zz`Tc>bXhvaCE}6lTOtn{Sh4Ixk71_jwf}fO>|2=dy8A=|WL8~^wz z4aYRR@O2(2&d243;Q%?Qe59R)I9`PykOL~u!G;*@Bj&cw6b5fJ6U(C%{A!Dl4v|MZ z;>d|F&89&Tlbh_QM>U;{21K$okBE3zMJGAo-3H$KJrK%CH$&UK{ zXBU6eq90Cd(2{;lH%ZEh^qL_#(!?vjQ?FdaFUkF>J5&q_8A2E*v>rXPzb!e7++exbyO*IxFbv&g!>zc;l7ET zFB5C%lK;N{XN@oimbK^K*U;GlU$3Otb@w8K ze=Dfk9z@R=LJ;^dk7^-sY+8UR+Z`U=p4ex*Mt)LXh*PHVVx(CiSZYo(aW=w;5>i}M z^46$RVKl+$Vm24sGr2xlX*s}Ikc)f;3uKa;p8g-?AfBv2=6$8p^d@i_Y7k(;vzPmc zojE9X&RA81AW@>W5$do;)4>KrfkqG**=KjG#md>Azd#@j-(z@Dk$I5kL~8Q+hY?V-VfYk zM->BiE0~bK5Cn_CeW)QJE6FiX5~bbTe&5IFL!L)gg;%EOwr3hY#(>B;#t9$O1C{^% z|5yvw*eBa&9j{Y zx>-Xj@w1pACw6>84a53*v)Q$? z4;qI=LqT(|j+dU@5Xei64jzCi{~ur^CEePt`q5TVN*WaRd&yc-+1#6rTzm(V1|DAQ zB!N-}J2lDx@Tdvs^VkH^^gt!{;_=H!asuf0yno zVBl+ba++;v=QYomn;rn`??*m(L-O))@BW-!W`4y9p{eyiXpHKijELf-hamLA^e=;H zI`-)6a*fj6Xa@;~FnY3wmfhPlo|=lEwCB8N8UY;k=~ZXiRglODB7$}?ho;9A+_mQ! z4%(m#XqWOsebSTOs6re_X2Ru>6WR!i4p&H8W(*R%5MMQS;m$Ivl|8?se@4{{U~cO_ z1LHzUirn7haRfaLHWWhj;21X=&D8jw+XNLmF#rW*DlF> zZzqr8;}&^(P={0Y3WC7NU6p1F1wZ8a-O3Nr@8nOnoW6OO3Ma#XR6EN96d;=E^G0aQ z4?<_@z41@LE3Lp;$XDPFTlIa3*X(PTQx1~mQtJAK3(Oi}l{}^|8e92>=(V@^NyNvWt%O>4LmqTouvO82`93FpVY2pJG2v62t4)dgoR@H48uL zb+E!`*p|tGb@l2>f=u%L*P2n+4gp>5K)ydBT2hg=`D@J|b1e49=$8p7n4Y zYeg#oKNYHh5>H##!>-)=QebDd2__gReZ2K0LE~P>)JYf8*KwR$g-1s@(1~zQ^Nk6M zMy&wQU%L;sW<{XJ)1uZC0|gLq-oBEKQV1AWItgMbBA6%4gvAqm$aVFMCrYJU6~kkom~;s9zYCmHBq@h+&K0GHf``fz z;%^YrXGO%wz8hy$aK)|Xh`W`RV9R+&AU(-2U*ZVOLpP7yq?`|Q)1ZG1Fn5>mDsDtu z{R7+}C-$M)5Ekg-ySg2(VE)VV*FBJjZsny=Cf(A8#7?jOrA*eLwmQDsfE%?SeXlSI za(#qe2I>}077DW;G)NGSUZklUe#A$oZH0MtpTkIn% zO}r6W{j9cE{)Pn%kr-sSBRXo2D)&6FCsff?kTHHx&FSuRS5h7uapmL#f4S~yC+&c8 z1rqajDBWM3kkNRJnr5?a+@J!Gr5HxIrD=Ia7~m49-u#>AeUo3qIlstBtQ(fmS#Eg z9OQe2egJ7<3Awf_#AIo)_T+?;=&z0ocF-s1U2I}b@;SDv>8FL9L9M@)m4lhNi; zjzF}4y}&;9*h&n9qz4Q`ORm7)ChT3pjP>Z&p^saePEUp6( zgo9Y{_wV}rQF<5?fLSuAq%sF$9?D*!hQ<`AAT<(93JcMvMjU!WttU3*k}Tr+E2DZ)LXWi#a4Ccg2kDGgf&hDOD&sv;-Df zB4{AIGLH5KbTWwn1xop zUD#6;WYx0BHCww`dLy&UQ*iBYl845iJ0cq;V@$;b%|U<=*8jpnpAXgJ0tM|Ljp?It zJPIJGov}?Se|{q9<@!hd;p6oGo9m zre3*@_6}7ZeCuJiJZagP*M0!riHYwB*332Dl*5+MQN3INcHyag{E48#Hd-I~sV<0h7jbR!~atWKr1TY?T%|z`y>Z#2S92ExG@V1Sj*dU1+Gd0 z;8C`B_HomM8XeZvuVb=VTgvbM+7nrss5F=R)okZVotu@Dhp5KvfJvmIM&G$h0Dv1m z;sp+nRrW;<5Io_IQF;bK=w4>JqX9AvCx{TRes44dpFY!bRd}P~?~}k{t#KPMcB8UcE_7{VOPw%Je($`jLV-Wm%5jP8mzV)D z03N!nM~HRK;gF;V`vo-xY1LEKy49aeSs@T6^%Odm&aUFY%Mxa+WJppXb>b1^)sBRf zqT2m~s|jqF)}jQ0S|nB z=h-8`tC!$MfTH!E8N*Vw*-J;$4)$W}y`%GOuM16>nHqTTT&(ex**_kO!wxuQco?mW z-={RPO{!|AE1f11>=tcTTibE6E2~-Z9YYA*2auG1DVV@#OSWzLJQ#&iI}OADYd5~~ zgudVF0a2QMiVxC8O~xeE!5?UcKp(= zteKW&Mnk^u7^Hf?9Y#McG37H=ewvr9@gBl9gCWK$NMn>)NxT*)B@9w85L$}DJQrEJ z9K>F>R%*e{n%cc#w|7Rvo|{LUjC}<9HIQ9yFRsiv$A};Sfxe!k>1ctBRX=$)IG`Rq zoqjP;uhwfK(?oII?*U+2{$2rpW?~w5vEm#ZR=>t3qSDRPHo}APa4=708kU%K6rA0C zG(bX59T(5u#?L-j9>e+R8OwKlFD8lhH9_fc%TyyCGneAmMPkZ@UXjMyb)8S(HVd@+ z;L8GJ1T_87!wktS+YxODc7h{RNZ_hT8On%t;}%(tugoX^Cgz86OX=gl=k$laef$F+pWg6D2q&bExW^<&P%pbwqw+73bS)+rPw_S=R*AoZR$Rs5QBaS zMrEH2+s26vh;7P~c6HDN&jAVqVFgMel(HADN9MIHIk_vcVdzPb`ITwh2w+#ERcnjp zI;G;=SQEK;BU<~H>Ur1WfaFGo-nUigE;zcw^-De6n{p|{X%HM>Sm2kqNZLrrFL_SN z6HJbbM2F&vW57|Ydn4%p@i7jW&6#X{9+&RJN;?_rZV7}hTGO}UCclG*0fCnBOd`)` zaeJF2FUyFMyma_@yw+~8C{797PnqTOqY6r2jO9K-1>)kBg>(rmNf6r-ke;ARHgxCw zJgGDyFQ4r!VYb#q*rdXGHv=}9#+UWq1i;+eyy@Lwyxn$dgOm2fTG!-qBayapPN^ z?sqAcDl!w!)oy_bMvLC&YbK{_|D}go06v9McX5@*BqA!2_=y&2JAPk>>_!yauz=)e z6ry3mUn5EyBhVw`7e{_NY1X5xoa#=Jyrjjt(B z=kza?dmEPL{9?4Lor~;}L;^$a{%V@_6k@6@8!HJR-^cHHHwv_Pc*RdL&5N2W7CL=( z`nx`&XDY-e%P(@(D(4XgYewbKGPW{2`l}jH^Xaj>uxB6WpaO zbHd`E+bDZAj)!vCQ~rDm<`&f5hZbxKlUkLgLdnN^Ge5^9bc(1Ye&>JTUtQT7CI(`Z zh$NzE<_Z_KY{k%Q%cPH?l_r;*eOKs}mF2+nUEwcEqh@0f$(v* zEwHEI83JkuguCW58Xh|GZ_4Oy(?a@%n_>=mu2xIv(YQqz6)J-cC~XLFSZ?PfLury# z(bXrdu+3JLCD}+<-8C%cETSC-EPCXg(2C8-=D&F7fonVKIm$8V{G`w8N7&e;W7S40 zC-T4&FN~{D!$wLFY_Vg~oj+2h*I{=f?T;%NrMN7;@frIdXx(oY>};=9ajvQHeu)79 z1^^LAM*mmH3hQ4O#(zyp{HO3F6Wjk3o>cuuc#;Klj=v7z+ku1U1Dx8Dp|Jxu_cx>S%f?>OR^QP0uMdh0f5RyMFzW~^ z{v+e~_k?(P>4jY#MHLOR(F&8%d z&QEAYF@PF;qwxBsS`yJ>#Ov+j%(HCF@Hq?4pS2@`OVldgDM{(YZz4u#WckcFH zEnn1aIYwXSYSI{=KYbmp$hhD9>xJ3ppMyn7*7s!^SHyz=d659Bl8$dB&id46o^|cN z#U!!5l06-H3khhx{z1gBEjKKVGgqYbG}1;c|Vf9+!gf9dP;|oQDeOT6%}&*s8dyQ zJr5hEDfD_qs#L(C)wr_tU#o@^MStfclZ$^Mo)5szR!{&**)7PlN;y?c?R_ILl$sK( ze<3mS;d*&5KFk$bi;F|Qr&Vyjk(hVK-?9?Z-$;z8?y)wePp8LD0m`2p;sX4akr8xQ ze;QSPK7Zh;I~eJQ@=t3MVKWcTi|Uebyha^J2;Vuz;a0u)!RP3vl^r2tTsD~ojugh@ z@JVpAeaj%cyhSx#`wY-Sd`$ORUFdqqt*963J#Aao;>VvUjq$r`BFTQ%L9mJEHxqpO zlTBP-@x2NNEj~!sK?ECQTj3S?k#IvoTv;H=y+E$Dq-n0KO2`4Ur3?e;k^P)g z1Ky5u6Sx4dl(jSnz2&d?B6>k^2zQ-Gk$Uuyh}Wo)@9WrVQ$hUB~-e`TB2tohmsYc(9QK3M>?Xv7>x?s zbJXvIk<=@^aOg;isRzZR#*7z=8%ljcsx4sIe7}I2#|h zH^@PuhU3g6d5Oak7ZFKbD;Z@HfHr2hX`IpEGZNOYFUXULJy_}BT-Y)6Q=0mQVgywz zk_C;;71O4>1kh_Ym(a}Kbi}+Udgc=?F|XV+GOHHY53&ppfvFz#4}?ng-+a7xhf9F;(QIQj1l9t^mY9Xy)Sl ziUMEv8>Ij@OvVQ6E!?%(= z7niV!jP4Be>gLC!YODu;3%C*4`s9fsn6a?A%PN3zHHE3qe|amwtr6&|8f{deN!;P^ zC8|RqvvG3GnzwD?_%whYeLeT^{GF3z@Ismy9Ie&&;o_3h8oKxi>jD%^kU*;HT7pUv z7}m663F*-{Pu8YSVBI{9RU{EtHSZ!xVEH26mi(}RF$-3Zp{0@GxjxiUWYgf#S-ilS ztYNNXWMGatiIY5Gy!ntccGz4k*N9^HJ93^VyN&u6oOe1g!*Jnkar#BwGaK{Px`1ZF zNs>nf?v)j4uQ46)zdzB%FsWcn7@LOINw3Z{}qb4gvM@YqpQ!# z*iw})xD_~kFYs=aYga)w?2sGU6~H9dp~$*gC1PGGgf^b@x^y2%@PH-1V8`jsQ6Oy& zMh*!^E@8zXcaY6N$U7WjDlWm6ImM3ln6bs0K(hPAVPeK{)Eb;{&5Gk8)E^hl&}$yK zbk-O+^<&hW4HkBo>l&X0$2&H?NFtI$SSiXr57b-3o1F>54n@|A!m8u^y9cy`geNi` zSTl{pMN@qz(ZlQa;03l3qjhl@8n|{g#C*U1yy^Ko=r9cEaHBv1_!@fVpWL03dev_y z4k&r_#5H;*chk;G-A*bol#B%^IV>o-G%bfz=-GEr(jBSO(CjZKj>oS_L6{RBVzh02Nfo}H#2DQe zKf;y@m^JPpT8!InqY`Twp7ScNF@A7BCd~CS{gbqcGHHW0x$`JG(OTWE6(;8E6Y*+bfrr8`?A~ zebNYV4UA^hbtkqax9JGHJ`MbGeCRxn7dBQ~UAsT+*kaPx&k2EW7Tp!|;4D6D4m%RT z8GUsB3Q8_t_BkOqwJ;RlTU2|i{oHG*0M*OUrD1vgCEQ-jpnV9keXwBDsWYw9?Nv6= zh3?W(F@8TO!{gEHUbmiQ!)ryq(6KmrY3<@swN)eYeK@Od!OI@x_)bdNdO_K;h+rT8 zfG*swnd-T`qvhK4b}zlMHzd|hnfE@dYexD778ZibdD;Pcqtd~nk zzu{pjUOo^$JBu*d&bW3RFt7Qa-m=02YjRh0=-J2gZW0&#Q;HxiFc zPc2N}gC&Gk`hxlOuRKNN&vxaK$mqD zrzgYkD=u6iS?)m1cZVJj0PIRreo@@fe11r7A&)J_Xs=Z8g30)xyoa(o;(D71w+O4A z_X4j_PU5Pr{TadY8RCUBbPd%rl2Vr7`hCAOh)}>lBugl5!f|DPWP6xvvjLUZEd*O_ zqPoBFo@3|i;%wi?jJ^Sn)0+6(eB-sieNpJ<{A@@g+S^xeYz*?!3j{Lw(-$Bb7I5@S z7BxbYRsFzL<0&G#%6M~lInlwav-XvDIPs5$aj)KOar{mo3B@%-Vk+nh*v}NOv>Q(3 zbNfY23xOB1_Omi298Fm(Nd~^NPG^U;#$BJ{j(6%$KXSrsnT><)S=^&@pKmy_iMjWTDsZS-MP}s_`~(Ib$#QLQ#3ax z2^e%Y;5u+e6QUNWak`4HMBD@+%yjO&XxwDp$UzZ5Rx0z*`1|0h1#R$!Q_SXltSsta)(oO~q6 zk=JUlx@;gRf0G{+&59aU9MMy9u}aBSxYEb;10tXha&b{~pNw-hszBY%?qMEjAB*b* z<;IWDl6luxBK4PIe|oqJ?kMs_)%B}!v3ptBZt*H}ef^yufden8aor&?A^3)Xx?4qU z-acc#n#~LE*a5-u7L5ssZlA9r*m%(l~KI%N{t7a;mcFaDBdu z_o=}#O)?uDQH{_&F=(mkwcM{}lnE%&WAaJ(C)* z!zPFGom1R0X=!AVqIE;uR5?3yDMwixVX^ z*sjHfE&*&l&L|f_oekr7zeezSfvm}_ zyg7;-?F}*>l@86f_Z9FdrV)}a9~t;BXuPPt+Wn{FfDhF*D#l+!bFo=!85=>m>KV$r zHX(Z}e5D-1hdF9lzYb(6Wg&N`5G-i3#Jl^&*6Mv@G}*>ckdH{ICGMk>9*$6{c_*<| z+$9JQNgB~2MtV)PbS)+5#3|dP(w~}*<`2^YbCNC-k(2_%B^85z>?IPZJB`U7+eyU# z;V8<#=MbjmgNp*L8ZzZdc+}I0RFJaQ#xaWnih285Rtx z5ZsKw`*V5154K02>I5^@M(wSo2f->8?WxG{0G4CZDl^itJaT@ce20ra{B9C1vv1dd zn)N|@I`-lvJ|fCSbjyPj5nQ}gq2^j_NW%O*U=US79dCx&QI%dlh}ng2a2k}#uT0`5 zbw9WZgDiZ<4Uvd50TJl98Wxx~iuwHMkN7(h@k~pEAorVNotWtM4mjPPk2hMdqlzB9 zdUNd+tyL4g>ZD=RG+s6auXpi5`!cmFIhu|WcbQKK-4+{H5@eNdi3vRVSd+=eR3iK? zMKX!b+r+^0IG7&WXINKF%$K;fH{bM2j+~n0b4s3y4(rZ=Nz2t_L*aMzb+@ItQy8`# zd#aY_D2}RrI}CUw1Td6K%?*yj%jjM-!honJQaCaP zHNl1OFwC$=>pxj9X6d_S7UAjP$o6|6xz&+#j8N(L!`Lbd;wzPXw?a@%j^?3&$kJOxG;7c zKrQHgN#Cy(edU>rF5SY(Sz)!=#~)8#hgAWDX7`U-Yr#MP*=C538-+ZL z4p8nWe}UlbLc9h$W89lV@rV-ZZ@cB79(~+nkvOOl%yr1?}L;D5DS~5~#=7 z{X)r+cf7Ccrqe9$ON!of$nDdFzyRv>${-it>5T)2fq)H4n|SPRL_!z>_d3MK*zEmQ$l)k>e-}DXl#*FSC_F)X(E?f-eoz;)vsQ6TAS2WJ7^$tmzs;Dj8}gf61!H39!b*^%1FBoU`dV(Bi<3i zbZ&dYjg%Bf+aFUzJTRK1^vfEi6usL|44c}=sJL)qHrEt%@Rb(H;V0@0OlGV#Zy}xx zqBaIbY{FrwS4|WYi4CZ~`@C8qg(D)??d7K_M+AqxWWiC&kK;J`JslU2I?W2sD8hp; zJdpd&S{D(>Z*&L)raqQEl#+n)K%_r20vybr{`Mp7Jfw^SCj7$-D?klZ6oZ9H(NL}| zHIP3c9U_1%yapR)49$e0RLzXROaWeBZg$>aCX=X-p!hg5J(M3gjTs3nE>0cBU{g_l z=KDJf??U-I5wKwDpF;U-IgwCiKFY7G5RRCq`9lLi1C<=29*0f{BJQ7Y*_`+Z7qu*V z>7N!s=70ptIgjG4o}B!$&+jB3tI(y$+ly0PH7E6^!{gvPV%+AcxIoxTjdz6@|Q88JT0#%qJ8SCrn>^k<`C|W4Q4>V8K zjmauDZusv);ZnP8rxvkNei10x5fvm0srIkjyHKp}_q{lOaA2t3_j5jRDxG z8>-#Pp#M7$X=-@=4_1tCRdi1vt_T({jF!sUHl_#>ER%xFsUr&(&6P3>@Epe4Zeg!r z9K-(aes})PjonDhJeXpt{($QKqkx)l3{fzO3p>AFB zD+ETvsZu9>C!>Ook<0XX0=|COhzU}hu{qZcnhMb)Y29k4q{CLoD(%Q zRMoEuZZ9Fo%RWeU)iBBtG0yjT)a5CaK@*h-cvE+2m^>ujRFrR%R*ha4y07mWz`n8#{_|O$>?gzzc$A`Z~LQa4gPBLZ-oi z3yo$QTC(N(7MV+_32XCrv~jhIA5kfx;`dpoI!Al+w`?!6Iw>Rr=URc3&xd7udx<*g z4ifsuc4OaLTO@;lJzK{?}cSF7Ui+{^5n44L6MI=Xup5B@^WJL!-cP@ z-|3c7@Elftwd5m7`ZUtU=xdc};WWVS$ELYF7WK$r96}*Xhn8Dkw9>Ou3XK*k`p`y0 zNqB^zGgrDHkVlMR^p6-rGWQtDrRe3!$k%V-TEy=!4%ME4-Lc@2IaRT}yxKoj1QE&P zU`OHBBj9^NOPkx66+$SzL#hCv5#|FZ#LTb?iE1R}9G7;1P)Bx?sFC+F!w6*t`FI&1 zXuTtt)wPN3H}>(=IwaK?96hxH^VejS9|SIt)TMQ@il7xc5Hw&a7S*v_SB%0!XwT|)Z>GM=8 zMwz8>iSqbcZ2feLUHusHWW*xg6iC6PY^1W@>2t)q(wwn5Lj=M;8Lv#RS}&wOHz3=4 zhSAnR!S|dEGxYy@K>k|M6IOQNR0|^+8KF$HRkcjpWt4!qthKzZbEUxwBb|D$R5p`2 za_|pZ|4OTA-fWH|d83YUL-G^bEpl7;TBiX+o*+pGgE=4s2B{Ys#9MFFTV?$7thsz; zlF*nmN>@vdHfgP*th&tUWRdmxb46H?=rB-{qT~SB!>!D#gw~url*TGkEqCYMNx)!N z66l2~VmsbAs2ks}=k^iX9T2`-Mm%Ibb3L88Wa?N}P1+p1?68{_&mY@EhMW7COa*?P z^(ia4T(MU*<{;qyCg1z!Nc3%2h*-*(JaAPC62jTO+#jNvN(Ess(Vt`Xhh%6QaU`h_ zS0X~Hzo&@6$y#FIP7^2OZk3EUgiclxLINQtzifm9lEGSyVi(SRWMHb}xPel4Mk2yI zZx&qPA}1tc+U}h8XQ|O1<#GdXIftQ*V9pvD8fO-3kj@4SaiG4OoR%$2{vkI{5@|gNP&O09vf| zzR38psp-x@=4BiCQGa%5R~qasWSkzY1VjGX^Jx80wogQNn)(L0WJKmV|2cbv56R6~ zr5!8?*8H93TLvL}|DNSZd67j+B2Ix~yx~D&Hk0{qE|^B~E{-{dVdD#IP?oe%hMz_y z7+}q;9k9H%znLFEw0IO?YR2U5%;khvynafzbUljT(3ki)H(8IJqZHKo#lby~=T>XZ z6`i@A%W?s(m@nr@*Ou0OfX2!m{jbfDsqtO=8oRb@<85J6dsoo9BKfIYcopN|X|B^1 zxGmk&!irh%jdQ}%`af?mAZm{kp{b@ znNxOME^fYG+N3vWuUTKGq#?35JX*naqh}ihT7lEa2X!8FU&4-n@!mIPCmZ8H%|}6g z^mo4xfx|YTo1O`tT#kM-!=E{sK6pz5Q4AHN)$EMYbdg3T=t#=^*(SkUG;Il2fXh(@ zke=eKK!_*kM!}m+6MV>UvS=1vffb%53R6|qpRijeUWov>2=ebFAV+Hpxap69ct(-T z=>cwMR!3q`Q}Cnx4%cz0Iw=O}yMLd*RiGbe>0a%aq!Cw1n{mzod7;2>BPYrTX_vG- zo8fq5aA4LPvMm{_bC7-vFsje}v5x_$71D5|WS2jKuAPf!#+Nh4BjMd2u&iemN9gw# z94iT11S)pzIb%dRNJ9^;>+>6L=H%_Dq{YR!&C9+~ZmJ-&1#hZe;C^0h70{+|M2h?HKRu#TK<{ULQiVG^U zO*sV)5yqGLN1|*7pse%bpA^I|fTA9fzrdc!@Nz*I4II?kl2Qa^w7c9OCDx9nm~9l( z!bNZEDzo)NrPGvC;#CC+%j^Em_xa*N1x35N`^qa!4q)T5781o&r4t_Vyu5uGXqA*K zQ_z=_l;1t);$}YuCPJQ;EObagV-dFm&n$fMXmL{d{?Fsq-(HyrH(i??YqvkdoYL?r z1Ze(2e`iFe-4|LS6-#+Rr4;s13GLlqPnsql7vDXM)l}FOlv`xBDa|c#<Trc2>*OclxO5EAf_BVmUH$s9f%&;eRP6@ZV<=a9r&3qCLXO^7mTeV z2l9~*APloob5V}s*2M;XTX8z;IQY7G*3TNnm3zkc_FNiLVHa=nLKJd3yG{P5bhZKg zc9qhtr|LXmzPhg5g%)%ZZ+-UTjZZiy=J_0?xa1t%?h|%tyjSZn5{|4-Cz-PBFcueX ztZ8kV{xB5yHHv{_Im<(1jFOt014|h)yKS4l5S3Ijy{G$zy+mXr_*v1j8-#O5=_%m% zG6LPH{ewqAjZ+6$-dBd`X1VG5paYHAq)7ae>=mk;@|3Balnhq8yZeQfVh6UgwQe_xfvEErdy5qN%{iuvLJMKH2Om!}GoVp}P=i z(KDbcYG${v@fRJTZS}HlF8xkNs?wZFO!~dNr0AQax4z`$pOjT0L+XTvZcbuYKtRs{ znx9F3&~U!1@E<-K**L6*N*0k#>;-gzDw>x@R4_ti`yV#XlU~n92$`(Ib(OaN2-iu! zjt4AoxDheHSHEeQ_)4BhGhtw)5p4A2!n0706syh~4jd8Yg*hF=B$R0u(6imGf~{dE z-Bm@>xA%J3AS@4UzwVW!VNXEu-FZ9j)vQzB1f9|>*lHrs8na%1Ks&nv*}lp1If~7M z&;>j5OAWhyhTUs5N@utEY&7TQCWm;&vzubX6wX&1Q9%Ces%_N#(I1Eb_Bx)44 zY{9t;Uiiog5s!t1m;>^|Bq>yzmjGNnR}FH?KM3qdSAXcKyx3C>J|QG0*A$Os3A68J zG03*R8ZeX|^hQ{5=X>_!PTD?DtSQ5aLLCR5o8`Mni3N5JEhphOZu~5wpKz|maa))h zH7$APF$b|yZ%mt8}LZB5MX~B~y8NKa&i4QT1 zox=VR_D~nS+F>_-u}^q7G|kNHC|8rN;PGWaL6j1G5PX(~J>3-AMcW?8)r#fdKPi%k zP|~B=C(Pp4cJD;r*YzR4B3Rm$lLPV|nNA1P?FRN@^#j;5CA_HL{Imo^h<5?VF@Si) zG{P0j-=n?|a9u7cRF-C%!B2)pv2)40{g8I!venj|9pnrf6M-;W)XVsZs@Q{aS=-T# zJrJSNkhPS~DP*XyyXRKFfEG$ZlwyA|Wd2;~B^P@fl3nlx<)9@ST$T|$du{&wlYO!%-d9f*_akbw|{A-A1k5^2zQQ1mmcX;uz6Er5IETyQvDHpNBIOE6rZ1Z=e+ zULYu#f+S9$ygFYWXu}TMRTwRS=7GonE=YA3Bpif-z6PmU28lBdKWtmBFoB62fpeGP zA<;o|`YtHt?2mp&pz>I{ka6{$O}b>RUFyjYvbJ zh7zRd1T_1q{V10r-G(V^hMd|Sf%3#nmbdL}yveU`(e6y{$%djW>gLT*Ky8IPteuDG ztn@8bkZJfU!^$8n|4eUQhRy2At#&naT}Ou>Xtx>5!buA8V)S=_ZyuUY2z~@It$09- zlI5~kNXmuWWvpNWBl?*H(3(eTKEV`d>^SCZhI`bfTb*)ITW59xoG*ID`R7z7wQlgm zE&f(TVM{a-#P&V)5eBhas*cG%m^=caSl|r_9A~bmEfV#Rx{=?@^TOP@)T0N_Jq=ym zes=ZYdHGwH0*f0_P&d3_Q={<1DX)eO#ab73b7?p>AM>`KI8jr^5nDMYogS3du=r`w zY}n|Ek$n&oH1Z<6BVM-8KDZ)4zmWRk0sfnzto&9zz+U{X_o~WUvxs7dT-D%<+i&mgaC-awGB?r4?qf0g z)b8PF(V>=LTvLwZYnYd1pL&~J?VJqg(rvA)YuV4><>P*RTc?L_v5B$4+dE$q>rkvw z^LvyVQ7j-wu_bCd{_Y>W~J9v9{ZUcrV7(Aisl3(J_pkPryyU#1o3@gR2 z8gqh|?)RK-;K!aAQ*g3!)t4)oZ9JhcZ0B{|Gy8J^yx@BXe(J>*5pwyC5FTH1a4u}; z+J5^|%%`a6j-Y)+>nYqV{t_$O_UX!6T@YDhv!Q`y%auq7r&uAV$CoJ4H+u%I!Y>lq z7}DGU38;V>87sXRd!^cfO7@b+1@`gID-{fp??awrjDKWc*v>T>o~bDrWks&*@n@&$G7B?x9Vr`xoC1M;1?C0%>#0OAVcY-|cI!QKR#7r8h5UxRYYKTYydbiMuhUfJP(sM!;soMXXd35@!3l)#9ojCka0zyoYX zIWki+tCiJG{M>n>ASvs+($Cu|0&^MHtn3Uq;4~|(oHD&1w^%l zp(Y@FV~&f^Fd%esO+$@vRdBwxhg+XvJQO#h?HKe>*OXk*JV0=b+1)BMpibTF0`5U(m`X8(Mf* zV3b(}|F8h-Ep}KCj!sOk0AVn+S}9W|DfD8Y4JjIwt?rb7_*+bmK$0J=dMQ|_jsfA* zGsU|c(7>rz>D;jeJ{2it?R0E|EMKE`oYxzoD1V&So_3hmi@l$hQ47CY-8RxY${(<{ zJ>2mN;*O__{uIdzMFD7?o>p$*PskD?;87Q^=AaH<+}HXDRbDOjo>l{*R=x{8BEwo= zXsPejH~f3`6MBTcS08!w_L}7+wUq2r-GC@$*;uNu4%Pq$J!&t?k2I6T7BEvZ|C&Sr zYD8B+!mz@Dgw5e@$QFqzeXXuK-hSNLgiv zL{e7npwLxy?|VIWNLH<8^ewxlj+_L?5PpGz8}!nkMzbl^AS% z&b~H2oYWipP+;-8iB-Mke1q?T!X?K45;A8a=>G)1voQV-;CqAI-{3nl1HiX6 zsJJ-UgdvzSQXkMz%^%}$4jy$C%I#lH<1bG9-=^^&9Q;4v@Bf8^|4VW7f2%U`|7RTh zKgsw1Bo6w9;{Vwu`Tx}%#LW2LLh=8-IO^Y_cm{Sx#{V}I|E&%hMf_VG#63~F9n-_0 zx0>Na0QVaq0EEFamvU^W4+%G|qxsA0tl})2u&gN=7$gG6AWe~#XLC_om&fDv!rRB8 zbL(O;fqdNb{lop<+oMQ_UbQ3UZ1Qf;;90oysZ4gY^gseXe41)tE~QXmu9JOg=J&Yn z_SJWZRQVzN`s3o04z22+CyS4(BkRuY)y=XJS$Z9A)drtwf78E9BIOSqbv@;u*H`%# zaiwQE;hI+v5{tXxYNkR^QU|+ZsVpm5EgZNsdAq;WL6G2eC@SvarH8-s{gyt(VF+ji}7D*I7hFU#rXzs8|>{Hd1_JJ_jb3f-9 zq$@QMv}o%z*x2(ET)ut6Z>MJ_>J*T8NstAAbY}L!KZ$tQ4l#tDy|M^3XUDsaY6!c` z>>g!YLv9lut{<`-dJ)Mlye4k`i=vsKOuM0v&315eC!()Q_X)8y6Ep7hC}aizqu%zP z%!x^Xk8IN4Es+oeANxNw0O#O-uJHlQ;Wfknj9UB+#2kct78<1nMG->7>v`rd;4qjVyozBmR^YPH1DwC<#D0X! zCDJ$Hew3!4%-|XI#)xy*qxQ-0g5TmccsNi5#UJGyYJo*zoYlk+lj3^%*4jLPCk9mC zHIWdF1-zxGf7e7N4z{7pCCq(*t6=yhNV?Jn;-;p8#5{#FS*GAXz#_A_PHO=Es5jAH zDCMN|MV>vSg8c=ww-e=vGdkf4jx^Fw@oo?F-3+-73CUk+8QU$FNx+R+{POcLBc${z zpRll)q_Q44t!}KELmxfT zjMqJ-Hv4hkD2NO^3xo|(mT9A2EDH=8o;DV6cwUOs=LRC>Kq9~~c1Nybc45W@FmV}x zU|3qEJbgZ{P0IMUhacUHBQ@zvqekfcPu~!#mW>V8tW4B1>N^?2Fhr^wIE`4>Oc<3; zQ04egU%|)(1YZtBA8&*eG~l@N<3fxPlSn`ZEMN`e)3v=30?XWb_WvVukb`F?{C{f> z(*6%~kS1>xqzaM7Q0qkR-l_xxp`h*gP+16YsG^}&l5P7M&mvnmHapAbg;&qQ+8Vc$ zy~tb~%wYXYL0(V55Azc_DhmzK+kHnlY&XnZXH}Mi9nNmb285ws_RjgU^&2?d>cID3 zmOFqmjI6oPWxS9ld#$Ur+tF|mbcT*{a4s-l#0i`#wk5_SsbTRKtQ|Z%Wmy|D2&$WI zFbbTb63^PeBUryim*a<`@T^Lm_9ZQoY%D#NU)Q+CS%rsUE!yP#!iq##3{r{F-R7YW z$EzZLvXC3TVU)OW8>Fn_{9zH4!%rB>u&6)YTAy&Wfp&`QOu4plZf$}5Zixg&b(^Rg z*gLoH$J8*YKOd)HFf7x8w)8+e?{fk8u`K`yY6Z1E1L2OfO?N z0n;>PnCB1ir-*Jmu>GtgilzgH9L`F!-5dF1*Egz&9F310 z%}Kmlm~J~4Ydg0P7~qQ_nan*Kuf!ERr|-qxIV^#r5U_=@^wS+aXH5;OD_+)qv_vV9 zgF0~NpWdJ{aZ$FjS5jKll<6;C<}-8yABBd)=fbfL+2fwr^Y2#y+)bK=17Gpk?O2cX z=I^O~V&)FnbB?#=5}H(a!xCIpAzeL5YBID(QL%ddIu0tH!>2j3 zuM54+#p=RFw^iw#K3Op2s1D*=pkW1amaCGJ^xY9T@W&AGt?($P8G1RP^gW2NQ`O<| z!=L2Sy@6omSQYwz7YC(Gqn!Pc4Ih#iEGLW@1?_7~UP|yRJ*e$G_U%XM#a;5MR_J$3;noc2h$_6`p_EBDtP}vYOx1Lzkc5XJ~rM z^C1C7yU2NUYpYRwL_>6LjRRp7UBuj0ATub)K357dypVKd4KV99vlHLdpe;~Oh+F4s zHv|1u5?QM!Q+B&j>C8|us5MW{2eD22<0QsBt02HuyWKMu{lG;gEEp{AL?gr8E=_$zQoiNVFuD*MJIFS-k+Luhrx`R5+qB9a+ zmMWXkyUItKgY`t7Bh5Q&!@Tt;I6<&Ar?>#mhLe@iWekcL9kSAvq*XY@^c6+SXImCN z?=N2hD&kEY)--mfK++kzg|d-L2K%b?m*@VLbDPVVA-;rcFkn$^%s_^+pVwy&^Y`cZ z<2^^nqRgxB^d)x3ZwGyX0biE}E{{$O?KUDQOiPgl1bGbycaWPbZr;fNSDf}C(j%35 zo?OO-Sk=7j>pB8)+!mgja{dNkR{Aeq6}&2&KHw~05CUJjoomkPBJn3REKj2#L{aUl zzs>yIAPhht7*;Xj!0!=&{b*)Y7}zeGiUwZat1J^XQAj;-Cn6~7Z5EYFWU}&M@4MDV z89iU8CzbDK8Y{>+a$WI+U+93xIP`aAOfh}`0DpQ0DuBcc?pcD-E{yKsSjH7$g+OqJ ztYem{I;1Z&SlV^}3J^cM6@mfeNP{YP!3!Bo{Jv{f0`_Gd*$5AzIaNr@dN!r`4n8EU z4P_1vo0^I;hRE>`dmsh-QXJZf(E-(rFlxaBqk%k_#hiD7A&%B!ij#4+UEUC!WoTP$ z=xM1#xNW&^<;{y)sUQ;;Z8v|w4b zZQHhO+qP}nwr|cSGUJk z<^hOzN=L>TUr{XZ^Xj8S`bog11PDwH_^RPKthhbfF#{Y2eSJ>JaVG*PGqe*f1C3Vj zqjN+&cyYU5%lFwEn%~fa=H|aLUjmlK0C^EjjD855EaP(4*b;@%M9A*J>n!Pa%iEtG z0D@afFC6gi1ld3VSfW#0rWtWbVxU8KwNYeO##Om7+hy4l;Tc(>fAcC)3bV_?&v~}V zahA7_j&0J7*CkkRu@ zCZIf-y(X|$nXbKX!2Kw_xhK_$OIsVEZDWm9Ga5V5HS`ws z7ZBv}qlEoLJ@wHv2*c<*uc)Bm14V{oJ! zoHC4ZwCoK9Q%yKfRnxE3C~dD5jW9yF81gOXJ+J1Nbu@YL4I-gQdxQ&wGMoDApytG5 zv@mO=64lTFn>Li$3g06>(-cyGM z2Psi_EJM0;8f}_g^LQ ztsK{>>x&wc%J~#NB&L;B<;~iJ=HOGN?cXFjc$UuwGL&MH2Mbs83)0}Y6|&}a_2^FY zmg3TuKyr~kz{6)Q>&Zi6EI|CGDSme$@8R6PRxR7{jBVfAUULs`YuCD+ zUFUSw^!SyQC3A(d;@7Uzzei5ss)vi~{#rL1Ufn(%|KKGDc}G#3IKc0egUwIM>%Xc9 z(RW~!>VtBE4v!^DePcmktWbOSjlO2>SQpnRCUVy3@X;wo1&W;i4IU)S{0-WvYwTsf zepr7D^-6+GCW`1Kr)ft$83Y;fq(^z9%W}yRD^BxJ89h{VY4^zcQA13GLPce&L~zlW zX5tsZwLFgJOby%rQ|(y=3u0qr*%nq;LwhUN29YEZ4~rjDnM-gum@e*MIe&}pG9fT- zx!GKI#MIdig>?i#2{*0v? zIWwk(X>H@HN<$5l-By>4T@9%Cq0DYnXJ3)l%oZ^g%XhJ ze!_mLjfA5pcL=J%XAzXiMZ*rFUiCy| z+uIV;)cD5zNY$*uz@m{zhVg0s(OXm+XBn8@g9al$4_Eo_*vyBMY_FIRP*YbL5=>U+ zfB=Qu4z#S6CQSE4Q{6=vIp`R10}@8s*Pth!YeDE+72Mxbzp;0QU4YwJ22 zf?g9>vp}9TWTkIqRSX`t+qM~`rZa`#YA3D2^UvWGLT=ArWQyHJO=0LK65WP0#6Q%_ znpPVva51}L;zbHIF2K0UzDn?N$P~x*mF4heGKi)EFqM*e$WDb)Q#s}i-S{Bv&yP~( zSI#-ILZ`#r9X;KJ`hVD0_7d=o0P4=v-NB(+S)unxas&D=oI=!u;0IjW>s(fqaMHC4 zMcOTP=vx%Vtr+JW&%~h0N99zqDNFA&9#M5)Z<9gJ0x4*c_3RRgoN_DE08?Um*2E|>3D65<@pAyP%pC^jzFRh)byq9xlhO`UOd4LYP&>)*D>xaYqQX4lW@mL8% ziN+qvRp={8@>zSfpL}g6x8rd8#Y(GJWzv+8Q|VbYO6MjU+j|aoFG*;v(+BF*a1?NE zwMI!P-UgZIQv2sIAvWurb|{RL{=$IH7k7e)JtF2Fd(#oatwCZBr$_NpNFRSNp;3qA zi*H4Lx)mldf9`0N1+50IJw666DN(k3x%u6|3fx-hPqHQEe$YJPOXhIzPsKfx-97JS zjF1&or9%=OV&INEc3sHu(DYkb2ZF6v>Ma%q|#tyh!8 z$@-Lb^$$ENvFT(*BRk=SUphN4^RQf3o)4&n*LQ1AO53KY;Sd9^_=0T()%~7nwzTWF<_bRM38uk9 ziMjNX_!BA~T0GV_>^j-lSh7P6Q< z#vUI~=4dIPlaBd-!B0@G z^FE{F@*dJaj?eN?0~#}{Ssb+O`+0?VhqvkJ@=emwx)@2q|4SU;R`HH@Dq^KrCGGMB z1=cS8FctVjz>(1=L3vlU%yT7bY4O*@%{|!1pf|*A@yY(*`Z-p;C%u7eXIIyFd$xsA zX(Bfp5Lj~iwnj)Up_hU?L?7!@naVUxGQa2(#Hs^~;Zv*TB#5ixfZ^5rtCpok^Vm){ z#g7G&MQ{i7IHr!Jx5At@-DU{saNOpI&V|H5Cd0gvCg_vPDgF^Rzc<`2XwMt|<8tew zSfi+a3BDzyc5Q7>oC{t;QQ`xG6p0fHyi5p;9Wb#CkrBcBXH%W)rJb=bQ7*d7Zl&9G z_luTw@@?JFEB5`j1@)}v?DIKk=^gN!+)-~6r5*J&NL!!@R+`M>RQ5K5O)@jo-Fq{R#H))(*%IGJeF0ChvwU*{vu|5*17n1LgHFH}l zB&YKbg?NrArcgE6e-VUAX)MLk^A0&sdtD8^tih1Obt<$_Eg< zaN`Q4^yu3fNiWvsbk(1D{OYeQE?Hf z9-WNa(Z^NYXZPyU*-jD9g4ABshaTelPwaD6Hmx0y^TG5cGR1bF$Ds>pg`=^G(A|pI z{5E2$-GdEc(%mN6QV@;-8-$P&<3K96fq{Dx_GfB;56FNzc9!)!z5~>_-aO>cC~sH? zyH_kF@Lb2o45B?7%8LT8ae|H2TBjz8ISnCy28Plxd!?XooTDHkUI>2v`t>n8J^cH) zw*b0?ygre{GkA8q#8b5oWrw!26%`=v#uHard|!w-FcCqFH7DdL^7g!n5Z10L+@6d~ zE9BYpGTSHl#++TAAPkIL!_{Q#@%f2354M)wlWk47H*k z=ylgLvHO>}x!N_ICgx0`aY?0IlHDaWJl|lc~&_`aS#iX@RdX+Az{tsY$|^bze56mdjSjcW^=K1 z{&PC_Zn+|xg!u*sKQHF|Nbl9kx>$*#jVU&);olqQGeVC?;_o>oiJETsXqSR#zk48H zsMH`Ig{m_l#jv zvGzls^JVf1X|}T_n{Sfni^Q7>eij>8!tHW*UcMDnQg_gtrWjKmho2Id0GZb|pl@z_ ze@$j$%Wg^h%hM+neb)hO-)QsEodvQ*Jeg*0M3sb1sdjv)Amv2-zINLCu2kfhLNof| zzM8#iP_+G4ap;s1-k$9GOtfYzfn#w3BL>ZNCE9`D5qlOtM+m?jL0!43ol`Mins-V$RD-&?K62G=&$>$CY&W;w%EuhXxCh)R z%!s1j6Wnel%Cl?4AUGUw*Sr1|Jm)3GP#2ldaZkTu-fu4AMgX@Rt}zL6c<$ZrRc>88 zi>nYYv1z@#VvjuKP=5a}YJCU0GcCWyc&Oyu%eJUZdl=>25d1UKPIn>S=+4YCTfOPd zBG}F>>yLHx=Ea~mMqN=_;83l#tfn7AruH-jIy$lw_HS}hfqQtw{tC~Tl+HYpr8(Kg zt+Rxa^Lg7^nKJiGgl=~Et%x4Cs>dl+q@t0q^TV|7Byg3P>PvEH7(&>XRhqEukuHB( zf6g5X7)MM&RoQl(*M$;wy4v064$~O^4T*%3iCy+sCw) zhDgcWz^~jNRvEEE`nDJ2M}LQZIRV)$m8Uw?{v`iPRWsLh6E_&z8Mil6a+H!(YIUg> z5yi+#swm!>#w>u`J6HPHaY$L?Dd}tJnvmE4t8D z`u6ExV}TIcxZ=rg@wm;wB+%a9=WyR@`G8mG-fyj*2Z{o#h)YG`;PsB_9-RsWY}CiR z9)CxPGBXud<1$HZ60lnnwSaUSppmpSNE7K$EQk#(N1&4n8#?Hw%taPi?*2l1RhIjU?{%sPkoJ~u z=sQ)Hh6r z6Q)Q_c1TPU>0wIb_Qh~GK~2pK-VjQ zH$&E4lzSIN9HJL+U9BZL3OWR^&Wu`td36t73?9T3y7QJLX8_oeAGNIV=ggPXeWv^n zlFNKtbggy-XR4gK{;{-0F*rU8kosuTvxZ{F3^vexq7Q+?bYj8Y+g^Ijgd^0bOyNy^ zS;a;VQ1e|{K#gz+!V+`p1f&UZ3I@S?}*8r}wHFWoVUOM zqQ2K@qys<6i+3xx319*GYOT#!ThnSi#P#n|RnG{mIzKaRNrXy>;a! zAJO0j@K7v^wX;go$Q_PX)MMA4qODq_HDThOQXcfiOz0lnb3gggEY_!2W%y$&F$+sa8`2Pl{~9z0E!|ri z1~Jg|3u@TS&g5JZQih45xbqF4F+qC%16*)UwR3kyWmBo=$pZ{@*1|CWXGEo^B$30Txr&4ru_i9Mru?2jSRr8%`>-%QO7yDHt!Y936&at4RC^;y97JVgA$ zl8KWUADrWXy|LAGxUQRClZ5>p zir_L-RQLR@I|Zz`dd#{*e^eMNI3mbdR)?G$HP`bSm7&@afm0-tv&k?N&5~!x2y(t> z%$g?&!HoZ~@f*~`Zb&#^GOzsh{c8R0i)rrZdEBI^jp=R8>#DxCsXS9_M@7Gm)KeFN4x<9kkCCaPAVK8s~gC>Z?B+F&53yFow4yTs42vvJg z2;lWvVCu^%Ryt~C=BeKN zOK*i^eXXDamt?#sdwGwJC*)h;-LUYL)n6{;fgnZHs0di8;HV)-PFj|k74=V24~IQG z@X3*ogWC#;J2wdi%GQUby#)4>03d4ADVwkX znNTeF`#l3=P9fF!)v``Ru8qVWmcwqpquulzfi`5`n_HeE0PC!;c8X)g1I&9FP14<1d99L| z2O<;SjNE@pZs*%l%jM?W%>^KPZ4rV0UF8hhAwfA~#NQT_Lk)D#uT`l30IG+Se2i82 zXl18lzLi@@4!dK(`D-bpJ4+_DzA~&k;1BK%Xb$8q_DP)J?aI10I2QnymAQ=)^ZOi_OJHx~Ckr zDLydQJvYLf(fTHaih?G*((jG(KdI-+1B(^n*P-J&Sb1a}-mhR<>Z$`^%F#Nkpz5Nl zLgPLPfMWTjybjiBZfpJ+b*|HnxJFr#T+F4%*EMwIMz-M25`jJCIOjcsh_;FAsXLBd zKEw%=(ez^vSP6>scjhiMcweCKDp5_&zQgVf@t?*WMxZoYpyZpBpNbJ-n2BJkSK0z^ z^mvY!@ndn8O}N)vq~xjs;M?FUjfAVoxAVX}4Y9^@pCs#g#|5s=AIzl^(h3Z)4i_5T zqqaSCzgu&p56|;(f$h1eKN|eY1`4rKHn&Gg){`lQC7GLzlVsvj0I5okY#kna2D|0= zLU4--VDBGHQvCB+dNMO+DuxJs<}bSbu~czpGLFScg=rp8FD3IaTL;jQoxEd*9>B;C zJsCclMudszxapL@qu7p|p0nx9d_woWmE8r0#H)pZ%%yTF1K9yHm>SikEg1QQYF}wv zUY*IEo7t_9V^Dk+NKUB2Xe%fXIx={6wRp}q_QsJg>>#N2%e7acs493V-K927;PruK zUuaOfxVhb8-EuE%YZG!WCu^}*7oH-R?lkE5V^Z6raU3@^vbJ&b^T5eh3nbXDY-_xd zO#DBv9ks0(-KBgK9dfFpsV5(vuD;pog_P7WSB@PNDwr!= z<-Sfb1_#M$9hQs|@XlGmUJ@O8v(2Kq@ZC!M2_&Ygy!Pnnab)mc+RIW5j?Ro=Q4&2; zQw5Emj;y9F@NuZ+)fCDw(_YZsCmyXzCGY&%u}w(KZdeg7fr{NYt8fcW38XLJ4HtnP zMMAVgrplR3^Gp?uq!n?jMA+dALLFd>*Dkm-&Had^uU(M5l)gBT!5eFeI4&%sl$Iag zfqU@4LcS1iMK?M%1doOT5(k~7BVlUCsz+omRF}iGopyTrwU_rl z%o~X)8CJm7vv0ZXiK2dcbZgj6sX1bD4Kb5GvA=jn+0`_cW1br+VUSLspyxdbDA?~+ zlsdM^+pZw~l+TlI)GI0yS7}8H;i#!@zb)l{Hk75UzcvKSyw#=J9AuF^T3m^yQfqtYN*BNU-~Ai{7u+oqCfQ>j{vYxxShVKkT>6ep>jglDI;m>87wC;MEa(SgBq z%#?AUsSGwc#X&RfD&cjIZ-^w3+k>D^cWX3tw|b9+gt+G3h!>E-?&amOytBY}9kR1I z6x^9Q7$aM)?##WBYC@AQug8cd-Wa&w)dK(l&Z1kS|F>)|`~S`6idovYm^#sm+5A&J zi3TdvMw_pBVwNQ2J;sDLf9b?tDf2BD0 zu4Ai2cK{$~KraUdBw;|yh|G*INyJHsg35;6vz@owh_zdZ_!bRy{};5$(U6pDbT$B0 z`%&kq`-eHlQ*r+MZ|~th)Y1PlU(EjB3iSWf)TVa-BK7~hzyAMClK%f^eDQxm#Q%ja z{*Onj^v}-yADZp|N0OfTzaZEDXXiHC|Jk|y9~AfhJ7NBxcrhc>|AZHB{-<*rd<^E( z4-f`8&8=x27RQ4S46@)Yu(W*gKloxj?_WOGN-r~si4~^+gT!!+lmA|!r`O}Xxv5pZ z%=NlAy0>c6uCZrVzi?{U@>8%!H#h%h-d-r9GyRi9txLgIg&?h-Bqiy-!y)`g$Jf32 z{bKkB&40bRmMz|@;i!$hD!Vg$`Nre zS?M|hkTt4DPCqrRh5G+R=(7x@kMA^QLtaU;%326Tr$9Al!x9Y_b|wUKB1U(;+;~4T zf8^#;LeylNE?HUUmU!l8!UehQ|M0EQ86SLVYSXweZIlBbSrT6UhjH6D?7tbe&0^2R z3k|;Qm}=+^j8%%k7-!;QWSUIlDTQK{$>2PiOXmcujhRL2h@csU_g$t;aaJ#;(3mvU z)|Esk%>xLo$AU5!exGGHIIChk^HZ6%PD49+x5-M(YhJ^5Rz1~6%G~8`QiAYpOrDUj zF?Z$@l1&MpfH{UVs{^C-#+`YoWiCezTdV23=e&jq5K`b6!vK?MuH7sDO%#YrivyNe zXH4VTHJ@U}Yc&Hz3)w^3Qq7{bz(CN?hQ8#nzlnaKHPSh6&3G2jwEJi`{b zJNL|ZMbyH5n)@^fE?VVab3^BnbVgeM8ZnCiO&j&mAb>P@oe`$u2&3naI?IeB z!YfUG9z%FrEh2A))5tY^`ywfj;WX^MX9TgnDR#a5kdi_%wdB(VL|_Qk>qwyoVXh**= z(<24AFM>Y~{u#G{vKl$kg}jvh`p-fEJ2*ksh1LTjC-2ScDVo_P0~Z6Qe_3gC1l#t~;?-U$8xnlQqs~K5x+=4qD=%ME?RjKX9Kqx5C+S!wz#-vSv1egz&;&eS z0UWiDOQ||@F{M|;xYN&pX4#d1Wc8pO@F46JPVln3cgxK{J)?S-B@98JdVteP@W_Ex z?F3Otiu4hVNJ0qYMDX)M*x>&Ud@;`dz!$&5Fj08P5N84~Ly!QV8IA?01id+CbppsR zn;LPowBtsEjms=C%ncjUNRPrQUcPbMc1R1OON_c)QRn=QsYNK+!G-))K_(N*)y~aH zFy9=225OTEir>d$e0gss(}=XdJY)ioHmB|nf$6WoF2jQ(kc(|m9XPjoRoSGl+nRf8 zdIeOrwtifiz?2kcLYWkb4+Da~C@vJPD7VBNj$ahCJu(g1)SXWVK7A^k62fR2Uyl@< zbaMVOgPr=V3-lHkT>{pXc$O4EADs^Sc5_j1!u%8L)NagxkvY{6#7#@wFOyA&_V*S`V8uYX=bv%=Y(7kjy{B32y|vZ7rmnk5N7)3XA`KcfL&Hjh zIE>RNk-b}?XKMmN#F?oy-Z5*G*e!FqU!ED{nbESVg3SA(dphQ|Rbh0^`+dhO+&i0K z?Sx4oaTG_IriYF_r%;rvvnK|KIc=h)z7@T22Uu2$cu^mCJ}1Vf+aSojL=Q@oX&0*H z8X3Dt6HIYN*1pQC=s$$p|0`g8vp&H4UxnK@A5o;QP;zVZ9De-8Qq`d3aG>OJ)Esf2 zd3*#yv9WBWr_gfe&~e^M4pdWDjuaeb6dWhbLCNq?alD0l4q_PwEFM)Dw2G#=CM?-u zL5I1o@=$PWL*q#ZgJS!C#CqnC{Ic?`?Ly|F$~IC~)*Cm@_URy@m1hWb5lP~)@dVPn z{r`@XV;fX#%OJDD{pC3<9fd55UpYmN!$gX!h$Mq=mgjhv9GEwy-)~1omcz;1mFMuZ z8n`zeU?eBWQ9+i&LXykMazH>Z`X}7hO0pVN;Hr)vebtB*wwm(}V@=rkC)}oi)gLGC zI8vsP%0U&H{ZGPJJvq*1=^d0-9sSfl8}k{ufxlw?09@(#&Mb;Q&UL@B2E?8 zf)iv*`YQ88GKaC^(tj~-r@c0HSf%w&QQ#PfENJRY)1B5~C-M0&&mHE+-sWU+V`sNb z=9@j1|2x?Q+$&GB4&*97Hvg}}ZF`~grLV(MN8Cs+o0#*itSWr0q_^{0!he8q>_`r* z^eRHBsN9`u+|~29)64gz^YBNv*VeXf-3s^f!SKPdU7OafUG38GflcS8?d|gB@cQ~o z^xr2FO)K*1a~R!xAj~YSf4*&LiC$eDAFsC0>p?NvpRVnj|J{6#V8`P-Q%wyfzhJJ-YBMnvCqHAAB<0b-DAzI z7aj$#hho~?k4~E>#UqNGD?Z7Dk6IaVJNlLz?8E7XGz>qI0qh2qKjHF5$_hAJpUMh^ zt*;y$Ni^Muhsc9u=*M^vn}fB1gfdc8+B-bq=RpC_3+5XpQLiBs0#3ZtlLLwjfqjn- zfmgEqx_yX)G4Q^8Y=HRx9hky8JfGzjX6Y>{fK%xpZ+PZZ^q?}+ zE+mR=ZfRDk`ovjHZ(22k|0?LycH0t5zSZ5eq9HggZ~GX@jbQY{)C&d;_2D>Stfk># zMqmuK9z1`2)Ku11`X!{)xF&3KDi(hz>gqo8g7pm4{TxKG{`R-|GG1V9w$8fCQb$^d zM+P{*PZaZR$+|d4nOwLP-M~W;x<1gmGgI8 zIV?LaTE&xr&Yj(u$}y>S0Igz52gm5jOwoB7aDjRsTZ%u5j+5_@MceWVIrW5z0QnSSPhJcd2{$pPuG>fvVnx+I#~^GC8lT zPl9VM7(46(rVn->jXyck8g!T{oG@=KQ0J3$V^gB{ybA3&i#j}T>mdy7csiBo*Zym>~7Ju7S#4iu*i>>MV=T&qhReSC^4K0PA@WfylI@?YHB5UkZ-8oFt@t| zL!D?7(xYFi)s;E7J^WN;Jxc&xPNCL524{nnXP;hk?5hnczXrH!f$}Dj!wd&n7v-7W z8(td{HWJYR|LHXOLea?diR92 z7^?abE;DpYL`x49{c~E|FQ^(XI{?^5vY`X=!oIZ`E_@>?@~$<$>>-*u_NdYbO&HzS zI}T$)_4GSXXGGwdEgmmKsTaXd`vA`eTf|;VGQUG18IzPntr;ap@{6YKLd#aHF+=p|1e>BamM%0hy{Qd@9}Il6 za%SRpFl+*<>pc5g`_4pyMoIeY&t!Po);%p1qvkJ+jqcQA+tmcs)smnh`a6Fm_js+X-sX?KyE^v zS+luHH|z*STEp>x`Xv5cb+BTVRtJATx-=Y%Ssv@5PLK(r30hDB;1>uHJG)Zq(+4PI z8!`Chy_0jOz7~JNio!#>ZeiC3L>=mAv~?y?^!W==OQ>I>f_od!H}!A}C7!8M&;uQg z6LG^O<#BKf!oJej5-ssB87CQm+T_#Vbza^pLJiIw=>ld4LsPxj_Q}Q=UVRa~HcX@y z&#Ff)<7mc)LZQ@gH4s!9s&<`z7uMeXS|e|vvR<{)q0ZEySl`2}kzT6p_NbtD>W_8_ zRyU?+*n-O$hQM^-hm~qWT@c1>H55f8DF>`ike0O?ZSk!SI3|O^+!%d|m`VGjX*(z@ zHn@jJnUkud*DftV@@M#0mO!NHA+Z14W9zI}Qi&eTuLsSZ5SG8#zs=a{PPmJH{6`;Y zSTWYY1dq8=*Sa>#8s%Njv9fQzMmSs2Z3AD}Rcgs!^54Qr%z}Q&$O4HbMA;9Y2WmCa zrQLUNvk(92j{YAAb_mqnF;+7>2c`Y;O(+F}+lE9emJlMl#-4Dy#u}MTLJ;i%T;Z$P zR8~~nCw*0XU{KCQ$KPmd3c3pKmcet``U5A!^56U?d$14)<-NnhAlFveZNWX&%paD$ z7YQOBsjrwf#2p2T^smW9K>Pa)Z(zf+fG;V*0UjyO38g>@tN5Urr}@)lW;B6Yk8Wkf zAtvvIUJXKF4F;n`MB|bJbSXo8=WJ|c!6Svrp~8S@OPzuwMC2Ln?b0ime25!iIrN77 zA!moQ&@H^x!J4nTnL8Ayde~rmw7iIJfrF3FMgvH>7A}|v;NH!8;FVncK{06$q)&E{ zOQGD}7@%*v>LWebU}L~~BmwV3?`1iTX{#MeDOuerj3Onc*?t~?J8DNfxV6sw$VX4K zO1<-!Rc9+wh&^KHV$A~f6OLm0Y~zF;H+q2&DP&E^1#08#0%n+sIU?b=NLX71--d~& zo_dK)Q&qQ?g*o082tfv^`-6S#M-#)7AQw}EWp-%fOWnvwX9ZWbw5l*_|8*JbqX}V{ z5E#c11m~By>qE*`ZB|3%-5)px-JCApS=rIU+S)NdDZHCg2iSmt3s*!aiC3v#=vM}s zErct0Z>&#gwdH4@)z&|?sBlOr{#)gv2`|3PmYQR#qGt356fIs8)(@g1=&MIIHU=c? z{_OkPaEUSLbxvt%pT#8w)<&b0x`VLF z$y0-2j5WJ^@OdTb95Gwss;r|4lQCi$$0+|+uN7YXg{2(%6O_bO6BVKYKU)ysm&R!F zhe((%k&1vMvJtpCF8wIVs!sqoj!`BnYwjy!8|afWmr7q1fFqczYwLk+6I`8@m@jPs z!+7hGteNXEa}Q5K`&EQ$Z{zw2;?!T{NzWfESBfiJxz&~rf{*X2Yf*&?Qbo2ed^x8_ z7Bsu6G3@AGVl=JU%GjaBbHa$$=6$aXI^%3opD)(m=d@6Mvh3!5f|B^^dWQX~go0Ix z4JcvNC{+Mmytb`6Yk-G;n@N82`hOswfIuo#>vSp`8yd*a&I|yQN2Tq9nA7El2Y{o$a)B`G#*wH{ zO|gsE=S`S?EfKB`42!1sB@dkGi7|)soUWUfvVVOZG9*?c_EG8~YO07fCbzN_rlJd| zLz>utNRD*zDZO@vN;2#NoK;A-EtI*Z*dONqo$SPdvgIU+4|NKzpLDXn&E09lDuzK?*~dep(XSg z(X&9bJbiu(!HFQ(?o}<0ni)pK-Q3=z^T5x=n}{GI#L7y8BAJrT(9S&Ys1sJd|Mkq+ z1becpa&sq^^k-HY)`}L_V76f}yVySYP9B_$yhL-J1iBerPe;xy+2$b?%el|L zi;jT8BJXA0W@EvBD)h7gcq3xjcF`L$U?g=y+_UI4ok}oq=1ie3Jui(A4;lSC49wZr zuf!oFD2rfx5dxxnT6E!ST+ADYHG#iKVc2t=DgSg(>uQpGn?@DHyDRnJ#Z zqx6v{zuOwVDALEt_2#p$tEdg_MvMWCuK%(65=pPZ;l00(ljnTV!Qktyv*i3}r4>(U zVcON7Wc&@L6({>{A;AFl(yd;0Y*hJ+2~eW}-&_Z*$bXp2KkSBpy5m!%^)W+l&j$-+ zmhEvQj*q|G{>&B;2zy_DmWsL_!qClY{^x_Y#C!By3$uU<@wFF_AIC79`Cq?>kob#u zxyS!LkXBNx=p_V~gE0IpN?ZIABz^{x5cx$+gBI+<027c0$O~Up_B^am0@kHGBTi^F ztBIA5*iK0C`ud(I>3q8{)Gl%Z%t6r}483I=gib4b3yf}p8!QF_5xt*tZB7~Edg3oy zX38hZ8rf_Kh#80`=olxY%bP33D~wqbtYCkuO9d$Cab2QdAlyXC<+}+g-ob4S*YkVG zxM2f`9f#G{l!L>IsH2l4@;wJM^vu^*wA_9N8`w?>t}<5~4~a+QsoU&9AT(ZoH@(^Z z&`hi>dFn{Z83l13g#()<`ToUjJdx2@K=qczt0ldXS3$1HP1 zM=W<2goLd(HB+#V6XAxFUeg_~8H6x$Ma5H&st!C3_J32{XNu6{PEHNBPnTG;47A&} zjf~~?wk8@vFi_+7&LXAsmZg{@8Ve_|Yjcdy@*3U7`*V}T#g@!k!9$@KA01dvKMgDp zPf-+co=rEXaIi}jcy1KCw(MQ=r?e1XYOxn@M`wc#c!%s8=na5+dmiGrp)fGb)nO7% z7{fC*0Q4^>BQjF+$jZ4~v(u5|MMYuus)>~z=~$N~q)V9Q;sd_f4t%aq@W*g?M^0&~ z+oJ^Z!p)kxB#2Q$uXJ1si>JG&siaFLvLgHrTAu?YeGwqZ!z)hz6(>y7Pkw^x(%xXT zANzVy>*#^;XebVtP$U>QYjlgrascO;f=dE-QvSj|PE%Q8LK~$`5Rmcu7ykTm`9>ux z|9!pm{Cgs!y+T)_-y4xIeD_vV&}bcLr=6b1fo%r$c84xoKaL|Q?GMRwWJ&P|zR$bv zhv|n7O?N~0Dc9vQ*0cHNk1BCa_vDfS15_ds*Cm`V`KxBv5o>O{C*6leo6Hg{ga>8B z#~_A=#l?_xRa($13(OWbsBM}4ALtStNj7@J!T@p3eQDgtVk{)d^Yx2ruM>Sb~tnP}>g4#02vBFi#RJM>%LY zVI3d*ybq5JyKDe&#>SkwS8;Cc4TQFLp8HA?X68XI3$iM$;rWd`^RzXU&LO%C_B+)b z@90@cSvI_;COEH5ElYhfmky9gtisLK-_MZGFmj|S>nPQoR*kZPo)k}AH&=YtY$w%! zOXEly_&CnZhJDd}@+;^y8`T!cMYz-(J(85B?G~Jjq%@B~qH(_1`sP~e?L!K0I~xyZ7Ac$T z1Gs#x%NNVxYnb%Da0PSU{R96x1|Xy`^2e=Sk_jO2`G&->V*XtJ)`v-SG`0|>J`pLF zyL(tH>sJ1ZxfSuF-y8B_mjkVeXx;_82Uu-A@8uT?$(oCekynHw2?^GJWyg9+c*CztjhNCa?U5@Zhs55?@TyGIr4UA4 z@Iv59f+8>S(dm2CKDT&Cfnl%>G4WvPgLK?pEI_cQ3HWpCX`E;1e~BGr`wT)}|CQ*C7BMO7q~o2&2Al zKX8^7kt}1w)n1yvt()`Wj?PH-q$|5RklND9lbxT~w?h3fK5%yMd6X=_|LBiH0|i@K zJu;QLV9-#neMp=HAXqcX{IeGy6F2jwFbwo)SU~ zw{!rI>?=Go+I|D-Ss){^bz!#$^^F3D{jVzOSeavlhKCJ_VQK;vUo)&CM1@O5Dhd!n z%yy;#E)HTK7nesXInDyiTws`D*Whm5Fh0-Hvo2R%m zl!$|%w4HDHNm7AS3E~B0Gr$mPkfwO@|Gjplmo5#6G`D%*ZJDDSoPYPyqP~c|e=4K| zfA)V*y&Doo*>5@dxopGF+kB5X%i5mkAtd*@-D3Skbrm}Yuxg}8ieKP_2Jd^T9(ko4B0I_#6U9fHWLwG38H;F%%|fP^Z$$WOx}4QrlH=1lXUOwZ}~ z3@SJ>R{%Np7!-ZP@VVE>1iQ=q^1e?+Sr4@j*mw z4oC!jHMU7TeY&l3K#^UM3?&PD{ycm&@tfWH^#oB2)%e+2dqHyE#K06JS@oqba*o>*5-T>?q*DJ!dbYoV!^ zi1s8`$d^?O6m7RL{C&_8he1WH%of8Gg2K_a!20+r4P!$~-1$+&_+sJYwLsrZ8tbRH ze&uEEiPXLXh;7tyY)%$l9kzW9jnMpp0-6W_ zqS8A=LS@iy*XFYccl>dZaTnxjzz@s2osTgahcuT`+t(T@cZ_5AI5$=9h*Q7v+SCm) zLjvzZ^`|zzs^$7Y`tk~t8G*0&Ak1x1RKul15OxMO%?7d#8z?=hj2tC@ObjsZK6hu> zy#VHLFSt4$*F%A4g{URZXd<+`-k{W1r4Q_CCBtC`(Ds4LKAbslzxK%?qb;Zk>$4zc1zT`!2+e3P{VZHIR@^mD=CO+L$|n}Q%81NX zZL@R25D`!+NuFMSfR)p(bSg}5MgR(S>t{%?H5_%m_ zsuOm-H?pvh8!j%;j=>S(-f$i}q!8&s!p)L_2HM#xMOl&^Q>3`~U``#L$%l8Ci){q5 z30GZv76MMOWOQeedtwT_>g%~&zTAhg0v7)=G2-z8mJ3Z_o+!1rj(W!rA5IlGO9-BM%J7oOsd&nH zQH?@%7mF-bP3Yi_P7GV@3T`EzLxTbawvhCYi;&y8eIc)rEqw6+rPr3fh(TR$1mVS71<~x}& z@D|yW&#!Ky@D?jGlTh_uybuRz8W^j1a5t_YPVZfe{U1vK4nYA}nUA>9euma;FstQN zu=DzgUBm>$muoc&ShIlw-@EP9KQR&g6Ix9xt2JLINZy5}x|5p42HpZehiD{fqf=|E zV63MN1O!fe1!iLK;D_c!C;Y`#NVvS|3C;=p=coc{6u;c8c+5kK0U>ftU=xN$8Vl{H z096oBjfWF$@iaYoNbQeQ=cZk~xMO8M+%Z$wCt!s$LUGa#x^wAza<$__JEpz6Ki%J# zwsm;=@aJwo2wmOZXJNl2yag@%l;E(^m87;x(kcYdK8r{u;ftGiC+-0_Bk73ySD2FR zkDL5Ii@yH>LfQVU2W4VmV*f`omL2@787mNq`KK3~-iIN^5Xu<+4ah_TqYnd&0ZgZ! zR0gDE6sU?Cjo|Cl)G?U;<*70L=c)a(Bl{1~_@5nFw!bar|NorlKWXQe^JM*-c7CBx zR)Vjn>_2<6Y+nSF^$(Z*<3ZW~eDN0!{r3cvo%7!nX8(G<|IfcL{~(|o>`bixJuquy zhdqe+;rr8&|AuePVN)OKmp>_ac~Dy&H5#P>2B@%N3y`#VFZ?$G-{aXT$4@LuIPpdJTQ+qh-XO0)T zqe_m$GH7;>AuHb|OAaLH>TrrOaY7P1g})GdFQD zTuA?h0<~~SHv0m^CMIf$uzS`&#G?I>PBh11vJ)G{|rM)@=? zCdOZvu#F4Lsfi}U?=PJF8>{Rng@;}Cn-+g;qlwFM&;m7BK%R3rBTTxn#ZP5cwk9DB zapXgb>`@e_-v`7o7Og;00#;a;3}5>p@GvwS(V)2^3o&=p*19|WrS}rt=8#`cdqRu1 zj)4ui+{J#sM$p9snt(D3D4G>w^(T{?bVU5RRcV+Fo<1L{O9EkVy(CJ*&GoFS9?T&p zzf}>-h}V#dn?rt9FDmVg-@wy*0xTzzVc+A)ZU3(Vv#$RL%#Qz;z-;W_f!T&Xfm!)A zKVXTk!0gX|1ZF)rGMmDTBnSWB3Csfj9himu3e1{Qz;U$`=TSyEQ5KOGzrt5B0Fb9$ zX+dx^Fhb&;qna$xaUx)mnP25L134Ry_mwF+DFKid%&KB7f%NxcA9EyTT*HyXhbcbn zgIpO0w;&@0YAh1?<#PzSGs#>BoUp?yeu_Fdnh;^mqzAMrFB)C0$e(C#}C8DL$4 z!7#{}r*&lxuW{Ll8VV3b1E5i72 z{~|P*yZTA70?BQ)!YW}sVWtVf{u)e61$ic2vaiO;AarphC*uON2@ZX-!$HW*aRBX_ z(Rhaf8Sh@rr@?SnAo2rr>?V9Ul2vbnQE$X8RP1iI8N@#mvtP0k4<#Fl*$W3M785#_ zvwCYUD9}irf+HKz6a#jHN=#Zs(+qRGq<+i&@I5Fn2-cy2q`yw_sVsrMDfs)rVJJ3X z(@r^Cu}e!8o97SdzP@B933ZU+_f+ty{f+Dz#uG3NOZHirm>{~iwqu7r%!2#tV55Ox zBg=weVq7FS-*|iHWoeF!ugPulgE(Zl+${UIWcx8lNb>pMq=De112PfeLr7h#1?&S8#Ox z_wy!Jp)cu!_&kjtf$D}27ig$XEtASY`8pBlN7~)od>MLBOB`l+GFvI7EP8E4Q}K>N zS<|fR8MIEsJ_lol!Y)DHVu+fpVNur@@p*xWvoS5si#5QZ2}w~x0|?Y<08uoAJ^~al zUU9KZLVxg;6$V5Ulf_aM*U)h6R@mQ-S(t-&5ugT(!pXS2f=XPdWTzDfo>|&0)w94?c5}%$sNSDylst2-Z{|f+JuXw)L zZ#R6;UB9fUUC7GuyfJ>ZZq>Hiu%n;P+FxF`b#p7+pqrey=q_Xb7lql@(WuFvXWf4S z@{ewAo#$OzHBaaBFYEW`o^C#FmAQPpUUJw--eOxuw6ypM7-2>i#8T_Py^>qi+LkkD z`dSsIQK#6xiuBB9=TPNHY|7Kh5^{GzEtCQH6b6|*V?{ZH_`##Bi@u5Q2-*ge{ArOBxc97q% z;F@4J!J(f^X2SAXwMR7`ON7c8Z`o_I4JCIJy%oR4t`+@q5k(@X_}t)lGgF`)(6p&# za_$GH&5MA2W|bF<^IXqIl`UttI&~|$gGZeaS}BAg{I^ALC@UzQldj|3V#E3_x@6>K zLAs&y<1>g5h!A~y_XNk}b(ubi96%w6x2RCfRfmFN1YeGzbSEecV93e^2dP(Xc!b+o zf+Djjh}1=9)yJe0SA}(7X%{oyTG+2}XNCUk@N)f!tk3-YfDQHZ;|CO9jsI88O%|vN z6lbv7C#$W!elxK^;+#Y-fg@m+rRV+raV&mLYQ)ysPEGB-fm)HojKk?*jBqGzCdY^U z>~)o&lb{}{4~_1jAxKO`XKgQElmp0kdFq$n;S}`zBOto|CKh_Sh}O)khNX*t><;xL zw}go-xqDZDbTWZ)B-;a>2+Ljflu0R&I)|7Q$Y7~UKPp#S&q4Ipy5-;N!#g)W(NVi<4s_9xJPU3-<8O|RIna8A zlNwsgSTpTVb_GV3Y#gJ8alRw*gGZ;TJJtQ(UsQT9D-CNRqszz#5<0NeQ_UD8Qpx#sO49b zz6*S8x4HzvP zoo9Hj)$^G6-5QGS7Dwd$9(q-=Rfp^<_KU$;bPs@EfP~ z&!C4MMYoV_JbMNGFAr!k*qecjlU}Sy9JMI{d(vC5VaAD*8*62IFvFMwchsX$S1b9v zI|Nh((1tww_Otc^VXU@>Re&NS0PI&l1*TXad!zk|;vj~>SibmNS4yP46n)A?+9_di ziTi=b)n&4~9?OREhTu_xf&G+P9dLeD>C* z0cXp|VW7G3%%N@nwsaBaxW@e!`&@AE$(>DLu`>P(eE}TPxe1lR7pQxw@0m5%vbdNP zjB03lT5Htjy4`l&IEQh~OVmgaYU6~;;!GxSz>qv7lO~bOM3Px|=Rzv-LQP;3b8ApMed9Fsk3*Kb%<){A&NtJzXZHVs_&nl2G_*KQtaywZA$z@8_K2T|)-l_v|P zs%mFT%$`IlvzCe$b0D2cXPrC&S;cr~?{?>69+-jC%xwZdr6N5xOJMUwv)KK|hYS8$?Xx3b2~(;HeJflT7xWOS(&k{mwqm!#4dA|7yYEvIfB_?5uG^zbcrI8whO9>@fetriL|kTj55xV zCLUT|Fm^@Fj8JyKG;K&@g<$p3hWXgC%lg?YZ;xJ$GPJ*+1bsK{Xf(uW@UA()e2>FE z=sc(Aa2dvC&VRwI^9i7x!bUQ#46nXtBE*H9u$W$=$)B>G&P7_n6`z?Zj_7yU%4%>s z?YSh)mrrtu>;0nfXl`8dXVc7isdBpG;)aG_)javf!LAj}lld{F+N>%$p9RjpJ~d?y zEk%hiC1Xse9;l!9R{QXI#a)Mgwc~{HaBjyc`JAVoY;Ox$q}r4}w%vMtRBjD=J4ahWZQQT4ukZ-R&2!44HqNRhZrXj0XORM`$Wgj8l9xELHe>83os&4?Rl;~o zU{gJhn?KhIP4|I~NhPvV{3}C%972P-Fkip8kNq0rH)X^u^Mewn!;5BBx!Y-ClbYgo zD^v4^QWw8Ak0px^dQ{N&%wm_JrJZDa29gJC9*ueq>(pHFhuCMz@ZWzf)w%luO{(G| z#HcWndA(Vr#P%iE}lyxVuF>Aus1DjNY=sRgC}N1sst)uOPOH=gt1T3H?S=C==De;c)$@u$24&U zBiL905szspN>6^z!oJ}gPD5em<uY9D{n*L>-1# za0CbueCGN)7FTR-=eVqE9zu}BdqngYof$EsFtW~kUO6Wt&+_A5mYu|ALvj=-uV0_> zLS*B#(Zs%q#u66MGfaFl_B&mSgH>U;4@!gW^8s};w|%emns;;1OTD(RUC@AAorI}=x1NSh%L-N=76Qg7Vq70RrsjOnjqX$7f32j zZll2P7QFUH_M7!W@qkuT&)J43d3~KjMY+{oPE^lMlUKs&0?JZ?3V$=77ky54Rr1lW=7Ibwpqd%b zEaNwgauyzvWlGt-)evmR+3nkj7lxI#5;b>?3Ny?yc$fvsihTDe^H>I;2#-!qko~Yu zYXL+bS)WmBQuOtaZn5r*g<>c1wh*Y;SNLdQDDsd-J7tP}=o)xk@$4Vs&-tn|!*S=| zHMD;G_*xvYwmGiIch>bSEvOx5L5e= zF-E}?Lk6uOn^~g(>V%bO5j22zyE%1QSn04y5Af)9cB3sl$-bp^j#h(+k#cn>sey>W9Q|P%1oP2BAba;d{R+jmu5feK3DN8eBz{VxY%#^&gULni=&^qyIyvP)x{Wst+d$Nzj!x2J2xA9N{t0L8s{$X(qUp3he*jQC06jW>Qb@$Fxeu1p76^@>d9vF z;iGh#fWi12bGgYx`L88fgg`#g#ukW8#*YIR9B!G6o)FJm2QjZml(>3424 z_N9=|L>r8(%<}^=^**;Le6!43;JhIL2n+|t!br-${Oq59q0EB}nFQCp7O@*QD1a5M zIHfn~9!5MrV0(0h_DQNjL?q9#m(4MDUa!pK%a$PWq$^$;3u#GlPO^Q3VJpLOV>thI zht=$30n6RJFnw(9>FX9I@J1D0X+HOPWmB1Ol)d@{Hx?$(5VG-IQd$N>t>;(CiSixN zFG^F#PE_SKQ+_Dpz(g~P)bfZF@*r>6pNp@m(?%Ej5!us1cDwb_PnP6YqTntIcJJ+==ofapg7Q6FTRoTl)cnNyc_4*GK&PPlaV)I|{ zU3x6pi4Gc05>f$CM@o))2q%D8xxaggV;DZ4T|wi`w0Bo&wInDY<6b*iq`-G(s{@uM zB9OP-q^qSgYSAAil8g}-yr>3{Ua2?_!R5?sPQ2+gX<&h(I?@ImPx1}+)||Z-W~=?A zK^>4ymP3l81fm$d0vg&%JA(CwdJ@FM7?fG;xp=_eVc9lTy>F5o>s{%Iz7R zG66eDdlI>(S0zKOia`GjT_Ic#Cr--(sdU zTZyE8Zma3#Nu^~zYq2c_Hc32NgmtOPS#-1^Z6cy^mtLQ zhddJZn#VDf8p*d@i%IMD^>$;;O00fgWoH7G#awbuY=|NKyvnmK;u&Ezx3w^X3=L+z z)CX8bNw#Y;niQNO-^Xh||6>K!_9eb38l?TuTRL4sBl@aoAi`kB5L>f)jG5&$+1iDa zhfQ3q^%nQOgcJJNnhwpjjx}PJzCnOn00D2iClu^!!K7uxSMpQ2W#PCZrgX15&ZW>O z7NK1Xl}%kUPqKSStV9nkuC;xswR_ z*&^hx$_JtawA{^870egjR&C_iaLeDUz5U+20UO`EXUbyuH@Rk2J(K~bIoVGts2cTa zZqhryadbjao{&VuUX*X~RZ=8GdG%_D{$!n=$l*CQ_F0(LhFMVB9k*{xe0^xht8KkW zX<@3%8?xkJa1g9u&-b@pw7APR^XB3PyWsSY0kM7hwk6jQ39l%Br&6Z$v!fP^mi$vF zEZwZuz8OUJ#5m^D9|Y`G$BYuz3irG`+RQ-5h;-XeRq4W8(k=TcuPzrZh2YG{2eezr z;*~InU!X0AWhj$G9;6N#$VV*V<|1Hy;FlijO%OXlyFTDXdA;{t$cK`Cq>jAqEZ6kG zPI?`iK8O>pXpY?D;6xXEG6A8Lptq#}C)+9?jLz zinmCP@e%84N0TP9;AJuvUO<~t*tgYXkvd(YV0EJy1r1we%Nc>FYPev5bgbFRGWrysW*+WxXJr3+?C1@Rp?f4Qur6 zUajA|QKwUV&Ntc#kTf(YY!K%u_APQ#x}sBuY?L@YoP;7?DpmK&9ZBEr1LcpT7w4}r z#a0@prSY;#mA{6kD2&S%GpwGY)2y}itwOaJg;g1ZnM|mu&-O%ix@X$GFz@1F*)XkM zy+KCQNWl|X3Y#!lBl$w9uluV6Gtp^|@_LlR#&a8})9TV(S6U zAFED|`MH1L)#sH8%zxLwNjUa}?pj!2K?uhZ*JS_&6G_k8bGVN65z>>clV??wPB;V! z^fQ~(yw}xSY(K2>>vJj@U)?LY4P4vItlB`GzGe?R?e(UTAY<#FyE9=hW4XwTrefVN zQjBqd48Dkc(0gR+IR=ec*PDMRX7`dK4Kz~Smw@m^_F_h{wq%?7LBX9mlL(C0qoX^z z`%YXLsVg`Ik#ka{T#W+O+wnsW;D&f?_=pe(Z)^QNGnBkWj9tD$ks&lmF(%T%EsFeG zSl^!c6DsJs9IycT+5D@;C?9!1T9b)FBEhLh+-lxjZeAef#Q_Yuom{lrD_+T5`M@=-TlJZTwk+V1fjBkmx913F(g@<`rMFh zk&Vnpugts}dCI&IO>_a9kRn5|w|^o7u#N>O$jD{_xw*}{@e}f|Gor(?Pje1z&Rcc< z{#rK7ZR30P+HzlL6VA{4o3sMY5bYWHpWnRt!9s0!l?6?l_2PxBuYvf{le>m0CGE!t7R6T@aIrOAFA zikMA(?VinY1AwNL1sbB(ilwdBypcKCAi>IMW4hgC(kJ%oabr%Kb40CUPT|p_agRxl zhxqyuo3Io*e7Pgk#HOy`7(JU#Qg=`sZVY~z(RL~!-@FpvLtn}n@0h;UTT(9Vdn!Gk;g2*1Y z-g%L`G^9;K1GoDj`P627b9Q2rE^b}63oco-&5moi6u;6}0s*{)gECVX8ykCQHQ!Bt z8c6C?yJg|Ty5j*hhp`1YX1AwKx@$HSm|DhmA=O!vJb)QxJG{?KcC|rzu>5_=3IIEK zbGgw6;WhLsk2IO)X`1#x@FYtH|8>dU>GMlfxn`2}KGr=f8`h^0^6^vL4s=;I7-Tvw zP#cexVF705ci_0>J=$+9Oi@ONR7cR<-|=A^k%g{WCYm_Gk3^ z{}Xf8!c6)MU!sWd@1Iabg@J&PiQ^B&`eTR~|KdgeQJ7=;rzrY5#Qf*bKTC8he|_WM zqu+m2(tmMCUnq%{@vmIpzjsI+|K1_}dBOizhs4Rq!t~!A(tjVihVNk@7=FD1lKX)e z1TyuKS4^P|{l$(R)! ztd8D?ji+>I)LihFyN%AyzSf@f{Y!>4f$z8R@nBJyx@kvO%Lf04A+@Gm+Fj7KvOl_= z?2pc>n^`{8YF^O{ffq)TRb*d8(Vu){ehup=gzQ-CMZ*r9xm(qzNu$sFp^~SrBciut86z9Vj3xOnxLaOxbM@phG z$(F`Jg z9jA|S)XZnl8aGrm6o)HK00^!mfHD_;MgX@VF_02bc%1@8*7y8U3YUJgkF;Cmn@a?9!<5YH0NTyU`l50UKqdnq!EI6sl zkl7a1V&4%e?gY%rvq)su-tdD$H^@^>emOOB$0wbyrhBnD#v5DmUP9 z_L4O$65x<<&DgTAHfRDKumFy0#>Ew!xEZ4=1D)tcK(eh$K(dt~9Pl9SWsalcy0gQY z`Q1d9D2W*PK$m%-5re}9^V$le5fB{x9FTzF$k&750XBaelH8X=8o*!(``aPK{&7fN zM)88vMp(lj>4F4+br8Z}MM(W|vumIRnUu)0<-gAbSY%8KLIddVj5N8d!?^|rpNDj@ zIs~{%jP$qP#9M@t9i0f~iZdAyPu5QMf_YX^^$^-rk-T9Z!|V5FGYv=!Orl3|XmYDT zkeNVQZL>W&{5e<`)F4YM*OhGw+Z=>f*3tKRgx& zC5~d?CjY_>>K!tUMP0MNgfuN6@fx>xED5o25F-LqIga;^-mpZ_MvDQXD>`pV;WS8eJgsw zp71P`F*2QC1)P}Ap1mNKQe7yK#@(ovt4RL{(KV{_PP^yd*_7kcCD$DEYkV1`Hidx* zbg5Eo?Y6;8=X{xt{XYyTClJ~7iy^`O#gK%1BWC|Fq*73kvij-Yciz2})mv@kprw6d zcwvD?p@BxR)EpkQTT8@HaAv`fVnMBu(@3fdl46Y(6dW5KpG8{+!r3&CjMgZ8mU~}W z%hKoe12Kqd_X=5y9NNklyoyP@;3jiLuW)`w5%2HL7=komqK!t8=Ze%);AS< z7dnHtYANm{o6?2qV#+U zy$xyfn;}#FfS^DvboJVhgjWXmifF{qkQT??GQh;Bgaoh&B+5d}|5Qlk!J-*+Y?W}1 zO~g-VaBNxp(21rS{D>IKmUrd&W~J1S#2jiaMe|UWwKZnRv&mj1mFh%v;hbfH%Jscz?ek zIJk_OB4_>lq1l!z!b=l<+?82*iAHjLZ7NtdS&N3{#73hloQ}rXtIpZ5$X>b3Za0B) z>ULAt)!waRHy?#Qnsol)`2NkQm@c*SarOMY+Ow61x9hWljz=?f1=7k>c(GIy`WdX8)LaC4P$;2;a)$IlP|( z#|d5W1P?+E=+aS8cIE9f@Z{y~AXR_Pim2xruELHT8oGEBIe!scQ=l;Uozd3?KqlOz<67XZA;#BCu%C;FPb zULN`+>A+{}HUUMu`0NO8hc0hdE|C?hDZgSr>%vbve$sXUT6hvW^l?!%jPPEa&CtiI z2gH{LNrT~)PszFe$Kg86)G8o;W6-1^%_Z0F7EnY^y?EN>2SltwMEhNNpQ9450&kCZ zZu8L+Y<_rJA@&Gl5ws@9eQ1~j$|@c zZ2YX)4?97Ju}K`XWPX*`qHdOQn`;J5#>0~j4$arQ{EOCQ;GU;YfYEOun1OZ4Wg73R`HAI$?!OY~Olm!3*FQ&*=+f*hNcE^rDl&8J+h;<1Qxj%nvx z=cyf6R>{T9M+Z&Dk$&|swBpJ!VBP<;koC{JmaKG=66Jtrs##~p zUKE8G6;ZB!n%M1IQI_NQXLI`sk8Mk(Y?%-HmKeo#g{W&)1<{qjG^0^zFX~OtVB>FY z1sf?Eodeo31|GC1`ToeLpMs&1YmCEBfrCC@n?_lp&JDkR?X%%ja7anuwhJO;C`E-= zjNlb_5P>T|l=3z335MhDZ()Zv^-h)CD5bEOj(c461mA|~KL^?Q^qsm!FG?~M9_L|k zGPXYZ3lKCiHTE$$1<(=#ZP1#u_tohVlRE%v2n(mb@DP70WWGz6WZkS>&?R>euH{A8ZJ< zwIcCmLhoJyWY)~yNpiZbceW?Ke9AB`tk>Lv{F2pUnJ3(@WqIk+PK3+~DEzG<;CLwd zJB@de#({MJwH*xF_je1D@2yW2$ch>cEJ+(XX39zk&!n;g)A)~shzM`_hGFIN?rhp?Cj%wdH{mxk0Ni%_1)foITpDWjd%UY&Jt{pEl)j;PMnAoegx@L^GZq) zz1`nyrweUP7PBSec1}Q5I>J>KcHo&ZxJsm9f_^pVj=fWU?Sa8161|Xk2#OtvQu8-6mA+3Eo zI-Ek}gnJK*w$cRXjzNr5NkN?M8il&n6IoNq(8k0>&*XW4*Wzz2t5Pu|ZHL|v8F8Rw zrTe}Zz~Y1Htoz#zvsu)V<1-KgFz_S&CY@p5IZ0FO-fztg z2sydewm`c!relKs1PCsDd<#grd1V>2P zOi{Q|s~lJIRdbOLm54i~vH-S)g}f#Jt8jr5f&urME^WRye&iP``*k)*1OQfzFaKp+q|7w6#}@-;0tQS5x4Zw0KaaXW~r0| z1DID@0Kml9g8*Q&JFJZuBym_*H$JQx-Z7}bK2+!3r)z;MSGV4ezigAY3@P_^6CDfkoL zG*kf#9O~Ai5K^omaU2Wacy2Y&5T4|}o0l0nR@ysL;sj94ToA8QT_yfT6Ysm>BAyoz znfW{jbX~b%%Q)mozS;Z;5F@R}jF4W0Upg_t3TyxfjMqEE*$TXAk1dwd8B41ADN#98 zcXX&13YzmiVSn}lKc}?Z24xdowajj%t-D8OWnU_>RVnvrXYA}(gJZUbCq^DjOt)`+ zhBc0Q+431JiH!d^JA~P9uzPEyZX?V>_e2^Nv0x zSu2Y@W#OKh@x3=4luuHsbdWqv+n9p;u6jz|-7TA`BPAK$Hl9*}dE8#~T-?=@WwlVQ zE?RV5aVlGxr;%t)Z%2tqhUZs5lZ*ihR6n-dBPicCf*;UOp=1Iy9DBJYCQq`*Y-4X$ zCpaN**UF^z0O!XcOyPkq{?h8Z!0EeV+hxJp*3k8!-7fAJ zI8E^_4b~+AO7Q-UrHfi&C8||eOBDj*HAx=Br#&r2fzyy-FAiQE<8D} z=RhW`n+ovyL6)Jtj#Qu+9Q~)`!|J!8J&~6#I=hi|c3`sZsDhHM^jJkaWBo=Gvzk>F zsXHn%M3%|U=Fe+7H%ixal2!}crJ!7j$H{^(ECJMDgHUkqGH8RzYwGNqV$`&-bbJ=a zY~-Y-CDLt#lbl=l<`?d$*1;k4rgo{yEx!+RhBGDPzJaj75wZUuRv)@5slA3~g$Cfw zc8ta65gs2L-n0hg^sFn!ks(|xcI@X%TgxkJiLfq5iga9$PMi$4MWMSOA`dodn6CJ~ zYVIP0(yFsGNW!~lW!t>>6$y%(=gI#~bxSZM*@(Ks5(U~q!zH+ai4hynD;%zrvpBHO!{^0#~4p!!l|N2+V@0c#fMpCJo&gRep=q`4&rAApIhA3 z>UDTbJ`Ja@4Y%)dL+y6O+dX%3w4QxZboALOm_Wvs`3c>#4hO^o`Va4I9kc37YGwK8 zqh3vmWnIOUx+l(I8c+0LfGtu;^9;MOB81AQQz-lVv}~x-cf@ zE$M~99=IJhe}=!-j9B2}dLCru4OIbbvIne$Wu>IF#LcSx#Mx?GjTT;j?_g+il_qx% zE)ywAheVS3$sE=3oheT&^>fV&5%00hud(Jg4HIjA^INppQTdSvAr6uU`=do| z%ibGLzKFpl6TT@AMY7`VCPt(9BhR-~(l;HtS=A`Xn1Z7LT9rUsg8&P5I@JT?j<&T1 zBEoF8bhv~B=bpis)qY6qu&+2;NC#MM6Z!a}xWRrV zn&3L)+`;5(U}KEUt1aQWu-Bni1$8M~NzGgAnaYcte#1=$p_Kw^`URF2Ywda?$#2~V zhR-F>U%S+HX`4Z3?jwy$^!0T1{-As+Pdr~eE|EJ8-p!omqJ>5P>>GjeyVnDe8MCGS zJ&UOuF|}QT08i42%7Zs=e z0U?<GZY~kx$P606f>X@kC80F_T`bDnDHkbt^}0lv#J|$XBvLH2|p%H~Dk-yY;Fj z%0O!WAeS;jz)}&5V3p0TQ=}DDpWl^gE3)mr?7usM3DbHquTfS;3E@$%A5-M!c+=L~ zF8mMJ>={4jjeDYNxUXYiiZsPFYJ8AJW7@Pu9>-P;%&!$c(_W}fEr>_^F28gaqI8>* zTczj-f$R_wOH49gk*`D%+7eg+m@rbd^TFbzrro-hwUGqN3A*)2>xJWmTXzU*-q7lx zm2Pf;jE0J*M=iQxTnafFNFru#ndNuK?>$(gYTsZ@It;-_Kz!~_MLo;`St=7Sb7dh; z)+s1*-P_|}bBFB~B_3r_u3U`5ViJuJ@x?EQYZPpxx&f7wSlC|N97_wM;?lVCTVfhd z?L$WNnPSA<8-@AmeG@cMK+UGF|*Pfn9bFec??nhcx~ysCF^`}&E6-r zfJk2t`gFnsf;K8_9wj<3QaZG>xTnI)#SOk-T}C>by3ufZQHhO+qP}n zcE{=1PRDlAv2CMc8-26Z+B)}~y>Gp$``)XX8dd+8sGyPM&sLD%4E-_AoXN zVEB+|Oao@)LSU1F-BU=;Hx$r#=~{SnYcU5JQPZrlG`+k}ax%&;QYhUv7>!*+uP=opb26s%Ot!%DKnT7m z0lPto0E2ZT+1EC=6N=TXFpPfsEL6uhD#s8}PtS9%^9mr5ym{Gp*@Ja2eMl z+?w&sLw7BV0sRqZo`+PAhi78>9ktnolzZKVGBekRl2@Jl{??$`UBZ7>%FLU*O1!6< zIk9`CPeQBJr!rv6jtcqcOoLyUj|n~1tW;;k9?3y<-r~;ZjFR~xgbg)Lqc2}dwtN8~ zKtM&h=hXZWsz-x{L-SndGvpDOvw@0nthQd*g!WTy{CR^M&sB;oUKEb~In4 zOoVu`NLfr@(L;J3F60N(IjUGaZ45na)u*pr&8W`n7+nGY4=P@G=Ij@dlKW6p9{L+v zq7Aww^`5&(%fs0pmI9w`l(zcV+D0+AIGk4@D+NM$rmo{#h!bc@Sb&Wo@P-a^gHpfN znSey!+Pwh|R1ZfMo88v6yVj1^Y_u~wT~}c{Z0DX!p;IFJ)-nCENx5Q=G2rTfg;5_ipHd#<6zwmQFbj z`O%c0I1#+W&8Y|vyZ&`uTOshc7?UJIzm@2Ppv_7X#Z=H{Z*N-ziHnWbrk`0^q!TRb zrgTT^iRaWRF5PHc@ULShq1S=HM=Mf9&;(P8Vo9;xx(u=m3{N9UB6AGtBQ!%PdR*9* z3g_Q=I)bL)02q8BjIu+}2n>$zEgA-2C0c?KJ};je zy81acKcDR$uCv>3ch~58`2N~#pAHTVmQiVN5n{nNm{Si2cMv2Tco`6E9NGa5x~Bfz zgV(qo`-FTuIKp)eXrTyL0c4dl)y^s}D%oJqG55!%%Fr!>9-L1Jfk~%g^&Mk7%L>F# z?>^=Nu`Yfu7p;}Ah1dkEdBfYqctZ_%5zuWXsCgHhfy8s0D|?*VJwHY+VpSi7xI4yw%3aX2Q1I!M@}w4*Kq5 zVx31LyNRi%0W?@+fm$%pGBJ3X%mk);hl^yuA>j@@uJby+m1($+A!(MqjMM!YzVp`H z4blcav+Iq>&Xz8!>MqOtxwv1|LLk{D`^8Pj{FTM=MEN6m*j-w(W_56;^z###Q9Y@3 zwyJ*A`b8bBYd)8M_vkk?HdOrpm2LsyY->WD3LNHLc4gg6yd{FSv8bEHg6r#1IxoRX zPEfHxe@Lvha&`C)7HhL5bdpB}MHCv{F;(y~P2>F&JzdN%tJkgi()^0PTYk!G_7fj3 z`dkC?EWpFPtNMtwo41tL#~ifEg1c+it&@}TLrq-ce59c(A*@`jv@!J56Rdbfhts~1 zmjd`9^u@B1_+{hZsYo$97Ko{PY)!k?^3~i{Mv5UmSeeHi7x=iR>l`qg&oKH#-4IZi zExULb8Ef-p3C(gPwgL`ib!9g}#XtU~WxI+yVUnprh0iH&RINMNje&#M;heVXb| zPfVpWR2M|t8RqEq_3cM)_OB|jEsuIE)7UolN#RG5H7!AYw<_Lm?oM5@kzsuTK9#Ev zuli?R+gTs`i<+gJRJL&!9H89horWRZrXg;OiG+5rSPb!OWAn6;CdhtQw427S8NnTaAd#3t(wVJsi)|`(G~nFz zB~Vl*BP_y$B#exVShHUdVQDe~?UJL^8x|Q~PIT2{IqXexsTLVdBEb`^PBJ#WDjR;5 z>Mj89C(AtHctB`KITy#zvNp^AEVVphBAKt_%9iU%K34a6h$&C{dAK)j#Hro5Vuhkj z4<{dO^N0!u=(}KGomM3uH1Q;K+>v4lzfr@=3_Y)gglC!KuG+>49 z;nK3e7j`yvpABcRx`<7()R#r+3!9HNF{MDgKPok)(-i6M1a%r6%Jm(Dq%t6!hxY?` zPoen<3W#XoHiY@2qX@WNp>91N2_D@^8^V;yzC{~1|1e}BQoqV@MnSC2NEcUgkR&@H zl7j-~>2nlbL4PTR;#n~I@`u<-ezY{x@wEJJWvx#ds$BU;`LnglAQmg!V~_bc3mlezOXck%u;%EvRO0H@|F|A~A zk+ywSzGqsl|K{h7W8sniW>pP)yjAxf6;tJh#XT?zKIgp$|9aioYS?s?ktauT(k}PtTLQojdO?XelUnRMzy&Z}0{Le-w56EA+ zg_M!BSSM@#j*fZ#105ri{qN{l&fm~6?9iZxj?u>MfLO(ESc4qg3`~=$xFry*av3Z8 zv*|+Mb#apjT~Rc>DB<&rX^uLT%-SO+nx@i-#aVzsjl|GqQoomxcMq!Aj&#+gEV9ur zKW^A4ajm9=S4OVm!m?%tKlz)6~dRp3i$61EBn7WtX5+G)nVl-kNtayWahgI#D!|JNx%VEX)<*=$9_F8xRpBz>$e{)#H{^hW`6VL*jdlXo^ z0A75B)JX3(ica#LLJW9#Hjg#*wb5OG_?PR?4_33qu6i#eUA_ zfwV)n(kj^_h7b{5#j}I~hrtZtQx2200iTW*#2!BDHH>hxM*1i)jMB=>2$o%~hd6mM z;T#Xo4^}z_4+n~%gjL0%>7N_KSw?(4BB^C)slfwuVN8MTjsaOy#9u%K&)xu>N&eY} zGM6;>0j`D-kRK=I}T*cl(+;e2octBH;Bm#nCloJBj6M4T8 zH0Z#H(BT*VDZnNSlRkhkLnXb81`ehPoYM90*)ih3vtvbnuw%l1uwz(X>{#!AWXDkc z$&QVevi3=djANv6YVc@7l9@o*ZE`#~061DzRU^r%ca*M)IqruI<#xfRYiVbeicLvy zCFX0Od9k2)D3HVAN{PyzQTS!SSrO9{Z(K%&;qVNzo1*on%TC3yXr*TEv;Tt~gZm{^ z5f9YPVaImf@&`MH@bB2MW%mEhj_rfRvn{mW6gQ2vG<8|~Tx1`9Co-03(kbg5R3gS= zocI>qc@lbis3u16J&DmbW}gSIZrldWFN?4&UUEQ|b=COF)~vN9lxt{f{E2OSdmW^Y zEG;LB=Saio# zZ3SVHDZy8Aajh0y@rBr@xXzEuma$2nHJzKI?60>h=7)jx%wH8qZ=$<5cRR z*ps`YM!FN+Nfd$S#x+3uM#ny@*d~DVg&9`4<(Wd#XUDJkZ`#YCdJdL zMI!PyDX%N*scGqjGZ<0vq@&dQ&q^xWa*uQBi^hvLAax^785yz#4v1f_`6<{Kmh{f@ znG}e)n$Y2V*u9>ZkmaQ`f&J};5XAlHA^id3mXyjQjD+u4T>S+yr+=fz=x}V<{Lx6V zJ%5WBm{j(a`hCx$BmL81l`37<)tRA?Gl&^oU`H6bVAj-`DYIzvvL4b;C`OWf_;6tL z>LoT;N^f@mY7Gs9YPVSgfh zsj~dlPz;HGdkW(5gWFBqgm5dMN-mB^o3iK zUr(Ew`rUl^#pAAAS9Wd=pO2#YUlOb8{4-?&VP)%m9c##wmb>xk9=qSqt%`SP@bK%C zh2QC4E+$VmFFvfi-yD9RMbA6`kMNj1YayBKtErf)ub1~Q{V6KqjtBf=OJ+vs+*QQP zSs_iH;@Brf4<7(=lgsoPXt4ZV#~<)mvzfr>WQ>%gllmvxLd`H_&5ygZgFjA5)fUKheQ->8b@b&KB>ZQqa1n3t`}Dq$w1o zOl#@QU^!>6a@E%}0^>ImJFr5Dv1YtE3yOl^=z;5M4!43*;;USL3^n#~FG9@++JU6z z8RI@j!>nMqP1gH+ZG|1J%mKPbDw~Qf)zQ8TQ9t)vN5L{FJ(U-pCL57Iy{cR+Y@eiv zaoe(=0^Lc+3wTBK=EvU0>G_<#WPFt3?XbT=Dd5i^1x-~yEI7?pAZP1n80;PnFY*dG zbbWNb)^7N$=5%&?yxj71IQSjy*SXYbP&|fEOEgDIcp#X|M@CO{=?H+k5kaM^$WI^t zny%MruNbJ|{%Uc^X7ahKhRK>L(XYK;RY>&M&*+hE4jELuNS47vTTxNCMZkN_oLZMI z3y=7I+}qJ3^V-K;=g#5Ef{BCO`Uy$~OzuxPPLMGVoG=qDN00D~^*Z?2Y}SEQ4@0#KE~9+MeJcIz*#!o_Uw5_iaIMWI+OfC z{DjFcptEV4Or|SuAzFZls1)b-*a$1LI1_FcBRa4e8)9hWf5WgPSBUhuL=)xg!*92BxCaly`1qDwAU7;%m zd(G<%6GJ8euzV8i$7(rZFHD7+dO43A#70Jhtp_8I+0}G>% zWwQc;Yk|eNkG&oL{C%*DY*o74!8d#h?ecR01o0?fzH`;g=PZf!@BsjnSZdjV#2c=Q9eM@4J;w{h9}jhZTWcWJ?E-P4q3P%`V|*8joa4pjk(4hn6~2 z%P-zGFyc?ibtgggixhdsOJLm+m4C6?uh~HPp6Y@zITvgR=|jpM@njmkasHy^O>p$m zi#T_5iIBu87$uwqZFdfgr(>`d3b31DRTFR;c$)~~CQq|nQfR5fV*trVk;9{;+JxlBXZI${Wc_uu8)5;0n4mgli z@fYVvd`h5mBk&gg?K5k9ONOX>5++iECC~U_NyS0chs;yWIhdIuF+vI74rT3)shE`U zT!n`aYVXcID&HQCELu`%BbrYQ0218qto?A4JXQ2xVk~~6*6Vd`5ad}7EvH{Xj<%kl zn4Cg$Ox<5N{qh_dCahrBj-$Xa(UlYX&$cDAQN=+oD8R9b>YZ9QSQ(4ep=sjJQhpvq zrWo^?4rubZ%xEc72DLakGH)|FbCV^7>O1OEhA zq^;RFKa-=IRSe^3ej^}~&S(d)V%d?TqZosHt3D+SjvJj3?pD5WTX)d+hrMK-iLc28 z@)@!LDKzkaKn}8i@eGw=41JbGpbYEF-V5<*g{4F#F1{o#mX82|8Sf>~mN9A8zK_o@ zO9mt|EJ=j#kMB>D{`AYoTOwdiP)||kDv?KD4CVOvo^k$tk4pb5O(A&=!U*N7oridF z#X~|66_~TTVbw&^pO+ML18j|9c-|<{&Dojl9~n9)oqz6~%u3K zAy-$inCz%@DLQS~2HhHqG0kP_Hb=MC;l^oQ=y@1b8|XU@RtyV8Q+`rXd14CUFnTEJ z9*z%OfK}Ct2VGnG>ivoxnMwQ=8S+jQ6d)(ZJePg)(9X1&TV6iRjoGNB(KhpW;rKN^e2mc?`@<*c-Z@nxo2l=tn!ZeAPmh) zb7jWtgH*4|5u`YGJ>Bcp9?ERdtGgg;%O zU>QtY04QhALs@F6O9Kken0SjYnnA4_8rPDGn@4${ZLzEn>`Z>|-9iq3vY(pQ5QB+P zm)Ye61lBn50Rt*(9Ls|AUttF*pp{H#4V_$sx~-6rgsO$VvZ5SRz^15$;4+977xWe2 zfXf0aeS01GUBhL!TCQ&)BKAG|HI#vmMt>#Ij#hujjz&KK=PL2`u)Eo(YdV6Bz72}D zn0&XNwPf4-xwag=+y1OLyn2}pg7kyVEuH;FV(~Nb_o*smeXkK#wRd za4kng0@@HF5VyK$6o|n`q7!Q3UeFhPg%x1G1=IL&5CiAWS53KZfo>8ovX?QMq+$D0 z({)&~x`IiH$AxTHKb}|Jq`z+_>?av$IA)NxaP34ATn^|H;OVn?>VliXbM|A&pd)2E zi3T5(%$_W=;X$60zKB}Du7}%+9+$+dcu;3gpY*2So}8qEb73e+U_To=&FLBBNvCGB zf@MWr0=rA}A|>Mr1Jz~+o)McyAK$kGvq9lpd?$J$ZBY=))E?CmNWXPvXsJc zLZcFL7r)$##igW*wJxW(w&SK^D_NS@NUAVi*x`j^H0cH>`M4Q*k3OJ;LmEmUfhaD^73fxSs4%N`FV? z0W*dHLKgWs25uL3K<>C9#vufL9RDig_W>u>eF++ehBAE^A7Q+Uf<0&^GfU}dZzUQ{ zs}^A40fO}c3{54`j@WSchPCBQH4rN9IM7-LqK%Y-+W@J9~Dt-BbXYo$Uo66uK z!%59agafU77##i5>iRNZwN`O#5p;1fittbD8xK^-BWRH&l2Q>(-v&$nZdsg&eC=;% zwmg(n*&l`v)OU*^m@MdB3!GCU!dF0_a(N|7t(E!ENHJ-5f&({^c8>NCgC^Ppog9-&GnthT=sIAwBOJB!j{CgNQ1 zpv5;r!LSlM_pZc_70jX{Pk)c>e9t{IqwtIk33r^EgA8k3E});-a!lH;LPR@t3w_nX2?Px>5WUJs$m0Vx$lY-xytJxEVNV)y)CpU}@Jhaw8# z?6#*5<{4idRUmf$pI55K?#6kgu6yqDc{QsCj}f}S)KHA83zffOx#vS_Z=#9uW2I{>rgR{QE3*|>yJ@01nk@u&t3ig!K3 z&PrS{$mn({UFVk`SvJM$iJPi;KZyEMWa?7+?w zPw>0)i0JBgi^X2F{`6})yIJ=>kPFraUhs+QxO&pRL)>V<&HrV4x?_S9y>~jkbK@&~ z&6aeGo%xuRzv^6K@l9bXiOo0MXqmu@K=wr*PXK=aqkmg~n;mPid{j0(Cu?IS$!B5| zS8}%i;{_+=2KXSq>;%`H<<&raa5|b9S!!0+JYqQ{x*S`NkSpR+f|^WDH7O3Pc0hb~ zcA*Hi=?M)93APQs-16AsiY^2J!|6ZJE2 zuUyknPeks#WAj~gkgDP2+%be+7pW5jYN=$|I}-nd=ONnOfn0M2)7AE#lOGKA7OlTy zTuC^;z5`D@>Ai*L`-H_uPuo7(ZoLQU?ii+xZS_CFmKr~vfY2VFksO# zxNWos03twr*J2RM33-H}$}p`zKco7-2@l@$tp`?N3LwZ?_otmJ+;9L}a#rwkR2O?k zw*S^F#hX_?ILr}e1HG33J0)oy zI85^*FvX{sm&+ucg27LN4F$m-$bkXw12LRe_bh6E2djlSOH~2NIqZ%$Z2gGuTHB>(%zmr+ z8L*%eq2th5`uy>G&;IoFg;n5sr+4=Tv>A390u5EZJtOv@H<})`!&>yu7Kn2UReGh+1FGrQPg+-Oql4e9u&CxT?bzBWA56x%UrPN@&BHn65%f1T zo)!^JdnuTl?+S5tlYPa%(ztqWCm4BVWg{G)h#2#bRC0~pA7dDQO}UsT@pkbfl=*gd zoIQ1PiC27m$U>#PJ7&HPLCPXcRmP%$Q@RkEhId=2o)VgsKcn>(DYAb|tZUu-0{ZGj%TD6L^MzMcg+s<6sx7OP{9^2sG+1Tl{`L*11Ha^*8O=iJYDwcF^ z&x7ly6N2CwRj`iwW=tlvz{8M3A|1C%#?!*zP%}`E)d?$;Hc6K~M?0BjjB)_T#)8=k-$u zF9Z@wOGU%UiqiJm!*{tFBbK{Ug=tta9(;kboZoLOgkSh>kq(IK@=fLjd8YaK?St6) zo>!q-bO4b9tr8Fr-{B{*y!bFa^ZSRPgRv`MZ#rzf%s|c_b*~%9$RqAnK9-md^q7DL z&2Rn%^^7AN1yY5(c6ARjGsX)EG0IuPYoMy?rOwC>h|zQnR4-`xg?gPN`{MH z04hHwj{QntFQS%>^MTJ^y|%hvA5Z)(drs$^=glNMwPWqgorFidHTt%e<(kVTI{QV) zTU>+t`x1DFyP%dqtHg!D^$F@>4g5g+)xHh3ez%BdNSx3DYPJu;*HRza_COjv@mwMM zWdC_YA{OHgo;`Ot4E1rq-IXT`-Y{kGgDU^C7C15BTdKk3PS2>!+k!{;vAF|R0vj;q zyU-MH5yP+Gcw046i2_8R!AH3Z4l4ARsiA9#23IMwbG~jBkQ{qzlKfagsZq@X(be>N zivB?@7)=LSHO(T`EU~f`D%j5Wsp-B5PZDe7SdTPBxOEwlYABBtH=;ZZg?8B+i8Q2G zS{|hHlTd+hwMh)0mQJcHRbnaUo#ZK-V|ZHio3Q#HVbnbBqcvd5E~tWNb5Z8@;z;9s zv-a-Sc3K(D!@zrhXdP8bA61h=h`X|0?=6d_t9UrM?SmjH z%%7e#v))=X790C)&qFZyYJNlTW-=b3($6P!Cj))v0I=x(kOsFC&?|S6YkjgMNj?fY zado)?UZIE2H^gO3@jHA8dYLFknwlnUbDUTd^22JCLRpjxSAbgUgh@0)nJ%GfXyp`S zu#G%%%%*5M^FU73a`RISjLf+zz;Cj(T|d>~M%-emN(iZZe6t{Got;Jl^L@Tr>#~4# z#NDxn>)%qfK{zepE_4be5mN%b3*zX81DP+&BlVy#62r8s5q}Rj_1M7#?)DW~w00a^ zF=okIziOQ#I3-AMBzOTMi{|c;yWFh`adz7eXzV&9$|~B<3g>C?QAUmg+pKTMm%PTR zUz$wl3^7w`GTV_kpP*DCj17w1ClM`3WQ1^IU|McYf`FzJ*g2qrG)a^EO5a8gWrb4- zi<&_>ATT#EM^aLHi|d*L+KPo(yNSxw8&Q1(kF zKL``eMn$-%Z@KSWLLN+1;1pCqn8ajO$=jjVOEf^ky=yp>7Nc+`^~!%aKdXVdz!^ z@tj)8-;XGisRQKF2|woOq}9MP0s>m?pks_{nJnAd zTzF7mUWxD5#WZM``Oonwae3oyFceo-I);d|{UF9WM?wfQXr7%qp@Wd%Xx>F{Uhg%Bqd87G; z+HZKIYfQ>_+I@`0m!|;EkC| zyo<&+IWuBW6{VbCY$+y%th&;cMKp)7SeWkz8y--Ul&Taaq~!AWt~T~_!xw`*uJ`*k znOtZ-FVK`Y=-Vyh%EQeAgD;Li@HFyEmV&sGBI;U2akRQ^9L*i&#_|xZV8eK^ipHT_ z&6r0Xx(MvKdl(O^96ut62Zr{|pquk$BZ&*u$l`Poo~uzsq(}C+68VjY79R3`8xi1N zWfI#I(NETib6gp7{D{PJ9M9lb_7ebaAWNHzP;0MkU+F~mN4sj140uD4cSQ93NaeTXb%AW;h{%+zXBb#$sdk0w7gzY39e`4*d?fyZppN8nCEr)d})EnYOn)8vv5HCUDmmA z<=Zx^c);G#<>fVEI_c*zp1haWWh%e<%AqNy6&G)S8GAy!qBG;cQn<Gc40VV>$>~%nbjBy67FLaOK8S1B3G7d9w1Fvhgai%;(8Ybco{%P=d3{+6e?hfAH zetw+%{8@#sF0|cm4$F9WdVPo!VV?cD#y?nH6=k#GduHJ01)_PW&WJbmK-@=q;I=lBIi{%KAeB!m~xA+VokXPdIit{*+>8cf7pA&ubj0J zKHLW2o|J7<H>O*>c!p|f(w zgBmX$maB?)m6W(hstJ9y6@iYM|=lQ7TcZ!nSusEDWr67tFt70f^#$ot4#v>Xd$ zbJfCw=A8`O9fisk-ON_?$WgVS?i51%WXTNO-iQ&k_*B6;;ytsRx;x6R>9uImCcQE2 zIjO(427X+(#54W5tACw7v0zBD=D^Q-2YK}QVV|1`_&cFmTA$tT4C5XcYgvxzU@}6P zPfJz&9VX5E9jn$P!Nk~ORh#)`^PwBlF%<98p{{rD9ntM1rl>+(EcEpxEY9pDmit+F z|C;%de&XpxTDao`^weQ@TWN9^%`f=jC7yg^^~bet^m^>l%8Ti!YCz=5rZ>$9fvy-w z*HD~Zp7RP>3H_aB00t1Hw?&LxGjMuVJZ_PUUwI;sfpaQGdTVPo~!46WA zf!j_wtr7%s96$aJ{Bx{TYy{%w1pfKe3F_X6`mn<*Qp0}b7;L-a4!wn=s-{tR1o6|o z(+4Zu9VC~BYwWh)$J74rpkPIPBC7(=g$-~mx^j*iz`-D39k&c^iEti2K;oJ_ia2*G5 z3oRP8SDT@*y_kt`Z!UM`fo*f2Erhk&>0Uj90^O{5Ri6iKYJo1c0QIU!rW+1Un^C5- zqY+X{X>tU+7GvSJ2n{q@RU>WwHD}rD%wUpmMksI%md||2JgxA|A@iAzL~}KjBG*g| z0|^n4OtZ?9B)c=8WV|FUNoOhxG7P)W(~0`)s8>I8%>*S$6dGOYiAE|Q!2@+Kk5D}> zP^P=8W^T}%E?~yp4I_jAGuaWdG6plj^AM_5-nnAe=S}9xaB=3@G^d!5nC1svqc310 z2)KcBU~U4Vnm;-MMI!6ZBt)LR)Dgk43((>wNLh41F=NkP@azm zz%Ua*JI|5$GbW*nD7@Ej?b8Lbif+&5LWVxVFciE0%M_vJDP}GK^^!%aYZp|-MGoz# zM+-xEq55)9sn5*psta;yJD}5QYQe2!bbBK$G+Hx877}LflD5ku&as{f7J*+t+;v8q zM}A01P$j2pVHni|u;z`9{Mh{?R(c{mSWLiQ-cd%Tqv!r#8V-k)H1ZSsJI- zTgZ`lhdnpxtd;IKVTVVLLyj!T)Q#A+|NClP0#ligiM|MmQ5W87Y}N2$H<%VLt+D6U z!8FA}V&%xh;ee$iaUn}5p=dgk43ZnOt2`S#?*!SNcrt;Kl<*`*98Gp;IEGBB%M!j> z(zSiMJU50wD9b{EQG#J|gi@Ujm~?xp{NNckS12nNT(L|iDLhX7gaOdBfgD3*MpKRz zoh0*~G8T&}FfT`DTXI}WilV#})tGpsDcd*Fw~6Dz@3Os`Odk}utf($jHvGTU=7(2c z5!GpxyqYx-_wNOpln2-9YYr}!x_0-!cl7Ef=4f|KxAWUvd)Oa$zO*zBWZDu(t+m8? z6|EHa8S1&B0HJ|5K!v#!J@T5>3OmYt2sz$6(5^=X)1C_T(x8UtS1)pJXf>jH-*q## zUYEpdwX((RbLJbKj@xRSz=qj}Z>66L**h#@dkMo>AsJ|- zv(+4iHtN7Kv?QQ=O`3WVl6hMRv9VDA<0*LpQWR%9z6poyqRs|c8>kGJ%Cc9dfDpF| zOUm3SNlMINO9jzZZ1`$wF5l=tr8FU$*}HDtaj(L!Z`6ba=DNBXGGvA_Tu-(T!gP+x zC3aEvkyu~^XhXr62)ehvv~a@h`p0u(Iv9o#;$ooKbwYg5Q3HcTr=y$n3d~#30?+yW zq@zM2z!x{eAJ&|GPu^Ed0HE8?kdldlNv2&Tfq?6(v7n@Elg*HkH(-PgWw_YX zBdP&C`Z8~W=Epy8ST4>x{SfVvd5ktUvu}gfzK;>7gVrDCif&uCF9he6H7V#0mW}4E zW6@-v3RMl4QPik4&6-m6es@vl1JYeB4SN!QSKZ<%#r*jDOTy|TsBrZ^lJtL=ME^aq z{lD@4Z2w0wtlFPqSoS7>KQq;wF@^xf=|Y%h42aCa>B*QfgAim!kjRW-k?Fk{4!RDM zwKW=l9E~u_kNLHm*woI%*~Q7!&=&UV)*o|XW&f9m_CGoQzcKay;Qardu6D9_5VH5s zW}y38>+8(M$ni4gc+E1w$uOI~M{LPEL;hPdWep zgB12(3)KH&h5gUSJ`>Y_LiYcB?*9Gj|951cnUj&@zasm8Wv8d~>+nZ`+&7-kdl?Ky z?YSTzW`)_-ixm`(6ee;w=yceduGqbaIcRS8l*5&Ki*3;Lm zYB?QEI&8RjH2Sb}E}u%P?0`R=ygfL$Y~Xq-mtQSAkiw6erW%|}D^i;4VxOAnY>?-- z?eSSDJKSbNPs{ZFLkL$H-Ll+*zJAuB{cwLWdZ*0#_C(`>b`Y#6hO8?0^b_5+C*vc} z&NArIwf1LN9?OTThbv!EFU@DDil%Y3;#ubE?Y&IpgZRW%p}dFIkA-2@Nae7fGiGwf zTcJ3r<~nYGu7mR5jCZ4xhHH=c&PmTn}%cgWeI9q|4tsDW8apb^t#vE?qY6z7{ zRc%I59K$4#;I20)x%cNqmYcFN-ZDLmS@PW7!nIGD(>VL+etOtSxjESc#xC9g$Hx5V z6$^7`IWWdk$%IitjkC3=laee zyRS2pPR)jIA=81X0ireU5pJ1E;b&mb$A;#Cq{%+_qt-PNId=*8B9C;VE`|nYlL?z( zd6wI6NZ7>`f`d8>G*J$E5#T!q@2F@DHbAr^mVp|!+c$!MpTg*M=f4izbrJVCcmpfB zhFYh+++1>M2heD5x~6YIDxWwIP5bfAZ1-`BX5(+GkI4x&)AJupC}jo#5!%_*oM z&uqU#nA{@@ybcF80u|u~H0lG-T{isDosQr5t2^BlN3ca5$Jt@|)twGDmJI*D)SVu2 zN`)5&FJ6F$14U5gs^HKFDURf>C@b*;%Nk)VFekk%&~+a9Rl-Suko{psI-x0m3m!2mu_3{yqpR zXu#jjj*Box%whnYF@Uv9PuBKE2(0t!*}*VTcuT&?1Ym+70Yo#H2v7;Wb4=<2lw>e5 zW@~N0i42&KSz-LzV@xd`2rF~;$$Hu-&WA2C=6Xb(@;9Ltp=1Xa(yf3*&Y!B5lN)K! z-31NQChZ$`h{w?8tAQToi3Fvx1x(j2JO8M;d+EsoxC9r0SQ?9|KC= zoP>pST#p$g4oRpt-C+r=Yf1H`vDm#D^Os`jt5v(JFLaNsuV|K0Foi1)g+R$tv1TI- z<8%&W>67VOn1E)pVor>+j&34!&7802VFZ0;uF@x1Ms_q%8p7$5bzTUK|s$ROO> zU{nqmhmoRxjKi8+d>gHyFYJvecA~Ai5G{KWNSYgIwEn{Xzx_a$xvp7|&X+jS&Tppu zTXve|3)zqTLiST%cZE)@t-AuiKgMwr4nMg($7G5h7>svtbPfoM43t9YBwv{@w(X_pHNDB$2 zJWi;ANPL5Z&l~UJ)H7aytzEDyiNpfe#dDk=2$}!0Ivw_BbsE?flAK?Hqy3x&$K9kq zlKAc+B&n1Pho20`S)^Z*b+?Fcx2PNv9FQ=T#Mgd?;l;4A!N>!ZuxZX3 ztDw8M@a{rHfL^M;S^{?pkY}}4E$BNA- zjl}oAfc@E0y=gf$Br%7oQ;AB{1wGx#{}P>^II^mH^%UDhAWob*b#mkLZzDLlGl%wi zZ@unPb~fMNn>_7U<*|}U69wR?Sk%gcvwDcW^&JaN_ooxeU3lL&+x0?n?O`Z+va0FU zOc<13h7R)eYnw@LFg(HPZybT_9{bj%S7k=8mqWF5wfgb+dTP|ADxX7>Yukc;=AJ3- z+Rf9pZg*w3ka;*dx@qouIas{B?-JvR z{#n#l8iZp@=;6Z5F#leg=-!JS+9J80e~2EwSAuu5!L4s6>DdiwI$(7xW~4_;;RgeG zTcF!2#NesG=~Pe~rQ>#$8$;z!oq2M8h#qa1b7b{!B-tOC@RQYSg(A%x(ZJ(fJRgso zvNa6Yj!Od7%4(9~c-8PfDG`^GKFWI9UZWwjy0KC-o3lDIIw?;UtQ+N;mo>k&%tE6n z^;-m;fqdH_d(X4m)iCZ|!Hpgcb6#ul*!^D<)(c*f_8=HA^=iMa0&i)(R8;ny7(cjQ>8Gp6ClO<07Y85Nw_H4WIGB5L{(?G?J z9}NHdEE{)cj;46js+ka!5YA2@WDkE^$2$`Zy)EZYGyOdWyQ^1}rwfjVAIu`3EW$&) z!b+jP$_=@v5`R~KAq68f2r)dHm0!8rUcbe0WsT1|U65l0&aE4OT7|rxhYJ*#`|%_w zX`j_!i9^rmF5rpG5wuSstcq722CqL=-@Uv9%_V=*ECT&}o1}~Jcj>`-0)D7uI6@TL ztWT68BQCWFNwMS@3!hUC9>#xGOExNOZ*wj9y#;t$bhG~h zw}8`tH4x8O6E}1Fp+h+Kh5Z4aYu;tJyzQ`wsnIrbr60evX^6vW_}ki6IG6<8AWj|mw{=wLw{y8i zVGrH^7klp%w$WwVwr$(C|7F{@tIKv(mu(weRj1$izKDqvaps(v`?<}` zi;NwyR;-=*d-fB*mA_@dXhaM4W{my)osbWBF zaqiG^!aJUIg2mTxPPk(V$sYFxV~Y+Ha8EPr)Y#Af`X{x`yk!AtxJsK$^EIYTmpPN@ z8Lq{I8lnQCc_NglPk-MZaufLZ7%y6@={5Smm^a(TC8e2t%qUKVn z8cwwcaV>cBJ*Bki_l!6*-T2pX@{N$U1c2fal2fDel9JZwBy=+A+uw**G#>W@obkYH z0{&bgdNdeSDY}q~p-jzUiO)kkOJyF8c`D1(y=3Gc9Y5!nt4qduDs zWgU<=)8G~X<+ob95!wEYYmQ3J92T#5j$5OIN17X(q%fztE)@LQIfUvm@dumS(6S?? zUigqAC$LcK1ndcEq5Cd=#ceg~SHK1)k!lYr-W}ughXJ|!9a!}Qm})E^B%eSzSh5yn zgAHX)V580aOIp1!iwwH+EQu+Zt`=1F-n*=c z*m0*PZ?mbWN# z``^(4J9c>fTI(Nkzlr$Q-{af9OV`Uf-Y5`h2GQ`^h~i?$P>wU?yYkf<^q{W-k0Kg& z?D0%wYHv0117NVw36zlVgp5HBZvs)A>&aw74>M)n6H5L&B8=kke6ewPSNLi~g^SHSiH&n+Bg9jqZyK}Ci^ zeIWb0$RrRnUxo9VGYxBNqW-MIc1}-eP2qh-CE%qM zU~KbcK66VaTtJ(sT3O(YrFt00SrzwCCRB#HqDkQ>1BV+>ex2u*bbd^I6SlZ*ZBsk1 zkdgXb8@BQ$CD!F63c4>nHLvfK_lf9u{IPtjE}nsFB|FL2-w%Lb3r*#f|9N~)OBTty8iCI_g4G5 z-@gv0zapUFH`%s5js6CIgKTjT>Gxlf2mk7+O{tn5QE#%oBK6nDRo*Tnm1t9n3iumJ zh-+`^)A~2-@u78@C6C~l+8TKmzrp&>z5W6-SsminSnqL~MNU01=~LJIl9&)7sz-Yv znBTf%=5}m=f&TV=fIaek_AXacHGKNP%3;#>DyS^>G}f=b^1FB?l-C@9h~TZ0bVyM+ zgMI<&H^TLpd|dnY1W1HIcD%=SEO6v12E>hD6+{jDN+?*?@PEO|6{uv_`BN!}Y`vb7 zfLc2+;kgiSOl!qI!<*KDE8`Y5Q3`dSi=i4pZUoC-e#w!=^3lo3sE1xPqMQ4$l9K%1 zZJyqWJg1{j`V*~8?j<`IE$Z#?HCYCR`j{FoL9$UjZN9R6r%2m`t#^oMnZSq~C}H)>t9VVy1^G z?%l9ZJfP2b{JV=GR&v%_CX4NclC2eOHc}TFMGl6KkT#5nLrv!zC^A-lwj4IBJH!cm+R+f7zcD0b@(QroliAUm6rqog{bj4Ydhq~9%u&hnIls>?5-mu%sP7O4Ip~CGMiu6co879r~?<%n2bUi7FpPQZ@0Hc11|= z?o>lc41n10g&25?f{=U@xi*g~TKJE1*5Lm%rd;lAU)(|?nzzvSn zI2K?&l7vnwqC0~JDKQWIEQ?J)5WpSm*8cXYEECKnp8INnyqVCDOevk#(752wVMQ$ zg{ITxrs8%FlViMLm?gkM`_$`i*($R;4lR+ka5zZS(W;qouM~FIzBF1X4&(HK}1Py3%?E+ABYgK6tb)RW(*4?qGjG*mx*^Ze gVUM1^{Eeh z8K@7`m0yexXw&R@yrEQS-~E8(L%09v(ry`bI>MG>>OIsIhmm)JneAH{AAwKk`3SvMv4diQdG)sTc`CTqoivp@B2_{gM|A{Owb$e-}0OO+j-2uP(orNlULVbFn+-m+F<_r$5qt+pa)e zGym5t?AXZa_j$_T_u>Ks(f>R{*@(-qOv0R3#O?jmXvjHiiKFzMYD_EXin4XT zFUW!VL?K^6WYf{f1R_p~HHrfaC7LWu!9H)>`9DK!oz0JQ=@9?aT=+kCUGQn(j@vs4 z4hh8JqUOH-)_{l8!4Vd4=-K53r0(rOVO~mozb>cL`FD64u|awdMOflSwSmdj zLv|-ZkYqT5YK@S+v1-PElgjp#@}vrrIK3mGwEKqZ53>LjP`BRMjFQ0giDaRq8Ch=#u!hqYDIv5~&>8~a2n zTq+j%6>?si8 z0l%s*JK7T*5FFL^j>#QXn<46$Rx*4{sk_YY|ETJAzkgCiQVTI?Gk#wVu~k@#v+&25 zuDo){gNzFLnn?b9~+2JfPa-nFgi^wfu-pJS0Td{@T zXA=A_pwAgaGx%JaJ)xU$CGkE+Le4FQFe(fVBX!a0fz8erHyIKYaN`T`e}n~em^@@+ z*-=V-hEMcardmAMWL)jbbHnMhjB{^~l0RP1D_vtY7WJP;q^o^cJT}yihk)T?wjiok zhG1kZcQImm8v#id24$Q@HOwE8;pYZ(fD;Mg4m|oni$A?ch*E4XL^rx_@0!!m!)-Hc zUMJW4hM*I(LU;R8{{Fj0E^r=f7!{Au7wR+}UpE@V*_hSQO?>4tppb>Lrz+AJ_AP{Y za*{BYW&s;@E`x#2wP1dOOrj8Z&w}fu3KbMu2-%)rbm?F+Bi&o7v?t`b)TqZ!O zR84mA&{UufL6D^g5I0@6F@)QG$!|bI@NqK4Op1m^OA4d~*AYm^lVW*51G$FZ&a#*m zN(XrVuq9W12A+>0vGqg9)!aY-QFquqbf?^m5DjsE67D_Bo6WP_W%dclqNWyS_Nw^z z06h@s5cL-SEZlTq`R1m#^RJHZpxhXf!L;gYyzwDYbCLBM#i}-k`DVpdcm<j@F2o zxn-C}gHe}x_$}u&nCD)E=V5LZMq4B8LE9^kz%Zi}cWW%p`O)O~ekR*rrhB2u+1%un zf%7p3ZV^PIkAZAl>2MrhrKrq7ub-6o*o2N<56=ak$xJKkgG8TmVy8_mvV*o zo3lBQiY-Rgo;AjEy(7jeOC+t?OG#x}Hq1uDdldm{y-;x~8FptIw!B_g4FKgK1MWhRwW?eg~n5P{Rfd!N43S>L^G@Hr%05 z88JgWnzAFPW51MlV|$Ll>T1S?wn9x5pp|kd-#>Hlr7`avGEEvQr6^KFk-Je*9&r5X zA982ucw=w}pxo=V^u07CoNqnaodF@NXsp4vJ~P!_K$wHWyt*I#HDGs!9b1$Ky3C-w zeAvySL6U&6Y>3E<7vvtAE0otKX7u{od`@PZ$8*`myFo@}^FNN1chI`~mE0#LpnhPEFlrZZmr zsDYq5i2}H@QkUHjM#$C4A?<46mD3!VblWZ?&y)p3<7-wZ+*zgQcFDrD*e?SLrgF5I zfMVq1G!)TfT4^*}3u4!5Hmr%Bt!bdwdN-`8m~Di}YBO7(1rJuyib3t^M_H_xYQ?!% zlB2Ps{cGKrypGL>PPQ7zOt*&Xu`#E$Q7a1oRnPD=!soELzljBwKvfdVk<6BXuBVG~ zF%)vNB0VJc-Yc0+R6CMtidf`hu?tA*=s?Aa9*DWFhOiMb8^OwKawzn*`$niApvyVo zk{G!-EphzwvYSoZlf0Vt!Kmbxre!k~ZSkd+GNNcfsaBHBG_6&QhLJ6hC^4LPMk8~P z^1s&Zk^W@<7)N%j)E6(y!($B%-_VLkfj&}mJrssioWoF3d)6(1M6diJ$g($YZ4pXp z)Eq0z_oO#Q>JGufjjG`b6K2dI_XcbUbtH#G!ub>rT|cI9MIpYmm-0+LV1^ow!6d8} zMxvHl@c2I7#VROnVqC38`5@xjW!r~UQ)m31wrA%U_#*>P;a5%jO8>QEGDhrKhnDA) z+FYgkD)f4RirhtFCG~0lqV(0&wCkV>wOh?49=h*F(r~^x=cTFDH0Rdxy~s7C&0EID zxsU4Wq_qG-1g!QTaEF;!^|GcH8u)RLGQ8<4rDP;A;M0vNJ&!1syF!K?#b_N)O3Q}zo8MW_ObFCHJ(I|wUqz~Db>iDPde5G zHE5{CYAn1Jg>{bTI_8KKCU9bcv!LF3j3cprunsZXzc=dac$ytXw=+@uU$fv(_3wXI zyN5mgs{REj(DnWWHwCxH$>QfhABW5o1mfdqJ@lotC&pDB%^V79QqJiO-| zuKUWrn}!sKK3x}()sm()AVxu9&(lVoQYdoh$TN%KDUGzdEOS6~9!}>j+GgVTOn>?y zwFFZxO2Ke&#_25(sDGI@$~p1@#{8joTM#4c+(!-yO}JYoB8&j%w{AW^30aLUUo663=5NAk%eX2kErnL)gQ&D+dp+8IX+F zkuXS{gi4u|ub-Lt(i9t=-uxT$n3JVMq@X9g05^?R6fRM>Mm7*R@~-fZDxc)1Acxxg z5l0YxSl&maVKkl0`4%|qN!W)B^FCS)^XU*06>y?7wlW;|Zj8`pa2!KqdxiWKC{t70 zA%*)VjWBXdJq;Muqw}6D0BjbVbcCTG%vs{4m)|G8{2p;5GsDGt`Cp|NcIgO_hs`7N zjv`r0hL_<9Os=cQeb%e@iw-z`%}1j^F4pz+L_OYgnfM29e~i!)jy77hri3`)a5q z3!@)WUuPov-;efrRJuM-Dyznsn)q^J zm@n9sH(KjI5bx|5gYd>l4jbE6ngevXkpuznI9%pNl5yN(Eo6;ScZ=tz-#`h?lN^D~Sb6^>}NBjV|}1b=*$1xg96sYwd#)C53$aOvKet zc5D!Q*v8>wUmLkhDRQ#BA#phA^H!%>;>SbUiskpM<6M^;hOeb>RmlZkiq2Mk#~a;@ zI(2gZXooSiZ5Oqa@zaV_9Qsm#%z3sdKgO>?wk^rIt})@U$u#WG7dpm*$am+}t>1fg z)i8S_idT)Qis@CJ$moBM*;fTP#=g)TM6&mpd+Z1tuKiQwU5x{#1KH%Db)9k%A#5g1 zTl+&@pZ*0inG#4`HwREkAWNwbaun*N+~XTsJ&X2>Vei5l0Ok@{=svfY>^}Hd zsdI2e6CpK`4 zQ5&EBCJI6o@tv3+&O(tq?=+Hbn#%O^uslV}M7-y1C0*2TtGzf;ty==4idAP_xpj4A z+5+I%xXsENmcoPwapve*4LRc^mCwx`lHof-lP-gQUyfm8R}ZFU7$0TI)kmu~9&Wy+ zA}7V1q`EijN^nAsvETMDg`{sYsY)Vw0r6{J+LH8NjQ*G(+;^Y&tYMeQ90L)_FN5zG zp3<6!EN5F6atT51PFYA`n{MmUXx5zOR!xaIdgGUHscjOIG?Fk#Etvfn^&Z3bj>G{$ z9+CnMU?ra+i~W#+hzRv2>w-wHQX_| z1MX4nIg+#EG5_2JN_}jbxQ_})-6y`~8{zpduCZ0^yqoM*hawXe+>C78xX8Jo55ZIX zg+1J(Q!w#NJ2mM0O}1uaJ=}d$yM%|`TC|g9#B{rcy6j`eP1I#c0AD4fY@;q0weu2g1i79ffL zX+6UQLUzQng>H{s)9$qY+P4Mf*TlZxR9tD6yR4+%z>q8otRgv4ly*l(I&NbjsclBi zh9S+G;T@kOGwbT%tfDhGu<7RAm_-w~ddY;3@Yp4UUm$FbK);DSiFp?TmYJVG+?f0R zxQ6CE>|pg3v{LV&0zK|PRld&eywXr^_<(TPk9vdSou5~~v7xTY05KoO-9ty?E_le8vq!98EqfAhXOmcvn&HKNrCojSAH$a+Ks*dk|IyOFYA;xZZdnWSrm@h8W>@pKdrlNg$d|VZ;ci51=~K ztD_mf9!Qpsgq{A%1p)P}MSL!ex0zP(C_$o=t(yd$lKKPxiAG+7j&LOx;NsP)s$Xft zrwrk2SlarWGNN`dG*6ZD{H+xrqcf+eXBQ^ZTZo@iRh``TER^!kjX5`;oL3>TzX>oG z6p<=O)w3^sJI*F~KT%X3%?D<_vV3)o#6(3b&k&@FdmK}=s^XhY4vy3k z4h0R0r(;BljnfJ`L^t7d#ig>ex~VzE_1~Tiq<&Ksg-t%FUIXVdir)%GYimiyEx}gW zwGb+k@`W==8Cid#sN;>vDr5T1R6kZ5d~^4{7|ZU5Z+$0F%Dko5fa%r8hrlZMyX4K; z!$A3o@o#+xV3%rZarw#<3s)M<#@mMqTHTV{7r9=F8k(oE0A`pbKr;g+W?#17ht6vl zvFJ{sv70(~gVG}I6uWdP=(JMy#W3WoLDSJKc#fBYv8n6W;GsU!*fsT?Y0ffZpTm`c zr5)ugTayfuN!80B^3CL|qHGLroq?e4f+5?J#35fsM6ELgYq-Eu$=PNr@MT2FqU!=b zommGZ7hgjjvr5o8^%vU~L@H&+NbCO6@_`vWjlMp0C)ZzYwx*I}a)}WC;wmV-d>BYf zKfwp?ExpOT+=4b-`{SrfW6B8}|HP667`e&IJdrGO9{}!q)jZ|9uX;p$Hvr9eD(oyp zSXZ95_Tg67AePf8Xtk?JNmK!4LC?3%M{<7`o}`uW1w@xZL&W)%9hs*SC>YF-_#BF) zNCo|G;PH8DOMz**h7(oE%gs~xZwx50?eJ__0V~)NbS-Pg4Ubx_tT&e;sA>5P2T+yD zR<3;`LEwu!(~L7>R*;83zrZ>nhBk1LlT0SErITQiLGa~oi^&i=)Y~n~Z5*M|d2+}4 zU07*EaWpOMM?GWGnhnR^yg{eZAX1xAM7gqZ;B}sp#+M=T+T`tkCzgH zyzv5($Wt<`Y5tNOjX6{?Sjdc!36XJduCn(I8=CHk1haDk^b9mbhZ#{kw`FIWoDgxz?;)ErCU&{eyY=%lS8%f9zOc0jWes zj)+ufReJC~i$2Z3J)4S+O~kT<5fVAAZ7m;~20Z4A;@B0e@t#$fg64jm_!nDkUn-yc z-|c5mHqRV(WNLP4A~^QvIGfPiyiAO4Z&Ciad(LS=(y3K!SX-RpeBV7xj8SVgEaiX+ zgzZd=?YI*QlV9 zrUWM5jU_r`PXAwQ*BGEn^`QEZL=?=a;bUGVOy9c+Kothx4!OQc5XhpwBQe`G%0PQ! zh+UyW_`}x2FK>o!QWwz@oA4jC$QRtn1?$vFOhQ8UAIjb>ucHnlpsiDlk|zv{GiKJs zlIDFDNk@5Qa3Q1|60XFB0QsF_t@-Wp_m6w=*7>bVYuVekB*RjkJSyFv73*RzkrW; zicW#euW zIK!5DZ=IF~nj8O4nQc^M#ih_+w>0E&^zkrX0@tUV#bl|GG!nEj^}oWsbzm|vaG3Y* z_dk~%;&~Q?TBToNy~VV-!0c&DeEpmAFN&_zfv21w`$B)$V9MYBYn%Pp`=lqoV*A@o z#mN2Z(c@-d%ylrU2q1&tIuYb_eDHU((GZdEEbLdIT}gwEx8unmRTg97nF?5=c(5jH z@O<7R>ElIrVlpEmkR`t-W`iAU0PF6KnOHDJtFn2H$4#CQ&fn?sgHiIE`A+?Up)+(I zxa`_p^>b{o$4=S@kEfbFWm?!I`ul0BoYzbjfpBHWH5%vILG<{aFYSRfzEQFn*xs9# znBH049vWN1#sGWGl?Z-}Ev7*6i4kecf)IK0{d)-L0H|OsGv|khh9XlQ2s;8sqg&mk zxRX{*v%Q^53sFN{259~_yExuKwXBxV&t#zIqp0?|PAX+WJnw=G=c43#GkkJ1`Qrx-y2VC6ubC_V`M zzJ{4dohHYUQBebzs9ljmZyFA1{-V;}&~|uY5DXKk*g?2QjACyj9x)L7mA;+q)Remw zo;-p@Yn&IJy6w`>P`pRNT=ODn0%3o+4j5h*!3sZDsnOd!T-U@w*0Wc~*DNe773Boa zn0ve8y^RjznDzTY`oKE}2G*@PJ622=@zKOhZX}Fu2VnbAx9yi8ZN>o4LR?s;hVW>d zktC(>;dEAw`=V*|T_koR<2W3ZJ6L}_3nZscSPSRMPwu7X0GHw3jmS9s$NU+Q6F(f_ zuolMS#&rc&WWt}<=B-6kpSzCUL+b(F-UcOSZQ`D%FxQ{L-!@Gmb%vu@m{f0yde z1|r|^uDti^Oue^<-~qy)KhPn++y&Ljup+%Tsp0>Z`*D|VBiRzEs-DYH1OB}k^mMQP z66Wup6Xe&&`_Pt4O6(9jNz9_2TYfjouPm<#3`zfXJ*SUFGq(&g@8OHd{%vh-MyXtX0pjO6 z6mvUOWm2!G!>32=c#kyEoU(G&bvK3!IXK)H9Kxv80%QYB!*={5y&$Af3Yvtl?|?6On(0)~oa^fJW^o@J4fBld;eKZ1_0FFBXohKgBCkw! zy^UjKyZyT~x5-GzVf}tObG&jQM6dDp<>KLbX8ZW%k>n(}#^U!l zla<9Wk^JzX17CMuZ&^Pn8+oDH(p6R&L{^r>#ygYcsoiqKhMBCdz-9D^yf`kpAPK$c zSFj3)+4R3mo9+DM#c&O?9U9E)EydCY2#2_sHPYeL_&A%6dj`oem)Sv}gar)#@GlOL zCz>W86+HPd-BG97SzF>1vow0KROf%k&BfDYP=6WBg{CxbWO!(C7rW_qc#Lh9u&sLc z-}?1>nE86Yj*C;j4T_5kG$rlh!*gq42nz&?HUizpaRBfvg~juGa!vKCe&(n>I*acY#p6gyiZ;BVVIUX6eE{mCplfhh?W%xkm;oDzZ&r3kMB{6V9jpP0{Ci%A`Z+`dcsMnl1_8s!$~gWK%FhKwGebv1 z0jMiu62gE2%J3+ql0*aOpKUk*@S?7X|MFw!N?5hEHUltMT) zzv9;k@kPI-e4VUmG3#Zg7D@OLf0@n>8u_?peBv-_FpADQQ(FuBHqs*thxxux#7X&}_9B2fRpog_HE09&(fxVIR>wDw4*bFg+m{ zWCWBUY7RnaWF&h?hh$*?N`#OQtZhW_=!2UYq6yn%0B^A_nSK*1(BEfT=Y;L_mPh9WzTwAJNw zu@7{xtf@nuQ|GSG8h0=XA1B~L$kf`#p^%%C$yu&12D06mBu4M}y=h$vd(EAU&Bji~I&U~0^KA5Z1mhJj(Jc2aCzebGU z0S7^v!maLDZbp_7m3+nC&9_&XyETubvF!z?%snCfss}obV>_{yJQ_z}SK)RjW0U4! zBrSorWZPTX9DJmwDN?lkRALI+Z`4Tpk z>;Gtjj{YZYkc@4lIkqS_=ZyNZ=waAmd#QhiVpkTbNw4DQzA!eWK2@&0?LL9cVi?Og zp!++H+yh2_ou12|vqZWEoDuis^s6{H1m3BMY`8(`ts;rBB{cu!Gz*uw z<)D(I)UBfEyZ6w93TiwdYCJc|zc0y-i;0el%b_8GNHPH4g=AHp z@I|A4;oC83Jmrv`|FQ;cXyWuGD>zS-tEO_%hK?$ZHnkEx3RbjKN=a~BT;%|&ADjDU zV?V;y372i(@hcx*4w;#byweI<6s|F-@B2&2>%{pSHu%kmlsE9o+4Op<76H*?eT(v& zg^Z5mfZxNW!f_#i`k30CNl7m(h%GTF3rVexXU)Jl=}CzYlPFX*xMEnyeMD#wywWn6 zBvH`&Yx7VsER}ZZ_(zr!cl7^}2BDG7^aKzwHLaYf)LWF(LX&c-yOpfKSl8ECq*6MK z7FFm-5Co!P6Xm0eJ@3t`zQrKBUz!Ov%r~HCJF(U53TL8s z4z71Lt#?pwcF@oJCk;9>@^SI&+^MqocWCzJ_3_8{iL@S_!fpHJr_Qsvm$&zimY!!5 zT`TJ9Q+S<15S(0XoJ%ix$u8ZTz*o=LgHbVHcW2ju6VbZ!pj{)~!QqCXo%7Y>;{9<6 zvxRuj0*rrk@c}u?iR*-+XqgWw2W;m!IIHFT6ny3ReTKfj;x-CsjHjY+n~pBkUnW$a z&=fdM`DN^F1sJQc+-H>m%NJ!={s5b4GaLC`1NH*_gp6~Z0XIk!UvgWEiIe;~dj-L~ zHN)oP2y*{5Cf@JhL$T=G?Xj?P#XNN!@Od)e%sAH^^8-Sa_y#mWcL&tmdcd1_e+SZ9s1um!nKOUxq2I}@*91coUfpka4pztA|*{+uhTFGy%vhFs+1oUM={QTVWL z`0rdwzS!u(b`ssMxZHB+s$x#UKfo_-`%s~S<4E-Zj3gl+;r7(aC$S&55$7f{o90z( zte2A1n>Dgd(V)Cd4+iqL^?h(tGCK16n@e_OxtrbmBYrA~2bXY30yC>lbE_O9J0GNR zUx>!M^ZW)Yg4{;o)9<8y#v(blI0vt}9$YytQUF35Xz7p;>I$QaWp$rKuw<&#^ z%-F4BWSz2oDne<6IHG_(iLU`6s$FZOc!SrJB1!wCXqD)=i<8x_m}hG(d)%?2{-IKK zHFc;&pz$k~OldWa%$s2~Ezje1YaO-dgW=VZkK0pz;6yNLnVUF5GSAzQ&U%-(?+~CP zMQ<$_VOYhYJ&+txWCbTI<+2neCiskYwD5_8NCy)VUCZr;K8>nPT4=`+82)@^S9bo;F$Iay3e82bqTS$pYvy(dUlZ%UO8o#gL*V!HR@hD;>nwmRouT#LHE;GZ`OkghVqJVN{=w=~o1Sg3S9{^sAMB zO1IPU^B0~q#DZJjlhd;YRx5Q^gw4pp%Xk(%+*gX6>t69KIqdk2&($}cd zEBFQp!P`#?iCee64V;9$K>d_?)l87gKjKgdC`NsHA{AJXi`6D~HZ zl>4|WzIrnvAr@t0_WEF453sPRRQo#Fz>UPAD=j&OoAt&$2Q0*Y2~$Zj0fu2tu(24K zcB%}UL8d`2n}ov=Qh$%UxM+{i3~m*2i2agkSL8~txOG&Jt!r+=hY=`r6l2G6a%@fa zCbrj87K!~N^2F&vEoyo$#iyBIh3AsK?8EW04Da2zqu-j)!ez_7>R9 zeo1nfAIy7{GL<+SlRI|#L;SnJdpAfIJKiD2bd(m!w>-)Pk7kHyT8kG;aBPT!Q=r9`#DVcU!+A=WEi;u3{2>nTR3q6-}S`?D(`N`YoLTvlbI!rvu~RFOFM ziTm4LSkUHOn#uN1p)gDwbDL!29Pf{^A%jR$k`M_s<)iXoAR@m({gAWFOOUX#yut)A zk-J%6J7S+~Ci`OT#^ri8+0SUrwfpZlum0wP*WQ8DPl$>1oPoVvKe|;tE~Tek0;d_ApWhspJsVj zCK{N50qTRn<@ZM4Tw;^gsB8xCPLY_Rjh#&mo|hfzouV(Sh`D|s`(Qd^tarmH6m3H>VhXeiq4M&G)EARL`gjnP*jGGT z;^Vfb$`q%a8{H*HiDM*ck>JxlubxrxhD<=Xcj;B)A*ip(r3SSJylbs$# zyC$h~L&(0c->yp9UOhE{4}62nF*_dD#>J=0N@tqlsHKDZru+zQFMcHF+b#&yeJ=T_ z;q>8sQP!5^=#^v8Gm@V;K7YiF=3OlBq;KG>Ei~~p4=7lK)%DhlfgMRl#|OL{DcYE; zT5C%c=PkI$z`s@G+Zw}{R&^2X+ik$zvG4AD6k|6W!!bGO=dd2av9Y-`gPx%OOA03v z+WCN+W&jJ!3T9jEA>HSg8ctcDJUJ2cz_-T zl^?lcKx>#0R2>GIOna>kptzp-S{j!QqvX4m@h-SsLCfslMG&U(th0w8oSt?v!g|LF zD9lg>&1EB(z0bX|&e~+^^|`>v&)Z&Tz9(}qr+g3n)2770ZOX+Le~%+pw*XyK)Lx$y z9C=Yn`lMdR7_kmCN78KX20Q-TjS;5$C&p6bW$3{1Ky>!*)z|!D7%XNly|*GYc`6Z* z)v+MrjQxx4Ib_J>hJ{9Y7``$&3YU+}{!DRDZu3xb;%~+lGRqPNxy5Ko&f?W{hciQ> zCoL)FBb=q^eaJf+DI^MjQvaN4d?f9%PSl&P#johBp4$&<2h+ zXT?$s6bx?)4DsWt4Dc0F6JRQ;wJA~M%%l=yts`$Tl5xSF*h&Ed3l1#XO4FD1Cs?Wa zdq?D0&kj1H<@0n$H02O1H^7HAP?Ba?cf&-n26h!Zk0$b{GWf`)o`I;=y?~Kgzw;lT zrpKeodr$?5pexiw7XH=^OJ4?}^9#+I!9i-#CM!!4xJ1=vO$^h=(9KYPMT|Afd}N08 zB8O0Qe{^lDozu78q0(CXo$nE#UnxMTBLzTy zk?=;;1(xp2&?uD{DGayJ9@Dz^#z~0&6pXjw%%O+ zQNka|p*<#qP&jM_Vkn$76cs3_5qX#HtnWMishTGBy1aW{GFL;~L6WWdWJv@TO>0=g z-2E0;y?+DUn?eUmxjMMv6c^GSs)y%m)0E4W*5|c-LI1mQhy3=<&49s${dE5=RWjl6 z;X!MjXBHbBg^2ed;kr5+P&nYDY)@uq=H-QU{nm7pFr*4~H4P_qr4ey!sqn-2 zhbYF7rQj(K9Ei)&&uRVztC10M45MOn9`^2H=bvFcl+h#eZdyD|# z3t?6vf6WolBLO3uVO0%-4*ze#hPc$UCzw*R`qr+6BbgOKf@e8$1r7mbm$#z^FBAkO z8vWXHO_zj^3G@1ZZLzgi(jNHp5>n3ymL0Yyan!TaOfGqG8#AOV7YZNq+ESB+txb(A z6M3AO+*S`5@>H+0$4di)o_h-5@mcV?}+E4vFGKJXV=IT=E`#Ve5tUO!sgC$ zC*s^V>!nYlRW8Yt^iU-OfAo`+r@{THefIjijH^ z$7q2>U$b2{C$eGx_I-Le3L3+w;!3QdS3i9HYdG#9pc4*1>qF?9#{+wR^dkK1emD-r z(n%x&#(pD@CHp^huL|RfoWgn-r7-eeg&$A#ukd4oCI4`qw^kN<7M(0olQqRlL!xN4 zkgPOWLZ!eXQ!HR#2}{xa5BAMoxNuWZ7)rsd zYb%jXyW0X&daO%=CJ(c{;9jmfuNWvYKJj#-rZ;lDQ8#3=yfTa_WaSn&NDf}taH)5O zik^8Od3au4fWwSFJ?Cch8t_A+y)c%EyW>x?jtvqT?KYGUwEDg^>bF|BN+SP02=rf}BT zxU(1CeHo{wW+P#BO@F4)8#V|vLD|?IsBTRYxN@?Q^NoitkvoR;%V;_Q$HYZB*zHKB z3Q*(QQ0vRw&mOPsxn-EutALH7(vj|O4OyqAjZG{!t)@cyivT0G45$>%FZ$^Padsk& z%D#&b&1yQrzwl!iZ5VDurZ)<#sl1k zmIXAhV2(xeMeYZn87<`fSv~&CPjf|Z3iER`sxTy!Pjc`Ghw?;e9TBYO)fXP@N2_0jnuy))y?mnK>w_Oo>5C5*pB4kTN6ctP zFR>9H-5~UJHQ#CN-tGw#B)bX>_$S#07yjHkyM8Sb&i$ijPwUx+CYnuBFw%b3!S1lT zdiUT-WVl*JsJPOO4UXM@iNKp=`}R>EbQ04cL_)$U{onAym%GGCb8mZluwUbCM!_M*Pet%R3$nEkv1UMAh>pGuVGWS( zFj6WLqNqQ03q^sp{YYia8;_sb7eYZ?{|;1)^`e;jJc^zWRxuf zs`eVsrBMsz4~lV}EdkW=`n^M~ej^lG`1`1l7K2ZKo(!evAq>dCpVs_N?K{*!;aI4nl7K|N+EqmfHV6LGJ0s~FAB3LEL6k&^^K-_*IBAJ!T!fE{@3mJ#g;z!J^b6;GE= zk>R42mtStdN6@a9aUv9rxns+X3rh@dUU@hEz$fQ&1D~+f{^`G0on1vyMz2-}CHF*) z=ULf0#AlrIFsY_h+{kgRSqxD5RnyfszAGj&ylck6CY%sLcN#CctVL7K#!{UbpmiTc z_*u0}=rGnb(o_d%=yv6yGjOObA*XP7xI;-4S4icZu z^;z;;Oz66)29CMhE70l93X1j|J;#YHaZilBNJ;EWZ zW?_>wW%%KmRXv=XIq-5nOdi8+S*+yOKEqYzJTl+!NWbY})W7@gNF6$|S0{mqt@m?L z1)d$@Z^!Qz{wMdK457`!4>pr7jXi6-+rEn~W0rdI>Nm>iy4n)Ib|;-b8Mfv-(I4HN zqlKM_0ajQizMU>`?xD>6$zREZ-3v;C6v|(KiJZqX_fSE@zzR?JmpExAN+CDu7--D2 zlVHK1Lqf(A>*CQP=Lgr&&0#hHys%<^V@J_T4@t+6M2-Zg0V1>WrSvE`Brq~6#i5Wn z|D7FT{y*eVbSN^RuT@CBfKy5#PX7iph{c&-=Y>5nc+ebd{OWwv*0s2)VbP;9aXWgu@MU)1L`n(GbEM&QeGSi~VCT-zGqGv1*Y!#8NUuJ6$I z*K-B6qsmpZjbVJTzFqo(Y>Doy5C-i~|L!JmpHyNY!S-!bo8h8(Df~x8&3=QY?YjPf zTSQC@q_}L+xY}ZG>x(5Ce;xn>*l}e(Jb3>#cCzweav>cn9w`vcps&N2NT)AdWTSD=p_njAu9oh_*!G{3lO_jA6 zM+t8$g1JO9u<^EEf9Ob)vg$~i2`{IArkXI><6?_1Gm#-JiJ3&!;5(2ERBrA?wxSa* zf3)xBxfpsN{n2V>)w5$~ISii7%J{?3w(C_oMa@n*B^SOhexuZZ9N6v0lpws(%8U}x zET(?dwg6gtO}|-q|DZD*>q|;)`l&xoJNcNMb}x$qHRefsgL8=mAMVeNPTdX2YOPd+ z#$O4#D>L4T7agMZutGlP|AEiw9zZEVHw3s;@JDTT^~7lx^Hx7fJbk*Gr$NWsbW=G zrVn6hMLx8kN^KBdLI#{Lw~+TO6?l0=TCs3sRGdsTn5E6%JRxAHqWUbp1(h}oWq-W! z;)jZF%&1v{~N}*smYENbeO_Af$kQ-B>6s!KKqoy6TJPVij4K zR$|51U?b^moCt~6DN&QJ|CCmEV`BB2y}3NvBCADXQIdfG;bBNf4B|K_%mqf-KkTLfJ?hk2Lpta?wC^EkECcFNUw1eSK5u+4k#c!*|QurL(>n#tRGbrEG_G?QR67 zps~J_abvitYVoIN?TvNRyyLoP7!H{>4o(D;Oc+Iiy$A4@_uU2*M9RFBf-ML3w$^#h z;(3g2os#f0$!9iSXZy%V!Dq+TY7`Ea4yViyac4%uOEE$xK~N|!yRq9wc)D^7*ZkRt znMwq~$S!KuW^JC1;ccDzaU(|T5m{Ou?XdQvHryn#eW)pqYQ#f|#&rBASm<2hg*M0x z5o|J;eAaieFND>>NZFfHhGM4J`~M6=;l{R&K`90IbMnZXX_lTa*MJqZFhHj0X@i~) zG06-Y{SJ010Q7yO3)Iobcd+08BiQ!@Vu|PM|0&qXX9oYZf=SB}ae7>Z{Fi5v^k8eXmZ0L_34U%-$sA zPid3QD4NpUMZCLi14|l1GGLA_hQa}1ilQRYvX_U8=w{^ zbbj8u*SqJGo}BV3Y`L6hHs_wTyIG3%zuRdN5(tvYhd}v#=Kb(yjU7XirU)lKS!L%N zW<=hkW*~_w4MoNWONb0T{*>;pclJbzaKa!$0}O$gZZyr1NuaziR0@aW&-~vZ^FufrtxEOqw`u&R~)vJ$g zhJ5+S%;O2d6IeWNeq_S0&9c^52G@V66e{p)Nu2@)28R+uje&xEnW5>>Y@EGI;CB^ zVNWd*j$^32fwBwTj}F}{Q(n?w6y%wQJq3s(l7>vNb)v=ac+j;Wjfto4ogywB{o1v^ z{nC%*V;G!zzY}_O+EwKEUm`GP>>H3w*VL~czEbVJ^efn0Biz3bOEF1X$F(Azop&mx z+6Hw+LZ;8rm90Tc;wDI>QF)SK1o>A0?4l?J>22JMLx-ENEwACI{GxY{S?HrVkHx8) z$;4CLPN)dZEN)aK#_-8GJ-B5*4kId$hUB?MzEAqb;FY?XWIr=kkXvJ2o4CLgePpUt zolf{`iuqiM=EUT~i;_dMabUhr`Z8$EP|bkQSZu|+%vX^xeBoFyWWa+nbWK*#(o15i zp1t5>)eV1wIsIg&3feZum7>A5&sxdUAib;hYWlQGe;jRfFrp9jgc!n|N+yX?2Oz%B zi+Iyv1;socxxq$R&!$6wzFOn7u+(%BC~gObP5Hs*nm2J~Dwy1#17y*t6VQSqC8v1! zgp-DhEAt0fk%sdNNz=J8+x2A3Nw;Vyo8#KT0;TS<)lskW`<>PYZoL&SC!ifl64s7J zxxt6T+NL^IFiM;|V~XIbSDylSsUqxUU5Q4ORZu-I~J=m`G8;K(1lFMu?)3Ca0)WYW8R#pOm@Pe#ZQ&W>#%&y#PmQSi{1Kc0vH{T_P|GRzA)VHj!tEoS+dGa(CqGHuU)6#^j$NbvDQn9?x@jdm%ElkCSLd#nk(Sub+7Z+mj$@t@%Rp1j4Y%Y$@4@V@97-)> z;n;e3$6Qy~RgHhyR7Y&5L#;QiZVRqLt3Dh%`ICNmVt37UZ5^|zmVlUMC1om7Mltv$ zYs%Sd%r;hb^P2=QMRDvI&$i<^6#_au{Yr6gjTPqZ0{WMiR}r6 zu_aTaqH{NS#*kTA@*4?Ueasgepc~B*_y3aW-T z-eTD}KRt-lqv&M#{ZYJ!?5G_I9(yk!zK}@w`uReB)3bR!9rV7!<{N3ZthAh zobFcMB6Wy*F>^mcVlELCZ_0ROt|C20Ju`8J$$v^!tJs$bGX?HcvJ@h%9wLT}N2Hv9 zGTYD1(rm^?c}`^~y=iuUp61^Y6B~f1;vDUwua!DOakz1XrwA7`iD7gCs+MFuxs))q zFp-y4ank77JF*jcd}b5By7=bIv#|;Y>YsE1gu2Na z>$ule>DaV%nsAL9Ii8ba|pWQVk9aYtA*x|OMztuT-eMZkK? zX^+SS=^QRG|GbJF4!w4!NKARYcd1weHCxzDS&&^xpOLws!%3?FfLEFOB+$klfDT5Y z5`{Bjok|c*hpU13<-i3D_iPIIOLg6VF)otLNV?(}Rf!!|F$OJ?8GzFPOksECBfB>a zc~xV|BDm3;{piqbC9{YB)Oe+fQ<48zKpnVH{gk?vJVQ$=P0LLQ1 zwiM48Qb594Ua3m5)-U8JKRSm83v2tM=v6-GHRj8AO<63UzlZ}_T~&WgY*#P@sCtMt zx)1$ir24e5Ir40;z(vo#X${84vO-4_>{5}cdCQw<5gqf|_04oa7k%~o&7`>5kE^Tiw=dt!^}>At7f)5-mY& z%zP;zUq*B}?DQda&PHml5+aW??V_=&s)oQ3q3ul9l-sg;zR!WWYWyyFubv_cx0QYb zKDfF16S_gH1^lRLW>-ql)zuRVG8ec=*0LU1;o%-W#r$Rr$-hr>9}B>d6#T0YeaUr6 zL~)}qqNEJ;r_)?q)K!X(PZuh6cRV%|%XZ(za-}QhHaqL>IMd-8PWOq`honc0C%lRt z*Yw1rPKVdX-+b}Nag-EC1IJ_x8Ixw7<>SkT^D$_&K-cL3t)a6X zY`xsLRbe{v-csyvFWLfFj9rcY&5CW1rY{syi{R&Qg(7zXS5z1GV#oC3ut# z6&ewTQCR2g@C>Jj-i4w#OwMGKI0w1Qan;T?CU8j~DH>J4vA-e;5u$D-vk5U=w%O{^ z4+0`oeD)AFWPTubQALwnT0|_ z^kau6%12}}#0+9E>vw+YhlF%V*#GXX|1o5k;kR<@g!kEWII@nFm4#3M)H6Y|$uDo|n zJ5*zLrs}~GzfRSFL)s9+&$$m0c02Y7Iem%GWNa8N`PQHO%NcTrrn^o+SOPG2qYv)| z5?t|t;QrmX@H`SQC=j0mCN(hpHf7JiX{yYUZscN&@7oc&Ab#VKc&fX^)Bs^*Yhv4- zkJE7GjS5CXXUa|wx#54K`74um%`-*znW&?cXP60 z6kW*A*k)U@y{~T&{=;Xy;tsL2GGE;9V%dL+c}|A*7>F-j!%^yxYxA6Bvypz7?j#xO z^yF>5;g_diF)-n6ZaB2rq3;~T93E8*Pa^8aU2CzsDhjt&^J{VSCuYrR1fc*Cdo9DB z0@a>2vP5%aL$@m6E;}la7cjJRby%7HeJTlCT^1gGZaN@TmxKK>=+&WoL!HIFAj(?U zV4F!lYsenF!!|2;6))=bl>+jn^ONbF(jfsf+jyRSmqd`kDx2@ZDtPvHww`zZl_s-f z{XNpg+H}`WT^;P)k?CJO5YhCisPMckJ&om1i zzxZZ1-^Dn&LnK-dP#@o4@5}9$l(EMRwpTi1RzX|6Dumt7%!$2?}&~n z{eU?S!@dD~Q66&PTYkiioG#%dfLBiOZyv)Rj(5<2XJmop_Zy_QIl^#9sFlXEX-aZO z44`WBfLp^|vl~jeC?wQ$LQ@W{EJCm$n^5g6By(E}2C> zFh(U9--2;4)kiUtNPNxODj#kGF_nvbjtEv`hB*r;!nr7qv3_wmh4%HJ*hd#~1A0rX zjGZ|ZFvQHh<64TtEP<~5VW0^@5E_3;^4MZb+-&NBHM1F&ATzA+Y=MtZHzFvstnClh zP>UWCOA;7lw$vuk6W+l<=+#$ghOh@2F;qkY%k*UNdz#K_U8k6VOGK{moM1Rnafk2|=C1PP2@JQQI>0)HgA3pguV z1R&f2K2I%!N8qWU9&NIcHqckZ<<~Wy7$$>FcCXn+qK%ensWfhQ<$vpb-pLlLcc3aELfa5 z9@%xQyk6@XZUbfVf#+GlQ+mjXm+n{^>DbpR_jOa_-9D~rhxpXgrtIZ*6)KA)P=|beI@3VGALJ>@E6 z`Qc0|6tcOz4SF=-g4Zb0FmVR4P|6OVpf9HP%I{xhad#8KwW(&M#Wzsb++jWPrR-iu(T08!>Ul{IF>lmr}_Y>se4}vpz zucw!I!VP`%SwTahes|~|s7y)8L;3`BJa|%#P8!CL?i_{-b^JPj+No){09vhbeSsvZ z(`Yd6yM!bc4m}MzvDyTkpo;Mf5EUu$*SLl-*vrA}_}=0KIbq4G;L!?RX-qSIZ;Nu# z0?i^=Ysm_@$p>zT2SVAhnUnTsA!9-=^&5_%OlDdGad~#Z3J0^R_ax?wow&-Z4jCU^ zkILV&QunfOcQqfInfZ&rZ`LYb9e(8BWv$r%l??h<|Jy$6x}#8eO&Wp0NEcL6 z>%z&oS>oHi1l+5;ETyJsT{#9x5SwPIvh!~*>s8kc{;U4Cg8#t(w*J5Q-zxvx|Mvfk z|LqsZfBWAYyj4?)Ou0o+2uoQc1W;-Y3ZD$P>~+;ID{7ljvzn&rxr{O6tOR`i+yC}| z!vEIx@A=>O|6lOG#r((Y)zLq*S7`rP_A2y0&0c-}6MKdCZ`rGae_^k@{ulO&?BB3g z9{)$#tEaf{cFE=bnYg#C|A@V^-4d|)zsFwnV}7$&{iOfGUQzyA_R8XaVXrLy!CvwG z3wy=QTkucpRSP)(-=_cRe~bKg{crt@|C|3!_y4~C?f$>we^dOg_}{$$Gyb=~|Kfk! z_Z?!sSSWd>=1;Rc@@Xb~=F|*C5}wu^c3Do3+)%Ylbh~8Np|lxjCUGpmq$>?u1Y3tD z>6Cv}-W_Th3u@xUNPs_Ub-eE)_10$pz*d4}GPCpWgCXsB-OQ@SBOXU~>O9|gFuNZr z|AXr*n_d?5+7CW`B#gllYpVP% zr`P44&r-Ul8HXR1(seU@RGRW~aybb$Y>^Btqdu%5VtpZ6He*Ii>0T7Irr<#X#jUFU zJnEkZZbL^Nanb!oZLGLv54w}eFp1>4Z#*nLa8<*11i^4{Ve;wlNie)+Xo*lDtBsCPg3cbJY_$bAD6 zGKjcGFsumQJ*FB|zw{(EAP!-4F;Hvl}r1ZdKZqg(ovBVigu+QSrg!WaaMK=(&4 zzzQOrvU|hu+so^aEU(hcm`=`db-${)YDkTwHX==QkV-UImJfC)RJ^ha6{m#YpE*nl zRFA);4&^@vj+uraUG9$1?nTLtkCR2M+nB-}D*eu2y~$uHaWE@cDsA~WbI%92YqQ=7 zjLuId#x6qwXKw7XvI@w4<}U>asTh_{?leE?#1iNX=kI~L`N+M=4~SeZyp8DioJx6t za3eJOZC+sVo5K*E{T6$F6Dr?&w_bIwQyq|92&ZeqP4CGbtO)<;>Zu~r)zqlu1X^Uo z!%A|#B;CKDILSG&2f4ogEz`fxoXc*94YX^|Ds&JFpCV{koKw#KL57KtyU$ih!U83^Jd) z+<)P(t+4QE7;!Hm&1u~7?vvB$lnd}J8(dT2E*?zVo?J_r4N5ZB?v0AWuZMt}`LG%A zZrttU!yvccRYDEh5jc={ydV2w)O&Jo`tvBAI@Hg)Jw$z5!nlq4TXDQ8iw=5->1Rv{ zt|N5Z@kcsQoKr>FQl~zv&<%<*XextJX^6rbe+yXdNpU?~onuAGNyS#dfr)w#lqN66 z7sz_;>zUrhgAc=V$AkJ(as#V%XOd!MMUIpwF>1}KxYt{gM@BGv&2HI>oex7+E(*pw zbW6Q#=m?1PZOp8^^fgba#*6$$Y#-lY^OK6%(`%OTz8}-@Y*B-R*q7Fl+klW0X3G2_ z{x#z3JL;GS@TaNdT*gUS8#XgAA0NAH`GC$3wE^R9moO5C_(`Ww~nLzLT^%1FaplV(;z@bZEf8ZXW z!|x;zVIMuej_R0g(p2P!3*|H)N6^XZLQ;p=n^w;3^!gLwn=kNS^qjyC6_S$g+3+aV zh!;!g=MSwV^|$(`SLb*s_Sdw-u|ne4*cwO9NG!(%l+5`^+F@eVOsr(Y!9T)I_3dnu zDf=GgqDsGdQbz`HU0^$LCex^XXo!G<=S|W{c4GUC_EszFMefSqQ%w>^(ih{4K2bd_ zGy?k#0o#L7pd+6`<#ON)sBMpvvi^0J6EANfP<4bJB$0%*-;0!b8{y_HWtBdYQ6au8 z>3Sn{Ps?8G=+M^la#{f{g3o(BU5JN5R9uZ?uMG~KJN)t#v}@Ozk3*#+0jTHjcSF1&F<{EWXJ{bTwP^Sia=Z4AboV^#4SA;bpqFKbVY zhx@LL1!2l0TGFv2^n)NO<-TFo{@npc$)TAA)t+PIqL|sxL#tX1C_!1-3>>4+D?TE` z^wSNNg<0!8_Sd?UK_W|TXg>U7AP+AsvE03WuOI2~n~YVwAL>W(+!)no6Y`~CLa>ii zq*q9J4k)VuRA4IUgLZZ&?Xj)J#Q0_4MSVfyyojt9Nr5(z;W{Gl!^-4oBB z4>fCfVvk?+6Iij!MImK`x(^}8VKL}{jDG3t`~W}+qE(*2;qJ3SY)5R%^tjJD0KCAzG1DM z)r3xa+VzYc?(aXabOiX;oUEzD7oW5xZHy*SsYWz~P#1fp_h|wZ>_an;(6c zlwNgLWM!gIC->@IY)?xUz;2`fj$>pt&ek)L4FXRpp;5BfsD)9ZX}<8&z+7K<>PK7u zJ;H;lWf>V=Eh+!BeA@g(RwoTbAdB^OPg^Vf{g}rEbN#qsC_$WuV^Rg`*zR@({B{*V z#^~RhhZgUs$wtqcxNA5cIXA0Dog8nLJ6>NmGg*tC0aq|nCYK?hrC~cnk&yj%mm`svqlb4`$$*<+ zZN-8(a|^CU@+@e4Yf+f#r39`!+Z|di8}EApV|ZFKFK6s-A|4h7{{nwtdTW78R7Tlu z!O+&H*XVQN>#<3|&(i&+T#ZJ^yz+@fO(?HQq5h+q_hWK7?*y%6ys;!@b#o&Dy=1>4 z#u-@95T2}LfHIjmToKMy!iK8=Ip{RvVMNNF#4hsAaEg9Mw1DtnO!&iKiaxg(mwNCX zt^r$pC2pr4_+nN|fd+Y(C-GTI5hk^mQk817HE}pEOO4ZF-@LO8Y6qMJndp-r93bC! zId#3|nt6UBrU4T|Lz{tQC()&XOs1a&Qd!PT%kJ-@QA?Xr%hL7G)~>CYADoGMB~zAr zIYmD5A*FTsWNOSE6lV{MxZ=T4*W{2hGq6wR0$5)n2Jg?nz9u)OaB<9>@mc|L(61rGQ#CNY_bI3^I3mPgNl_En5-u54==Z8 ziN|ow5KbQtrko~l%Cj65Iuw*3!_HkQ-=Qxhl|9~d&2VMWs(WS98`P{y;ZT+l1ANk1 z!SNw5@FFA=d&-F4>B1b&9rwV8RLQ>n#+TRjJw62<)|7HO9K-y2r1e4zMjqYjz{(sJ z0|p^I+R)(53WdjNUP%X^uoq9|3k=-TQQzqz=MM6BiaoCReR=bXvf1XMc|tf%jn@6$ zex<1I0vqM&f*TtbOdT!IM9@Vy`CLPC&_U&ww%hoL$zZ8#Q>7%SS($bf`t!Cwhl0iy z(L#GFM`11F4;8`7Hf`)k0#3>_<~ixxz?gM}pUdbkru)=ZT%%;HXjJ&c04AxOyG3Li zYxXx+1z8w1b+4_7Fl!3q>nMrEL@on-6d*LR+?HJ1n3`yVl;p;)(k&m6X*QNUi&qn8 z^)jPxT&@w3G!y**Os_MHX$5d6F3tb_`T<&5%w9oUBj0_@9ycLzA$nVXhuCGSvEzO^ zU}69ydDxC>rdE?d;q!-zGMMcn(7%;dKt!`VJv#B;SwSZf;B{OPz1b=_uK4rNa#yg^ zEq-Y_dNpnmGEH}kE8}FrS2`7@)Y)X3`b4?a zWC}UeC)O5*>#@IoLX=P zX~a;NO30sNJ(o``Eo~^&_EvzfNJ6JEm2L7Uz|Sx7Q8YtkyTrMn--0o_UI$nPT+ZEW z__TM87D#hg*h-FpYOYsQlG2aCi|gVh_ZktgBQO!NErJ^QU>Ci?FKJ5d5MPAhGSA7P z#lI6p-#uEI2-+wqe}T5B&i$5;KHs*~QLw-p9HtyuD%W~ITkV2{G|dun*>;AY&aTfF zS7mqg4wL+Y7V6!DBoBq+W_5bHc~y&3V9Ia-5w^>z2wkK|oQh7&{1hhgdyFZ>(3bB+ z-vr8Xj5sbkbcT23!>WQ#DAr=7*BzsQaOI}s4GT zlqjQ}2hsr-(vJ&C$F%wd@Qbim|u^A@~|gkOLtw|}%Go;qXRMrtW^pvx?180$tK5va2G%unF1a zL1E6JO^6Hb%nQs^C~&j`Fr3&?+m3Y+g-$4pUjgx3S5UeNKby*t*PrX^}vL4niVnK_7|!zh8M-&(JhCW9hAI%Q0bVZnUu7oq*$0+#om z>Q=Nrv*XDkJ~|>;d&Z4K>y}s;uW!8L!P!QxzJ0Nn*H_me5sX~=UCda8&DP;D8s~mp ze?aDd8PLKy`UKzJ(3duiUhYX`r|i+k_%P>m+g@n<0iW3;@1&1KBV7=<=$k?G~HIB|u6zN`hNNCCW;c^}6o<-uzmqj~+6Qe}AGhKN3=Jc`}yi8BTBf z{Y@qVy9|+T8gmPaUH5G?cwn>4zQ~0xVNSt;dz*Ai!;Qzm!z`#o^yjc@w|1N6>XPiW zvJo)n!lj;v5cbGVSC?Cm#H%iC1)mjZGOEHg*6Q&{jP*Lereme(qYJ~)vdC+wPTPqh za^e7i!mDTHEEcH@GJj#(B&P*Y8AS)Fa;2pat52LE_|c8UJnCTw*_apnmX8}_QVCXi zolLy3K30!&XwUE$z+u=(s&b43I}0Dapy5H!%RGt9?gRG_g26zBahNkuWAtWCpbvJf zAB#}0A*<3>3hkQKK_z!5uC9an?rzMJ&2WTlT-C?+2&p%c*$rm~d8}}Cp}$Lry+*_GzJ}%CX|$w4O@}}gSOfY zD~_7hC!#MM&HN2PzBe(E8WAlTRxb5aNRK4?(s@Eg3kbDaT1$RuyRX1wKeanMG!(Tl+bIXrYQ25z7gzanZVe8CBYVRc3uF~Ox2mQ-?& z6s^SHi-Zo4ko&?n91?!j{zBb!$vQP$q8|d@0yc9Cn_fk#&>9r!R4U!dx8sXeTbb== zyb9^x#2?>&Qtq2BaYotZO~g~q!A&|6Us^^+=jP}|qNY4TNg9oOOeIj9qdMEA*&_{? zvDLW9VTZ|Vp<$bo<9cBED-Dg{_edni+dBLw@UvGi(E@V1Daxy%aQImmBr=77m`hsP zb<0OohR2%5u8+^dLKh$7aW&`~Nm3b=;>C7T;V~S8V#$e5SSwns=ZIa>vjJ=z0ayg4 z2pLN$yigZ1k^G2FEEY}xqc%sptnMmBzDjntsF}a?`6l{3y;-5SzMMIwoClKKE=;*5 z(qDa)nMen)3qiRio+IZ(RR|@jdO~a23_?uo2Hk-drY~gQk zuUrs}WpmdM>PavOg8i~$^I^StOR%p;hNU-9R`_ZWl2%Dg5zaBV2RYI3do~Q7;pH-_ zk;qP|j@R%o&nsV9+YM8u3H>>EeRsmePsl0;G0xN$r!+5l08y5); z&u2}F9^Wct*1N`v%l7Sxo_L5|(4r4DOj%+GHN5O#_#flnAa*wV)8j6(Xp#LpmKlia zCfH!3gzDo6J;l{tf=(2?yZje)KSMC|F{p4*kRnoAZq9CYJv^IcPsPC^DJyciIQc8F2A%??x!EGl6l?;{givi zcV5iCA1~7kSil56PF>7?Rgn=(6a@>Ze200kXmOW^Dwc2e(&-B72Iygr8O6_`m5@517ONa^S{hN9Z+!xI z@Xr=o3#{~5*08BF3$=(m;-XIMu*SUx2zew1T#z7JpqhDlacUJ16OT!h0T$DBdSn~a z1gutiEEHmo=(5vv5i8p6?4nGYki|g~RVP`@Qx_ECzSui;ns*&rz~mCCfRBUkTPBUO z4#`~gP5tOV9lD9f;77nki5@ZQ5IEH@m9)8PO0nPiEq>rtOKH4jhG!W{7L&$N?0mGf z6kSl^=;=D*HeU3qn`hy6W??M^X|J^ay%-66nienA`uo+K(s^0V=$(3kwjecl%x9U> zmWD^EyH6}+**UwdC^ZSE5lJo)spj#QL-w#hV@dDWnDE{wbi3Y1MNXiKS*&TCU2`zo zeYpi|M;x6i=rMvL)@z~@I!XMyPot*^SYZfxEYa|fK8awV1|g%+noimudq4steDX$H z>Ww|mDSt5n8JpCMlafe(lixfY!Vw(Ybn-dI2BzZ^{JL%TBv;n{46{X3JiOw_+cAh9 zE3$Jg+hdgoU2d^I@3Lq`_^wJA^;u;bw$R1wdTrd? zN+#b_PNUym;!68?zflAt3(i`!Vnm4q>c&NE=r+XMIwNeGK_Uf^KgB1F;bCnMsYh}AD$&P`zr1`urvHzzAddRX4|bzqfZT?D{V=KD9ay(L$so)G`L*0ui1(SNN$At z$U2*s(u{wV#l&r{Ef`1?F`;8(znBYP`{!vfRnRPtVU&xqAlvl@N@p@6x1r&uh^yL@* z{@Um0GNqcq?*hDD$xe`}rT56fGgKc}Wk^q~R^c<&klN&el>$rDuv(e+RST&5Lheot znBM6UDPA*ji9}zeOKGr~z7U!3QPPk^H*^q#a93G6wz%&)Dv>+oK__cX0+}5OD?t1@ z+B;sN8*P^k82R#Jx(J7AB&QPkQ;+N%?7XQ~k!1=q=VJc@IE5;?te2OdxUAFbAzzi$ z`r*=%X0G}qp{@PTya;aYZs_M4xQ=(4iPi-W{7W3!BT;F%h2~6to;rTN?I$JwDnC9) zcn-nqwBO+Zp2?BU#RkI+2%7PU)e&+sahR!u{e%qy91}(WCA1qe3v+}6m6p~SjfA@` z3^5^y4Z+SOgI0W*7{+yOtAvLmF82hnI!&_rA|1A?!lhp`m$Tsqi-w+wFpAH_eE7um<}khoA?>T*rEtn$Hy~qfWr|Gbs1) z5YX}D(mC`U^bssVIAOT+RUW?O}^ZmHtfQIy7NMsuBr- zOe=CB>Poub_i4jm8EgE=3?^Kytj{iGcNM4co}MmL>Z(GK-H~?ivc!O!u0p|J?NuKk zRWNI{8RH>xrsO`%0~aI0j;kXnu0a>$N+H9*ZC53tPLcdN{$xZ>f8t!W`!Vg&g z%mi2tq2=lX*d9NrnVgf3g@XWGBJWQ0m(#N~qXgCfex}@0P`9F-08J+BRD*hXwj8J*cVnS2AiCCHlZG1y@OM=^2L*`T*M8%uz zoj5-{qWzvE??+^;sm_${9IK<8;@Y5*DzAK7d)Jge?%c01Lx&C*EwPgMVmtC#E=E|+ z)2m2Gz*S&1tTp~(JDknjnJBZddW>LhzmcMLF!NMypc3g&1Ydy=E31zC;!z`R9K>Ng zKoJA=Msw3~|G{vDw0Qr~gOyFu z(F0ulmFeeXPG!{kQN}Zs;A3vr|6uQ(xQpn)Cv7Z@CUcpQsJHt2X zWgqv|ZFO2xgC#qd&v#g~92%~-J@LN{9Y5GgywqV>7mky`*>>JxeDz0!tLe67y1iO6 z#N?wyK19%?_ z&csx#p;eKAnH>bEkzjLOvGnnymg&=d1G%>W4&__)ep(Q=()VNG?_LFSxY3q9$KL$w zEGb+Wul0J}SW*?&Aui^v61Wf=KBY}KMlT)7NtK@b<*qM`gQky{r-H<^(2p9Cf$CT=gWDMIpxK{$N`P~{p53* z&g(Wk9vH)8s$#ED`xy6#^Xh!KE!@Hxcp!WXD4jqCR^e+YX^afZ5020wv{g^ z+tADoZ*F!Yb15h!y9~-k7lhK!1hGmKC2=Q#)?o_~KziZpd^o`NgtZe^E~M8={6ZM? z(!DQUo#f`|NZV;fB*tMYJ_!*t%fRwByn&V;DsLKUJuV$t zX0^X3ambENG(k^cI`8>9Lv|w$HHqS^ckR9x=VD*T_oq^|)HYdi_H zxC8ozy@mo*tidEh>v_020w+8?p*4SF1pIK;YHX;X2r=r`W9nh~1;SC_Z>#aofrSs0 zgu3BTj!DW7UehGZ;mWX3lL^EQZ&#k!uR(eU#Tc)UZj`{0UPwSshJ=;+8wL>=@8O(< zRvb}1$`C>rG;-Xi$tW#u48#?-#6}TMosNbs?M4K1dJ1!HCeq(Ws2ICGd9^|>N;HkS zfnf?XIT=a{zrYRird?~;>&ME5{ZG^6=9nI{GAf;`fVi$1w#fbhaw$5M#&2h?6_9&p zBy6r`2s|U7O|rO>D?rdRU1id%`n`hi)*nhOrZq$WyESM*-uDE36`uME;fur8K~Q^( z^SL@=6gq0ZhY0f#ENf+cSoLeSdp^Z{2J;-|(kV~D7vrA|VT=w@TCJshhqf~Z2D+D% zO_-A>e1*KBA#^MSN32AsH;Msj#_kbOITkr1k@ArHbvp;I8WxPGPvVR}NM4xhYGS`R??c4$ z1}yR`p37wI3UvvrTU;z%$bk%6xPs7W01#=n-dPtpg=s@fggHhnmwNut#i@SXw;bAN z`c^Cc!}y0K_a-O#vlmA!%C}#!`h*=0JHl5Arxjc#%Y>efG6H@ILFcePB1S6>2apM8 zDp|n8OGS(|I_LRc8M?Q~3WzSe2O=6*RXbtfn6;Vlyg(L3>rD4D@pLv!LhIG{EdrF@5 zNn7nk0PdyLbg%<4Q7U!WVlvdJ1k__I6CpQ%R)!;E^HvGW0CGS}rX2G%t<;y1WkcDp z4}F#B8f8{*rc;B^{g(%Vs;iHvycd?3f+YOB6gZz9MkS2>^rZ>j;Uhh;BOS;4V3k5x zowMuGB8`X>4!n2Ei3aTqu+|61y69uDg!~ukw$L~)n5B`mE|dXvyj6IKv^E0{Q5Zub zMfpUMOvp5{NGAb@!Wb!)D-mEi&<4vc&RsD6-Oy}J8E7pjhn~Ue?jF!<2IAg10m?ge z!Ix_7;e<^|&pw=OQbNRel#?S1=GFn-!OteMqsiMnI#HK4nY^LR>MM>+#J|z4ggwheSo~4>6A6gMbG-m- z#{v~zkn(NgGoHnRX`!uoAANV+;vevt?d?Bcg?Oy^O%mBI$L@WmJL}SDWeKqExT9_p zR3f8EL{J64M(JYyMwxfxIRb;2x;@lwj+qW>8Yt9NI!2gNf_s zvlFB~zE(=nC-W`dOT9)v; zr+r^PQy>P!-@oi%%I9lsUn0VUsc%{bcA*S#yHx&`eP!aJngX5A zd@mA~^Y34R#%Ae}m+GO2HV$RHKA$$VWNfOR_mT32B>TJ1qrj!sVl*%J3m3#9Of=-uMf!jd+ z>NIn;XZ3>i9Y0xgTM;*2=5OhfcpAnXBWLSGxwXrQrMqHK!tr96Jbq;;lYmDev_fXd z8!9(sFM^ZqutS_;zJ|*ctGCN+ANP72GSa0TY(^wOb5r~Jh3rSk=K7iV_$>_aaXVXu z&qx(JB;x8S&nNZxitF-2Sok+AZ4QHcejB%M-z-cm&M&|sLe00McT-s#3cW=B%Qja2 z`wkFC;36Je9=u~Rwr~s41VWvdL3n^1WZu`mW&}J;Odm7POoae%9+)77gbKHm>Gf93 z^HL608EorX?9*3VAA+F#V;D}{zJm+{n9=JIk){B^+@P?3cdo`6*F~jWLGLf_eF4AK zcn6{-xuE%TT93fkb>Gv(%7niIVe9b}y_xSGlTF zn7_=eyT7ONINh%0@YbREkcE(`bjv|w>4t++ih4Xov&0w{T zEx|q?Q(k~AIZMLdh7zjIwDTZpm%osH^1ERo-v$@EA9GDR><_K+brIN{iVbd{oMc<# zL=|?OqO+k%q7Id@+~(?xFA31f-BueoGJXJ6zZoD(Tigww^|HZV&_mnQSf{t+`8g^{ ze*`jN`XUIDNEkwF@5t0<5+u^ge2l2b!aC{1k$-wHvZ9JgYHJV3D;Fhgcgg;&#KaU} zwNNqem_5>tawM&#g^-`#O|02ZMS(W9%(I|>!vpQu5^7<|XO>orim~O2t%n+JEL=$= z7iuS9#2aeFH&Tb`;hjjc6)Qz*NsEC#_5A?>Pz%&L|4ZDF{eKJNiCH>2ITNtc%b41k zJ6jO2F#Vq_cdGy0a>oMnYq=8$C5$!%Fis!9AY%w64M&7VFaYqXf#LWs*TM0h>-e8A zOU~b?zue{jk6Hegm?iuF7PI`1z5737mQ0*%od4g7JD%$gXakIR6Lwhzbk`9gK#(E@ zib*vC$yyoW=e`>r<}No9)1___i1&h25AL=$ZtjQa3pzPGHukl&su<6E6NknPo!Xt+ zy5$igj~~4rz3ki_KP7ZN7fS3Doqs}injspUi7HZ^52Kh`Zr^vOGp8@sEw6vy@2$S_ z(WHI7Jl;-Dt{t76{K|6qczs+;$$m0G=AykBCM~d1mUMp=ch%(lmE2nS-2X#y=hV%G zuVjGqBUD-3uv)%yW#ZskyK)Y^>b5}6Q=@)96uC}0WOK$)=5#6)Yh|b73W%g}IePkc zVkb*9$R zD!;;`I0wGRbw{kHPIq+BEy<&SW5S{cC{zMlvu`h17L!_rju*4Jk&Dq%CMzWHscWLS z&p%o*0ZW8~8=-MJm9}u)BAcmU%-9YJ(g-(;&=WNyfD&$zF@>;pC4<(a@v^ZbTxk|S zkShW6LE=Xpxj;k>`+<#;N$WJk)2mxnin!*bYiG?vJ+#a%&L%nV#@6JK7#ovsAwJ0z z^9Ubk=jPLaJbBcf3|>hs{w`tXPXJLe{F%=cQnX_ z6oP{~88lIDsvM9-(K9&;V+|PXkfXnv?UG0kAnJq8Xk%gG=0p^1>>s4c3gf8mVpGvW zAZ?>X#Eotux<-#MBsH?D#2@VA{utlnKfL^q6N4aE!54j@CAy^X01{X5%K3pLjzC#^V+nSsV>!DVI5L|nXL-2)|Nk%r!BPl9l)=Py}c)lt%{0Y-D8|a0~v(0YO_|Tq6pgD^KiAN#x@` z3D$6&9XkL|Bt_MU&+*;I8G|eUr2`v4;C3jq4Y=DZlVo3if zxsw2p7qj5T{J$l44DzAk-udq{%FBKWnK}{UV&;oZO(Gy=J~VCK6jJdGID`?P6~PH{ zBL9oz?muiW)N&l4%$cHjlDoJ>e)mvmN8BLi_DvM{3gfW-SPh{(j*UZM*ACx!K7x>U%lD2t=w|xXpO? zTo|<;P}M{*z%U4MLIBsn?^l9)S}>xuIYq|!83bT6ez4}r#g>r(fmH$1KOjsLo-)K4 z0Mrm90BDBe{wjg54w+p5G|Z+ZTrKUm;h_`J%M9})#uU;YunSua95?OK!srr4T+gVp zekRl+l(X1V`s$$!WHF~xFc~(g0@Gd zp?`H3;)70KOQ!=dlO{G2#HJjbKCNJB>5AVe@}myThJ616w1im@8rWg z-dfwytfgcMQ<(~Z5}{!&L>$8D7|+rZ>)o1!(QslajdREzBX-T09*AQGd1AC|uORcf z?3saiX;nB~_j=ng`|!#l;Fv4H55ZTSZg}n7whu+iy?keao=&G;8{E_j_Jn7lgca!m zE9AsH^Be%VlIlT$GVevT`iq2Js7a5% zedAEUUPj-3+5wdO1_j4UWMDs@`vxBs2M1yz5hgTduu!ab z9?3T|kJ>JHKC)~xd3B}n@7XsUB((A@q3&<8kaey=nwMAiXgM}P#kLGFD_kdz{nA(P zQsI;RAfwNJlic~*K$7!Iadg^{;<%X%MgZSk1t*P>;qa5-IEf5OvhEl2?H4ydf&&t! z(s&e;R=7Tv486De#iei*gY_U*2R!2OwR9}|k>(vG%2!Z0s6oW!N1Iv;oimC-9d~9B?Zg&x9Epj6YEco91rPDjoPq%In5>?lkk7{4bzo?XTpn z_dg_eU;in&3y6PadO75g5)oe=ESLkc*E1737e5g&+!hN)+>Z(v^f$Mpj*~EAy0x|d zZepsy{hus%X#ZikBVuq|-czn4E0g~3mb+slEBZR}WO71d#bw&!_|EFowxo(oTE7eh zj^Xg)rtVA~8U5+-k4F=+xID97C#!Rt>>j;uh77To<3>mT@fID(WkFg$)n4fi^M^Xk zv&2kajX53hYCN-1XFb^!H|B%aJ2SC*`8qQ!>(y!9pbRv=0d+pd)raGwwogQ8Nil~u)UuI5zReJPt@;Cey(DJDzu7jDg^G}rV1r;q0wN1f~ z7b9w=2$bn2O+}bAW{=#T`s0qrw`@F6#_k=So}O>m z*tMC-fp7&Lt^7f)c{gZTtYu%st^SL8l^1U6$Uy50?$?!}D5BszE0H@B-P1(t{<^&g zTd1&!1+o!yJa%o6#i=h_U9?yK)qyg@jb>YW`?B;=HTU(s_^h4QTH@MKH0nNv5BI=7 zOLzcxu3{B$6#EeFha3R^5!(>+`3JcnZEV4nAILkgM?4R!f)4&JSxEe)At)ey(@b2m zByOl4yT5qZaCTQ^5`1#6tJjCIh^CBRvY{X`PybKQtJmC=15B`ozd~EI*oU+@Z+Og>;}^tPAGo;u*u$mLXxWk!$>)(m*x%l*?1 zS~rVH?>B2?w^yrH{x;^m?l)_+b7hbXmP?f!jdCl6mlE!CbQu1=%L}y|wVcic%X3Hf zyf^xPE34RTcELZ9c*OZT;l&b?zTCVa0RUHwRwxqw$OQIRvW@W6Ld41Eet%He?9Ptq=RD&0UT|W)I8H6n)Ci}@ zqe=+L;o&%rsT`ex)Nw zySsqD8ITUkz6=uzPIZZ=SLK*KP26ooNb_l<7ZxUKin!+lYjD&<#oeT!yUjdTs#woU zUB&=m_vqTO=UGi`zwb;3&}TbjI6Bn1dqDRIh1OlUu?zopU{+v_KIAW>olL{r9Wk^Z zMOTf+mD$HsP80x|%nbpoTZ&IaVK3u0Hse7u)|$1?`3&9IYYPj3@8TN#_Moiz=!6`0 za)v<59E`a$BBzV6sV|Lkkp+Qp>&pjn93X5N!? z{HZh;(gaYflFNi0!k|}y1 zA2Sv9Y9c_#E7P}sJ-vi!AFIpM5oTuhO(gRDpV@Pi2Y|{R=5MI%BLPG!q>>J<6? z>7JFH30$lzEqHX=TFuV-1TtCCL$WR=9~z=qISnLyvGbZi2|2k@6h4mr9BMc%huV4{`-HC)Hj{OuRC#NR$#+Bq6uffjZXCd zZ6qKUVKib0N>bN!7n&DDYn=}!`{r6KV9*yQ_M$mE5|BMo>js!fx5VZ(57{!V61iFJ zn3LOO6Kw`XM{-MAQ-S1f2TOZ#JXw zC=H!Jfx&ViS^I_X>Ppbryf)Ybx4PfKghVeB>_6}~0dTTj>gK7uPvVGKjZ(gyhFF%B zfYqi|JxJYmBOR9%aJcSazY3KCey-ISR3>=P334`Qe?z(v7nI#qB6E|vm3chqweL{_ zh(UG%jaVdh_UeesVRV1w$fneT6Bnln9v-is=doCXZ*sL0+1-WY4K%@^?HQUTku5Ml zG7KUI6h&@h0g8Up5^>cZIxxWwZA&ToG7a7CztpLelSpQ0Npt5gk$Bm;q?yz28rP;P z$cFSBVfh8lnn3co7)_6U~2 z9+-phpWZbE?vCFQBD58I<%gZ73Rs>Ih}T=fV-tSgJFhSOXvBFSZVuo~wf07%A|9@o zNIL+&hx0!4T+`%&zAr5d*}mCd@AdJu7b_nzE@P>~+o7q2dpZ$c0R)y&xT_`VJKSqS z>fHpHleotQnKMRF%Cwp6_kV?f%+bDRTNHV{t|>x@%XyFR1`+w}mmGzmfjBK` z&Zk(esXlE}twY}hBfROsE`^4^a7>^TIs_KIajzHePd458Xk6~nNQfjBtTbxqv(fBG zg%(!IN4kp@IEo*OVWGawnB9c*s-sYH(p+_!xz)1j%Do7f3>42yFj}Rm&31|g_E=50 zDpF+*sZ0R58RTmUO~{!jJ}+O0EOhGfO-D*+T2kN<*HsjAGMk>&5%HSIAft6SPP%KL z+B>|^NDO$MRt*Ls#uBRpST*VG1u3`cV!{ij_1Hmyg<|a-9>}_YXr>(l$x2Gzo5;_w znA^i+!!O_BGJDWjgEHC_PnX@0sY%z{wt13ZMfLti zdY@UIa~}t24(oJ0rj9OkkbtxYiH=f)ytx*8i~d=H1pLH@iU){tb*2hmfOoL#1BrFr zeaJqiqR5#K_oF}p$)NoVN|Hb^gCx1Rm^z_c3P~!mmq}8M7?M$HGexa+s2{gTN+Dkvx6P;SgfkZ-;<~fa)dd<<0CK1Fs!qR?xeLFQ=? zVQ|53B}Q#?VxADjHPb}ftK<-@Wn(j#Ft!@E0)DN;SzwlkOF?v~A{ZJ1Cl`9y-^8py zM2b)0rNOtPWr`9U&E;|}Z`r!O?=u(|EORz2uCWI1h?kdp(+h=G4FB3*6L2EPyCLjp z%y20ZYq=r~mOV&DXY>Z+fQuNz5l8-%>x9e@J+Pxb3gfm zlAcDgj&4x#@4f3Gz1dOF&lwv3zobaMp@g?pu@}kww1S9u+{5(vx}wO27No@D-KS&U zQ`zWf)a4!jGaf>zt;4eyP;Sfu5tS-MrKT8k?mQb6C+=UprL>2?3=# zQ1H*c+}$yU;^b)#A|DVwbzUor0SN4(w(7ZcCD1pwPVGib_Or*cZ>Qqj>t&@6e2b!g zCb+zxw&JsK#hM&r;u6`u*`EoyOvH0tQDCEk*|=ffz<+k@KzyViLV{t%xSWsD3)6z? zd~T6vuUOa+E~_&ScOgO27NfHGH*>v7*YbK5D7P1P!$S3fUbU^$v#eD-jP9leqVSR9 z#&TN6aPT@Chjg?Ru^E?6_!_m~q9H!7iix~S^^HCe60jjHvUll*+wL| z!-|h1G`FTvPv9+1P(IOA6Y2SF6g{lwsFH_fhz_xt9+G|EB0h|@R_D5!Ja?m=|DcRA z2>94wz*e>!47l!(PKJ&&-bbn~C*ek!u8>xhpSRJVt*%xVR$gkN%J$_=XO_rHJ6l(& zfs)|Ud$#$Pks!QC6FJmT9DIlqt&prySF9uoq_J1!ZorFj)kkHg!H{XzYB=cC3UOCp zoWQTL)6{~>Sh5~tud+pTn}SA-MHbJs((uK#R;!xWbXqhRHCuq%|JDX_ai8DXK=vQC z;Vj#7@c>}UKW@s6ND^?9LJbjXu_c^!NDBp^a)Zu;IgXe={lLZ9IU-$WJW4-4kUj*|GD}o5QBKVK@}6|U4Yh3xz{y)I zj$l#Vd z{9C_3BJcMo9BNi(Bsb8^2cg3B?8s#yOB78OFcoH0e>#>NWLQ^aV{uZI+>SI$uiz>K z(VNT`a0Vt-*qEmcI4TlPzq3+2c>2EYv)>`wZ zNcG&qh&_>^Iil$2Sc*U}i%O>8C%n7-($NE;1hx~W;Dxc5;ME-TGyE+r&o29S(ie2E zCPk0IQ&EQxaE!sQ+f6@QfV_S;U<%|VB4|93*Tldimm8j zXd!Hvrk_`?(=B)Kt`D^iE;Y~ryTm8Wi2U2@SKcSpMhv!O;maEo-bm172czV>*(1>F zJi{o$JeWCk&f!MVOxQ2sCvDFHS&^Jvs{%5hY zj{x>H!(q{2-r^9x=mmN=Z6&WUIwdHx`?tS1*O&E4Kkx?%ool{56%-8Eh=vwPXO$cF z(=`;{B%t#HjFaJtseG-+AA3QC69UpY1W@}!O0*br42s|?B2Z+0=(R4_1-8@PO1bl5>BFTLk5mxRzRUFC$3i>)!e;*kY9PgK=F_T5Pa!CQYj z+=wNGxFwe3Lwi4`QUOj3l7#agT_V$1aVac1mPb{?iUGW+^g3}HoP6n{?7)9V*u=*MCxV-Z*=40)gz9f{~c;z9KuKriQ zOyyIh1KOJ_k@1u3pEYH-&8$xIR}5T7V%oZif+B3K*6zp#KsFwByiX8+&hH}5D=_g7 z5@_6~IvFnbuu`(|(sE8AMarD0Ckusnh1DX_KO&P=R%D~1tC^`xbse(0?8Ma>*9UqS z!00)Rk>$2<;|zGACkp_@B5@>^Yo_H`Oaq04sOH*AuH;GQIy7sx6zohQ)T%7xa=_pg z91}pdzeeYphD%#4A=>vL@7w%?tpOu3d=rTC`DHd3_Iun#+y#4;(e!K2c<-N6k7u)J zH?tdwHw#mxFZ;v?hx9{ng9b!3(Hvd-fP}-*4uMh@*mO1>&DO5t(9(vklk1*X*iU*L zrp~Cg8~2bSv*+h$z8i@$eWDx)!t@zB0bL*!s66@LP0@HZLRlG)Yz{^UCFTRQE)nM` z*QtyNB^T4%9+B7lApQY6`0%gHLzhuRF^8X>fHZk`hq|cK{f#Vp(M98CakI?5%&EmK zhHb|Y85N%i)RdGH%;)N3Do)%?${?{)O8oI5XY6bajF2cuHcSusJsV8@&WEFOD5i!k z&mVXi`JN*qM+K?6Dm`OYKzWRMGRl$bkN$pVx4VC}Vd`=H)ij9-`sFrx`(4-M3WJlQ zH@wzCn}4dm5cxZ57GiYyNQg`=S=fS-0IO8-Q192LIh%iVhfD=!8(0>u{Yd2VzTIAD zF$_%7ctL#<4H&=k`M^;LQ?MYTw(=~|eLsWxkukCQi977Y)^xql=W%X+Qpl*>IqC6Z z{0cABN;)d3X&8Jl30|Mk(MUCX-*APTns0Y$<~Y^vitj92CwJ2BpRaeR)xr{eI6#E- zt@$zTXYbbq6+w2_d|3|rBZK7_=%8uIBY~EFtP0R)oz#G*s6GjT_oSUPw~0u-SjLxl z!Z6Yd{n$5S#M~LHrEoB+56jd?;$+Yi~_SHxyyYAisZFbN36 z(GMw8cayB4J~PZ_!DsmT|M;b?#~nMWYDvjCGCG6Sx*5Zz#kt#BTWUZ&swOO4dy~Uy zXh}gA^e!N?3^iL5t2JJ=SYcezN=uAH7uG77Ua&GFdb7N-f1PK7?6kzxj{3loL}GP| zd&vHkg#d!87}?d(BXJbV9V|M{m7CKL>bgQUd&L;f-I6s`9vXIpg%jEk6q_C$A=NYw z;4v^Sa8ZM^$VPLb7rD*T zS>F2xQ|OpBUa^vF=9NRZ^_Z&HSpP(>+7y{PHQ11>oHPSq|7PuscP4l=>9Mn<+RnOb(83b$(1Y---lfTh1W^n z?5MgA?qHW*Oh+oeJ3s2Cuv#Vf7 zHZ6C8-A0Z|xAV)coTJX%~l_!s`S{t7BBD5TV;jKGcD1gHK=S zFhcq&aDeoeLY6emAicF+q!Cti<=(7=2X;!Z0y71sr+)Mk6dLZay6wi0d2rT&vY{={>GIJPt|J8O`MpBl@iq=YLbLWvvA?ZjqB=fR~V%Y?o&sA3TNCI!> zFGNRRCWL_YvWaQW$wFf085ZFL)f-eNfm2*TSNk>Uip8IdTpb|pH|MbAoQ+dC2$Ugx?NfHp*-n}`CC9_X2qXJ6a<5d^zs;JTIE_a%bwTfGjE zwjLzi7g?f<9sTP#96zK> zLh&2UGTMftEE|QU7$UE;S&lyV>Ov3;_J(kJ!23lEmgL;Z0d+EGPNlF~rz_YXSrK##$F=vK4mUO5QST z@;?OPZSdCXU@qN6r(AYsqaD2&0i+UJQVn6fj8^@?fT0_BT>;8Z*!I#t-xI1C>fZWA zMjmH^?%ZNa(hQu*LCGN}3UEqjbjcwp3ZAu*fXvzV@0m1PPh?Pdo^}KCntwbRCtlLQ zRS+MqaM>9?gFWXu4(VVy(;4X8UMV0QFeQ}@p9-I5RN3U1|03D{1P?jkM<{FpUhm-3ynxYIdD0_@722K-o_om-u86oo7dUWQg-TXw5Lkp zY`rz_eEIstELWmU(y4rtcix@HFRaHL7M_dOLkvFL9XeEWlt3Ed%ZU3$;VOSIfXp5I zEe`bc8XI5=@ty$OC}G6yj%l@gW?8sqw`+lM@?fDF>BxDl&KSi5l|zx0fy#Q4*i!#; z)9w@bBkceW)3*`_KaKX+dr`2zxyFez8BtE)Hp;C9_IXj{R(>EY`oa zQJSO|iNZ?Jo*T(mr+qE~C4%JQ#^Ji6_yfv{-EOR8j80*fT+Bu&eYoCBCn+D7jZg?I zZzCdOoymA@?IR+!%4HB(Jw!xh?^`NS&F!)T^YxPWRRAFoi6nEag~ZMW_uPeXaBLz* zl1NseJ=*PsdosXVC-J8Jv&g`=VlbxFa63so7yt$k#)WlM4jAe-ZJSYop)VDuhLT-1 zqqv4P!z&UpxU~p>S+Q4+1JJ+euYu?g6anZ*^~tFPYFN1n=vY8SSgFYHPUJ7wPoTC9 zzZWK;A22L=Z8r{-_m;vXr`|U{&=<%%2M5OMhKA;aD{R&(W%}&fut-apy5n)wg9GIE z)jOlURIi~1^xni_zEjRq==G4K*@vG>sk7wkYk;--cbMF z;O2h@PgDgtkZhb3$FWn}HpEUQGHov#$8?Orne^(~LvbjkM#$LABw2x2gjmtmZ8mle zFgpk7?rFNtTM#1tqn=SNU3*RG93qvEmT@29$8FDj`P1i3-9R7UY{TCMv3@H`Kv5QE zG?d>o;e@}mmQutKF>sduDr!5pD{xi?2NhWa)c^Po1dkaY7%7Aicf!OYI=kI}gm2Ff zK^^&&fyU55)Du!w@6nY{mcidk<8!Uf2jAcH$bmu5t~p;L?C$K@^6-0hM3YN}M-!fZ zPaFEe9sK?xouaR2?7#Tu4WV|0IQd@bxc81l`JAcTCeV4xuI^Wb#U5QUWTsemj~O@a zZiQXO1AA0b5MaO zjBCf}7Aq41S`F+;VL3frtS%b=yBd@ChcpZ@Ra}@O_=aH>Z4kL`;B0(#zCGv~NZQt8 zpQ5*$q%Xyi?h5bBkI4>zWZ?%Afd%YRPDkQu)|L1-33?Sp2Z;-5 z_-~I9`>MW0t=Kl2_;cSh6{l7YI5mf@*3bfxahx7SJ(>+v~Z z3WApishOgal>{jUn>?w~o>(PdVnkbT&h#Jif5{*vwxL^)t7HJz^y{OQGZ(a1ayRhW zQB^Zu>po||-*91k_jBx@S97$qj_i7=0DXgh&$NVL?O`81hZToO=3YE*XX1+(UI!JG zF3nx!dqy)pSvhE2L7w8$s{pq6m4@jW3NAeBwyB0M<8wuV41DnF%_QW348HOwPgUsS zlU`F9IW@}^+9#mwHxb$I&^*}YhL&L4Bl3y2NP^7O-f)-|N%<0s*QaWb&H+b0LoXg; zp+9}QfF1Au`9~kCe0AI2j+Nd2a5f~LW13MgV-5XgavikAf!;UX!w$Fr?_WPTJ1ZIJ zVxf69v@RDTZ;~rwM#Eo>i7OAnBB9GO*l{ZW*IW&u32^nZ2UdH+3mlODOwF{Kk+@b0 zvVn(HdA;2Q^WU?+mIt+B!wHYD0i5hoa3KKMjAWJFHVwhw;|dAtSCJPUp}+lvAFm|D zL~YVr98mw=pp4<)_If}CwLw1-!~mav;(tZQ|Kr~(H7if10c`Oq+j!oNpHEI z;{uMq@5AtcJsQI~e{>ukWiV$i2LRr@S;w=*BdU|TF+c?T9$CN+)a3q1?fr0#Sd-n$ zc*qt89 z^UD5FYCPUP(@D|`+zX>HKw*yZ(-AuUs`wTXb>4^mXG)!RA-j&44no;iKc(1abYE<4i-7ErbZ^ z&&0um`o&$A$vgv}*3E|}qkxYtT>OOvYnOYoLa+dLtv(6~>0GCf8>xh@l0_5jmLCU{ zgbtpYGXmq$iG4iN<5z%q*o?UNSi&V|Vy1`pOhT}^6iv+VeYBm>Pz-KoT{A=2Z-kb$ zfbm_2DubsSGSAW^*222)BncNd_6z5-7XO;Zhc464M(xHuwcq=E>V zDyA!BNsL93O3*|bRMAKwMSnpOEA%|PFRx`Dd8W}5^j+`JvS*6a>B zd$x0kgi+_ z_`lRgE9$iyR-H9gGA)UI@PD&}mrM{|GOaKJ=ZpesA1ER;H^DGDNU?>5uf%k?B9X4V zCx^7czU^TO!_s=5pRo=KvUy^^;~VYc3e5{FOdJcewkky;e$PwzH@zVr0!v>$w&}PL zhpQl~xQ)CR@x(U!g8ym_MO;r$KCB~Vm!hHcPE>CDmtz~5jdIOSgIa(ONmYWL(RWq7 z#6gIy{J!k3Q0NYaOdIVnW3D)3R*fN7rK4d{)}NYguyB@caDwEk%zj8gW__~S$lCL;4;k3i3k zCZO-5bP;lLI|2g-eE$n37UvISc}=)h;tR)%C9D6poM10?yQdI`b2r?HgFsKSk7&5Y zwl7}$xHXz*0Nfd@b(Oduq(*McUIv-B9m2TU@^A|-OQm|8r`yb&ab}3N>a}*nskzn+ z59x;DEKOUC8$YH+Sg<a_SzqUAN`D zYh!)nlxL^(iDx#o%5y(ERu}h5X&}zA%lUyezvQy+m9JWic+)(&5AFFjj0sPqH1Do7 zZ&EDa68n1$9uQ9s{7 z=A+2~uOEgUWENtnECDX`JXQ*{x*E5FcJ%)h26@K1z&5DCRO?Z{yP!1IOXEPM9Rlrv zGfpztTHa!5w`^6JY9auSEJ#2bSyoNfz#P|W%QiSRFS%KUA|fLmm$&DXdFI}E-+>z9 zU8LI(uKUWSOR&H8zbG2Xa?_-sbL4zqYopcFvsVAE5lm7~spOCXE}C!|i0 zL)v6Rb7L>JU>9AYOGG#-qwk1U{Y zR4MOuHyKy#sXq#=(?+wh;4~#46kLsd35B+GUWN#aIZikZ)dmi59bL@-@U}DvBXIaOn{*1Z*1%bn zrl5e=R-r|WmjBb%_u`e>st^44G?m<%@^JN3W;PG4`A=TgzKq#!tD_rhqZ>awT%6^0 zaz8sPsTkgk299`MemkXLT3)Lmggy9{u>Z!%qqDKSXE&o%z{m2Pa}|#qLc(!*;P8Zb z!}W{Ilmay@3%r#Sn2Y{3r49p9~QPM7^HO&E`4UHpx@ zdOA_#_4U4gRAK$G3^W(y!!Tu0Ja$(1R&={KA$O5Rb}kE|WdkYsS2t4iKnQAfM_)XN zWgVln%@Vc5T%(51&=cYrdB$t+z5jLpK-#pwSc@124~iP~+;vc1c;ZQHKe zwad0`+qP}nwr$(CtLs1aM8}Pe6Sw=lGx9NGJ>)}Xt}o{pb2-%;8EW~4bm1L{RaC?r z;ox#~lv%?$m2i>WXx~@N0t#o4lU3-EvL4_sw(N-JuwB)(B|(Bw5{*crJs8A%G<7P) zmkvw+n3~mOM`h+5C*9`8ft8~BvU|^sSC)&Br@PCHD8*}^sOU~Z+#U`rhZ?FNpRZ8n z5N7FV61U24$u=KcgOSsk-!c`LU#?>)15B!+S&sn=Yonm5*q;)!%n5Xdp=01EvlgHT zehW++hAE^Wco^!{7|`th28i|tOP=^OOd(yUiJ-+=Cc#FX9;0*a5VSCWrlCyy3Ks-f zd`V^H>=6&Zdi68GQkR0Yh#_?EW<{vEIN!810@-EdcFUs~t{bv(vdAuLMWj4$8o2w; zd1QstYDe5R9N^|p#om@55@M+*XWi?ONq?(ZyzRf45|aX-SY<#M-y!h72Kdzh=i&I* z`G9|m+Ta1k%wslN0Fc}SWNL^)U&0NhtudoXuXa`E38G1sR;9-0D9R>Xl?EXEZ(%=W zvq4&*S!n*=A%f)RTgEU40|&zh=1>ffHV2#x5u|lmBOD#1jt?kn2zsj zLY+yRedAEU^p25qqV~f{OZ$s?h@>^izy$_FVs@HT0pO@L(3>x2BKL-!Iwt+{Lw2{K zoY98HoxqTXdWl{ffZP~)SAl`}%PgZhehFFyoR;w9bE zN%6n*7OdT~2t8mr9LFezU11)@$u+q#e51$-Z0hVPkUxwdE!LUt?7r+Q%gT@?6-i4raE&rK_r@ z{!*C`YK2*G6^WH3VOO_G|BVbV-Y-N9Sv ze*3^~0&*7Po(h)B0kyMRvtHtffs>>#aFT~`fd#~kVN_49A+c$@_&Bi8AY_5}~;* zKp#z1Me;L~8N8#HxN+;JtYLpL3(4ap3}=|vk8E#Dy4pZHMRuj!SUI=0K>AzJ0;9N1 z)(!4o*biW+8`fV;kkcEKX+m3gAfEHg7BVw_u^>#1jjlkGx~iNP$rC?R$#3x15u_U- z9Bn=}my==AC3fqahd>uqa4;-G8)4HX+U*C;pJmf*8p!+yAUdhusXLVIgX{nuxd~PZ zYuX*m+Z{9m9kJV402eN;n;U+#?W6GOvwMM(4NBvI108_@9l=(yz1Qxm6GO(HhWHZ+ zW`j~dQeK%5X}lnB+xhUV-Pae)u7;$)O76Khil*K#_uw!Tg`j??lrhh#p^U||NusAW z&QVC^e`q}gd}?nKy@KupOx=`WmOm_z0>1f3zxO!z{!0)E{TD&x_Y6t4BgNi+N&H^~ z5z)g5B$=EHySFs^acE$IdB22Ezr^1^a6p38YWKoYidX28Ay0R=xKwuHu?&s!<4cZ$aFv5}<2Z_ZID*x6M?^adT&A1*xs|W29Do5N0m~ zsn{6jwU7Op6$m-&QQ^E;eIFQ+=K0ltf}G?KMFZ&|f&gRY6^q671z%Yn14YsnZT=TQ zkH4T zX|tX*pgF|zdN7uZ^ql+>M8}qyJ=)$olljArY9PJ^>eV3Uxyd~gJ4M`~Z!6r65>vdD zC)Gp>E)E8qHOA*191@?dJY=gTDGpH_7Rl8F(h-@v71(PRYxQo=`$~1*a@Wl(Drgl| z?vJK-h81!6q(cYSpA}`>H^87twm>v5uZT=z;LMF)e zP-c4LS_#L})7lekPw9!U?*Wa{PW1_@WDkL0jZ3|+D8+}t=RH8=;vA0!8VqmPjody4 z{YDz%TZ+RuiZL2MR#gOI#2_MAHq{eetg-}@Wf?cAiXqaW2 z33#%H-TNnnOf@~0-7F_d=BRkKrV~` zt}(H?dUUK6=wtNo)azT;dmK>+PziotCt_y}U+v3F#Cwg)&NRfL=4HAroxs+M-3HfL z#W3gm$n&=h22{t+!wsG+Yf4VYQIU>p7YpY;HA8mBcE0~li1lhp`60mm$Y`WWR2 z@N~%s&(ENxyw8z1t`K$6{D2# zZBLV$?#TcPTl{cvv$h-d8%)+~wOJr(I(#-&LDcc_nGr^1+FeH;QeHL7Eq{VtV(4_D z2Byd}J?SrXVe^3BiNKjb-=vFmxu^5va(|`$);iY6val+9wL;leD z|BgwPIPHKJ0KQ4AQwL*gF+b_UNM!Q*1t>DvkqSZJWgiC-!9RP~+5%7YAY&jpqHyN( zruczVYniiy+0}1-d!U#&K5GD4Z6iGAPezh_(@nsqTsf<1 zB>1G$;oEvx431xvJu}uul_!68&^~* zJc2x?hh_tE8g?pgLpfWmTIq@xu3cA-^+B7f?W-DJB|@}DLZnuYq$TFR!Cl>A*mb62%$za zX~X`)`V1Q|AfIPGMc2tS>rCMEEId>QZPdO03`27JA(dmeJ@mGeaEr~3D?-5 z3PmE7EyX^&F;UGsqsC3?f(RjDGsLC9WReA;2+kLm&U?xqO-()58v`{{=V+w28J7k5 z9g5h8Vfkq$UCKeK_Q7r~oFZsT?o7Yt`5T6TLc0P$V>5Cq24l+xkeR{izclvA0T;0W z@KJFUBs+DYsFGY(i0%Fv6o0D8Y``8WKFc1n%p9_ z{q{8SMLlye=JfCUgkm*rWCAFukKhto(9dOT-eU_jxz8Vz$s{D_zceJ#HFb@r6I_UN1`0OzCfnr~w&Q@?2dT36XDw+6US3AuAhA@s{Tx`Z zX4?oB?>p&tx2;?gojP`$>GkqF|I+|3c=hd&-6ZTJCw>2F*|dNnOzB3VV%->ETb=BT zK+!>ze`=-eFd9OD2QUi{fqZy$G9PT$>8H24_E-LXq03)EzrPDYiA|dtr0i|T{{Uf$ zDo=Ieh{aQZ4Av)kIQabv#PR)1Hg_Rb^_Gx(z?5Q^GhGMD(X;N_o|zfJ!~=5Oxw z{FPb@_*N_CYxX&U(+1lGoI^z;eY^Kb!}n{epA~3w;(5-;#)`~up2f?c_Mi8bW2f*u zoRHWHzLwVJx5B#4v~Y_+btAo-{_qAT4ca&)pL+TOXLW3WpSfdhIyRgRQN=CrByMm) zvfI0X2*GfN>&)&5toTFV>>ZfLIN??;e-85M2d$ z+~GkD&})R}K11Tb&^PMQleZKwwgzbb{)IULGCF(rU#_Jm-hYVFZ2e4;VJ7vY;uq4&czU8VMCI~Wr84A3#MiqLIH%-P7LTG zbk6&&VB!&{)#&rurTPM-P+wC5CH3G~JE4D$x%U1A9VZN~;9XyQub{*G-^K4<4M9x= z=Uzko`TEj=rVUD3`3O$OTVRs*Gk&2ybgQtYUr1N_8nb5V2+81iAJ=)-L;i z6gApL>fB_QZCs}(Xe71n0zC$;kAm34ML@Q2QxpyRDbQvzR)dNO8G4Hc5(e94n#+g$ z!*g8&+3W36m@S+6@A6$y(jMQ=cmS=49UR7X>%)?U-f;KeS6oNAuPotoDA$R z^qh5~BkP8M6;2{SA#S~4!&24HjkJNl_0C!l5`nT0peZP~{?IgKzzWijH1?hKC~AhQ zP;`uchzJK>)Qrssdpj;ZFsRx=#pyw@C<)>csqyuq35lS`i`Re}&cYB;^kJ!$Y8T)J zq4IGOF#Y4rHd0gIAm0|_#m)4^&CSO-))2yTgOE2^>z$>I1QcTNN#Jst6rk*EbmO|I zsNy))<^$AlEjNYM4%*aJ=L6fsRhr^)r(W}M#mrz{9ZQXP%M_9%9L;dUgOAjA2Qq@9F#nMIgj0MdYQ0~o}n$d7Nf)jxX?mxwQB zG>~1u>LihCrg+gz@Z^yF!pX)7m9%U8sg2|E&S{+fg(s2+BdA_za<{kMEyUZ{f|Yu~ zTp;C$yJJ>yC()fj+gA#xPGG9xmdImTl=2WI=Ohs0Sm?L!pp%oDH0X9CvPFPg+-2%Y z5;Sg6G|djF$Bxx_H7G)QnYK^&O~y)^+M;K4A}i6MzL@1bvesNqM8J!Oa}vr4>-)$m zaP7>}UtUs_!XmP`jf1dwk0<*&G;KHaidqRz6Bu%c{8>T(z2G4r&dqll(pr=t>5*_S z5aX%$PiBHtpM2=IB+YJtP{B9vH*K0W#-M>$Q0$}!zPyA%;9}@}p|G{7-&+d?$Ldw- z1M7M)9q67LR&I{HV2;kV3}ok3_6d&jERb3TIHs1U`HoN-64RcCyMHUpn8?CCg@3_{ z=)k7)xN)SPPoMZ15294@Y@nk?vZ3)GUU77(9!&tUnm;d|FAI^+X^J1cL7F&F3^-K} zz9b51EZLG{ReL{)<~Kh`e^-}65Yw!!;{WY#|`9V4Bf}=UL zyyiukSH%#ar7d}CNJ452eqYq?+?ZKJwaqSU-W1e}Iv?x1E-!GQ(ScIIcp=?=!q@YP zxvx5A27ILo0Clg`68GUM(NRjsi}Rkp*v_14j-B#Y{(Oo>c`Hp2pNmF9!UNVI;tBAv zK`r*-TIV4_<2S>X5bFlQr5bjs1!|5f8_JI>!715@QRP8z<3?7O`7puRw=-Vgnw{mM z;!84S3#$B6zJW0TsMF7{0{W8Ab(#jyRN>VEmPqxBe?A&UXWvK1*geAb6r&wexBus&#Atfg0m$+whesmv?2{(Fsk`{o2_h_x;IChDn>&_nWLdrg{9SYB_vU9^*i$3*7 zy@jnK@x_}jZGr=T-C|o!f$eq*COMrwHA*gXJKYN~t%Yrc85EpZtT!!mY3z=T>mK;{ zgGDL0^81_`;2(MS9uB2WMw09kM%ZPS1hYK~CnN%{kEsLP9wlYZ6;6xMF^?pBxH)tTCF&!TH>A-q=?ps3;l8~UFVVCc60kH(s1JPKK$p|7%| zwn6)#Njke9tcTJ)Gq%}Hh+IzkxKfTak^OJ46s2QM0(B-*AXSYZ7}U@+Lnzs{)Lzra zzn9)G3_IbkD&!-Dun5yw+?}Ps& zGL92($jUQfo23! zR0G$=KA5!GBgDYTQS9Y>PRu>@EZ$C{xq>{@MSkD6NCg#_z21TIh~4pfxj90kqVA3T zhDr97f6=dCU+L!N_KTtP!=7{p!IgLWa?|1^)V=%Z#zH^tyyZO$Wtu4cbDSJv0EhvC zhG~C}Y>-PT*$u{m&fCg`EiQ(1;#+cq;g45<6ay*_?7cbI+c(qmxNwPut!6LXjdlB~wk?;M zx1X0x%XZwT=`j5v{ege!;Jtr~jc8LRWcwN6iI*KAEz7lPO zItqt0X^76=;3V=aWr_gouT~N`*1ww8zK;aCbmD};j_?%8LP!hyJHdGVpCY@N z3d&SUYm?vfFgE#fyRV?5GMX@o1y%H^gF?bv z^{>G7LS;6Z(tjQ%OHdzW_Q1`-Oh%(m;=3em>9|-nL7Fij)A%S4=8oXk7WbJ;;Q5&~ zmnJnPZ5X|!qPoRmc(MaW{SmXv{sLa*cinbFNUO+v$xuahw zuqfe5J-D;hIppE>@!M={F?l=myIvt;h4A(%|326{gZ4&2|LW-1QJ!^gv1Gf14K}7e zT;9alpgwE@`*TcCV|ABp$&U-Z>f33F3dlaK7|i|sg?ebzK;A#52Ng?3Mj@ch8gP$g zvg*qnT?^_)AP0BfNNY5@&~M4Ft=))z55!-okX=cxuWh>tI!y(RH2c8XAntx7AL-r~ zi=t{ngfNi3QY!7CP>FqARjA%Er8P#-AZ2y?PRO z8t3&!r}Gkn;yAORqy=INW8$i7o=4%R1LSqrSK;b|`)cjeF$qB^hE#Nsff_jLowB6* zF~(=Mc7ez-3dU8?C*#qylV)z&7wE*u@{S(}K+;+L-t_ zv_%V0igO#!>Jh|8o&{EL9*KT$G1m}-o-6}gS}G>{e5;f|nwSpQP;NWmd3zF&@p66? z?!><-nsFn0_*1hMMcg9mC?~M+MKN&7IV{;`g*LZ zeBh}VnFAVD-%aS)iDAh$+0o8$8UV4%-R)DQkO*T~y zP3@)>Iy7m5P&vM#Hc2}E6cg*x*st4OZ82(0kCEdOipsmn*QgEV+=?C8BZD|h$1nhp z5LaYrqzQ!& zH8F-urkb-5lc;9WR!pO&x77CpahFMRDaus7oO*fIJcLy9a^&0R-i9GCpmVvU`(^Ix z#YwzH&T&GBO~RocaXuKUgbrx=Pg>ZB$7x5XRncAz6|ldp}n75v#slJdh& zu~*YK%9J>I$z8!2Mf~%aLGmpiE0~xXIR1|@iT|wd{~D8E;oxBSf5arB zu|^QRPE;O=Fm!{xdO-XEsw!1kb;a%(?EnPeslwxzXRkS_IO~3RPn2FJV>LC70sP`Z zOoz(Sv#-yq|E_5Bwe9HibgW>K;kT5*=@%m{MKd7Bar*_^ub<->%QEuC=S!?w|M1zn-p^zTdC^lasg``rGt+ zLgva3~t@c9Ez+r$2QEaz>BAZ+x)Hd$FZ z0#L630pUMGH^8PJ)9-O$VO3R73kiGpHLZsKnpVSxSF2_T7cmcTO4Q`PM`!&9RAVJ- ztp{sDo%;UeEE8Rol5EvUQ{!wwVUe%wW$_(Qv@-xqD^DXylu#{!U}k0?5e`TB4za`2 zS46dnm--I`_ za)x;rI9S?$X0%q~a@UB#?8Fd<15U4nb`LE|ei?`c+ln&TNZiNws1h3tT;!Z=1Zb23 zhY}9W&J0QR9HP4oQ#Er#dFD4JF$|_-40JUM4m%`3<^sfA-Zwnvrc8WOv`};1B}^iQU!risRqKrOcrFz$f;EzAPo?`9eS`hIq5>!$30oEBgZdi zY}z3ySh~zIsY5M`oE<6e&Ht55@TilTfc0nSwBOwBd*cvpIJ`*c=dRsjPpQPhpq6$T*u&3ehx7eE5{{qtHcvA5sg&Ug5g!m02Uj)B#^RQUpp2M^6GFtK8Q;MV1@IOO7v0Va6ku4 zVD+Q*rlBysWnCjYbYuDF)M8_xX#jB$>iJl|vcS88Mn}L*ctb+58Fav1i zzEkH$Bp45TJ3HdHNzeIVUxUC1kV;kF%2J6#{IRHC*?$f!ak4iM+H_1|kW3=h=(s`U zU$&KMONQAzoCB-M&{WUx+*t3JZrN_r{(Fx8w+fk(v92-H7)I)l(dJ$9$X-K?Ts@wF zci0VgW)+1MoNor<)L`E6ugvqNM@HJURbEus%XrsR^h|bgiE-^et~tlN z#{oq?ZE~%NusSXB1J}GrCb~pfc*{6ki{&83GXj^da1wYZS$1mnZ~8(>OHi_CP_k(% zcG>rA0(`-UDB9u~v`j{1?3dhK=G37BRoltm(+!mDSEuSB+7}l|KVbT}Y~C0+&N*Sq z8ueeIRb*`I(S#z&NOX~C%p*bQ&qnT+d5Ag`xhe{)h70q8kq%;-$V^}@4B{6q4BZqD zhwkA@EWZemfJ=U*$tjj_v(s z;6X{C!L&Gg8A&EHX(lt<9zA|JiBz_k#A0-vqbi=8vGJW78rzQLMutPwslXK5G_*3%=>#M04%4Vvf|z_Ue@st@G9Z68kX;LU1A@(0tF z+`*st>~@SN``Kp)F#q{u?jpN8b4d;47=xm$W&@pFN$QfYu|QOCzb@J)`#*m-Cb;Km zmqVlTgA8(Hc0F+*%?b$t^?2li5pPKZ&_~IWp^T6!gtZ6wg%c$PjHd*qok92l1E!8+ z{0-@E@F1kO%0MN5)@dX|kd!Rx)bPCeT?*RzyJ9%cq(JaTbqvC?8vqVC=t}p}Z6) zQ@4Rly#>+f-HmI|`9+bj3I6V0_K$6h9xUULk!=ghJ@yO z0A%vtmuiM&EE*zy6d3nBCq8f*vR#Y|0zX*;RwT% z-3O)L$jAgc)Tx}Mq9$O|P00$$)OGi zW9e>mmj-}4!@dFB?cG3I##qPPu~A?N%xNwnFqwC&8Vc_2`IOioeX16VicAFXbg{cX zd>hUPv7H~{n9+y}vMiHpE(Xsmwi|vUs~i9Gj67Oz`Hiz<@_g=;e?0#;WcN*pN-wh8 zsD_=|Q)Wefz}kl~z$9zh!cCq!wtN;Gj^4p5z>W!7nNX}V@H{(?JIh!q;FpK;Tn6q| z@yu%9J8l-O`=yJIyKcp=#!OU1G(z?Fi-?_^8?L0K9*)HVfl8-bRmfuR;pIFz&1!r# zL;w*ibwFV}EZrm=aC(s%&P7zzi_{E+{Hx3DK?UT`BND6K6-w^cKcvMLD!c7-EdJA@ zTv0CLf535HcfqPv45W~ivE%uR*@gh>Cb4D#2gZGzUlq$oFLVto>?A)L3 zZ#420Hj|T0yeqmNi=*-fHATAl@&MvY#--vm_{P81;BivPu!Ty)8=R%r&T(vB*}<|D z_J+&>ae*+qsi;eAq=NpzP@Hdv@FWCXw3G7&xR~&IN@#x}=T@3oi;qvO*ZfM!K#bq2 zobLJJ>*q`isI-ShVe5F|YRx$@>&%tewvn2$&02OdrEW$t^%9%epVW(!|}*;ow%WB1L>}c3ZSRpj%9YH7z!fOqRerE`vw$+h%$-D zMG!~Tl&PpiQose}uMK^xrh<1Aq)KY5h+5n?kBa7xL5-g!(L{O~TKc4h|Ie@cILbR>I(bvuiyfKIMJeFz( zO1O4a&D1^!DohcP+RhtiptAvDS8-#R;hr3dL`(>y5B-UHzR1OFNry`DT>W})gH{f6~5{#5WFcyiyB$tC@z(XsJNvy~PHWd;l`6e562xgqt-RME}s)$wZZqu0O$EWjo)1SL-XGGBo zl1R@yB^of>FiE!x{}oLc$#0n&OKC`js}U9Z&tTEP%vac$u{Vt|U@_U3zr!^sM+M7$ ztf{Q?hA%$=ZAs@*Oa$OBax!E58NB8{MRpF&@mo>tOFRPb24hG?oq<%mee6fNrM?7k zl|`1vF_vWU%_NkVcN9kIGv?_GM0JvK5Hs8|a$ZE*_nL^#>W_tL; zx2Iw}GFa0QkS(Z;ya=HW#YF}@639<2BT>0dX=Kb@$@=~?*w@;1y>W~>b$1PT2q{C$ zh+4H7D$m$1xrC{zyVE!?6p^o*6&bH1e0czv6t=ESH<8~9@@XC>(Fcw_i?aJ!s!tCma9VqgDqW=5t+Xk+ioXs_MLUvT@C5oiv#Dc7KV6p|5JB=)BpVvTE5Jh40&T~!B$NUfe=4N zEZ{#Xd>LTYf=+FaOGl(iDH-xwQ-SB^q)IAG1e#M1OH0zF)x%<8q5{1M!fyFk{YL? zzwMmQBfUj~2PDq3%ABT+Yd|$e3DxE95v&^5Y0MF|s+xLX@tC9+zZ)%y9yFFGGkNRS zBIVM0mqO(>syWNRcoY}=`P)mu&hE3vT;z!~Psr^zkjA!jRZyPQh_VP?vTuH(C~tKP zlJ>vQN;nL*U&87DL*Oi06iff&8W}U)Z)zfug5}McdKl!E5_4hvHabPyXUGQ0#)&pb$F6MmlIg_fcOI0 z#B665+dxmp>nLjTs-)wB)x5=|bFP3EekUj?hOiI6Ux88{qFV19z3DRskWt+PHe+fD z-oHY)uSiIP%p+(Fo=Roy6nYZxEkI*$WEz|V4$go-dO;7&wt>BdT9oII|cC$cEI3eZQXcbeJ zNS+ecbT18(0Wl|PgDR1NO&_yyUbx#fnHmbuwvoUHZcq!&t$dt~Fb|Pvw!^2Y@ea!V zac*%sF4a3D(FvxLNU+F@hwyo)_BOmLIJ7MR9HA8YVi2$2NTU#gu(JPJmuI!t_akteD^eP_p~^(3;VS7{RB#nMH^O?%h#@* zexWEz5~xmf{{V$)spZUg?W3qJjqVmzV+W*Z%6w8>Cm)J$E)vH_J!5bOa;Wp(9nDD& z+Ah3oJ!`%RurQZgCvXyRI-k2}idxaw+Jb5n>5{P5kyG@O1US@g_Fgm=(Sl?@f-&M6 z`MdiiU9c_RUE*+@w*Pon_9OK4swY{_)SrT(uY%esHH?Ag zc58GyVhV*dyFr0tE?on&ak<&zu({y7XKCO37{*I8K@7-dNA48e)d%_oh+0q#lXV;< zvP;KIP^wqa_K@dnA7eYLhwiMqj*wR__Fl6Pg z-_PVn_Q`P1IQa_Fxo~gXH6}x7VQNHOLaO;-ClrfnwiHFhlZyX7PA@k-ZcJEFvf+eN z;Uw7YoKMokG;dL=>XbdPsQUn0lUie8*zx0RjKlTPIA^WdMgn`tz>#TTsY#zjLfoYB zxAN|{_oF{RYT({qd^TISl`cQ!#c^cK5~H#^>|sAv3>Xd6Q1$1A$Aj|n&W_+7aB(Zz zV>K#Mxks+G^#{;y-jj8S?QkMprp|^fSBw4X5_3;Pf9Qd8JO2scwVd{iGGX12#|?=- z;|#sip+p@qcKFXE-bZXM?j|bOKF2UXEU1#8-ISquhfKpa^Ug-hsRcJHtMaT-XBV(} z>TSgJf;}a&06)!prSS3^X?h;|UlHy2lzEsC3YQtHr(qLdgk9gjGKea*PmGcj&WnyN zzgiU#^Q`SgRP}T-ot+wmB zftJ7P1^~r@JuP*m!pD_ZBuD=vq5@oTfle@Icz^+W%%k!r2KKu9WW!Rw-d|X_GgNH9 zwU&j6n4!b%6n?l#yKxbk@)H$U@oFU`H+wkV$rZT6i}Wj5OQ%jsYn-KRW&@Jia4D%H$sLkdM#j+6Hrrb1E5DD zIk3_`j)6(*@mIPqjQr=roUnR*QKP@S^liso%%^8uX4R`Y)c4DgPePrZB^TE)Tq#{0 z&LvIqSpBMT6B*V7YAqyn)V~Ijv=3V6PF}dNE6GtP(i+k8)r&>J3noCLN9BqU*wKjS11S81I48b8hW}_N$uB!Ce#wHoo|Qc__iU#Pbp) zqM1PAv0;K^_*s_70%6Qi@ur+K1=Qn(mg9L#j*-#xcAR6)wOyC^RK{+Wpc|50s(>2< zP-UW!@*jQv4CfF|v7D+n{cvRkOR7$h>A99l2c?PCr3ItX7i!p8)o}j~M7q~?aKXR%vGxD6p030FQ za4Sy(r%(N(Lq#$*_aG+wCQ@aX&cgD^jY)*Vl=>5!!>ffCm`bDIN2?YOjluXIjMR$v zmEh_~?D5!YWh&*hX1H$cxZ`^ITW(`s-L!}B!fmTuZgT==z;)oNq4_Yh^=ay~XN8<9 zc;#c3MlSw5jlC;*C4J|4EB|DC7kSJ1l&)a*1#g8i=SN2W?#?>qCeU?>F(0C)hFK3n z74$V5>@*BCQm1OytQ4A`o2Q%EHS(>hXm*@?t5<`z^x^A5)-_h0KA@kSp9!7~J_NEF+0!|oa73b|qGxb+ny15MBb-G{hS>GhM+O_=H(rLi+rK(q zdakVZn%i{jY@B;8ymz!z5>*q=6?pWrtFv*lwX?gk0kdD%L)Y8eId|SzC$K5yjj2M` z?3(Z|N=^SOf3TIF19JqZV(;dBdxgx*blEcox@>vBvMK&qh6l1+3cp38GhPgL; zEAf@+EY+N`yc+!h*m4;V%LlmoH@7-t|M7mShn%)&57^N zZElz2#t?gi!^0CXQq)t{U!%EVJ8ItU)vAv?{q;;Fe z%D(^>DTYsGl*Q2UwYz@YKQ!8XTK+9?B_r`_GC36-_5+vJkQ+EJcN-c6K{iK z?mElDaVLCqJ!R-sG-LQ!ldB)(@Qpz<%2(gNXUFHRoy1EitD@GD9`LrxD&{Dj%-zo| zFiw^E#&rngnD$)!RB>o}t6LVdC~8{iOoO(Hrp8!T)bS{5*5r+7s`z$ktnqm+)mr+l z-dZvDuFr9cP}Z>F@v=6K68U7r{3(52L?Tu({<$%wQTWkoi5;qqtZo@zboueQ05BNv zqVA5t(SK0QM(TZ*r*J42GI|6JS3Ca1f9Cn{Ghdvuq|zvBG?F`o%BT{puv(g?mc4I^ z{lqx^`zK=YPlXb)mc8AGJFPXvlTlzy%mIVqRTM+&mBEo?&b z76Eb=Q3vxdRAe|V5ki0L&_Z(dQWlu{0@$d2Xl6_+3cxE<7=2U5^#$Zk@v&FfDSj16 z0XE4Y8#7Yve6?4cuE^{}S%(nNa+|&_AwcNN)W-bgVPFO4SFY1-PZ>osU zwMe)FGx}ECC-0M83oLUuzD~E?%R|tr@O1iK zoq@2ojI4uHs}ME%2A#n&x16j)SgSBL`VO5zEq8F+PICPou6P={Ql7q5wJf~U>piTm z5F7ejmN7WD9+#mpb$@vKreA@b`%0z_O~RR$(vGEFjMqM26GP9|MY*`c#U zru9w28Wz=#sa-yQBb|~aNt@(sV^j7Ko0KhMSN0*BWnWKFZBp0C9*5c(_`pZJZ92HI z0*tF)>t7u(fHXozsW2&sjEbUTrWi!<WrKa@2Vf5JnuS^y1V4oZ)&phsGoHm(=a({twaUe543VA1Xy;cs1>4#adWCT3 zmpcXZ%ENmM0h~pA@1<4+h|uG(3`wKMI_~FAg@@Kdr1mqaMN;lFR|U4v!>J5`t%YRm zH(mwe+SPc4bN1u246xCqx_th>09rt$zfD(>Dn{*7`_%!JqGDC93RY<sm8wEi zx(ZX7I$9^{7@edK>SP_OQ*@k8)$v8mP0;D;po&uoDqHPVQ7T$Rs2sINg{lk{ulA|{ z6$l3*7Op}hT!N#J3@MNbnUDk5ARUt67!*P%gh4n&Ks3Za9K=HcBtjZwKo(>}E*yp< zkO%ot04LxioPyJE2F}7cI1d-#B3yjDa*#}rKeq!4-nQOu7*=?4m z`h&9QPs*kodQZ99MTfMT4r`zzno*wSbX0pZQoa^cpdC7_|Jxj<#d$SUb*+w-fBg_7nT5@4cVP_ty8ukNI&wu)FMTyT|Ue z0XER?v-^u)MUV}(VK&@G+9(@sV{ELAv+*{;CfQ`0YSV1G&9vDz$L88Y_OQ*f`L^JH z-|VC+*t^c|F(_pJO-t1-s)5`~ZK&5Ah{_gs-p%zQ&&T z27BRK?2YfR5B`RI@ptTpe_((769?dX94K5kNB|BN42KAgLxl&23B=(-;0WR1NTG0) zD2Ag2;TWNDtS~rEcyYY2I6?UEW8sINh~oIED1o1elK8nOg?|&JaiS=Le-~x(3sDZg z6yVqA@NNO>miLipxba*Btjz*IakLYrgv!`ithcLbSk@q9v{p zt#GwyjcY_3Tr1k**P@+hFFJ^hqLb(>x_GC1XL!Hx&g8RsFrULi_*@>!=kYK;pNI1W zJc2Lek$e%4;){7SU&3SfQhtyxkK_J4p0D5ud?ioht9TM$&6D{Wp2FAiRQ@$j zI{#f>cZ#l-0X&X_U_EmMZgU!A$%l&A639m zQV6{W8d?${p-OL&j)+JJ2}uYLAQX|VY%GXK2mR>1_aagQm|%bayPlb>F(Cf?W}ewS z=Y8LE=gxi4+~=7@zJ(|8tvs1;=P7&#PvtxL9KMUE@!fnb|C!I@zwmUvhcDoJ`9i*r zFXH=o20s8}*dD%^ALL8hlz7xut7aaY_IS>mC%Cmvv59Dx0C5cb1?_z?~kIpVSSLp&9a#1oM# z@^B)4il5+TI0>iVWSojB7DGFhKn;syQ7nl`n1abT2WMj{zKP|r96GQpzJ=+SfeUd7 zF2KdO2$y0dT!UZZI&|S$T#sL2CI(`4tcw4|Abba_VGV4DZLtsb#-TU_XW|T;i)pw5 zzr=6x8~gw}Vm8eTp6jv%W-nN(pl-E^pF#jC?#6yDJLq4 zN|G{0i`C+^URrOhk9@@IX?>MgB~E^-w3SnoT=`hZQ=Tc$l^2Rz@o?abo4CR`yTX0A znOnFoFTw>!Zsn5OxXLwd=S6ujIaPixr^)GZhMXxAepfLraExy?gOX(}|`h9teYIHHJVB=uO#Bh= z;slrolW9dhgQ+l$erG}g%z{Li4atxKsW1o9U@pvq`H&6^Xf+o>2H|OxXBaFP%o11z zD_|9@fpzc|d<{z}{{oi7m#~u1)r7C5e5IjS>(yisvVySH-m3NBf=n-$AAbYi!gu7} z`d9KFU?cnp8@&IU;3wD&TVN}>x}AL9>HW=T3giD?aR7F~Zul8~f&alC*h{^#pRj{) z2oC=Pe;xLh_TNW20!QH(b>Im&38&x;oP~369xlOUxJJGBE8H^_`RoO_NX!*NufeTX zDo+>G=BqA~?y7f;-{2L)`YdEtUC0A{*Xj{kxK68_d`1M!zk*bX@u$BlJEEmdh1pf zxV)Zx(_LFYYp$Q$jFsQ{nw2_8Pr8b#G7XRa>D5ke#UA4KQQs9-=}zu?X*7Ms*BCR< z`(_gjI#OUj$^JffZzp=`fjaB}Y_}>f{bXETPo+f`!6^yW( z=6jWDkC89O43+NMS-N!1UQ(?i{*u?ZTX2`$(mlIF*-eT!8#~htnz8QXbuV{-n1{4q zJtAix!0#00P^_Oj2+5}FKA{ij4mot`n!7Zwhcu&m%D^57Xo zI&+SgTqA!59&m$)c16ZaOd(v4!Kiso&;NpE<0hq>F?hig#+jM+PhaXB!H`+0fAmTN z$sdKf%0w!i^ED7d4^Im8D$|&q6=lU(aoUGV(x^7Vin7vPrpR9mD@B;CkiG(RXoxD8cQh%4F4tKB$#F|(+^7$llQfCLS%B(u8!D>;(+pHqpP4Dzz){r>~ zsmT0UCHk*I&qp248W7W%g|aYWJuHybruQM3g}-jkH_fLvz&L3CKI3C!KD7VuG_{6! z%1=`dE`hcX2~p6`=a%U}qwwgcZe6={?iAUv!~5;qwQbY7Rm&F5n?*DY4+{-x(zsE> z;P)ETulH`2Mtkbvib( z&g~*8P6%~&a=5aLxRnueerhu?|nI`a+`fXiG#jx(NuD0zW!$RNm^Xp__5MqqwGKaYS zQY?iuw}mL#1q^s1KDI9$IPlVRte8&x}n2 z-EJ?|jOYB{e>wl{{2p_LE%6HR8Ql^$<9ACxD0|R+A?94fi^U77&J;nZ#Np3UR0T;_G)SL@)g_Ho348R8$FQAb>}Y5~Y)7PP z!X$D|=0%kj7>!g50C60?aAp`79w?VVl8R=AMU@gzO$>IaN|4ndJ3uh<*d$>wM0IRZ zkTl8se2Gg!)hCOr^Uv7w0C3=wxzE3K&6Fk%b%?p8>@yA?GHR(hShe=We$pft)r~=e zC*_>1u^1UnE?_j6F(6XKMo~vEiYi{h8ipQHUQ|hJB-ciLr)ThV{=8~byV@nQhbWUj zU{X4gfL$Z#G3deZm{2RLK~EU;0XcbuJym0iB_2=Dl!$R$HHbtwK@hYxI#s+i;Om2< zIS$hej~B7%5ywl#^8VU3*K&pBL1F_>Etc?%IxHBzo>gxM*U45sG)+yBzYLPpzc1)jPiBBU57O;7?7LL>ySbQTESkQa6o zgw_l$YXAnlP^OT9@imM>3uW5GJT;64j5RUEz{m%R)VK^OhH;w{7fE?u*Op5KjT|l% zgi^vq=@cG8I*ms*Dcb7P?RY7pJ8*{JqXZu{aVx<&Xbkw(h2)T#R4QPYQ_xT<5-773 z8Ddf_@9)p5(gR^vlc3cuzN4O`iFbXmf|)Pgb?^ibSrDpxi+K!x zbQ#2Dw20MfN@JTy8h^7!n>CpTljSvs7mYa%?rR9{B$qO#;wLF#2a`Y@3 zee}_ziyu9D0M7?h;?eGhyPmxdcYWz}BGKJLc_puL*Sl$svs!UW7oAG=_a~FRBlL;B zE*iJE;f|i@8=+GpOtLpZ8=|J9fr2nWna7`>VaE4b=@l`%JQ-m+}C3QTc|-UQ^QJF!7YspSVj$Od!4h{NxK-Q(-%JLo3qTd#~MdRM}6r8 zg7?^1L)zDn4((EjhmhxE318ZmPC~*PJ-gm?y1NG|FaKW#yL&V_Nb1o=w=ojuW}q_B z{uE^vrh&th#9p#c!bpF#J7lMDCG;leYe~ntl3tgee#Krdk0yt5Q78SFs{Dz%I^TLc zU}1QVn_??&zn!%<9LMbI-hhK)96|s6y+0!8ycE2^&p;1GPz?2>A0E!y4vN!Hx9#=- zD${?t)7&Em1D0r+a=#yJ4h6cqy^y%nRw&<7riQi^?<@%_v^xq(NkB@{yJ;$Mzc=|1 zvXX$Lo;l2MTYGlDYjc`=iKz)QJ z!6tiykQKgqNLkVz?5aQPbF~hhA3JruFqvq;nZoeI(nP}Vvawd)?g}awUdgz8MQvN`e#O1k+ItA0?tY=yr#LGgs68`R!>n6olcqq!nL>J33;vT6I;LZ57MhX zwu$o&->2_1i%HaTSwEG+yH~@{Ng-7TkfJOv5 zRD%XvLW6V!{3a9R2UzU86p;K5yIlnJjLxW1zo?5_U8#}^4giHrdMOIee(jqO&?K>1 zy90fMmP#xR^}>@ZgAufLabPE631q{Ol$%2DYE=(gjo23kUpN|a6wf(qginqF06esX zG8iZejr}AZae$h)FWklbxCHe=v&(C~7^{y%A~25`5VZXer)gon-boNn<_bItOg;kV zRkK@+yCK-^h9NYo4mfAwgVSoZvYCOrClU#;qb>I}lK_^XYk=kU{lh2N5-2m@_KOv~ zmPtjfnbYkZ(*Pq-gMrX7EXxLl`vHcm)o9LbIW#ypd0?o+8y|RcZE9z<{UO6*0ACvo z&jj4$Zj7Pm7e*)7oxXi`U1IyGna?KHzVm9YKw=Y=UzWXGFcwS=j!sOj+Ay|J;d!{8 zvs3<%b^~&fyxL|5Q{$>4yQ#5-CcC=21L#1MEx4pZ@7)f4*2iGNcAd2 zStuL_Cxn@QSQ%rVRz^h${!3-7qlq*DH0{u;8Z^yzh^Fi`L}9q4P%Z?_9*A)!4FFK- zC+{sSs$2lvxwyB!2Ej5D4yQazCb>8<2!a=87VaTe!ED0NQ0;7}NEmX9?yv}9c%T3@ z@aVLv|7V@sa6;3Io7&8bPk&0I{4zS1%&|IK1huwqKR%QgY&K&g&00tkFUlToW57wy zAZAd9obu2J{9@t6jx6i6b7U~qAPbDyWR+X%(RU~_g=3VN*7Xp(0V>JXCL}e6ak$Oq zMX?xK&&Bv?l=pd)g{V)8abDhrqj-J2ODebqO^e(S@|wQoJ4@JG!DudR&49n)l+g+n zp7VV@8xSz;(Bx5%jqnrXE2l^7MxCdagDoU!@;Ap~SucwfAB-;&@F5I_kuVq{d*RP1 zLCQvyjGM;p+7y3LoWI6<7?xuUIAvpySLUZ^GmYcmgx#Rc+Jc+u`9C8vW23ZRA>B_= z9w6q1HrF6#a=KW^WOA}7$rW6)@PTQS+`Me%Z8X!s#9Z{j>MjF@z@sG(nE#bU z1fF+KuSwfRx8Nz3K2P|RSjbWGxv2_KA3tzF`pzM6;CQW&TQxWJH_$w4i2zfwfL#xD zc9u2-K)kvkLa>&~!VxsI6!B6{=Q414NEk$v@ucNQvW`IhfXvS@t2(>#@D%b##Nr1OD|mT`Zit&LiZ9j1xPtwzLBr-U z%Y-sLZ`Xmvs1oy8uap%-Z(zdVNC?=?QqR`maX5rbE>~SR>{U$g$^3q)F;K@|bI4YN zs*@qr*3^UpTp``Hf$m z>3F$E?tcCB*^`+SBi+)DbjH75~|ov}gTeTMp*?JL*LS{e8<14t%#iQ5?~C1JI!}i3CA1 z>AqKnlf5i~7uSmM_LbfGO1nxcoq$$D`Z@vuRqHOTB~t2*Cg=n-nWmom#pNvnprzMP#t>Z^sG72k z25^mN{Q`OoH5g46BOB`|WOIEOU3&*x-I&%+P}W56&hECMcAwPN zx3RBHT665ep7geLS>A~Kg7iwVk9T=o-c*NAYEHzH`MzD9!$13ekKG&adP%<=@$){} z@6E1?$}NdlvZZgNYunWKI?RHbvjc~FA8_Z24Nje{OP9VXr**{{^`#x_Mg>czt~A($F-zkXNv#jyjo-1w$3QYOHmR@Q6edk zltjJXl5IUKi+;!!Z6|)!NgT&{Imw(ZE81pt7Hq?iKGJ6Bur>p_taa0^>5?BqfguZq zb#W4S^HwZC&@LN_W?0Yy1@fanH>@3u^~Zqzn62!i9*(1~TQUoV>B~z3_voDOoU?ob z)kB6CLPqsXI|J(uCyRc-+Q^U0P&&iXzgE^QNt8rOAdw2YYMJ9(;6Ym;BW19!wsxNk z77Dr~hK^5TL0WP#p^OExxfiAlB;XAlu;J2;5O4pV+wUaiJHd%+iOu9M+=tJ-^VD~K zdnD*N139@7q0TtCuGxw{Khwv(M%V+OksPezx1L&lx-xj;>N5yy9&-)ba&$bBc;Kj6K+LUKNwWvLw+iT48+C7%jzaC*3N>+ zKq1fD4D#wdshpP~&sHy4#Yj(kS6L9<_=U^Ho$gFtWyzCxheZr_wUq`$!A-oj_Qz;O zrbw%U#ow!@S%(#vmW~j;1Q$t56iEwQbr!$STsIi_2B5M8sHCwYEexc+7{=l(!`On$ z#E)QuO#D)J=(gB2i+K@)Q^GX0KJDZ>6j{SqJAqAt5pQ(vi}o5&tPjNv$KM$2YdZV! zEUKBQF&t-aGA5<0rSniKciUwvp_aq%T7+O%dvCw!lJUP+yPMSp|2=Uvl6Fyu7VXvR z-4AJ{M~Zma#seGVRLEe%Uoeb}fCJ>PZ?>|5MHwuJ#ZgMOph|1U2`9%8V2v(z%@hqGvl)@Js7l0ltDYdD7>8^(ajNPV_OXgD z?p^(d(+NhA2)UwOi!TtM8A*+Mk(i+ZV2&=xX#=-ECf(?LEDk+y;n!#kjjv;{X!TkA zOGM@pMC?8bTwKKB`6c4K&WhH)gpZ74C^Vj4!gpTuOq7=Jnaeu21x+@xdu65mB^yEa z)`~G%jJROXfw}jGjnPsUil)_hQjZgotkt<<#v%OQNxp=JzCvpKfrMfvRQu5EeDBm@ z-K!L~&cCpC$BCQ+?)j6lg{b~1va>rqQc8JkRwWr|+dtLMg~|=Y83_u9(iH+%(t_4 zK(L%4aWlaM^RZZm*Fub%Si9XU4DUKr=$RQvD%R?nSx$!9_e~AhRDe0TNlU35@$Aqm zFF*gtz|iodOLRI-5!%5}Kv%kYM(!+Rh2UT@=qqe`V5;ISwW(eEpV<7I)|JqA&3zfB zQ{U}R&@6fn>oR)RMvO13D#j;^%XkDcVKkn+n4JhN<58I6PJC)nkJXRAc5|fyzaY0w4EyQg|mJZ-hu zcyI6I-rR+s$;BPV&OMx*)bolN9g=&C5ykKB7(Xz#JGgzu7lAL1?<}@^LLSG@&-cHy z@V&!2>r!O_YbbQyG>^4GtbehEV|1v!5{4Vrux4<}?rIC8#0V@}F$=}z40)*&y`Ptt zL5F$^1xPvzHCok1_5D5nIen7NxGy(D;JQGix;`Ij>eE}(XV1?5q(Up5pwK;u(Y_o% zM{ogPR@MV5Jr49qZPrp=u25>xXkQH2GPyl5czOX?#ru*H{(h5EYg1ZxVsQ7tgPF-K zU3)>O1Tg4hbRDK#2V|_pyD_|yE@%{qS(k_f-5TLpKXN)F*(KtXp1>kJ&lWyOYi}hn z%0cPW6m^h#gZdq1_9BY%rzhCky1ywgusm$;O9wJ5#-PjM*<1B?3IEBpu^0Ql-__({ zgre@^AYtA(69MaDye3;?2f99Z^3N~rC&`%uLyvANvMfz8Jj3dYYZ zqx+tnNqtr+7q*o%wwbBNhWx|_W8c`(Cbx^*r$t%h?0j1$PP2;BmYjZO_wcKypMQ8D zGrDg$*%j^Ik#$A$;Gh%L1*DsfVLP$2E$$)s`M@%9419$LF!}NFm_FX8k4w_H-bZ3- zFvR%c)=@u9s^pcvKvWnTD;Z0AbXx<3YrwFzYZVagH5q)hUNs#nO(Xdg!nB8>z()@VEHJo~nsWb$4ow@G412M&D3hs3dBf3wNSP@iF_4)Q zU34%O^^2>Y?s$4<#sTuPQECVl2tuh7A0%qJe2Ik78ava*z^HXlmWg@3bN zCb4Z?XB>Z=h2(H%xD5AoxD0o3-z18XhLS~!EG5}VY(=3h+i`LtN{-}R$Bal zsRAL0sLiu&j;q^(Wj41q^V%i|MpMdA(D?hEr^PHgoDFe=km?3AAB=qWFSKsIED#T56u(~)WTZUMN2bmaeda? zx1-&_fUJmRoKcTtMp*aFZrW|tS~#^Q2#>{NF&TyNc>Q@#pkCyQhc7SG;mBK`9w5X& zB!qyz6daFQOWjNJaJEGreS!Z45=0%mF>-dsv{R_lKo_DNbiGKB<_#5u3UQ(xa_WGP zR{P=&Ux)0-$pUKR+LDg~9>kqO9^t{$+&Rc`6?3^E)u;BsCFXCNRbuz)PR4-4kmgC~mn)@p98Ca5zYRyXJ{yR~Gsh24hY z3$M=g&MC`7pzu9gK9}bFVlfcew>B;`L$LOtde|7b1k4}~PVUt2r*Yom0~@$Xq; z&?;AW@4-Nin@F*+bV>XnT;N%jFNB4>U$6J)|5a2rj$hIl(8diql+VJjN*=%}DT1pb zRgwZYk-(Ea!c1}rvn39Hc#-pwX1GYQ*_O}3#d*>xG@KoF=)|Q>Z@OTa^jp@Xg1dJZ zQRzr3i1s}^L9$h~2E|#c3`$XaSG~v=_yafJ``sO#mgP&aSkBGje_F%)qQKfwX$M8} zebtiAE)*i+yobhrXiNrrANQVd2s(yiNJ1xt+L$C0>?u-E>f_75t6pMYv?C}z==T+t zgo;@~bF{ClfEIN?XQc_!*pS;m(!cq_00zYUAjn3;Q_K2tWK}AeSR=PF0^MSG;iqu|^H$U8s>A zWWd3lD*Xz6{&U~}0{qPYuPS)U+>URkLPIGO>avAm6%^$0pAT`GGU4Sgstj=$M%~r! zmG@qL>H3S=!By`|Q*>%9zkep}(+{q&uPwZD?aJ}-O!u3I7T)>J>&MX5%ZYl*5uIH* zb|RISg;#Sc$6o~4qtALbq@5*T${HbAkqwl}3|nGL4%Y4fh@PmVohg?BgeHq9hK;oC ztiw-m?iRN^0au3hBpq&lFyGzEAa~t1Tr{Ac_9;UOMTaV7xGYFza%*h1zG99%;+a@u zGRrNa(g=ssur$6P%F}!l!i~pJ@1CtLuGhW2hcu*{RCoV0>rt{7i+uxf%v&d?jozrO zcUG}9;4G1;udTuiAT!DH{&ijb;+Enh%fQ}E|k zFMnm`#@pXMJ%gChjM@+}W1_KoY%RL9Fhq) zdj8$7W>@C3j8=ndC`PZ<2g}Qo@u`?O*IsGo$|v7mODxZ1bToc}$z(%jJIC<}2cHPX zYKQ8b*VjsVi;LEBddd?FdQ1+l(;OUghQ=bX>Y;k`Qnx^JHd>;}wMT!$?h!Y^Jh(nm zN#62UvXY$DQgy0cp_0jL#a^+4?Ce6NUek_ksABI^$v}AlGV(LG#em{cP=~Gjorwv^ zB6pC6+=T3Ro0~@XLVmZ`Cn!}G!qsZIwX~KsQk0Mz_td)6JVuiE${Ci?7+u`ym^4BWpB$qju!;4xp%}6 zXJcUvr7@Vy*;JUKjEu%vey&D){Q*{W+vG4+N|BFX8i z)J;1;u9nY*1g8Vg4k4GXg`5;wY57`Ejg|LBLV`!Fh`TL~?y2#{@kuwOIfre-`6<|V79Iw>{WHdn%Bb{kKxxTq zq2{Czom;B}n_(=jtUmm!Eo*Z`J^Ip-D{a*q$}&{x9CWaIP^H``LwpDVSmG9Ei~R!o zF#y1ieOIJKTdEag-7PtLaHzUm5F?C#mim(#{Zz&%m{R-ukK&QYcE6deuu$Y$!SAWn z;TO)l*3x-mWuYPl8P&Q9quhmLEbY{)I%MJ5=Cltt24E7&I4S%`w4Xck$=yF5)te}c zWUNL!X!I}^E2}1SCg$NQ|7N^uW1G6p@HzMRe%Zdh*Y{q3UB}#}NLSY+Yqg8>iYJ)aOw`@sOHla>vipI3kZWFE6 zPO6v~Q#a6lwD@N4@dqIp>Sq7A=YF00p65L8^S)0&Tz&HNt6!9$HiGa`HhcqmelM(Z z1f80{&Q2yX9*%2jagsR-9cMrO*SOlJ#+ObqXJFwSpjuKjJ~Z4j+^$s}@`^uyXN*f**e&1yJ6S-GG7P#D^4eKn7X|>(RMi;)swG`u5Uq) zXMO_=`!gV4n4gDJD8ZaY0pwt&suD&?r{9JH@b{UM%n^88V&1H}s=n-S(y4}r)m2;z zs7ix(1qv)Eo3C=WK-~9PimB#p7JvqxsZ{R*Oj0c-*7MKn<#^_W7g;XSm(NlK+VLSL zCo08U*-spJ?J>?4tQ0boecRsUT;XCSzrY|8{@7aX_PSV`;1Y4abxD*28!LI-cnY6X zeJ+-8lHGa-X9cHVvpGE~<)UsKBOd`GMYMG;B4Y_%%Sn-tdF?X%mrb(Qm9j_u1n7QJ~qp#yKC3xBx0$_ATRldfUte%KJ}QXC=3T;}yRf=g3B>&8`Af zh+UU!hel4EdU-7s90j;=3n9`$E`r)TIiCw6@uCn)5WOn1A%B{c((nflBP1qpYAnAZ zF4ALFA8C}Q?!lYgn3gqQfV%=^U->}!05Ev%GoEiY20X{{I99J^8vLl_Tp)g9|v!j7k>;(SNsJx=RwKKWFS)17E z$(wRL=L~-$1k!!&nUcYXFsfwQ%La2$2(;I)VL|Z2wM;och&Q`1sVK>X*=#>O_Sc59 zm4pIGmdp0h3w<;@dS-6n?YJF~iA(`qJm6q8{Nqu6)xCj1SQM7I{uFQLBu`|Z+w2NC zdC3#)E2q2L#JA*PsSweeelwsHOZkZA3Yc^Uc3Z!S=MpTYchgf(-)g4x-5vy;7qv&))vXQf*roWrF{>I38%%9l9$V8pL0KOzg?QGj5Qv!|hF;9=PG5Nqf-=cWEb5nVr zQiikB-WwY0R__fPWwc1cT-knPQ-*eHgn07pZ7IKlN#s(SzQ31qhw_PJrw_9apR+Dl z7p-@n&2QhatwZrCf{l0Zdoc6-Gg!qPc-eWXw!90XocT3GxnwpLW5RI1$j#L-Pzu!as|^LA$1%x07)_VVsfXVUEOS${l- zKaZE-_Gb&*cWmuY{0dJ5JHN-Wjyz-i!@6os{Zy1hs16>=ZNWOv+-ARpqNuB?3qjF9 zLCFXj9^poKNW9_s+}zx&JD};pbi)oddT?C2D_oF(xVIPflDSP-yQDb2YyIszPA6+#v>%h z6dA>A%8j-m`G)O&VHK#Gm{usUK$u#*D&fRkhCx4zcZ%hh^;;T~D5;L)*mvCJps}h> z+*^;&>P%}oZ$uy@(b=Ct64AI@!Vm*{6_<64XOJf<(Cb_i=$Zhwh1Jy1to| zZ(LoJG{B_W%i}X_XO9urcxD;XUtLmU$#WhLXIJd_O%MDa*U@6?5LgpMPz4=m<$S9v z?1%17l$q6#yD~w)WGzv(a}N6A`W&D60)!9G)_oS=SO-P1nJa8Lm54(DXY1~(cfn%T=66DYUR79p{^>0T zA8v<}{*hvM<%VsGwtjoPX??nMRd#7GQqG1wj%6d+Wd;l*9y|GA>A>-mNB0#5R`!1B z$fm9X$Ka$GE+w>uk00Lrg^gh&x?}%y`@bB1Y-7lX?A!-K*o6l{gm$Z%v$!J|*$AB>p~<+KSrFjf`T)fZd4G+3L4VvP%zz*$mWQ)V|% zvNvY=U#~WiGVhhMk_MnPI+_J$%S+LIW*6b@vhJw$NUnMbmp(pwT{m1T>+-qr0Ivkv zBdNU4hOb_goG^ExM`U?bb`?q;;<$|^nD9>V(?8UiX$MUH3CvlB zPS3~WffjEbfYnqdnCYLZY8pzeWK58b(S=fe5`PmVQ3M~TiiS2wgwk5II)Qi3F7#K_ z3e?^P*?zCl;Z~Fum0qvU`u|Tc7pyv|Kr|M!RPhpVs>`W+ct&-F=V@*DIuRUD8)|+X zl9W@;Fz#BkVKLZJXSgTxAJWS_xQXkI<8KeG?#nA#k|kNPY@L?9)?v%?Az7A;jqf7@ zYz~9LPzVVWhbxc_^dM=6V=@^alcqDBA%$ipX$TIWj{8SCWzs@vGnxL8X8K2)PFtoh zwCNv|)?jacZ?(p%a0fGy=cBj#y!)R0eZRAQV}DGgR+19ALaR`9Za$dYetg)ab+=ER z+LR;imT1mN9aSEOfzcQ=+Hh;qN+=sP46bMjv238yi?v<1pzS*BPdUolrbbymO8F7;rzmYhj;gpcs8!7n z2T>_nLBy{lO5w*E$8Oh?4dn>!Ww%?}Gh)pV&ri2W7aXtzYUsF7L~|T&B?u0BHWS=% zxUO8(9`E{<7ccPid{*=P5S2s)^*If4v&t!s z)H=d$H|`^A=h-rrhNCG_k}j#8>);}tem(it9<_S@HaXu)3=tRQ*kjn+;7}3?eBCyd z$z9d(XKKjnxO{**N%`=enVgur0v=)k51Ex{Ao~1nspz9#h(681mTK@4X`2>RYlA3= zB3dVEp`^(i(b%SR`+U}5H3v4^oFiVk#0`n2Z7ncg2q8EssYgVf>mxk}AeQ()zm zxGmx{sq9`d?5iv_DqOyRt91SjMb{4Q25TRIO2QziLJ`!B-YY(nUN{pKK9gQhC=s-# zX2|!Usfm&|t|DYrxm;d|Ax&3Pi6F&sQg4oEzGNK9wg;D!#bZ7qp@Yk1ZEUf2AruA;um+7yeL)r!tcAXD$Ls7OQIfkS)O z#iHZKMk-%C^P}@;r!oee+{8+)E_b;>ty48`Io56W)bwwgu+>)RgC{u9u42_IKy?htPb%h0u6+O&o%M5d`$<3aaw;^FCl;rDkw=^o;%o)`bX*bYcXd;P> z^PvZNMM|mz(f(;N$twJTs#2&fC}ag|vOos(qgFJ8ZWRA#BMVt@1pdzG4EbYZKne0D z9mx~5gI5%`*MV?$TYAY#_tOC zt5|zL1k7hGL7c0?o=?Bt)&^7=a$nG zp_=TW{%oHq)c4%Uv1d;VR|E%kwAOdmm7dc$8@!Eup;Eam?5`heuuW>JT7oM#Hk$R` zRH$`L+)5m&8eN-Bdjq}O+fsWruX0C^^i{84J<#l`>)F7i_iX4Zccwb~$N_`bQR0fW zmz(`QpV?C1+CKMvb8RhG=1Qj8t1Lkm>x^~c9>N1>C4;l7N4@Bc;*;uM@ce#U3)b4x zdCzZv{sAGC9Rg$g!`e_t>W4!2l5y1va9I#=q)@=SkNf*&VYy|!Eb7iK3e7ALRb%;% z!!0TuYiP(<9rSk%b%O`r#!l{$S!!19c>SXny_u%45iFL$p;hgR_j1{z>s#3fcJm$= zA;E5Li-Vi1R9%9bo7LoOftw#Z`_B0@FJvG|%H*XiW5YFuMz4u)Io2aOIwv~%q-R}! zd8B z!Mps5B4@$NG%(vQZq#_Ql82*!AR^mav^kz+P}G85ZC7tJA`2Ep&|R~j|-rGd>0 zGSD(g#9diybH&YN^Hq zx(%PYqeGB73dj?Mo_{aPy?#%8hs%bH-uF~Sm3aFzrtb~uMT{1x<=A0=4G zWgaMX*7|M$o6q}lYUV8E94Qc+kGCgVI(Jr_XCQ(Sc=vliv2^zf5L&el{4xHGcNgvC zwn~WoUdM9EBrDWwaz-(6F&|fX#G?-we1k&_5&bRcFG(8bfM?=1#EjjEz_;xp@@ob` zzz?5qRLxu!@u3_AR12X&_xGq-5H*E+l(!bigG|kqA>QBIKfZ0h{WzvI-1%Ns{q759 z0O$aOJc2{7B3^(`wa{e}^GshzIG~Fxyd#`J@_bi~FhM{rlE3+)3W+TobT+ab4GTP{ zseodS3W2N1EVfZ%z56EU72?4NUi+QDEajD#uN8h&ApaamZojJj7K5+3z!8JAY?p(UC>|)x!X3{(6NswjfmSCpaW24S8UQ=Rhyu z`1fzt)%Gt7^+h0ZgA`vn2aJT<0aR|cs+s!@~=|zX6dVnvRWffd#Bc!L0fzPkCszQ|nhLr>M8^*)m4on83CdC`u5o*gQk}U%08u4~= zeZuDiep2X0Jt+|{G!SruYNk;#UH&iwlCf%2J=n61_9m>gM^O)}`J{?Jm-A~^|5|v6 zW=IxadWTnWROnq&Vi*qwJY=WXX~~Eus@d;2v)n`ZO^h=2ps{4qQA})p&Y6bzX7`@Q z*PF)v+mOMb&AjHU&Xsj}TaKr001M!xnA+@#`KfW}46qp!Zw1kDlLMLmC3d8k&;mIv zpO$2<9vF&Z-68>p6x?{<+VC8ZP28w!iO@l(tkDu7v$;{DKK^DUH^f;BGio)T7Jo&a zSFq*ao*}+`c_D(q{rh_7J%6k~p`ZE*b*HMW6U{n)xw*v6*|%%#u=B`PPpZ(rgGbx* zyt|7lWXo1Hj+}!oZ$9sGD9k&wHRIR;vP3YJ=>eTOI(l2T0VOp|61rQrCn}lVo!<9N zpLAus&M?B?wF^2`K%{yI>HDYsSZNHs*S!;~i=SvGe>?0`59r+>m9ytW)CkWj@&zEv zfBH#Z^SNoKa`0n_TUK{~M*C*6?wg7QPkM@IjgKt;bG+tYJ z=Pd;|<6^9|K{pM*=ZS7Rt4=t%&uUIQU7c54NPF{*SXO`<-nw!ipl=oJk-+muq1V*F zU2w$kIfUOIf&w_dnR8)}?Dm?TQCt86Tix_IrX64rZW5sfC37j>wR0$L;!T`{C;o=OtotO) z-yTNfJoPyLt~LA!y0Phu{=ATy2I1o>yS#CMZeHwMQ!=9HVrTX}LeOTO zV)uAsVn=SgC|Y|&qVc17AeD}+XPK4;fDr6;VPU-Oi(yKy9U3kh@i{q=u`cYFyvGDe zO5Uw##UB3x9@v+{c{u(qRA?R#OxSn!&XKH`D4Il1a|g$xmrX6^fD-I;&Gj4HE8*`C zp8apXqfTL4z$B_-davnRM|K5i9Lf6WOc$go`Fb=nsF1x7S~s4JpZE=a9rx*eWD8aG z)67S^{?Tzt7LB*Wh*V}qbx4p*kG^bR#C4k5c6Z@{{SZ~jF}b}VU2!IoA~7@lzG6u! zMQ)HteN4!$HiP2B6ar7aFb03Tq{xz%_GrqT{+8FMKg@GoTqPcgADh&`8aoH>N-TBJ zDA~q{2{-m?co={``DwT504Eun7Wk7{1cX70OsdX=xks*4G$Drimh?|$o1(#$eB__M zZ-S52=QP4~g%I00Xpv3fBgr_gPcW#>4&AsG`x8!cgmH9dH)!hB!QwlK9+-j6{8Wqr zm)EM%?#o@7UbOMQ*&;&k{dnm$kEX}~et^|?+9Vob5f9qThq6}B#ID-Bv+-<*54LcJ zJ7$;#>*0`|Z1ErzG3Wpd4OxjN=KlxwKDcicnuw71;gOxa5*l{Ho6 z$liO6;ki6=!Q*9e`Opk?+(RvKW{mTFD?H6h?D|QL9jsf7@Xm(4_o?%GtoC`ceR4qg zr=vhqvcydrU&OIF;HUa=L(gFWN4=B?s+c}yvn1IxW5iwN_={FU<)CWlKY6NtkHq080_H+Xlr(5IiLP_qQa0$GF!0bDEoNJbY=-ag zBlkCIRikuW($5L?S4a>73dCfTuij6FbJY4!Zyl+v={bYDCm5yjncpn2yalyUsD9~B z)Rm$Rc{SnJ+ZLi>0BQ;*1B$cSK`K9O#SziS9*X1o?2_K2ws!nhb<^&rax`YY#Wlj2|+_(9sT;U_GEM9Q1y@G)-w<}y}ptS0%GtR z%Da{ zCo*TMJ|7m0S5L3l4=Q5|9ECT8Jc+Ca;(KPdxwH&NvRx>>ejCM=`!zD6 z*mc1v;>XQ!G9(jBE8~5#qb)-C)Rk)!W~gQ<1DBlU^TO&8uI6+%>c4{CWn+8??8PN+ zOedNtHE2Oxp-)PuWz{^8j<6uIb26o{0>Q8YMrStIL5`e2Ofm)e0YG{rA1#qr6&~53 z+py~Kl(atU%F1s1DXY@*aWi+7#|sw)r4r?Np=@cSM*`SD1I*a$YmS4eBiqxvo~b0t_}Uw5BazWw!gZ@W7TUipB>^ zXSnNBr|op}D?$juJ;=(%uBaK^A5l((C%2@h6dKxQ&c)9tps&RP3qm{itQ`vHzg;pP z;kV9lH5G09*8o3TIJj>!BHe5nu($(Kmo-l4|y5pT))`}`s-${i6g<+|2wH}R7 zkTsNoiM3G_`>v58E|vee9O`{oL2)!{>Ilf=w33P2TMpCwoWB^FKMr(GHeIeJ)7MxV zpFkdkSBE{^IgWAJXu9yvB0Es+bwPL7z)ObsUN1M%9rA0%Yw=ms4&>U0Yl@woAi%8= zvN!Ec9PR!=Q|*D5OlQXWjpwyW8v{3NHb5F69Sa+BF3vUDI26%(csaP7hta#z50+yG zk7%XmC^-$|e#-nhp2#>PsIOQH736J2P*x|Nh(|Xo()Zn}uaORjRpvi@*NC7|_+BfS zI7^8ggh@cE7lfe8IXV-Bb+5G=JiKYi`$Mk1CXk%ev#9q+^%hdF5ff2{NB~u6Y5q5V z2#tOa%gS!YqWkeDGyY>ev_#??MV{43-udk;MXe;We*vrisk^U&##?$;P;PsU4dYwU zBRu6nHHS13tE6~lJut~Ydc_nj9piCXU+J@3Dze|@I;hxFTZC|=bsuDZ=TDLKv#`JB z-iO`A(?H;4GS^rjMP8qk?M1VLlUgv>BY|*F)A~T*S|WAds0{A#-CoH*&gD76)LLTS zIGfNt*`{ATx)wVdZw0(3VeUIfdckn^-S+z>vuTmw#`og@&xd8nBz6-3QK8To2Jve6_u_=!IwNUs*na=4FJ-qr` zKJ+PFhr=cF)Feq9Yh$j1u>{HWsfR5%P64+%G_HrEH+Rs#`CZi2?NE;s=yS7lmto+e zQ|V*$O&1mOtn-@7)xemtMY1*VFyStA{^bItPwjAE*8efPG=uHt8{E7&I%fcvahE zL+j-~nf^H9Ch^YS6r@$Ac7?`wsLmh%j9>!pQz-wl^~aoV>BP_b32~JwH2#{*dzOdi z;N+%;r%~M62RmLi4?KQzk38-b>GY@Ko3_S$2p9ThjiGu*Q33R`wC5gRoB4cS3&qkd z=wto4V;ej8JD-^A(v72yBilna8_)E}jQt83Q?iAR38auvK}d5%#G94Wn}NuUhRNQ_ zMpCRr1;sJhzM(BtH>+RF)x?KClN!&OYB5J2?rSIRdjIIK+p4JiMiD5aS^2o0_F)OS zcEMMdOga4B6Ie;}8n4wc!DFkhR%`^D^ z%F?4vZC_42;n@XSj&wO;4I3F%11~%|DcsU-?@6@7syilYZuL^xqE1l)w$JEN zaYuNu%vLWE>JN}li`DXn=T4Be$`ug+#;)Q4z*4KLg{D7^Bcn$yZUUbyU@y;8=3|^c zYhiF{yOMlwitqE}kAH&5iSp=QC{mc||PN_|p3_?A+tZJMf*?b+ZkJPpw-M`I3h|S4pwf$+NQgwk$gG zRM6^#VW+sP^NDQ0{KSDjm#srO!`-50Z=bcjb;Hls-0jZ{T?G=E-`bw*IfXOdTuEF2 zSavyhLv+Fuvf$p`fghWB78zlS^@A8yPO|8&(0WK12ALlGPJvYRF+luV;WL{$%6UsX zUNSWaU7B6YwpJ%UmqXID>@uiCW&Y$KAuV*`i5%_6X_1hf_DJv@XBu@iFSWFx8~G)c+Nf+YG}|2y!{eA$_6PQ z#Kb)CeRPvnW5aq_o&ItR#2bV=#hiLRnkSx-5zdzB8<63p|Jo6LNH_grRuczL*{TuV z?ETk%*}7AnV)gq+s~=WbuX~ERcMKHV1%b9r0K*uQM%X$Tu-o@`UeR@o-7;39IonUh zV~udW55e@xL-LP9lBP64O@jVd-#jg!T;wRpO4wa774xs|_Dt;uQVSA=j))c^VcdYhad3ImzxHjrREAI9W?)w+L zsuiN&8WN}JZAqTC@hw$S25qDMf72_)(UzPE!dgD**BuJjV7{n#PByRHOGlGMJ*I2eV=RL?1VH5f8%v+)?SfrTp1WwP!XXS9#5rzifzsp~3c1m`b#1dQjCtjaL0 zYb;)Cu?ZA}vGos5CIr}kuM{(fxJnr4bRg!kzF)2`=S;se#StR3yH8O!OF+{l*mv83Ta!Qp~w z{^oMpjKF>|vsjkN4&|r3&mbBHFGK{OEe*Y3f7jHu*hbHuTbaYXoK&3_O|ajSg?Boi zV-Hwt{VmddN`X-#O30Qw3J&~lM&{NSLdnX#1#>UhoWj%q{U_= zh>b9zRhCJGkJCJ8>d8o*)Xz#{$4QH=TVAc*?QouGb8>Dn+nm)r=%UP#=l%-`PNx21Y6uGIT4S zls8g9&G}B z(QV0wcu@(1OK6dcf)^wB&tbWK`{%V!tm>R`Wflw{bj2MQR7!Qt0!EBa$=;v%+hEj# z;S-9&EV%}@)295%JaW`Tv4bc_XD`b9O7YUm8pG^sDtpHULB@}lyQx~V5+ zJR>~_mviBaDh5{B0~3p0PT=jwRv9C2Wcr*cAEFiB!m7m6xgn3@^1I8;_La**8}e9z zHQv=uTikyw*kE4s!)3!E(_(J4ET6!eeB0Ma$1k0TVK+m1aX8RKdHrF5u+rU{abFFB zjE)mGBV2xq85^q9tH$4kZa+l@iv5M z!Ok*@k+-@d4&W5OTya9Om`6X69~J}=$EWbW$VZS^oO&|?8lQA>*Bkp>ZSwv4S1htG z$f}ZTU_3F7;(eamiId!vPYrt}n`*~oHDEM@Zq-)(45`P@`$Q9LL8y90ogIYW3A?qs zh-XDWX)VKq$;L|4obxAQq$5j5oFn5A5W;fc4U583pFT6%r7d!}^AD~lXT;xgJt+le zRp^~G#H;$>!nAWYpmsG1yG9R0f++yD&xmKcNQZ_-NU;@f2EV2qh9N6B1p#S@0<$;l z3xZ5|mIlF7kUPIt1i8{+o%6rY-V@6ZJI4ZJ9~4-xe=BU=<*@7v?}S4f8-WJ?!hf&+ z7|>9P78u<4Eh0dAEEs=E1Z2-!4&S8 zNM|Rvc$C{@X!gnKUfqHrB~Q=E7f$R4_rM!RPbX+|!$EuC5(h`x(duvvj|Lh#jt21Tk(HRi6>&lPjuzf`q+I zf)xURyPB_WH=7aR8pv-ATQ{}2*@uGz_{hP|A+?XYcz*XG9&0iRKnuPMq4Vztc(^A* z3}mRZmTXsV*gby=;?IY*?9?E>c#TyNrvK{K#fXnVd&|iGQhyFIu7;0HVw~lwru3w* z?%S%vgAWH#v68i{kQu|llEs3DP2g9`lH8-`#*_HcyNpRBuDB2(|$JQnz?+aPN2Y={f2%66|eWH19`hZ6gWhL_ zdr_(w0jqO;QQ^w z7j$zorK+{qf@%bGd-uQm-M@^T5bwU*&n6k?dmG^A`TtJA*^lj@>M*27rP{cVp2;L( z6Q3@Wej!xb=bxevM(lq?wHnq+5D))Rt}Qe?g5Wt`v1~0QGo(o~3+m(Q_$B^vTdFjFA$BGs$|UpU7{cs?Jx4c-eGU^EaTWcULw_61iSrAjj!l5_B% z@||^AjGL05MR#FO%d+D49(wiRif(oE$6>6qvIi*NomT6l7g#&AR8_yfM$)N7N*wEn z_Hi!B^aA-1iRUgw(vzxLQYEn&Z%!o?m2wS{6gNEHYp?W=Q}!2cbfjB3BYRzhC4ILc zJ-JKrgVB=dCDWO$)QPnkL$~{otz$I~*kCV-#IPeh`ROb6&a z^hw&-W}06wzo~`X#dg&z&@C(xctu`tlb`-hFFt%B93~~z2l@-{z|?Wx+dGfE$LM<* zTbks?+;>0$>_=^m6e(6oA8?S^gI>hR2<>9`KRsc$VxZcqzVUD^md?EGzTFWb{fn-? zK`Z1%DpCK6yF&8qg}2kM+B|==x?}1;&KO^#DAOEBXRNauWugCk{T58i-?3N>xE9$)QG-Jj*3M&!f{O4t7vRG{!v# zEw)|l6mo9{jXgKd8v$HEftUB);JN)9O;02SA!xt!*zrqi6%tny51&`%MxV4QQFDT3 zcKJRm66lq`Zr-loHg>7r!8OTVQJ5U=N1A+?{pq`)Ch-KsiF|$&fK78gqG8Xhdb~WM zUgX6@UrPE%eWm~&(G4h-1%ll;h2bqZ>vFxPoA!tg>P{fL9MC;hjno6!OtVAfihIB$e zE48*z>4-ZF<`Xd`zrJD?kv*6znWN$ge%br?kpfjGYDy|6srPC4E=CXBFGDimOKTR@ zIgh_?rmU6Lp)*Sj9fUqaD_XgS_gWhQ&1UjpR{qeoqnWw*wV>kS7FHSPfp_Fzuxg%6 z$2P7t5~)%ZA|NbxW^0oPoYWe=wR`epb`ygBUIzWI@Z%(5H-^6Lp#0+ewK)9{l>Kvn zu)O;303ukC3yi~oR>=f=dP5U*oL=!$K`G)ZKF%(J(AeMRfH0(%m zYjwiPCfn(;esWJA*aw!Eij8wx^sEWbVAG$tDGIf_h#csv)W<9dcrT{=>`7vYX){}_ zr&zvA^$EY@_$KzGdx7INu0|b&)tmj_Pn4r%9jGV!R*kL_ZIw;Z6XLO?J-s253F>>T zZs9(K5t}*5hnPmJl1u86J!=XKuYTO>IdIcSNzuvv7o~-?los?90Bz&1ROOJqcT(Tv zXCF8V<+7)CD{Y0Td+)YZKD->7q)o2j1PgI{VvQa0p-0>`lag`Uw;ElW71TG*!Wnlz zG8VyYH>`+}aM#-Oav8ta(>sUbYVmE8v-$)tZ)AeFzjw!JpHpGwCEI+kCH z>1Wr6nOl^lr^K)5_0%)^fJ||3n!7HhAFb&!{&yYs%{pUa!!k0hnn;<=+J~2Y2ow%k zG?$yMhmxiyoz+)jx%4eT_z4=;%c;`enHdV7bQg0FJKs#%lDO3+NmW3ud$zjDv^?gTPsyl2%gUpYG7dKFqw=>H|@maMXBAJiOn*SFl*fIaLZTTg6g zzO7t%1%vMHl!>@?=nt;b(_4g)G`FE&0x&_Yvd=WfzxP+V{N>zi7g>vKgbiFDc%bfz zvRAnCpDgC5&(GgbUn$&r*z;Ya9U4Phzw4h&yn6G`&7Eh=)+-6P$^g^mS;4%${p=*B z>yk5yu-`sKEi&ypw(%v$tlzb3bg}pt3WJq#F2p5uI>mX~r?RAqH&fh$H!-cK$sciW z>^jGPNrv%ZOqaT+lR#XBn2OntID{Af{?zq#E2*B#Hcz#`X~uM0V0gXTavm#LuHZ<$ z&+SSmc8_I%ZJisd>1A+q>tY|#;idH2i}eQV>A)40DU}SRe_{I{%HMb`VTjHxjW(D~==??Dz3!+&)4jFxuDXGmhj@wAJWArb6asl`n3%WOtP-PhYv^$(f z6++iU{n?z&{M2v{gYO<|Gu%lQ#e@9iJNvCsqfW4TVSE=E2Lhnv@~hHGGL{KFp$l)- z$QM2Qo@@t?LXiHkcceS}Bbzkl+EzpVpNZz0;KR1S!H>%LUh?UHsjtYoKAx8pn+SoL zl%v5YshZw<*O^hdd;Wdzagj$lZ_we}%KXlvp$};6$BLYQ0Lv!{4%NhBS;WtyLDwrSuo@dZxHTbTL~T!Ctex)k1Uti+YCy7bjP(L*?~6Wgp>Q z+!OB!MSd8;Urbjv8!^~FZIx7f!J=ZS*(l?CfOtLe^6AfYUeP3o>2raaZmuwaR?|#Z zPo#L#LoCz(WzwsgS6DX9^=aneF7itdT8j9`=rw#?m*jU*;m{BX5yD?qsK`WhYuP_d zICv)js3Lw@kD7IhkUG>OCYJ+$B7d#AM?|W_QW+Pwh^hV%%ZaosZ~nEa?D{tK!6+%q zCFf1ka-z%C`?1x|>H_ireV8AcBQ(>5pJujT95!4Li)hIqtvlqYb@e1AbLh8nXd6x( z+`+uZ+U$1_`{}g@muw`J54U9Zn;?Yb{tb7gvMMZVxG)v!dIA9(%?Ll7{t zc}9CPwr;*U;Gk^>nRn#u+}O3eboE9RqGWYaRxiDGA2QRR1I%)#-wLPp*q7z@Htej} z#%oj(5{KNj9hL4OXp~CkY|SvYCPA&n=kM18KEm-BMI8x+~B*}(&qA-sv+Jkng zYx)K4<6PSyx%~9;Z)e3JRH3=4r&&k{T@)aMuGDiPC4Y_44num1_XVZl%i zZ|=;_^tp*6cV-y%ic-KfCw`3(scYY7JD!MpU#fB z=9u3W^(xQR^N56EslV;XBb9jO8~0gaU9Xk2%w)DsqcYEz=Q|SA-wdLhsfE zpQRf~X*=9f_uPOB9`F`4@=^~|MHHp+({x!--ZZSp!8?5gwHO%`9 zUv}dB3+&>#U(rn_U)&~W3?_Cb(I>sM3l8lpIINu##~;M!-~|_Vmt$if+;-YpLa*L4 z9yBlAqyyeWfka?NK0!K*IBA09&qUhmZ&bT}9(`e73@S-Af(yMy4n953v~$hx{GETk z+%wf5A+E~~qYQa!_4Z&2aI$n7y^*KfQT!h8=kSq~U_eXKFQC7-))Aurv4;Bp0}f>< zBdPpdlBK0wlfC0Z_o<@(AP-R>NRkZk$@l@8Kbu)hBUl5(xHB@Isig5$RFNibCD)|K zmX{^9_HH{Q*QSpy6P(#FG?A`Tl%(NXacX~xjw>ab5D`%8l*RcO71J86Ad8d6*5G^)cQiP=H z@UD&a^LCV9S*r1Li7rD-k1JdHyx13_ec!}N#b#~r8}o*vvdG~&Dxl&-`_4B0;LZS7 zfqdoM82*ZOScUdjsF)N`v&U*)Eix(m##}%^#-gltk9ycB&%7PgxH0+kDk>LW>l~q_ z(%z%Ln6iK$JC;SDw|Rncr%imdGKhi8?wor}*Ev{=8v)#Jt|)toVqfC^6IJ=N#x;2d z7At(h`@sjtI%w(2jQTOpg-=T3@}XCtzDgpBThz?Nn02N zWLc@?UPHTGa^5;+eHXVA%r<)N3Y$ThMNlZw2D(>bc zn!dBn8ON+G`NORpZ7Ar5=hmU(hP#cK>lJR@l9gQ<%fZgASMAu={a{@`aKM&@psQoN z=(D(=H{tN8qE-8*_H=Z)hzn?ztaUn<57&vgA6>!TEI;E60+g0y>~Zk=X5ikpvE|~H ztt{Shr&y<7E%NzS3qIInRIo2wGNc|WZ%By9(Y96yMBFEI*p{> z*04T(-)qd24}+R_K0Dm0HL22}6F@3HEVi$IXJWG?t;DzuO{8r6BdiY`p8ad1$tf6> zhmsElQMEVABpIrlpFfLi$&?IVEC`+tmS411u2T>zERi=bO9G?^65mtYL%M!jN9snr z4Au|S57rNT6lN6h$rBI`_@y7@k8~NPAL0+y{p~WyU3f-lMwmCRR3xJ06J5H>m>wOXfC78q{P18}@FjMF;ES$3@-P(14#RNsbR2y!T=|=jwZEx}(B{_) ztq2JO9SeJi+~s>Ia;s99UPcw7mZ3|dN|{Q9@F_whe|reu&-YivU&J~C9}@1)*H=_1 zLyZ)gx0hJ96In(OV?YRm6romRVMA7qw^uLP=#2L(0RTSgdqw!2tnm@=3D$5 zl(X)Zc>m(nY473h@qkvA{E#2eO^DvuuMka`Jh3n@U`@EMgiWv=NabICmN<*ww_w>t zo`mHTkzob>%ODj-jDhAriczp5BennW%IO0e@?@#NagkzzhlLCCm1ZR^;giFlMuX7h zC17)dr-d!@7G`(ykn($li39@z<%I&mw!^oB<%L1QlzAR`ae1xz2eZtF7LW}L1pk*2 zlRP${!Qrx(C;AcC$W6MJi@m!?gOq4eT{>0x{E|?)8WWYsFEb-{s5LCf_#f=Le@wQf zuo39AYA}(YX`H=&(&$$uP6=-mW8_y?x6Ur-Jmyu~b))HQc-QuB8GK!QJ$QA@_@A({ z@~)X~KTS~_jAA<@a%W->_L1w#MoN-U@1pwW(mIF0Cq0dJ9unMQdL?xU$vcbn2yauA zj!qnsGYnyk-W_s(_Q#$mManQsL5`6$ zNUYlR))MC#Lrxd_UX&wCiJ30m5-(?plrCLE>~_e)5;{rJ7?CSWk(x-#uqW)yO-=mr zGYfzMt z1hpRVI*aJ4+Vq=Zf_Y~(Hh3c{6?Y+Oh;J?=U=?9bb_q)5D9LdFDLznD%N_c4jKI`l z+$0dt5Nu1?nzS)meTdl*IuPVI-8CUh2&8cnfO z6selnsGK&@FCeeC@M#VjR~%$C{PoK}&Oh8gN?)W` zsCW3{1C~HUAsMH@iAa!=zz<0p!Y?R1lJs=rJQ8@NpsY?l7%4Q8#EN2? z5<^YF(iMKfBw(_{u_aN=OZpzt;t#gYB zvIPSqa`P4DD1jz)6LGWQK!SS?Z@BJ=ZNUJMfT7!WIB$XvoNUqBywo`vpxFI)Z$kd? z95DfLt9t^vJ%>Vv{3f1J9B$Do%BKV$ZnCHNk3&3Dn{ksMdi?GwQ?xb7{i0M`V&;aN zDl+~xRgGgr&5@Z4c6wFG=Ka#z_~ZNRr_WO^sJB zK;v{mp`_lUObkX(BDEp)#bUjcxre)Vxud8K}Z;R)61fFt7YI0iWOO!xI}YX`rr z(hTG1gjm_C%bBPUGjLMuH*g{+;h{2Xp;ksgm=yd}M_3+0tzR*QI0xr<)+>-Weo(!) zjLih!x-AiHr8`y6=7hAxX)tINs1pk%XyCnM@Jaokkw+)2i(8#pCC%nGN?A)fe|PdJ ztybH0ldD(b_vu(>aC+GBG_@b8sTrG@nrDi-FaMQ$al3Af zBwgynqdKAiuw4gNJyE{2?OlH`884d$PpxrkkOju~Nv{ z$GNuj&fTs>IxA2D&4xcj=Wv!)245XqCE3pbuN-UL;I^{)F^m6fbdA<_R^{zw08v#a zJ4)Jd^$KQ+wHaIzcbsGRR|KZkfESLD{-xI3BMR3@yex=Q&bttmp6?K=Sz4DGA=;G{ zk=n4LD95|My~FmfMjZc@nZr=qDi87Pb2T#8XVO}1qAItSiM1KCuRd-u?Q1C_-N2;B zS6(8h7OCJMORj?EXua_~f4q!r1*F}#(8)#wf;srvFyYKx>8RTaByDLpN>$eKTTA!> z3>L92`&%mcBU$x0a=2A(^S4s`ifJoM8Q(#17Zbi@28&mJHBP3*8bLO14H9b&I|nkK z&kY|TXJ4;S&%ORxOLLz?-`h%g6I&t-3m$*S7Iip zAO%iI;%rwD%)0^8W3Xs-mVVKpG_h^}GtUe{Y?7Pbe@+gB(;c2V{Z`~jz5tI8B=UQU z?o-&cA9htHT*EFKW)o_b>)x+z8DHlz?51AYzHJ7jo@*YZ0NRQ8FGCD|9RjY%Z>Nz3)R+X%+g+9+{5}nbpJ0;kGD0BHB6`Fm^k+~f4HFi&xXph95ZLJ z!Jft|hX4N;WMG&VtL(9@!*di)8M6MDFtW=$y}+dKpFaN`7j|8z7nl`F*NogV2+aRW zm}bqc!x3;NXfb>B4w>OM*coQFdwM}*1-V>Yw?yR{`BwezsxxOJbzrvI?JcJ z+y8iADPE`%$cb8_Nfx;6n_$x)7;y0c6pa+TbF^4pygprD+cXBiAAOo&e-^2nl`_Gd zyIC$U8vteaeXA9ylUvOcIys0yCFd&occAFp6_U`iM<01v7yAXXvP`z+4<8!!#&_-T zB_03jsKwSVf+zA+g4ijLH=R0$e9qLZ#ubE5pngLt(BhHxHS{BByN(k`?-S*3)?2W% ziqja`r7_sOpT#esaRE!PhC**EM5{A5%+b_HAQuoKFi*4>cQ5fwmW?tksS_dxMDYwE z43zjUffapHkxQfxK9nO+?!TjapbEFh5PXVx=s&UPwEr#0hpJeqtVR%T&4tR<^Ho?DZaoVV`e}iz3tWn7ATyrE8)(`c#`49ERQ7K9Pm(=6@ zKcOBA6E`dS|M=7~6SHu0akKtEsTi#WqpB)_*GW$sB6bJy}Pq%VNCV_N1f=n;Tl{t2K6}xBKSG0~@0%GdsgeQ$0j-#d~7RvbSdp znAu>U;bHC9tGuG(|KuB0Z`*7gf3#eFqJH*;U-G(MEWQ*n=yke*=I##kbMLPfT6ao6 zpnN&5?}QBA-5du~MZyrH2CvId=9uf9$RuK76|h-3oHn0f&?x0{Slre%3@GJtnOR>l zwRTD-KkcVCK`JN3QwDWReslZLWD;=%0#3J!r>qt;DH>TLp;4&}1~2uuXU*s03o#Ye zmQ9td+(b8B$SF7zjf!PSTt4=91;g8BOXmE2~zomZWSV>`}fJZ-75rrUqJ! zc!$W`vi6kW6GY=gW2{GfUWK}43un5nS3KR*c{Vk81rv)$=b_uupfZo;&eQIPn1{eu zLq89{BEY)BGxy6WI+bv}N*21tkjjnHdrFBkExB%lMq&!OSxsVzDV0r%aIqw{(j%=z z3afOev)s!VvOUlcXo_wAn`IDNycfB`+6c`V`vcUkf^TuJf#U~TXh3{qEqRc4T8dL3 z^(6P`_p@`9ut7HpplYuZ4tVOFMOrRi$#?h*?7r`Dm_=HMD-&b+iD1(9;_RU{>4Dz?XE8j5wJlBR!v6&JB5oXLa)pb22elskB#bCcJSK<{;`8x1 ztX`v$!8qpRU-*jjvpABk@4V^;Dh&KQB!y@kA~oHk^bLnd3tw6d;o~AniF>4HxuzvF z2K+H*K+T%S4#0*Quj0^L71pCmCZkPn+fEg@_2_=ac;)yARn;F5tgeS2M%7z(@ zRkM0$*Ww*~C|OKKDqa+Kejh@Ao<&=p){vLYnJP zS_%l+(H=sx>#z{}mAE1x`?cDjHLMvnLwK9>koWqg_sP4~2>e9J_Goe8w2EM|XH{fi zG5paf-a|>@3nHMA14$)+nDG8L07pQ$zluaEwZbm!#u2)M9oUJZn1w@_gMZOoEXO}_ z80)c^+G8Pgq{+019V~;UU@s+UOW?)TTe}fn8UsApAvnjX_bhGANcEm&-qMPf>V54Y zIEx$HmcUuP$&4?B^Z%m~DPD?)B~wFN^2ZVMGb}pFdQ<;6CX7|I1T9zx-4Ve&&0v1! zAe$$(%T>KIXNyXoQX&KLCRn$qxPx z2Wd8Ciy)~;oyf@T;lTShM@!at3VXpd@}wkM$ULs32h4<0O>fRi{s=|?T78eNb(2iK z_4U}s+^Dc{7 zGD_BxyOhjXE>pUt@zlJkd8{^6v(<9-D*LivcXQ$5!59Mg&$K`Y@Ay92qZ>ZPrx?U{ zF@&*?VssPuE~eKyOG>Q^rt@E2jcmTf96nht|0hc+=2C)vIL0n!Vh>cwhMZaTo)k`9 zX&{$>GhXenOo#fh%9ml5Qn%g)9+j*Hl5)8S+cG4t-kpw0?g<>Z$4Pj8z zsW7IZg%$?f1n8iN{6VBCaQ%_6}83~`Oc zk!hOdYaf5-anJdE@9%8HuYeWr!9T=@@DY&3Nr))#!Sexl@g0yX4_+maRpdkRIr$3} zsE>wdAN@OHW!5sMnOpqpTCetV?GH2i0KG0@D{`YI)SSoLgT9@|JODBo0?glmD7g@-NN3Z5l+89Y(I+J)ER^>_o|-3GEe08#Ti z=E^4xLp;_a)-UlX0-^VCBKuxQDo%(|wRP8!5=}&4zl_Nkmcl7xz>hLU6ObmL z)K)2-!L6%so*=E!<_wr)F`!aAq)1e4mm)d@l~zWRgX+5OSTy4Hc;W%Sio*j^zlx;Q zYN;>31cmd*s9ad(^T&u=b#~CGnDLJ*V_5<9Cw%5XX)w7lrqX0wKf~fv+oXuv_Wem` zz@NpxSRYf1!dZ;g$F8D|nUPHUNF);1PqT(&2cKTGjtdB_^wZ$#PlaU3PGNknEdAD5_+vm>&{29SgkaVV`^a)`uews+l z&E={5a-9Oyv!cd2BB>orL=^`_KoXNwQrW0CsYav>G{Q3Y#4$*8E66XQ2+g`8*h;9| zmB&z%B&6I|^GA|TMz~7hG15uhT;@y;=4WZu=Tny~(Jh4!gK7cUs(im8;NPWoN@+n< zJ3(YUUp4a*Z#pl7v1pK09OIpwEoV&dj}@ zkieWT=L>JUYVgi|O9h85I@GLU$N!j473RTxqGE<&E0uLIZ&Dd`dlSl798}W^uBa%j zlGv&wlw@{hq+b#RNy&6}D(PrqmZj{>_^4Zz$Kpd6gsKJ$(ok*T7g?`|iBTo-MBh(F8VkLO51j!>5q>B>GOS+4^T;imc&LLBON$s} z5N=Jt7u5tOY9{JxgBFja(qr-X@d53Z_&M#iUM)8;XW?~}(z@|O_9il;HL}%V@|p<8 zTFj6)(`Gi!nXi#j#F0|jW!x{)WAvx=9r_!}w$L9?vKe}WzEvzty|Q!D^yH+F6Q)s1 zs3lZai#K5}u?RTG8L?fk;X^yFU}-qLp>9g+z6dqxLi`2(?7lXweSAg}wS_v4m4M^p zJdTL$sDKKR7A5SgV1WZW}(~2Iwb--Rx}y z*C!Fm4H*iGHzBo+!v*LrG8O(FAh-p(DlFEf8Xfn&>3fqvTdd{zA8MHjO@|(Z%A2fB zO?9=l<&JW1RgmMCEidPIM>+4U;`pj%%f0p9*H@I{-Ne$n!@G5${)PVP@XG2$U-dTT zS4SHcXTO;^84Mg)TvJ>cbAvWdH6pxcYc9TPHSaq==} zI?Y1eos^$JQ2uWwFGHdqAvXwL3k{55(r$j?4X1Cd@Cel1^$1W-qMA_tzpl2@;%V?$ zz|QO*3qGe^#cgA_O}m=IZ(YF0w4E2U9lDf{YJbKuByl5Z%kW$lo8-z7GAJV>&wWHk zjJx4dGK9xLLgXyMX%HSk?WR``%pMx*ng%7igz0+J;>x-H1@Z|t1np%YkyUv4$qTLF z#er%vF|qsLvt7>3FX|^Yz?JQ5vK>66MqWVhc8bX3f_B!yRr5{66?8(8Zb^)8NjYF@ z8cfL6;ITJAX_~!q1@<`wn}q>6MFH7O29fc4kzEc+_CUh)taltpi#wc1T zyP&&;k}^sO!I*+Z;1WwZSlrG)O3%FJ^i`}CCWSA+GMbQ6H|m3ISG8}i@VmNNI}T#J zakqA^g8l02GN_bLc;>IPmmLS+aiT49d9%T>m$JfMW3g=?-{C6WhuSN4oy=qF%B>Zq zy1-3xI=b*484?~_X(_U$aH**{h3pJa&C^g@1qj;=uPl`t8L#Ck}5*9Xh;y@F2N~cjDK6a!q?l`;qnr z6#S>PQ~#L4{dmj6DUi!$VBuvzZ$z8lJRN3p2;VcG8F>p?_)i?9(>OlsSy6NAP}nsz#v#5$c$sZEB7nU-Dpo&yr>kNxI+JG-#&^FGh> zJ});L$dW^CY|l9}|L6UID*B~@VA9(~SE}?LWrLZP!f$bd55)5dUc#=GUXu32wsDM; zkBenk+U&MOw~prT^L=)#EZR*{0a!;!nX@{M*_>@kALWal+3#FznaoEZwC3S8lLBnNDHbMWk0 zI>rv*d(KQqrW6PDkkZk=k=^eMHtwuiv#V1XJQe=1eQ;N)>+IQDOuzH#moIF3t+UmB zXgD$bZJ-YC6pbLnt?_U4LV-30F<9f5Ha^0On@I*!C_cfew!`4qp!Z*waerUR^o z`D8dGFI=q&Kvc$0G{mI}8cvoIMGbkAkW!FYFv(H?FN7;9?0LY|Tmw2TFLvU|FbxAl zmP@1n%G8v=y!Nbqt-ZNAV~#a;Lv!7t=jxTVgv+n)|D=4u{FP7q>qOUWywerEbGo^) zJLVAe@}@Pxox77RUi#Cqny$xUR&-Tfi9!$rJ=%dqWy}R&w1f_+f{A8DiWVg)jPq4D zp+WVuNE1#P<|&Gy850sEibfpYk2%C36Q28?hzJ$Nm((1=i*Wv4G=Rns@d7>PqDr(8 z?SO6XFgk|>8R>6U!esyrq9Irskp)l$3tCyRa-={pW(iD}aUJCA)&`d*CM&Zkc-fEy z7&p$b<~UNV#EPke$OFp1{piv5Xfv)nPI^a6jz{03XzD;@9k53CnJVT4@}N&uhrR_i zC6GLO5w!$ZL#OgKyFHBOsBViK13b3Kc9UeGO+kmlZM6+6GL5h66zZdcs#~(%gwH;u z##)lB%1tihbyd2k0oR~w$VFTwUJ%$mRg^AR4E7{WVb5Kl&*nOKDu|C$B6qiOa8UWq=j@7I!P7%g}mSugMPm~4qw-MNC7ey)xiYg z7;n%n2?{UmdjI+D zhkHJVeDFqf`JU!gReR|&%jU<6j=U26wyHWB`J(N99iESO;8VD^fAsd@k9rSxpE(WO zD+NuJGbdqE=Bp+%8m2JKa-tbX)CWVfL}Ei+7<1=QvG@=u!)gjjCqm)Fna(1Tnj4Sv zN{Q+1==U+5WaG!1=>ME;`6`kb?GEFA;EUZbp??a!TL!(0MX8{#QFX}{UPSKcNKACO zgVU$ml7lRh7PLu;Az8qK!jN!Z7!zpWrYr~

    y(pJR}pZTq#p>$qZKrhWjOQjocys zCN#O}AjB7P6hA)M(EMy=1cJw;F&a0cw|ZZ(kpZkm9EAQpkF%#)Gc+8q`=Gg8qJ~o# zmxki8OQW)4eHxWuPSnU_Qiev-l{5|cW8m=e$r?_j;fSN$8p7Gm=`iMz#{?I1rUfpN zXgKGDeNOTL7=g23>f}CjN(?7R0^YGtZS$0899X*icL(11!}Ciw)PLRctFIdt)|EY5 zSO3g2TW0LjR=4h7t-VIv(++Gr`PuDLYunN@FSTBN@ZgVa1GsE`<1cE~>}(wUZ`1K3 zFE<@OHj!+WO=##7HKm5y3a+!C0uA}iMLh2{gNEX~K`R5^0^V&(eisgEXasi^qq&f$7rL zloKTli?h0)ZM*f?Lz_NcTld?BJz?tUmgSWPTB}zz<1*#%8m7+pU>C(h_ps0&y%YT= z`dRedu72{PH{ZW>{vs=TA_sf)zx@<=zye6nZg9*tHF>MF z+qFBci|w>_#Id}xj479Dq}8qlW;?7(yk##;k-qch^)~DVT6Cxxo*Hk0$@5EZVjbG$ z?&o(kIl?JrdJS=rQfYcua$! zfzxK?}oyeym{lxb5%#SRc~pHb^xr@SQH_;8WIJEEad=(LSSo0Wm zsQ??{6;j;0Ag@I6G)3i%DbjQ+=V)c{9Pp5gCr_xtwub}x5aE|;IXg#17d5epdR zYIepH5ZfWOVoB(kODPDQIwep`GJy~Z8X0AhI<`Qdb1Ae{1~H6UInrvdLR+Vu!T_yW zbYMEQopH>78l?s9()Zg75obDcyWj53-roDZ-}CdnCLD3tix>(xpO!>(1(Nxg{ElN;1-xBgtATM{7xKEsB-4KuYChEe;Y|nc5W@tm;ChW0JV(nQ61v95PRss+lFXdDCW;mZfoF zIJ|;b;X)3EPoo*obEF_I5av{Fe9WIJa>>c21IUp}Mx_N88V--vgr|k35tUU(YwVgt zWxSH8)ip&T(gRqI^x%p>RS&Mwt9s}(9_&G)Sc&!EN~^pFO*d+Lur38SIVmVJ<+1Hf zH!;^&USEGfF>qq853|_-J$LH5DzO>Av2$q6isp4)kGzt}{}r<}^>^R%<<;9i!u6|e zZ(7{6u{-~PGP3;TM^+!WqvqJ-kG;8)-fxFjEnl{-?)p%$WK-)mHqHaDZJziy+vM}? zjMo$`X)Iepme@<(`(i8XmF^SnJ~HU;E!iJCOb(SCi8-~T&YtB~#}<&g?4&y{cG%VG zMPR~tFW7BTJmJ6#v-owdI@--NXE<;W4SO&HreSpJ#Y6Z6=8d=w69;1igIpFDd)BnB zGXl>M&0@Wv;y5u-skBBQBVc7rj!gyKo+M*=QL$pE)uC#=rdXNQj*1L8MR>Fwg|%Qi zo*G&GK?+Ay1`;b3cRG+W!lA)aNmw>6^XXed^YT|;dvLG>&_qj z(W`{qarKjfcWcyI4Y56 zTP-FMMO}qtE(?Z)yiCK*fKY*E5w8?QEo`NyfF~CZ(Ku>`H%s)D$mCOFl3zJkr?BTI zKZ{268aLP)KK=gj{1`_2hUy!-+Po)kC?m0|k^K9=`)~f2KR&X0{ZGzZ zoTx8@&3z2AS0Q@?eHVO1Y2m|SR1hH$WwHdi)?y&R)j>)Q>YkA{t{4>Bwu@dEG3_Sd zs3W}x)X2}+^fQ)(%co#|8BczZZ!&cVKb)969;2&s3(4Nx!{i^z$dP>G^LgtCylEGR zL>A)G(D%Gj8CSoMk z!e%7LQ_0Eh^8ZA(i`M6UK)#gwvy5utk=z=YUkbSOYv5KTdc!MQ;jRon5!w@aE_5jT z#}J;l*%EB|@qloG5xP(rwf7ZdHSlRmO^jgIQeZ>7+7|rqq#P zlhPMsUAm-8mGjxyxUWlaA(bgvm=yd$A?YuLc4t;`oLQneT5Z-;D2X1-XgpEIW9_Ke zf>WGL zOFMqw8}Bb$G0?jG)s3H>dg#JrIh(r|ZOxCrp8tFP zLhIewg{o}>jLq>ew$`hPTa6ZBv;`~}b0G|Xm#QcPY9J|)jtdOL>hOvXF|ctfv8K>9 zxr5{Wf(q7EIQs>Xwgd!$orI$b&f7AiBa_MXD;Is|+YZ$_eF+a!I+OXpZ9fVS$AbT7WuG1A?$USDtgZ^}U7eKb-_pq?3xgVHx+Zi* z6PhmG70kR%S3{FuMhGJ>d*=7ei-VY zps#v1mT*vzNV5S(SQel2D$vb}-{R(8q3Sn z3)Tf&Ma1IpjMYRI(NCcGnX&fS zYLtfz`IDI4${#RVY>}N<>UqpE_g}ZQ3fX2=lDgJ zRgaE$q~Mb*mg3pqHZ)8TQNje;M<#Ki08r#by=)cbFH@v^A}*rPymd( z|kVjuL-(#S-l&OizWN#~w}xt$RDHntVw?!DeC=bq)@8RwMq z(EFF7tzP_?d#orM&BoYbQPNFmu-nkWT@J_mYM?6+_#f5f0vuI!#_@a3-E$v%@7~AW z-Mf#3WjBxAKqLf06JjFV4hq!9F@uN$x@0p>1GJ7w5X6*B1O`|T3n>ASAS8+@LZNAS zBnvYc(rMI6TL}uKQ#w#B#XcrTN2&}ZH~r4;@^F$l_pv*nx(C0ZrQ&_6&;&q)wS9% z?{lLczwyEC(Zej-u<@DJ5_q?ov8|5JKtnHNmG`XK%tTZ~rjxm35qZ{pfwYn>WDhw= zQbc-Kz=V(bi%f16h%!xVYo=JMKh4`O{7JlN<%oILW9l1^q|pX zWb#aUb$7;3%*hwrVxeA`8+AqVg-AWr2Ix9ofwMek0Z8u-)uXT+x;x8!KAzj@K?KN@H{ z`tR$#^J^ZTzc*f-eE7%Deld(@Tu1RE$Lxdu>bL*2qh#?uR5^fzP4)#C`IqfaV^smz zF*@^mpc+ij^`cewsC2}QAA)JGt7@Ghc@#wwMZd@ITj=$AJj(bmtr5mFRaJo;m}{y% zN(vm?o7+;#)pAYT8qUFRVb0`)Xv?^ zm-Z(G{0WsXC7A#i3WQi8SP1b?$ZbO*7M2{|-$nx{84vZOWy9UtEQM#1o#``uMm}4D zixI6Zn&_hWk+s-+psV3X&#e48<)8evJ6^Z2HiZ^6t$1|Z8hbrCHScuW&pysAnlOKf z-HfIjYb_pK$(EI`c%t!Dc$1p3YYq`ea}s*UYGx)QQ4w`6$|l$bewnf?uxwnzB(9cS z7+5fgox{$F;0m@Pf@3Tyj6^7sK>agYblb@XlM# zP>a@ydMzg7c_m=Cpw>;$ZWIO!$mXkz$J1%CxcF-Na5YOL@uNn^Znsi9eQLwr=Z}8=!w)tp+g@u)ESxfB>Xd@% z{jav2eF4dIK1~F!`5RO{&%9_o!HB3-Y!sWsZqY&TLI;a^Mj&T6FjEfPFOyH`LP3%; zB^PE&nZ`6?!o(eBFl3l+23InSD9~459ACUVo~7Tb+SRNX(n-_|ndLh)wV*zG1$)w7 zPFzQh-2RHPH%y;0_>T;jn1z}{Q#AJpwO=QiI$odYWeWx9A&?(yG@D=sCyX?Gi<0b= z6B@)7Q4_=B4bdUe-txd;YR=Gi2EIo!jg|JQAnSHSm8ec2wgP?BGj3L^XIug-S!-HL zXC};inp*R4fS!dA$fdI#-A`RQczvX6#!r55Xg4`^=T{f(FZZEbw3L1F&e0E##s`r} z_g4T{c?#af$(*x_fmCH&D<|Z3xmUg-lO(%|f0Nneba1T5&t|{JpL1O1IAA^wr^Xgp zVZu1W3_^97crXTt7V8A!5d?s2fpR`cp-xM7T27jG1yIX*3MJv8$rB_j^jcyH4Z#`i zv7r8kEG3*ER|wWfEhGk(oJ8+4Qb2>k4UPu=Bf)@{J&cTil~Z`|&#Vq=+3liyl*MQY zM~IS9a|D$kGtEQ@{n;)#j4BIqatqL7@7NOoDK56IXj$c$05JkFJ_wZ&>aFOkweuBy zm6;TiN>be=>{52A?{Pii0p)<&uk@?8ng429*k7SfH|OYcP0Rm`xKv-_P54(S?S6TS zzTUjVofn6lf6*_w&wD>LMUj(u0y{#Sf*xQ@tN5D$m~M%LF~ipkUI?eg3~REaYhl6A^=3)% zNRpu4n*+RIn$3jw5W?SAM@fy{w5oJZ71j(`WZhl$GG3`*Ln5rHDhk9No{DDbx~4%{ zV8ok5&<#Zkt4LFknnH=baIZ8dVJU^4JJD+l8W>9ZIm>C$tOvp&5IkY!g~#BbD1my> z7;>oSNx0}j!wB*p+{Be=zb}QD4cblGe4uUshDy*s8Xtwzk>+?>Tm7EG_oJwm2}}sg ztM~!AfgH1{XRqL6u&?FKPyeP%YoGmm0*;id^X1MELvdydVl{ov;6Oahi8uW z6%2ISeY@?b?jD0ynYrUlq_USsgZ6)JZTltr3n0(<;Y7{<_GkNLLAv|Dur|j~%rQYI zl8Tg=yVScxS|v4k+r83G4mm(Zh(I!n5Foyx0=8jj0>pqLh>|30noD;Zh7)O!l^~m8 zm#a=@J+jP-PQ$2UL=RXLG!)BXY|sG02$`>nJ1x9@&@xZ5Auoq_{H{XVH^Lqh`mBn53q85$ab8`ag>8`a8Cbv2y=Fq6gf7She5 zNfh{pM*=!$Hw;{wH7+*&tHbsp^x=?wF7SV{s{}TR>kPm5=G=2;c8|oh$^jSt$A9%dEb2hcLWQR#K&ZmyqnndJ#)?iyggn&`ZaMB zS2boNFx46^r8Q2}YffG2j=B5XAGz5wH)eQ#2__n*g2~%JY?jy^n%xdP5;G`;0wBOU zFdV-hw_J4q0`P5mu)~R+OB>J-BH-~^R$v)GE-I?)Q>rRkfyI*c6on`lA|;FnaB!C0 z;noO9{rEYtg8z^xzwt#)W#ydD2NGFi4O5?}>Dtg1!xu-d#(QC#4T&heZu!zIvw#6kw7GEpw@9-7Pkw?}?s_P*xQL~9#c7qiwo2p8- z9#Sgw4Y=m&)kIX7d+6&=4#7@2u+vIwr)B1I81{iJc$pyw5%vh^7|UESK{8_;gE$$! z`anHzb9cFioJenEab;XL+`I(0MXpwSvCDdh*2wz$5!m~&hoH}Zvn!!_Rc7OriFdAC z!8M8KAwK^Q9As={3^5nSnxR8vm~M>j5eCoZP%Yc}efs}~<$I{Kx}I960H*0=u8+<< z+DtplQ)B;N7O~UN1Tf-Jv)~Q&oOCvSK^b$tPrG+l!kR zM|OKw)})NGkdp~!xc-|Fp_$+~JUcwZQYb>VAsq0ipCD7_wRSyL(9+0b2_iA!2Z)Hu zA@9Qs5O#H6H;E~M}F{dv}@WF{MU(Ta>4WEbql|{y9?gNDtH@&aN-4T>&XuGi%c z<@5}W&*0LcTsrTGB95hiqDQNeuH~t+5oL7=R%Io*N+VGP%#o6WR+kCDp3fv>5iJoD z_>tYcrLWdDpIPzg59>A;lj>KVUATYO@`c^pJMpi+GpqgImlIzm{@gGJA3l9*{;l8M z`QR2z;WL2TlfYv?`l*?IF;%D|sd;P@gd{cVU&rA^AJuaZ2T|hk$XBL~!dYf2> zyWQ1>+T|2bY*G-)EbIocKvAP$#;n!50Hh5c&{{f$n9wI&5?EmXWHSJ00_Q-^MkoX0 zG`J62F#0?OXEQi0j662D=)5L1zuAA`FGCl1 z4g|XOmuuH_?_M?URlME%?oFs%D%P=n_H1zVs$YHl$&GFB?xz3(MHY9`(T8SHM<#B_ z1p4S~&~yYRif#wiB@}FO2*|F((Xr3XNNq585rq;+^0YJv4+J6!$Auw*v5uBOd*%H& zWy=4PBrPpn)gV*mX`CmF((G_ic|DBM#o#wINio46aJu}iV3Z3ueNpUkf14+UmoQ$U zM_Ge`Rf5M?speNE;h9XyVt9cy*a9cX6LMnWYqdxJw&F~4?bd>W@gH|DS-fXYG_qqy z{ai3Y@$J#}`kBQ2pBm!%-Oa%pL$`jN(z^)oL`6Y#*sSVEKO_HKW;QdsnKu}wT-1?< zwO+R*v3`pxbY@080G9^fwc|Fu9l5c)pZKpx?G{F9NmoyK7!{xCkbg_7X1aE{Mc(Rf?fMh*ROPIwd{n@$GK&a)JW3?9k*uy^3Izh6H0 zDUNTn9bEa7#3FppUOwxU<@@k_@A;nrH*CO;?8I>5pJi9C;rO2CmY=5Xu7cdl-0zWr zPMUg_ugquq8hz`0V?Msq7xVS`7*OzRGwgPpbnmobP1i9dy^QMduqdxkCOy-@zU);L+{`m}MkD3Q;x+m7rl^0~Z5n3oYqJhl&PtRBRbgL0gGO=?c=i zv6gAAHdGj`H8y3_3bWaFt{tE-MY@kTiqHAJ^Z)+8KjcNqD})1fNboQ(1fi0j%lGqK z3fz*%JX^eE8}g74;A+D#NQ3bjG{zI3;N@U_ZRvEH|Kh@hTVB5G)+bEU9Z7DClU+)RyK{?KMOvXFx9pBQYK=w!*OtJ| z1-gc_grE%x%vRfvxDS~zyIj{WBq&^!euOBDUt zsxRZqrI=FYoXA&8ab=>jj-Me-S7ta>J!Zw)W7}eTV<%%Giq*ylCr68WL|>1pij-hc zH;hmy9F9gII0cJ15_Rbbw{D~iVhrFUSamRzDAL2JFbT8wI3lY{qltSKyA4SBB#bCo zN44h|v0~%c-g)0zAFk?|w=R_~nN`u$wd3g-%bwxOcFteav^bGCa6DU1URl*t)%VkE zA33tI?Pq_?eq2y{B~;OeI;~QlR8~;CoAbGQ?E0vh22R5fpQ0w5T0!coeXIg`srx}K zHCbjM_HJM+`CVl0%G_h}g2}s+f=BQ^Aai?f!^yqdYTHz~Wl`PQnIm?Lte>>}S-z}q zVMBG})^v6?dH$KUDX*T&o?vQ^13N5(wL6d>wOXF_L0m0)8#wA}kR9$8Bw~?)f!cx? z7zFZQz_2M}5Bbs9uS@QF>{Qfx1gopZJ_1h$1v1baaDm0vV?XxVqrCtGIN`}-0K;T* z?*NWp{pF$SpC38=x4vENtvh$MCwH)chy%H6x&P$;lDh^R;QsL3`44`3?tObw<&s=8 ztew%}$B-o-q`al ze-Yh44jnPob3@G;{$RGSx$%zGg?u5ZN->`r02HEd@dFg0pd7wGTNDlBg<(7}W6^!1 zntkeYnjRMrqv?dtTzcU%DArJWhjN9%-BYr*p7GstT7JIluP;5eW{k7NXncrlTVCt~Gl7a6D%?P_+qE zt%PC{<~1_}r05h)fJ9(Jzs5ONql8Phq1t#5s)sRIX0mXC|4(tkMQ)EEdjz8%g=M(7 zMS#U3DRd_@6p9lFI@Ev~N(w=TKZ#ZC>n`v05za?U2wt53KA^@%0jS*tXa5>c_eIy$ z?zwX6&8MHO*pz)ewY0JKg{6yoa!DdheG-qv+I3t#fBx2+)3}2d&cFB0<=>w{c}0`y z_Q~33xmx8enUq?hgToH_OX(QigdF$@OSYwLz{nfG$dWsxixR1ourz=VS}}topl-uf zc>a_PoiB>)nZ|I89WM8ft1w&~T$#9%_sI-9X+zrgsV-h{x-=INUA!YHsDgg?^o)M) zxjPdekCoI`|AhMZtl8tMtHwR07x87UbgC}Wc3xbxKg-#Jvt1Iashpc{*FYH8wE}Q$T|p~ogG}286sA= zFpP%|fOk79Y|F+KQ1%3zD^In}j`6DUvhoTNubRj-m@l!{^!xlUZ%r{8soJh}Ha909 zDqj1GPV3Oi-%dZ}N||poFL@}u>DO&F@9fW=!BdMmzTY&nHX0ZapY_8zn-A2jSrIri zyJkwMId;nQwewy)!OmRC?SJBP!b>oRQ7ZSp}8HBN?p2+Q|JpfJBo2DN;S9YP9Un&ZBQJZJw#|FRBJ9hX;(@H)$U@` zJh9RS%>UNt|GrXS%sgji{soMgtr^FX3r@uRA`G6gI14aFwZT#g6aW(3QFH3UvzJ8M z+R{6BYM!d#YkT#n%U>lB+h|+vCqK@n7X(MJy(PhA#_(m}kn61Spg2;TB=%^1nlRjQ z@mTSiCZja4NLLSln(6f=%+<)YNl9_Yj)V@f8nVee5nsvH*xA%}dbaW*t+;hJ;f$D@ zxRSiidG3x1+bT=!7*K)ZKtU$!E(8O3B>N}yHnePiI9<{>dHG|H?AWttQTu^3*`9rC zU;DI%C(e?|fTkFE!RM=B7YY`vvGawrKq#S{Bq7yOd$`S%)^m+?2e+H@%FRD8tthwgG~{tbvvU2_GU<#F#k55JYIALk$!Jf;1vU z!l!(Wv~@DHf1n5qSX!8ANXn5kN4}m z_k9o3VfBEvN8f4ea1I4`g$>g+!Z9}oB}_CPEsJi5o{xSJ9f;}`q3d)*y`lY6?>4%f zYe6GRxaNGbO|+RR7u*cF)5J7$lh|Z-i7wN;<7#@|nSp{&%%B6Bms}z!oJWH5W=2`4 zT68xUJZS1!rl~uoZ8rxTgeOPlt_OvdC4^?~5V%J|P{6iPL1@w{;b72l$kJUqV9G>S zI6*T^VmvH9H=y8BE=pQ?W#%!H$4!RYH!o?%T~_8EbGhsHlH)}Z#gQT~^<>_8luu{b zMj7?1b7LteJk?a4s&2}S-Kh>I67nA@@w3@Trm%2JAEvd4RN<1i+at}ExA>)Zc+V%+=tTE7>e{246nCoEA4Zbq9?@A}H z@4wF1p8D+5y`NDA0H)DeZy^pGtV*a!kN{Chi9&eNeJ4#y(G&)*?@@Zsx z!Hy1S`^_d+jZ!XwZ^Fbfzo>(z1MC^^`k7x9<`h1*>$um&rl0yGev^-6-o2kpm|oQH z1yY~0nTxz?Fgnber<6d?L*X#XrCJ%C6I-M%iZ-arqRr~+Xsf+n{m|YQ{g3_M zP`K6J66&`96}%BrYw>M3ANwXI2%if+-Dz>Ybd+poq0{Cl5yLPY*RfpPv7C_AY#Q)= zLx-Njk*shyf;)K_b>$>Y*WxIRC z4&fz7JlRxI<<;L6;!V#iRCKwHdBG8;3`E5eTPu+5RGM2j|ttLO&pgE(Zq0&~N zacQG8RpTT6l*|PuO`P;FRt1qoH@HOw@u^vQ!aMcR=_ekG6@0qeJN4{yHKn7xrN4Kd z92xwr7@6At)~2<;;LH0jeYx!!{|k-#FamZ8(#2%G$qi#BuQ0aoErvGIC^TO*YTahu zY+UA-jcfdxahrc-+~fC*AU7JG(G6h>v5lr0mZC!TzH-Te7$ z*F8Uf9shhqTic42Z9AYq>L618L7iyn*sCIGTNISXndkLF(f~Oj-%{7`S0|9#0KuO&y*v4 zG0uEE8RTrYLVTu5m14tM$$O7`i{hnKDeR&^8Jg10KHK5*I-8Y=Y_T-M>%0@ZPC3IS zp47D!LPaC#Sar660H`W98DRU>6TT3yCe1RHsg;43(z>ax>P__wMsXaK>I2Vx&=v!; z3gmw0{s<(Av$YeJ>p(lCSM?{~w`{8`e!rv7l++nV~dK42V2*Y@Yj8%O$D zZ#I#!+}rB4>I0ea2S5HH>!LCK)UF5E&0nBdN;`#s61AIN5w%pw%4r6V(`&#~zKtd* z-SFow`T+f6(1UU<=1&7o0&!qE5Y3F00#!h{>}TeGfIVK4`}nk-W@txgk*FQ;aNb&R zj^+aIpuJge+NKVpYVzQZ)2zNt>oC3!?-yXK1$362hxRP28oC38_{mS`77l( zP@JKYvCkWL|6{DnM}HaGL%;_I4FJP{d|pK3*hxABS_t_o(sBb*ZYlGiOyEDm(+`p6 z@sTl{DRq>^x=;;up~!v6+xM~Tdni#7v;lMhkOd_9EZAnVudfZFn}me6oz6nO)4&8# zN3+pq;Il$KNe5&fsFHv_`^6#Hs+T6?-5PB#{RZO%d5N!}QM`@{wL)4C|0>4*O~7XC zc~1HR=DmRP3qTX%Cgu9}Xs1S;)iJ0isT=fPhh2Y)v+ko!SU(+@0=v`$3nV`{KQ47H z?apG}R?NMPInM!0F&+gXQg+`bu|}@PUXN$|aPvb-n=xlE^!gR31r$jh2I2cz26)$w zeX;-*$N>taY=D&UMPNLz7l3~Zq~K2j(qE;|NZ*wHA$>!f8@Mldle}kSXz}ftzB4UY zzc6!7uDY5QW{@io9OQkGJ_}y48PqCoC4;6!a8yLnjGa@E zC{d7Lo3Cx#wr%^hZ5yv`+qP}nwr$&XZ_h^T&P>F7%tuy4{by9xy_tE=-`ra8JwttU z7)>lbL^4tzW~=;W$ppV|1WiLiM+rhqD&{e>_;vXagOaJp^t5lJsy>OOEY9dhmm6A$ zIY-j0x~%WL?6<9d*KH@>IUduRZLlcW4>6N$mp3@xCU@AU}WVE^ycYg3T%S)~uqB40pi|kfPvsDkAc3Q)v(4 zT<0edqT!3N$Crt|0~_mArW7?iQiC0WyKaE+y{FG?T}vH!ZtB{e*z4|g9}H`9_`SHm zqK9=2)Xn&6_R74@;l3K-%)oH-Mts&n+aDlUguPv?Vj+Dd%&ak7=eWF{3IBX9tR``N z-m}s=K<$6Lat(2IZ}x=75E(=pb5BduG>{En*`6T1uNc;t`f1)yjF5F+iTUB_N8yRr zM6mm_b3eC*h8Qy|e&HBPj8I5)b-#ms!#X?}`v>RRu_@<$NhI=)%mM1Y%YchC zq)m;~R+M!je5S5J?l336>R}c)+wwQwA#+_Bj3)A==GnCyk+a+TNhY1hpie+!>?Zss zpeAIe%Pr;gaOXA;vufi7rQ(&EFlzMoZc&Fn>;gl}5{VlY@?7Fo+-sZ3rJ3tsM4D zSE4)7`Qk!9iLHccS({W(=kOhlAvcCMkFhO9Q|XBeXUZe}zeuM5rw55Kinc@a^@#I?P4#d*9Z)sA#b2w0y`xvh4I3&<9Q;ZNKTi;W1UIyrzidoJ3{=@ z$>x+64C;QJ%(Ptis)NQ+lx1Nt`RJuQ5_rSLq77;~TFGXNnn)?erx*M6KyPoYm%g8( zTFa;OTun3M6H`+yDr~QnN8c(w^oz$0kY9eRKDnf;r?ykgol{fG0(>Id3K+FUDGW|ii&We^~ra$Z;3vgK9=7(-mINLBbYF{2p+JhPmt z`!fREFDT}(a0&*aw%aZo*sOULHTAy1TKML!TH~lJ5n5&BJ`g4RoRAHPS)&jQzbEA_ zhhp+!L0LjUYU+9(tC;;81W!iq5XdYPX)3J}v;13`tZ6zxHDr6~-5GEDx)%1${XEt| zHJPlck{Uu1QSKC;il+?cprU-06~!k_s;<-hu+=%AA`!ml~iPfUg$g6v*B8!B>`4QnW2TLK^57xXNyEzF4hPMp;B9lCNZHAyA%G{x_Vd2!BXcz){9HvH$S}Ox<0WWrweS ze&jp2a@Syl5X|UbERM&8FKNdzZ2i2SmbRS-A_yg=e}!CD`9{Fy`-YK{l@|1Yu83fo z9#7n?#RK@p0A!(!mWTv#BH)!_+$>P77!d)Ml{v8^Y-K3Z4f632sNKepQrTAkPT%K4 zS-f#Pj6@4r(Ea4xEa$^R>`tc|2f0&^;jin2CB`}kodIry891-#*j7kzq2(#|1Jr4o zt9pfgg(@k);h;D6A|)w+`d_c}RXHl_Ovschx$3%aA8pkdjno)w=luh+3McwtH3~tyTaEHnhGA+x_Jsyn zXHIn@O0+xq|7H&rITp+TiNUPVK2*xI-eIl+Mg1DDcmGvWp}?i4u;EI6kR7{xd41#+ zYAdv4{SKJgPkUAvG_^HoReFfM6CG+QB8rma||sH-xJc;t$EXP4EOZwWo`FxUS*f_ zgeT%(*R)ee^=W*x>dbq|*pR|qIZ0)!Piu0xkJXc|uG3BrIz>(jt3P@4j8!BBrsjo! zqJL`Z?8Lr^q$a$DmMOO*#j5aX)-v@)a;$53Z5=+SIqZ6}-kp-T9EYS_10>1a{O@#p zztjzC1>48AmC_(Sj`Q=BXHSlo?emCL25hG{(LuY!QK(2I2@^>U7OLl=+uLNVk-=RR zlES;P_|?CRc#_v#+&xb!8nNRn*gQuEOR}gt14}V*MvG0GvI>MIR5s4r$9p~`B(kuu zB@i4#Fw zKnVS&v)uhqu^C??EOg%pIV3oa{h<<~YvnV`}OY zlmuo8ZHA}9veefEGwTLB8mI^nktm!6^``o@I>X#hlALnsW|+v@I0!jwe8CUohS8Lj zXE0+Iv+ULKSeXaNH>W7i@L07c#4u&RJWD%MAIRx%L1#ZU^h%m%EK@3r=AJ#8+wf@~ zXEqhuZ#ZZ1bGs4k_(#{fKUXB%HY5+dJQj_v5Qt};AX^E)-@e4pQ&UF$1MsNGNS^0U z7nDdU61T#XRI5{Jr1mOYXDDk`Qv&ll5;ChJNvoZyHZ5KK{ob8wR@=pQ2jzKVW(Vj(L!rB%!c zGS_WunXl9`p|ztSMJ66S0Q=;1|n-XQs!;ZPuOV>BT|ED}`4gdg*o{m(s&EpVZ{nI>#QysdttPJ_m?&UDowNoeaVzePo_Tty|p7&Nq4Aj%A zZA)${-gC<6@G^bnojZ1uwrlZYYzl;I70H!rT7?e9LRxO|}z&Hww3Fde8J4l$o)T+=SZX zETQ91Rd`)6;gE6U|Y|}#OOJ}bR8~A+$3~;i)v%mh|IOaU$e6~L=$P{6SsE^5y`G4U+ zJESG)6Vx-WyI1q5`bzbM@;&}i;o2h!78GzojoaYL>B|1!V)}?7r9_TbU&#B0+iL0) zG%d$#M@L~?)BAh!xGP$Fd*t?kBznNi0p<6~8UPqzsN?wjf6NPx|4m*n(z7u#{C{dF z3j-rNRS}p4$?xLK=HdJypyuh%D?c7Dzmmu_ z4%26ErhnaV(7u46vjnuxN_J+_o9_t@g@WlUBooO8Xo={6wcEZiEXF3;8Z4I)E50gN zfS8HdObk@4W`l~kTQY6pDPfAog<|FM55Cj5zDFja=`>FvN5zi#&wg5T+(NLeB5WTJ zp-{2j{Guw`m-9~ga7B19Afj?(vUPRZOpm>ti1_Rb6Rcb%GT9R>dU8pTswM07^XVT} zYCxAs7Z<1}MshP1Uu!1}wLVT?p0YL?vf>+1C51lVW?i71anq9gc0~&3wDROIwp*&= zCw5K;*Y0f7LD5iPk50Wz=A}DugOR@?70~nSxr6i(>M@eIe^jf^V#(MP*ZzR zsP`3Kvyk0pQLJVW&Sr3Y&9QK%L7wC>Nv7TKL9R#vmBJ7zdo-29 zn3Y4Eol#G#z6zZIQC18YW8ts#Z(k;6;DRoZqCgIH7#X#on)1OfwQyi}1h9KVp1}^8 zLnp1&wwq3?_P{=UirjrMuUu5eBd@O6gDSOdRwTIh44%w*6NGDe-vpclX?Q0euVSCz zE%!{HAao}=^$y-DHbZgN)ed( z!DR>*g`E5{DkWu9i!A5nOVzxLab-bcD@Ls9(gMYQ7wi<{%Vryw8z&~G+#BXDt)CfM zSg4MtkE@T(8m2OjXTG{VWdIj|v&}#?@>B{@EGwF-)^)COoz=LCaaLq4N*W!mDxZU# z1v<*N>K>aPn}pRXlaZy`jq6D|3|&V)dq44avWl~YmfkIPG=A3G+fALErZuf%J5)(; z&H%TxwDW|!1Y2ZW!{8Cbw#c)s8UvH17H_!@R4W=)lt?tfEQPZwk;%mpXOg7Cwcm8! zSREn>@;TBIw&Z!WcgptmfrHYZBCiyFbuR0n^F_&m8mP8jTuge-dUs!(~ zjb+_cZ}rE`hm)3$L9`1b@5SxMI{S1|-#T<}P(QXtc0aPlavVKXjFT8H4c%X~GS7n7 zcwHVP4q$)R3LJ!tQ#4m{OqH_jJTKXg*}*qq9Nw#NDzMXh4Fidm5%zC;kkt4rdQ58? zcg#j>ZCh?)o42~>y2o@Z=s;~|;!P5ms-4SA%Ud<=JNB1bx+vF|#dPVw6li=`z++^u z8Lm~a-p&ycLMa4&WPK23Ra@EBr;p})3hXrxRnEPqzw*UA;G_mZ#bU*ZM?_-4{-_4D z5NyKh|6*RwI@u#Kt@HB4n?-_)>UrKgQ3hUQx+n*L<@U;au752D8Kw=QHRf8P%kJ)M zkCEBK$-^o=+olDR4DQUUH70tAX~TQ-l|AU+KgXt7Mq*>t+&cHBk%y~&@!Hl_n0({$ zujs784mZ5nGf-;8d;=3ioN} z?eUQARHgfOV*Mz$NViO+hYgMPz@!J{QloQ<6h48O{zj#_2CJ9$X{l>LrSkJarsCSt zt9DLn-G)XI>O>OJ#qm#xmdDZC#2G${xyxGgDj13&1w&4BmYZXs3$K@urG5?iYi~KCcPzs z5F`&*7uY|fa88jt2bG`d9(IIGpfVd_K=Oo2@))ls61OPUNur|2R~MW=s8J`|Df6Y@ z=j=F4t7flRSpsMP41-+6EZ!*;FeDm|nqgMDDm^fS8jfO&Ox8Sac1PRwE|+}q&Hz;o z1#s(e&2iFovMJTV?C-eZJC_ASxGEwU;P0Opm@~h%wFN_@B#AzSZe>-#Dk{k|jD8(* z)8mS(F`WbI4uT8n4w^j=`JJh9kJcF8Q@HerQngoOPU9nV@v5mYvorVSS{$nl$$Edf zF|ET+^?G;*tiO%xC6}f#>DQmUKHb&IcD2jfnBY8Tvp(QuPWMva#qIn2zz=!Q_Zgn> z%0l*lCA-&>Iez2shYunHAAo$QM{gE@xR?v|uGg;jt{1E)nz3@+@MaCDIg466 zvi#K}x?VC?7*%C@vGJ!l&)NuUgS5HK+9YEGwYjLvqQI)L%f!&CGOA(a3dUn$yS~M` zQ>NC%D!SVkFvUNt;F-gn#-=ea;vNm||o&a~G&mO`nh}^D( zS?Jz>)NOU{lkz>#&d?NkAUZ>09fmkpl!DxVifaqKUwl7$&1w~O|qdpQdX%&?@ z8{=}Qe8O}g8%8ACv=MWfw3Fh15=)XyXqkzijEv&MBFmGsm*U7GD~z;I%GeQGnha6O z8j78|mO#o9io@FG2W5w|G`(KAnar26<(YU@RY7BP^m03==*5|>2H&sj>e0oz z)W?hnry|NJlXdQHU5U($DXVnKDZY7jY+a$mj4G=f@0{7XYN07=dbXKUk#AmoU6yZo zZC&TY3@oc6=al

    Eyz+ou6+ds9n5gcIdr`cCN;;%)4sh5lg#z=N$4&R@FjGyC}=C z$$6P&U1Y^VRl8)%vCF##tFgmo5w~5!d5LV{5?98c2V~Bv zg)``x+y?H1={su9nT9i z!Me_*eOD~)lPkvnmsy!@i56G1t>Z1^84q&5mwB9Rv9QjZYX|hLg8|2!m|0+60XU9K z+&lC4l$lvWUMaa(Y@VZs$4I)_f8FAAuZUfT;O;{y6k$YXEV_9{-IBJy{U~-$dh%FI zbZl2NbRd4)H_Rdj=&l1bSUYTBUDE+J^fywZo&62$83@l1q_^syH8_WhFTH=DYg$9O zVS<+V@{=q|NCDG>`E2&7(^?~s$A3)d*%%7ig3>0fC$07wA|!e%k6}%i!cw<3hp>-v zkC|T>QrvuR(n3-FR3Tf<&Ydz4deqgAiqP1a~L zj54g^aONe~B`wX#@W#dFMR}7A?_)A!6&CB@DNvx+ht2@CS?vBV*?nwM55i7)5o&<2&Px+$d^{E2U%a z7$t_sgxyL?b1MN!STGaQ(&Za0Os1;Sm@`b~evv?^V?gSJ55{%WiAD8N&g13~DdKI^ za))(=qgYSp%qho-Uk>81qdADAAxC`M6(Hr|50E==J||s2Jp~kZtIL7Kux@^mL1q=h zW`D~%#jKGMav9vv^Wi{13^(n<2>qe_;p}x4GgxWuSNc$78Yyk;rMAwyYZ&U=7u#>$ zO>yDFc+NO@IB_ezJI!QvV7QGB35bJ!>$QsT?aq%Ltgm@BNX>D*@=d+NNc-X9C=`S;(8vKssaAvoLpb)DiJ=Da z1d<}vqX-AW%~q7U$y0|+_1Vbtw73zH>Z+0qVw0#1gVGEl-zwjt!Q&~15Ay^$34I3j zsl>+-ZU%l<)IKCFV^{U!*Rfnjv~8N#ak^t&4mq22aH9dMs2dbpM=RrhjZ@=k;qFuu zbtJ>@^SJX1DZ7$*?@Jj4##BZ1Jem{5$j9zMZYELdp)Y$y>*lPWu_o%**pmkw)?AN` z-9A}Z{f-*KtPnFMi)+iQFf*o2YSXMxGp3GfJIUf$eVZ71TQ{zu5dqLFL%1H0C@XEo{>qX3;c@A#r9Rv z#TKkn)ezemFMD2YeA_ua5wf@uwAuaPu2Q@qE_>Q-5n>N5^f+RAf1(GaCx2}Lm?OKo z%F113>q;Gziv~@?Nfu?{7HQ>WM;ghjl2}zybHf&E>+DT}UZPzU+Y1hjTGpM%OZSZs z8r`gOzbB=Jlntszl63{^v}P?${T}O{f+|0m+n{C@&627WR)E!yTa_gHzaQt)|CBIg zI+)Dwxr)Jy+UCO^t}RTw}>|{Ka-nbPv`7dNKBJl2iP}+mx&$FV=ol?^H?Ooh$Gr> zh7NpypYq5o__-{NE@B278N!_k@J5e7iolruI!Q3oNomB*L#Ez+jJehrjh#I5%8VUuUp!Z)5opGkE07MRW{>&$z_B= z9ise~|CJj`9b)A4hVYBd2RtShdHjq=ps4$vyNkx!oYFmERjN)coM`#IU++}Rvq;^| zNDx)oY&luqKGxjcgP=w;KzuRH+8A$^fPs)P+8KeXi{(acXlzz3l=FZ$JAWh|nK|5LfRG(}wA#BwD+tLg z!1RFA`GETQm~!hMwq4Cu5m$;t0glZAT%LR#p8N#MXNpYDC+w^}y>pcdyc6Od-Rd+s zA!>oOnQOl4#ud+@(@(hrYYgQhs)80eSW*>t@M=p-lZ8bv3kov}DSnn%oX0K2=+$c9 z#yReb4zL@6ejlmaV~-^1n>nqAUsTbe%W&x^DAv zJv;6&?UQFlyvNiissu4tj9=I__1fWd7_dZ$PN{vURbtwO)4-`aU+(saP~7-^C%4Q-9lv1t=u%>6xB#F<@kMb)Os;n{@~91p0JmS<%q)3GIJf!0s5B#<`p1LgjSiqmv{x^oWS!4D|+^-r6C7y-VvV68T ztx@7+5OH_PP3@4s_q#u?a42yW^Y90(>74IaFxWVsupp-W*63WPu~ zfJ(KKEu0&L0O*h-=*s(#2MJLv#g-y=KekCTYS=m^1@4$OR4SV8g&i-t{WD5H7>OI zcK*$9ytvGqG*Q^h9|89NA+^k@2{N%K%5^XDD7QrF9Z6ij2Y6WT#v}`A9<_x=By4Y} zsT~9(_~$q5hbbyZr$SDO@z>EwGO7YzXx%s3R7jKQ=NV7w15C{E_{uX8nvb<%d80B- z0;pCi)$RlTk4L{lUzOGN#4*-FH(9b_ka0eLdoRRQ-s)f*>rVCXACx%EVWae00caZz znKihL?tk_V{Or}~V*M5Xacr!GA_~~(vX=puSAIaH&(fvgVrLAbj2BE|k&VR|WF1Hg z9R!NdEUf0GU{AJVm+V6C=5J!5!(#8|KX%AU_QdHbXKQ_pqBFSIb7(w)A`x0jNWeYL`K0?kdeK|W6&g#q^M?z#7vnY4{BIJ ztD=~DdLp$djX*5`%yaouYMV}OHV7)*tqw{2mIPLF?}ZTQTL0FW>}s0DSnnXn}BPit{;}LdMRVKZAxe zJJan)6pj}<5pEtzc}l-EPAqyTx4$4t82uEODDc=XBvXD(LRxYSI{0j`P$nxfMaUBV z2wUi)=EyaV6ARTC>EBQXjRk=s$#fViYh+=J7ekpe`Tkyu+e%V11RSevNH6NB2r1Oj z0Qv%~OBW3i>oJvf2(phK;+W_JVmQWbWFbIMJ17moEba!=J2zlN4=g|qQOBBBpTi$) zz=*_lpuef)Lp#m5Y8cP!#0Jt+w1Cb zPs3q^Z+XIKB-JcF2iP6d*4IY%$N56HyFZhF)(TLbmVjFG=da;2h znEeDLG$O3v({~HN37ve)gQ-w0`Y8?L-@^?DKEtmn(V?#b?&%idn^0eAw3a z+gM&eW5#GL05{*I`WoZ>jMQ3trlVj}IepOwrirv*x68Y;$KggWFfF(Mu1ZZ%Y*0&@ z!SRRsH2+cR+FUVYp}W!!P8xXYq2)4$ZBf5doedb zGS!_hsCT!HX2Nuf6u2pddO9%qlA`8Ahrv&s9;u$tB1irM)C{?`xbZVJjCDv|eQ9IcD$uFPHU#+;P~AQ!DL+zTYqtDT=U z#To3C#rG4FlJtLRdJPp?cW)LGTi5p*8J|1K8J{90c83uyZ82DIPBuOtb5c=W2yTpw zuGAmq#Hl9_Z;6m>=zS2VSilh+yDP?x$;bch{fSqFqXpTNv7N^trdF$z|8dhTNj$5z zN!dN^f`oJ}m>`j(@B^k&%pV%diGjefUt8KnFuN^lm>DY)D=6Dn96hELk)-UtDXYyycwm3sQBnh(xA^snZKHoT0Wo^75OzFg0xZ-&cwKf=1u zY{=}2GBtn0c@LqIE*%nQn{R7(HBk%kr8X?_!za>V+bOoN32FbECT|n{>Q#~0GOvc} z^m2h2e#Lz9&AB05Y{U{dRyvxv|8ep6ymg%C@X@ zORm44|0}+Ad@L#D8JBF!J5|&9WOKc+86t%C^E`f<;njsEHms9l)A7}Ji`6xi)tKYl zl_W)Gk@V8C;?nc@q1dKn(*cR=4k)bvj7v#sTsRSKDrigXs+D2y72L;WbZ>5qB)2f4o?_CXXmuUM4peSQ9HbHp*W zd3M;cBfBRv-78J!WZUz3Yeg2vrhcpqtL{mBb-=%19Ig|s|b}enLE4VCE4>TJA@5BUAG>XIn1Fs33){#tKyCMjGR~;06zH` z%+`de4Q#E)u4&D;hg_Y&hBYwF0(0dLI;ww=nDvxx#MQ{D-$sX*;hF6)G$MUrwH;H&%E%Z`rk= zhhCuDgKzQ;cjuX5Xy*6)2L&<_Ia>}P0dfE%Vz^2NuY|IT`g0q`GpZhHz9kjNhR(IQ zZ7$2M(?d|bAK<7Ds)wQD`*;`+SBwtlo~>Ew%i*_3%~Fi_$y%@~ZznzbeT1@54>HoT z72FNqzb`O4ogedOt`36XpzwFQ8hzjZ& zHIHt&Ezg=0U8hGGnDw6A`Z{u%b@=)%1S#)loB`qMED-ObIBz069%pTOfpYBqF~A5# zo3|4}0KaSP81C!HbqAY=Q%*c1tXYypwQk@JN+@~sWf7TfK4h?ULejBRe+wZSx%J_n zY3y%U(|MVXXBe#Kn~;<3;FE3t6Gn2WY5`0+;!NCdG{te_&*e&fs^jQf+N5d$AwfYtenJ0y5b$2mA7KC8)} zo}8l|o71E-sX8Udqx@3jfE9SQq1_^{DpuMX2O(8l92Y{ngGe9A7n<>?Ut9s$>$XSS z_1+8s_VBUECms7R!OtjLBUbsauNFCfes^f(E4g$#1c+fe#PWuq{kVI>M_K09(lONj`OFMb5>om(JId`LM^sqr;S6C91>;lTMcyGyH&OV+VQ&QL#b93fdbfC%!nqRoWpM&_h!`G@ z#emJJF0Saty?4B3YdB}T4-wOcJjF%Jz5uKV!ZT^l_xvwQwjRuH2Q*g~#6)DcZS^_V zMxFV14gH}}3l*YMwXQ2xnS71>2GXU;XUwnLdfS$l7gLG?E3#AYw55}$zQ z&jH?^4yt??fyY}=7UO~BEC&vmJZk*aaeRMVyV?emSYyrg;vw@G(q`<}YtqdAfyE5? z$dRp`f!<3R(X(qym;>iqO*5?56fi!#hOuagHh>uXdz&;tgoU=0Osj){Y@47GaF#6Z zaU3aHTBGCE&Emf3Z%=z=3HsVq}pb5njY7NMg{D?V&2A2@C;V(FgWth_Xzsq)7u_0zg2G^Y_;2Q0)t zRAfR15L4%u*atq>Zu1BNDIS*GjeX=n$eiSiC(uQ#im>8DTN8eojf!ENiwd+$v$O3H zE_5zS8J^`|r2RAot0;2fbe-iVGuaE`mjUBES5^}lao$@3gvmD#kRO?Yo!TJ6Bl14{ zSBUop06~-kX22mZV`)~TG7*mo)lU)oTP9lg9y?e4iCfUm#! zt_?9d;<9@okmwOO$Mk*;#y!rv;>8|2rvKzzgFb~|vfLb5F=FnXbiZkVuyMD&Z)#fk zK68(-mtdS0LC)KyMPh;5=BVajQ>863SA%96hltzSZ#@77c+!0Exc)NA$~JQd7Slr8 zv7Y0t`(p$+7HzJE^^bm1wLI&9_ur5T3=%)wM643fFiTQ3<T^mVRum5G)`0ru9aW+Qksd~QeKy~5f?K6Ra8 zmL@MC?&W%j%QDC;V9im`l`YljD>q;dvG?pdUFvWb$wCdXq&&u^1RZ$YMWhenA1pj> zTy%obhlv`7kl-mqY%;4lPht_NoVWlAsn;`Ot3tPe&hi*QlT~s2IBBUo#o5$)e6$|f z%r569t8f=NOMG)b)<#uck6bR}v&})ok(7pEeyXmZJipqNx1SWmtEfWGX23GcandQ! zYHxPPRUU*X=-e1NI8U0}O6%Dzla_ncf9~rZ;TyCXq6I(f{_NR>vtccyL=qp|Sl7@S zGB3{`i)tq97vV+jAkfh$*r?b{5LfPbjto#H)Ljw-XhNY$Gt?o~6Baq?*;LZ)InwBV zMIv%>Y?8yn-es;)J{67vxBO+kLfz2B!7hb+KFnBHaVVHxKKLOWJ1Q^wQHn^i?3XmnNIdQ=z3+uU9d>V&8gLR^Iuo0c zSPD=w>U}{nS>@`iIWj&5#VGe9%5iWQV}WUE+St|FdK>lko$+SIJL02RXNw~%rf*6J z#+FW3V}5mMcI|r}^OG%>du~Z1bYHWy4kR_xhfrpk+ty$E(n^k5CeZxev)4#cs7XT{+QzVMh{nF5O?YQCP9T8T1H-au zl2yDs;xh7rnf@4n>A(E`f^KE<{x=#iMhhxaj^Y-&_2q`?oR;T8H5a3zo&X2ruh!X~ zTJ|XU!V>pMTUld0_)eY_qlU+qz7?qCXB1r`^?O%M5!R@32Hz8HDPV* zEF8%(D(aW!W=b*@B_)$fuJYAplcqkLNfSVzsr0JH?g9?z%|fTZjhGvW7(M)jfKZ6q@+zYi~I%U4h%Iw@vUri-(ZCe4E!8LLgb zhYA}gEG8GB_z7 zSM#=yC*qYd?8VgwqVGz;BayEFb3g;pFtN_plbe8Ujj3Y|iQS!^d}(aK)MA31@QmXW z(~%$j!EmDad)>qtjzwj-QTjmgkFoN(zvXzic}@sTa)4=&!=&qAdw~Vf}{7U=cC?SJ#-%xegzp0^OrR!`= z1Am5qNM*aL6K{2MDA|Rsuk~X8n&cw|#DB`;1n_}~=&VVSi3gH*L!Q$@fnlz{4W=-( z6}r&CBfc+Q-+Ab@wr#j|)6j_jUHt+fL4${U9?Q{&&!DMvth4WeK#$cY8-T6IDbSPmkuC!bTT>vIB~2 zh`?0fFkWfkzgDDeXghj0!~85n=s$_*3^5FMjDVT6UU`454p<}Iq)kXEMOYRrf?RVZ z-6IZ?9G=h@UPFQldggPAEeE#QPF9X`ibHt4OxJe)INLfTFM=8V0v33Hc}}riRRT4b zD@Xgbx+uDl@xbP;uTWbJZqy@VW5B~ePFlRu1_WU*V1bJn2(DAl62lnP#a7t`T&u}! z_{D&fnX4ol+48MH34uBM;$|mrioOlMDmg8(_-hJWPetnnN<@ zM6xW8;nz0$F|;V?+ri-Kc{>sR!6$Ll3mFR zPrQ`&3D-{VU_SKe=w9r{t0Pe!^<1VsADcAJd5(qJ^zCH-HgZ@)Oa1K=Kv`t#7q!b=e^HFlc*Lz zQB^iclou8b{VNEdf^I6JI^nG3?X1!kkcIPFkGOd|@QF87zfMiYMe#sjHKR<6tw8>U zgH`jaGP(!A6BsYwq@o?F7mK6bYe_|>KwdViWr!7&D@H0XdxeDrF8n>SE^54FfC%K) znWm!gB!_rOsR~c*zFW%6h8TT_`(`W_!o`jSARd&% zkOpb7uRiTZuC6-h8pdsxb z5!AlEpxh$08;sY?b#Sp;SK>xQT+nh_6m|uh0}FLUO`f?nh+%w!|#cZX?0U=Ir<0v|^1ie3m6Iu}!zuMgM+u+Ayv5O0IF>ql=AJW%CaAIupH+HSBUmSl zP6<|-J>vUd^VvQWqE zT5WyIwj3A}_Gwgfr!osV^PPR%Xl30nGxbW}G6h;s%nslS$oZBGOQGUL2fevU02fcu zKgGC!_1K3IxS=9GQcm<$a?uxP0Vf>e2JK;O!PKWt$^shD$d1Rj(b^H`fO@@mKJe4q zRo%duu`~F9o-_z?dUEh(WZ}$))-Tg2#kt_RsnJil$Dq7v8jr1#tL3LvHTOkEpDmwim zKOsLsEke?<^Ocq=!33n{Mo~KH`FMLl7N=km^6Bs&nr zQv$JkVpi6R2X{!_PAB0%>?Bhz#cu+}Bpf{JX+FBGG^Y!+w8 zAe>7!5=1h^5=6@zl5MZH+TUL^EBrO5tq2axgQK?^kCc~Dr_@!iVzPYqN9&J*@)6yN z)_L7G-vZJPBuB)E=)XnJBr>j4RFGMfTr0MF8hzd?l|Ji~(4;HgcM*l1=s-@Oh6C6e zC68>~Cow*5-(tgx%oEgoV)W_FHdfkUWJKHHIymn(;6s6wr!6jZ+qZl!!pn2fzj!bv zVFtTZgCSBUPvi9=^WcyymW9^I64GcPaNi?Jd`9$*@dG#D40p&L*O2(WPF86hfOtWA zx&uAiSajYfVr%%GI6+Hxv$sQjuow;DY~4zk@IM^JVe)Q-({%&qwFs^p?EGicTsw~P zD$@vC(n*=G5yl2krii#BXcfu|aV6{7qp7Gb@_IbqEwQV#pMtlPwRCklY8=-(rMS?( zjFitV;;fxsO0C80+1uUR0up;lDGhbjg3pVBWcX|{dmg&H;<$|PM)Edx0=ZQHh;e6elY zwr$(CCpzetcR44Q=H<6C_enNyQ;CqyQ1&FEq6KWcGLmX;FoFcG9By;QG*#nC5uJ z#Te5CXX+tm#+Jn;X@Zq}2`(2@G4l*Yh_#%@T_-oc7G^^(rL0-6*Pd^$8J^cHr$d4w zkHDT^@Lv8cVHz+%^>aNdSGWU!y^}l((lPTe))#ERY&sUt@5~1W-vk?VS;;8B-=!Xb zK|OIn{|Yi=V1(Jg_Joa0I@!up?YK_W5fL6$8GyfIgKlsaLU#VnH;W`k!T;9~pn z4}V`&$~CB7Q*wQ61a!);`(SDc(V%9zADs=AR!POAglfz@THLEHny;gT0%w9lNjfai z*(q`B@fx93*;!XKsk05@MTJa{bBZ@PnSvTpDDCo`!#mbH)ayhG=c?R$_UO9_m1|+( zAi^BG*eA-Cp*g$fF}eSQ2oB9-2VOMWKS*A&aXE!KO7G#+=Bu2@|Mkyc7=$Hs7gn8( zc#)9|lPC)lc31T$?88+6q&C>S#%&SyW>;ZVk?;pOZQ+H!i6qv2ps7#F`UYht66^J!buJfMtpXi8PV zRZn~#bSliqjrQv)ENS%#ac`;VELN{vl+qcgJ8F0q`N&`~<0O+Mtvc&ta>wT`&KpZ6 zyHfltA~_^Gi0DCV7DPd77Lcb~>uFu30rfDEs^)X&Ujy-bW|d-mWl#GLCq+bBLf}aG!H)7w6T2rjE{< z^?fG4IlltK1rt;Kw{273Z;E<}e~K)bVS`CBOg?8(}v z!xOG!P}`o{+?kYw_!XM->a%{zlYx=S4ia{H@2$ygQXC zj^xP|GgC4cK|M5{()AaTAV``|_^^4ia9=N?J5?8%F%vEb08y%fwYP#k0 zB%>)>5ved5W;EyKHo06ppYiaTK&vcC{CCJW)Q{L^Sk_Ys{k=cynDm?}%ZbTOa;ZA= zgsWQ2!&&4~zI9~sV)l##wMQ!lMY7d%2yS0?IZHfCR zsR<<}sm1~`#erVl~6M|tyw_(p@*uSfPu^%T{5BUtr~kVopVJM`3-IBhRivc26_PskkG zpWfcaf1N;(0NIsf1Wm==O51Gr$HO#Un>9CX0ol2g&s`Ve4%aspWnNaXUIPq%Iu;c! z3e}S>-sN1Z?cPBri?3v%v?{JMT7Hf+86HYv$E_Wj+rxOdQ4%c;B?%(UBoh1c=8rf` z{0Lz~%-4CW5Y`L7r;OT51u9Wo3L&n`$k)Pb1v^}>(Mg@+{+x-L1d&^cnJD-t#-5#~ zr$WK`*k5I}V9$3sTMjrwGqI~Ho!xrc&2*5Ve+&7i!hGwzQ?tTNW|Gx(F|P3XYPNEi zlYYVmcJIORvvKoMuZTw*U8Briuu@f5^v0Pe7S=(_f7gKt`qSoNuYqVo@T z!Da#90Im1g_DMX}amyK1n!`bjflWO{n^F>hUk!g~h1QV+t>wPDEozJX=2&{vS>me&J;K6EywqgZ9=Fzs(N#gg}-%axy?~ua< zgl-W(S9N?*sG~gTla*P}Wc8@~=DGr=LsI)5mpJ^c5F&Z(CH93-1zLmp*(%#X05*R-Y4m#p zV*)2&Z=4XRs~&y)$R5fF>th?u#yD$pqNrouU7R$OJvR^SpU5%79eDp+HenXg<`h!5 z$mgFI_h+$alw75+9MP}%L;28c$~gk`c$rU>kt(3R(@1+Vk@R2S4P|y5b@1%)n850C zfV`ex7eQ`mX_6dELaci8kK{OX=HWl6rFMt`9bfatU~54bSO0(vu1LL#%?_HF13cud zAcOL!()Ex@`@0)rzkGKWFeh}*40eZ~g}Y$dFyD9q_`4}~k9_=c1X-Wx{2nf)<|@P$6r}HXc>sEn)WoXDP7!8MJGzp0u2lW2 z8vH{ja*RoCB5}K_Lt0HgM!-+tapJ@bcR0N3?X>h5=`{6Qg__H;?T{zrXT5W^`LTUn zppW-d34DH`4m$`WC!;v|z8DjaO}b2riH@}k>zt7Y?-(B@pxP=9!}pDJ7$D+=XkdRx zc;oJ5==0GSy;&q=-qU~{NQzPz65@=-AJS40<6^QEg^Qh=@XWF#6YnSis2lk+WAyOq zRCt`#i-vew=Shaw=FJ;_B`ETyy;^@7yUbokU~a@r&~ax?XxUrfUI99~7} zz?U2mZ7<#L=6f5>8MWNnZ0%&%*89K)ghFmM}ldMf#j@?C|PPzig46ZV{))zW?*Hn(=k;E5n&bM%!6zw8(|#E+oY3q0Pu?wPTZ7Q=wveM4YhJg zk4IQpA;fR!Y_&jR0!#a0@}y6i=kE>8XPa zA7tmP+Kg8AB<=;0@oyCL_+Ie)IOUSw>$oq2Ru=^VK7K-Du^7W#@!h+3i98#-*ITy^ z+`mIs-J&??s6Dg1Wj}?j=LrAGr1}~e#zGcr1G&oUxjuPR9I_0BT6Uewy^dd=s3DK~m|q}`iet!lT`>DB zSll(7b;)1+Osbg`sD=3$9<-XyZ0m83ztIO^t`BF^2DoRwmUil$pj@hOG}uD2kMry| zL_@;3!rf!z?Yo~A^3bK*#I*KEMdOdZH+VTF9$v4io*$MqMWnw{T3Z*@p)b1_PFe@p zM6%>VlR(g-=cV?939$93S#U9a6#K%T1$|bEmu7l$yFGOk-fDGlkFLq@j#En=bq2oJ$3uqOII*EAKsGz%U^EkNgv6a#B01a;s(uy zw{)7P9HM&NGphaxHD_%@avBgN#e}^$HF820*ox2MYPHNk_}dJ$aB3A|9lmzbLY!ad z#rdV4MU9VZ%tebv4%muM`n|WvlSsz>@%0_Gm&84 zrXb&x3a2=;{z_Ug(0$h5GLk=~gx02(7y(5LD z)Tz~8K+^Hw2t`MJuzOyIwO)TO9iMh1oEUpX$p+O%k-UZo7;U%+-Fkle^skQk-1pkH z3U0VKC;qVPJ@dRjxIAY^AKvguWhC6D6cZSH#k`ISksLemwwhgUFaEjxbbm>SDR*#f z_d65GRAWl&&d#@qH#^-~qvIeu^jd$R%!AKd6CEAph*=*^xWLRhEMpD$;?Ctj0{-AU z_fmcTJB+`=+a}tl>-uA`hbjF!`4#>2Jp63>e)U-}_8{B3|M{K7fNF-ho0)JDE(`m? z7n5BV_7-!{L%2(q%)lI}8)jU^KymmXF>rqaIz_LSowhdkcey#nEhT00^#-L*ZTmGO z_=#m>6=9Wvxn<$6(bomfuw&&K5#hTLg;9@=;^=FP%^1Un#<*7Ygh?0f;0*Yk!i~s$ zz-XP|2p4BibZ5vI59mX-@n`i(1|wcAy3}Wf_DS&JVmIT731jH^f?E45#F6`@b%hyaT!rW13C;2nT?ADcpY@ z2yBL>_YPGn_6RLd7;Do(2e-5>d7S{5?%Ju zF-OdlRHomzqWt7ukp0v?wMMDVx0d-!o|~Gl)jWF-O0irQ_+hMn*Q&$p&U!D4?{v;N z&y>3sU5m=mi(ThUWA;l{j5v|4iAcq5ir6h+Q;KfLZYrqCvMAqlGzqP0N@}@$Sy~P` z;qwTf+(#Hvsv#_LCCR%ui%;immL(WAiRUnUQn9Nv#L?+=SOy?KC!} z>xyzF*_I+#D0iyxd7J&|>EL{)3x!akAh5cl?`e&^5zFL!S`6iV6`U1~l&9T}-qNo& zAcX6~@rCF2rSbd@q0BqIqb-_^mL+A`Yb|Kr8WmTNvoZ=Ydq4PMFAi-L)OnWDC2CFS>LeM$iF^y^H1oX{UJUXmDGNlr0j8)o zwd!1IvqkhH)O0fgq-&>^{)C~pP&lvGS%;G-3 z9np_N8bn-wpGIwAIHr%yktvHnlEdoQ76@iQl?Pr};E7NOPV4hD@9AI_k=rcIQg)Sx zEeV5@Q{+Q@4!Y~fH7kcTm}XZBXa+T*%~~!|FVeJ)LOk`kTs${IH=XHLDl{TlB(d({ z`K3!;+_iXRTe|q7G&MA@650s&KhW z+))x}E6OLtt*))MvVa$}%CIV^W?2SLhLNPCq$Eof$;cprQ<+Y0WQAL03#%NUnXkCW z7^yf5wKw)@E3O%NUEeS_UKI81rd?>OuPE;+Y2QO(@FJn%--iCAvT#h5P!H8?rZ)&; z^*ZTLQ~XOI(__sinN@mASied8P6ZX_E372MpegYv7+dg(^|f{AWh-1>VDSmtoPUF0 zVPejldr%gp%h1fdaSACa{##=WaLZw|d;6e2{3Vm92 zXGfLQJn*6FJ_~)TxsONHWfRi+J?icA#^Q78fIEAeN?VIvXXwDX%;nV`MPX^xeA-NG zu9QUiSn3ZdJVaw2h=Nv0?kVDM8@R$F`L+*)(bjsf6XgE{VRW5bBQYuk;4!vLu8|s50%#Z` zjZr7osErZ<(TuEP>U4$tqCEf?#+36pbjRk4~8@HdZpER#0S^(%_ z{5y6=QA(9m}!>VUAG%7`dysaJbWm@kl`&)dO;l6~OUBrnrm!ARYwS%? z7!L?##2&j#ycfwaTgxmng1xuI)@_AlM{_}~5k0KHEImcDZ6ZW+1gg!rkb7GTdRRm- zgD+zjOX>Y{psaL%hUD)2YeujH@Tho8HbZANZ6 zpvAbk*N}}2`}(A(VtZ?FL+8>|X0Ai?u$gv+z1pczeVT5CPc_Acav-_tfzMz?o@NNUiUgd>w_j2~K^m z`jyyW+GVAW+Wm4Gp3g0b^_b!@_&L+HVx(k-fV^haqTi5?^Eaf;)F+9{M{I?GU&ku2?S zis7V8w;Rhbm}3f+DJ(5$3fPpdF?N0O%D|PWGc9`x-ju5`dVRv$ATmwRl&LXdeau>a zg$Z1`M|V1=%4mhrDs5RBzmTaNkU4JPYoy9Rm5w&$Xo}UCq%lBkip~I&u9prz3^f^QG6qZ`0D|6gEc%(vKrp$Cj6TzE)9ljy7^lC1ZZK#a z!ArmH8ffP~utzXn9bQYn>KaH(x(GXr0h4uLbRF=D5b)6;r#g(4KFd)6e0%c2lmD}?Bp2z68ta6RruJY==Jqx(1O6N@*JDSUh>#;#}oi z!(7E&H^oZw^&LrH4TT)HF9`T0)zwYy)%c@gR8I;N8I2O$M21mib43`LFliFf!s#a+ z|G@)i60SrV^wLEQCdqpCL><}EN&WYqUW%Vfy1ibfLB8(C*a*7_m|Zy7RI2p@!EYyw z(8t)!NvC7V-Y4&d#RRLqpAvDebjzVzOiFTn`XMTlJM^7CD|c|ZeQ@qDvpdwBJ|lN9 zgni(xFfV(In?9R1aJGF2?Jz5Qw3jc5t$NFx)UBd(@ad z1M*NIdqns?-!(`t1B9zkojMfPKG!t}Edv--0Yd9gnK~raK2LIgZ*@p31GuVC?m0q? ztvJw1eUd8w<2u-s0c3U9D1Aa||MxgJ4SIx=0o*jWMt#yL|8sh{k^$5-*u>u`qyu1M z{`d6o3kKAH0A@_MLVaR@|2{pe;#H0lIc%r^J>s2K=>Z7YQ<(4>j87ihQ((>n*0EqMZ9!2;yL{Pax$D|67AfuJCL@INV_h?}7G zG>BTD^(2T|K=mAmnZWfFh?zk342U?u^@NBxK=r(cmkdR)(lf>A;kf3I^3Z+9s^u+U z{Qkf|L`?)M{~t$@gZ{tbD6+BsUv8py{I+EVow88V;RxPkdt)P$+|f4{%c9!Co*vSk76#4u9#JiT z>nx(s0`)!vaJz@xGyy1IN6eRHP^{lU6{zEI*cUWCkdH-h#{WB7hW}=?9E_|i|4XQ3 zU}j-r`oEI~s6r_#i=lVd`m%KfQaAj1>)dbsLrWeS5z1h>RK{?Co(-T9us3j7ZNt$H z6crHDJ_!7PkNguk{F_tZFb3)Pbl!no%q)TF=U;oh@}gVqb=mKA1roR{ zlp=19q`<3?6sovz!;%SX)XXq_-ENP%0{8+UyiJoa`58M0{Tkp(I)m4GY; zP(H@5_K%xMzLXd&Oyqo)Zn$FyuDb+J01@UjGcDDrfD-{iSN{;+569fMEJcfHVHNxZ znGZZ9{nF=`gRkdHDg@cCZBD1+Tx{8bLaqg&ijJX?3O=^c>16FoL}1J6&Nkj%x6$=; zS5P#+v9__!#m=cxd2^*WxIDdGSW=qWDo3No_p{L;OMqC0d9egnfuY?iFepkGof*vf zMSf*Rz-IL=@wThwz0sg@IlIwh^Xl;dkJo$lWO5o#tJCRnd#^I9`}6iUxBKJidex7g zxF-S|6OYOKE-t^`;T|eN;-4M>lf&{Ut^TkFkI&$sXk4j8_Jh{rFCe|KM=qV`G_3tm zaq)!iZFS&DBr28q%VGTijfzRNTDQ@4`!5_0o6XK2o9&eJW*@Pa86A#Jr`N0L&D5X8 zZ%{}CJYL7AwR;pYxoln~`xotf5A8Ph^M~Y0k=2@A`V8BQsQh`_L+Qu%3~R&~3Fh>Z zL`utlB$lK$3Yi4OB8~;h^Q;jGl>GC;^ID0=+?yZim$)yJZtFOqBl-4rT-w&S&9TJe z<_8FmO4&-M#pR`Q7YH389lmYBZPD(z&9m(18c&4o*q#<%ae^wl+SnyA$Z()kpllEk za@okJgBo{=9?3jKJ&TH9Du)SF5{>lYDFt-$%A{(^mW0wHDzRiH$$UqhcB@}(P0UTK zu=K)MhOqU=f+|#%P*{NP<~!t3tS=VP+^MruNh{`}@|yFC^HPcD+=Cxw*F+wZ^~j!x ztt#lRj32>WtyImB9*Dx%m5*K_K7PKrNZoIgC!LD9QcA3e6UwL3|J-M{3)-j2z?`GJ z!V^+{TLmSp9c`vB6ZR%d8Qnm{UZguRFS5f1#V(o>Uz2+#!7N>?tDb#3%U0JW2QF<@%@fTlBP{*nk$h-t0oM|qxtXb% zB_uN=eLy84X;lb}B75^~9Z>8&7@t0pDN_B!cO=>xz|1}J zR6V+yJ>qaJ)DAI38Ft7*_z+BXA9p4X$V?#;Q=6Y~h6p9-?w3UyAgV36zb#hpjwjUc zmmyLtJMnMO2+UAo*wJ5r0dc?x=GLHkO@sqxY($#BcGZBfv|)?vaPK{k7ezLD{O<_n zA`ykSc(rc6f*1eL;zrqqYAbnP;T_JKgHBhj0t*oW~T z%LUxO5ig6toZ?|;^d1Qq4RzUF<6$v#3Kb$fM`GX3fS5zJt`VE9{0-v7m?!5z*#!zt z8M89~nFS8$JbuM7{t)LJ+BuO9;na9Bmoi##1)fg;az|h#`H0JwKawfxKIxZa>^W5W z83j+_=~KeQ8QPa0#StH0QuXpUrW7EY>&Z3^2Xj$At32(nkKbsTp3@vASw;iUInyG{rD^`0cl7<7|B=2a^CtFp4>_rSY$4ZIqH@W=$88`xoftvve-hL4={UF?>uXxWZKQ z@R!<>sE)I~%-X;fZ=WtkwKSE{PE(%jf_J$zlV-Ha;*#3kK29T@ma63_r7B>eHZ#fx zu!pbqqV;fqO|dYgMzpk3#UyJVO85B&n~_iS0cV0fd-$13g!56|{ypXU>XEC7D&SKV ze90(oN!Xm?DZx}UoI&3VYnzoEL&SW7Iqm)$b2j726ufN2TsZ`$8e$yN4v05nynN6I zlda#`+GAp6k|St{>F%>yfyObd=W8SfkTUA2F5AhhCH%UClsN zSObL)0jO>;gOe(-x?I8$L{T^g*Wl>P|2DEJEw7Bk#K~0sVSt7uM84$?`<+(OZN-=E zHS6)3^T>6Y<3+2_2)m*aylfZf(mKt9z)=SD;eZ#jcj^FnGgvD$s$mehPf8u{S8|i)@V1Z>H%oZk+JPh|5(VGh^I>x6T5;i4u2&${v>SK3*E0 z$5D5WC`jcM8Kh?ZLmOa%NNe; za=a8~hW!z11b@#@x))4ql|PAqY_}h{$>%fVDb*d47m$Jk9-)8Eb;c5&s_G0*5SVz2 z@^{nw?Y{8330*{-$|m&68$(YptSw0Si1S>^8L3+c za?CC-r!zP;{?Zw(7g@GWk=B1@(Zn5Z$`M??N0@5PR&s83%!FMC#{-g6wDbO>317p4 z_Ub0P2!f8d^-k}8RU00kus5*ri|%Z+2@P-Q;u3?dqOuxF8M301@>C^hHv5q7F?lA3 zWl@V!h~|Y{4o!y6SuXQ}(q4AlZOz6}x5jvSe5N5BWL6FP55k) zayl8Fr*Dcy3YMOxA*x}P5%fYXWI{BuQv}#>$#seDD7nUA5ZydD!Dc1!`Ui(MYuU1Y zpGo^Kt$*HA$G_P4bo$XhcgYs9V zb5Itso0-n7${4CJCygq?mOGc-waV*v4RRvE88`L1T5c&&5m7>DjjM43-)m|ohtJL=isYa;qonE~My z!m!)sQY9Z7Dk7@U`6Z-4@&NTcvhOE zZ7em=V5Hd}4CJ72{vOdzyp#hmQ~A??-58VinZCo?FO*Ff6C4KBBf05l$J@ZJ*PqiV z{)w%?$P0I)PoiVePOV2`I?~51C<>Sl1fu_vhs5|Zgz<19A}%~;^tdLu7Xria#Gg-u z!2GD1Z%-soQSf}8`NWNy<{>UHtvNht?DRq<(v(;=sjDSis%SPNEr|Cow{>&sp%yE0 z6QSuzvr4Vp`lJCtv*efp+s7OhCx$O)BVBZaS|PVwN;%>1C*FSSU>xDt6Ki7WcG*1U_&sKYXqxAK&en?P#KbT*wrJ{@Hkz4Hx!6w0+ zxc&4HAHfAs_dOeBg9Nqq$g`>f(TL(7a8rrG0|D|0xGPs03IZ6SU>np~7~$0V0^$j` z*;6yf(B3^X4>V)wyIW~@Htq1iay5gjUINk8$jhtm7ngC}rnVKP7<{9xI^SglxB+=M zvt>0!6+{?%)PrcFFR{ftT8;s%hR#p1uNd=QESzUM;2oK57zMXEfK3MNZbzWeR@u%E z4qi1$!(P=KGOfaeyrH5_VA%5{^7EG@&kEt%#~wa5BZV@th+hq_nVP=;S13LWp;zgCY8Vn{XumdAImEUcWa`Yc1*RI zphS5vb76#M>av+8K<{09rbsFR!I#Tmppo5wJgp41J|@?tyRYEbCw9d@l-++nW@WFp z*T4JaRAY93=KC`IawDsBJDA~{!8DY)imzySQ^Y#8btXtx z#TE#P{EVSAQp!`-(J#ht=u(+2lsiZr6zWpN6N0G34{)ddCNW(Td&qhZM`TMpyikbs zW{Q#8r*&0(w0~WwfHVhX{>#@-WIF*lSoyNi@oty>OhDuMjNJB@?qW}6MpZ73^yK$h@wFV))#qh?n^k^@25G_p0z`(^a1;Z*aRijcZ*_TS~ zg-8=UK@mcydXc1hTrof;$=1qU)In_wTD;Md+ez-=($U5`Li}3o1{x^^6)v7k{hk}% z;46&#aK==Gu<$F}Oe2|`5?`5LlaEx`8Kia7vB7$*Apf%gQX*#NL|pj~d3FWWbf!U} zzK!5c*`9M67|xlnhernbTnyJn@hUtXUSj&mStHg%3twZ0(asi=Sl~iZL?+!(Nq4(t z1D|~M?H>Mc_vZyd>q*t;lk{*?dty$GuCMXweg$PGGTS;+4gG^nKPp?R@xW4`iA-MQ zFSKW{wPkaOG9Rw5m^A|yFaYo+tZk%2Wb*|3Xvflqar0Z_i7|^Q;RuRshn#7bT&>b% z5VfV;fqNoX@?;)wA}*h30;NeO<>bp-aImCX!i6iDLT;@hQAJ7oTHWx#Tj|hI;t^P@ z912c@W+P`KtSiU34D&jf+Bn9)njXx$xJi<##?6VQV8!rhjiXx!U7N>6jFXes*3z{p zD1MY&<-&>ujb^8-I9EaT%8V7y2Hvz}v${>XDSM%U%w-7!852#z^x4tvX; z3L839Z##RUR-?u&6m^~-gm__FE1?M`4=F2X-H4(7u=lcd+c$aANSujeA zn>PbebNVrBJXU4>mUZ&0_8*6hlbHLEWeN-M!wFLlGJ$t0w}hMZg`n+LBCUTVHSw9lH+`f8OM6fF7h6NL9HQmhtM|hE5uz?yP;n< zX{Zien8>H$QbVHkGR#p75#!u6u8QO7S5I7UV1bWZQQ29InY34qA=e-VS_Lr^NsWk- z)9xlY@E&jW9V{Fw(x`?9BnE>COC!p(&xPwVoYY&Z|FjjYDnT35&7oWOA3O0g;%dcO z$a>T);?;_sOB7g2Meq@c9ql6IRX7)8HlH+1W5KL_Bb`_eKozDxKveK$6)d1ERW+0X zL%%5Sam%X9tIw(MuLkX-51<9d{uwO;y36Htjf|cjGWJb&p*-H(Dd0sJsgUZgqCDeM z5_VFX+6t;R@>R7bvo{xI$YOf;ugTMM@>qYI6_0Z}{)CmK=;&~6=OSN9SHMo$ZhvHs zb!VkSXynw#Ocm^XMu&N=;Y?wtPjb1_DU27(XR6($@uVm-%x0Nkk)^0lnWV(AE9n%~ zG<22VE6F7&RUG?!YIK+HD81`Y%BYqLxl{-nBVjy2qPZg62Q_?G8K%Su zLp3lf1qvCM<7Y<%GaX(GAjj25_BjuAJiy?#FO3uzo1)fLuGe&i)Q4tq5b$7kDKXd$ zCp~DImDt%(+~RrLdwk>QJpJum?VXQHeGoebEzs*~w{I)GD<0x3KO>Z&M|pX7aD25O z_2Y7XGA^>UFGXbW1Gtr|jI|!40S;iwg!`{iC&DCA+*k-8n>!Rce8@c$f;r? zj}r}Q34=^TcN_El;J*XgkRbu84?pKI+I5cV@>8V;y}^Byeovk^pGi#V!DxdG^f~dv z*Fn7T+T)t*&#EuNCh5m&SQF@z&c;)f&|}T^VqA}U%mQWeWAz4053Zpafp~z=GTEyV zzWmDLLHj}*i7o=Wt~L5JVa0Gn$eEni4Y-#wC*c18Q1H{m0sX7DsYoxBfl-19{tbNl|Zy6=LiJ7DBmayzxdNm3w{i(`s8>= z7VB%kXQ$0u`D(T|U8N8w06DlE?d1abO z-#uUZ^;wnM2zVzj^99xKiPitUq|T||3GQCGJU#QpOp$tWr^AIS8T|FcjstOs_pu5B zi6tkL=Q<|P2Q3Yz3bM`aM|~1&c0a*xRzH3aGz{^QQS|}cfw1YX9sX}qYld$4rJ)Zw zXSyZq`Y#`~;qLU5pFLK~_%IyzGTbMsL_=7K+LPuz|c2A{oI5p-d7PYeST)y|t6V3e}Bb5qVXs ziaZnRJoJ$_#OEt?Tz(>&Ikc6BdRCDQRsGDDQD%$iiLe#r&CPaEhl!X?*yJe5l zEib>5v4oktEp(VLBKr8^=7Yb#^+-A9SaS0~^`j!tk{cn?>vP2aYeKd0ErPx({v|FY zF9tPBFZ?Qny1^XDdmB4!xkUE zy3i2x$nrLk}*t(ZPU< z`qa$HKfC!Ia$oO+PeZ0iO`oClgZ=S#06`yIi|TVko9?)ulH!+?-I)>Qku_lTjj1l^ z7=$6nYJ#TXn?n1U05w-;?3% z=try?VJ3dv_^5aF?55UsAQb01u+6GUV)3Sl>sq#R@jDgn7tl6r?tq&CgE)UbM6&-Y z10nwoNWk=f``Qr4$9Al<4tgG<*QyE9@ko`m07q7`i94>YzNRpmm%y8qD(T&Ci-`$T z(zs|1jlTO_?3A(ZlJ=G!~F)Xt`1#XN-);mz~2 zD;q4mJYhx$6mQS-7S6ApMh*GspglJ~o-DH^EsKKS@xXBYiNBk8=&+Yt-7*)G%X@qw zdoM#eW8wsu7Zpynb`dp9{rc#AIR@~Lg&%Ac$p5~$WXveQQy`#)cTou?62&z8BWlKu z9w`da(*wN*2O%9qX}zdm8ESh+m>Ww9Pbgx*^7Ig&z7~}?m4nBQzZVXjRUU$G4S_EY zlydNwJcW1Vk?@s}GiH`nc!kIjzs1wspD~Fj{I)L{<_@bLJv3P!-et@Skq_g!ZXL+k zQAdg}lqa;yhvz3Y*+?Cfqfr`CF-fZ)rq_yNwGMW4V6QhCMPE}$`|#N%st%(Mzp))y z=2NENlX`9DUpn##8@8r1GxuLl155NH^vw&Ly#r(rc*^Q=hhA!*+k5!-7i^*}dRWn> zP2Bz=SWM!+5m-$8ekE8c-Zh@g8FZCar}9r2&N&d1zm?)Vr0TXeo4s4DIWcwvm(C1j$mPZh5*PL4Wo?aAs$*=A{7)Ya>dEEJqt)ZW2dwvk z&j{;@wgt;Z>=nw&%?*8<)`?}POIND}PIDfsZezTb37BUa&go^BY+C9KRbi*7r#}@Z z=*Jhz47j0*q1l77nupF@6zn!@F0fqY(Sz4{dvzRT(D3$(Bw4v*r7Z6^-q7zY-q8`a z{SoD%z`;#tM+T=qLJxSQ;gXae6ev}z%*MjX)gyGRh|KgI&J!1W^5XS`;$nir6gYte z6v31fJh||?xHTr@`kn8(YL+XEv4+CYoRNij2|U!$=hESOw8B5R%GG%-Yo&)t&zld& zP%-!G6n{;0x5*QQ*g?2)HqDAcNcz%kr!IUW;gYxKLahd332t+cY#i@xbT&!VD}Ehb zrUh->rd_xhVs(%d_eR$_y2+-$sM;UlUr(#2_jrMkZE^gaUB|hBt!cE%48n2<^ZKN< z(YXrz{v*69$`ns8+=Yi;~W1>9|S z^#^oKlbgpvAk5Re;Sm%asCe1{bHG#=m$onO47%$>a3mj-#W&LVLKcQR#|G&k>cjmJ zDt{9;z3+=|-kzg8(x+S+LkYJHhLo?69FCgvKQ4cB8tci9yj_Tm^U6=Q`>9smROn_6 zlMZFQbae#V=cHX?=wRWd=W{5TY_9xbtqPUKBhe-ckTmgQkytI2}VnV;1ZPl5}wRybYxrcl*xo7zw#@?Y>7$#cM-L`Gp zwr$(CZQHhO+qR8&+qTu;9o_DTGdTZXRb;K2RAxS1^M9KtwrmE)(KJ<}vip^Fqg7c1 z)E~`3{LufHk4NxNMnE|q3UfB7$@9{XX&-r|8jUDKr$kP&ql6bxx|7N`QXr>1Omm7T z&_q=#g6OzjD7ws8mvBnl6Gf7Gr0*5vm2oegNWi%VpF$FQ)>t@&$9R{rQpna5P4d8b zW?d&QOz~z2VkOlx$t&4tEI&vI`5(zHx?loN(_kE%{QL!(?9HYD!bVnpV?krGf3SP? zz%5Mme>ulQ4lOlum+B`>^U78$L7c=5os!EdNju9IvUA2jnisAl`PNN%)|dpMD;4>z z1LTZmwwVZe+AwGhhq7{RnjW4+f zOPjSC4U80_S2ybnAOKGj9msMJ2!xmpSTk7L=|g{@;Iku;K<*%qE#v;lBCci;R)Ip? z4zR!XSio3&&E9Z3Fumm7)s5P1yja_9J7~|{VZ8i?@{S-0^M4e*K|xxh9#Pq+hAu+Qs{NKJKoupZ-VFsA-J3lDgb>YeI?6ObZ4e+a1 zfXZ5zz)?s!uZeB>C@FB}jo;0t68@y%A}Z>2IfS0C-5kfDGS{`EjlKW+2KQTCsiFqk z%)0W^R$X2SfSmZ|k3w`$vh++bLGVp*$oYqtn{Vhllm@fg&$Zn{%j*w&g7$r|!|<;c zWD$h&f=9!EdRK<6cL+hEx4W85?8`l6`TXhm&HxGZ<`N?qdq*8BU_ZBX6-^0aahi~0 zbqbsRt{=qy(Zzn$^-dZ2;e@pyk`F;X$$5qP}gn%>d&&um0ZXO+h4`b`2m35Zx5WcOB&V6~Lm{4N#5TaUuNY z7lk3J!T@FgALCpBEt$B4gtv3l!mm1w|77@eEWbMKw>A6wbI)k+`*N-Swp^69YS+)J z+jsbPvwhm30d`bA|Ca3UoudaA9UgA=!JKec{?XiZA(60Ax={~$JRIu|j{W@<3_o-G@;GVk#r;_Y|n@PsZMaaa( zOh(8QhFjaqB%6(pNw-~tMASSblaG+e$IM2^#5P}yl!uvsfI*ldkZb(LzyKpc7DJ%O zewryFv=Unp{nRGqz+A}{Pk>BB+^I_uYFvD$GVC!ScG{(#O1zd4Qn6f7t!SP>u{ojh zRwu#I9a3RExl8CLsi|MXWv0%?GzjG^TC1@G<(d&*Wlm&3jO>EWukH4h=CNEQ9p++@ z%3OkpR5Vuz*Q|2lvq(mG$)HbSR))3tAa+?Q_N}ized^SPdnxf+gH%*U=fauZA{7y1 zRJ6l(cAeOYaWBox?Gigl4gZv7w3|b$MIxfBecttV1=MV$zT3=q8Qn-*-5d&6$3=`p zBz!5NnVS`;yEE}#y~^2ECKmyNEPFsiTsqiXNP0wzo>?&5TKwE&2u`ZRNJuJz%wFHoBp)-Aqlu>m(7umX&nN?vOOGo;>5hzj*e>W9F+o&NTEyz3(}4|g~A4Q=%@^|O|hcW0HJKR9Wa9E zP(9G*q#$!n!%_olIHd+g;yD&#QLOprFpdS`Y@H0R>zUg)(B^J{&80ymgch(#iBLw< zgC;?hwvLX`VGN{$+0Nip?N%cC2ANpN8IN>ohDY9+!G(15IJNKO(;^)qGri@KS+KG^d?|4wFsjDBc9q$PjKm1M*TR-&V?ZE$WmHpzup*DE=-TqEK08o@? zLiIncIm`cM%{l&`2iVF0qe%cD-vkIr`}gbX&j0U^*oKJsCR$-({hv5;gTMdZ z%k(1{MZ7kG>=xM@Ub-+<69lp_5pb{x@UQ`BI4nj6AP@{dmmm`N|2P%v|7I!{MmEO( zpPXl)TraS-J#(RJs%fGInA~7!%5OU{&tO zvKt~vNDwGn4?(Dg{)tG9Hc|z}M7h=A%0o$v$YA@ZS`>5SUbf^`J}g!)3uO;}QV2Ky z{MW1L?SJOIgFswlxgv{%T31P_(ef3w3=hN{Aa4I}sZ>ho7Ffgv zPH}4RH15TAJdUF|f{t78n4`&W_$_O?j{k@-Ye#IwCY~j92%SrxTXtm!@`DtSph=JF z8{8P8D3ebCF4}g7DXGCwMr^jWYJ=2X|a^%_*?vyF?EADhzxeZb%;yykvByOWJ2&Z zBgdC)ZY>GS=Jt0Eo` z#4n)g!eEJ>Vswp^3H4{8jpmc^Mc~y>JKeV1Hhx7`*99E6gnyCWlK#ixIrMxYJ>vYm zH63q&_O^(3!m_tTs4C@I#E#z{SG-4#h)p{&l{_InxF!8$QMe_#t&xRG0N7?Rj7t_n70IiMa=uJSb zY=>t+mZEO^0q&r)_E0*h4e5>88ou$f7YLGiK zuBpch{e^zC_8t>MJdPSqfg<`%m}f{YgFnTs zc@(lm@n^W$CLoy&KsVOjz%$g58<1R)@4291NqffEk3NASal0n%6=`3=);(rJHe2y> zo|xaQFf6VpJ$}izrDl&eAg&O&PsSe@)_V}EO6vVMusrRgQ^ zCC_d<`K0h;@rw*!K;QJ+{F?b)yZDXj>-g=f8|dR|fce4ps;s_P`_#C*liCbxk~>*F zi+=S!NjT}VQfQ`M_+r=$Z+Bz1n_}*0E_XNE-IdM8#$Mau+FIJgms#0^u`q4B-?^^V zmq7ttTUphUtkqRi_O$fWx~{^JGupns^R86tG=J+&rFr&rov+x@Xf!l5nhgGV-`Uj< zb=^#M_3oikzn{nYSw*vXr@5TO?Bv|ki;TR)JT}^wrj%onO}b5tS!21|XRz;DpEUI| z%Pe{43Le`R2~ogun$c3zs%Yq-Ct5nXZcfwmr<^GPd{oaMo=M(JQ`YoHoHJd7It3N} z_OpZX)X*WGey;r`9D6EAF9ar^Huild%71C$`jisysi7+j?kYfcN=6w2;Qy%`gq{MgOxcxaKP3MN!itz2j|k+Cohgu7sV~^oF`Cii15FY8goxO4kLld zm9bD9)132~Z04P)4xUAjglyNDL}0%T)u-_71Vx6vG-bq%5nU?6tX067(T*&+bZ7Ny zpr2)>Uaa=YR0t+S74)uH49g%uR9v4LTT*6tHkQApzHCyG;_po%-F^=(k41R6;IrW! zfl0h#7x8efapZ_2hvnBBl&2u_kB`S0$X3wwP>z?1U#GBGy+3eP3pKZvUUG#;+kS@I;lKzulsOQM+!x=(>)J%PG) zf}!RIH)ol4d2nsd+k5IH!*@1{pcWY2Dt-{Ram8IDaYPP7uEX_%SScUc(V|jNNS4gi zjVv}~#}a<1NawZZE9uTQRjoy+m(iZ%OB!}KZxokU$?QD&anS2PBNOtnUd%?wgQLy^*@pOxkP5m^ED zcT@v0YLtQ>ovElCh~v8oV8$prU4xcz%SlFDVLhGG)_vrB%Y9e`jc9|J}2ndNh~0=|!GXkD?w?KtIATW_yllItTYhu@@L26*^bX1YfEX6K(3O z`kqQaP#rD)EE?{RixKFc(L|{pZWtHlYFIy=`7R3Gi51%4!HT5`N-wf@1bWfVWbG(n zHxwN!*2E#TNsX$KEg>t$JP~|Y@SxM@_)bL%<=*h1=TIG=v?3Qcj>%+^Gh$i+_l)4G z;|1G0P#+DdCQp<)GL4P1o$$M;``T!$qxQe`p4D&2*^;|0aXIFF9iFwg-MmvSR%K09^`!G#LL}3_uY2>XV{(luZVoF*Ze?SD0})uG6dN`p@%u;2ji2@ zeATzGrbI4;q(6m{=r|*CmOtq#_(gP4IMXr~bfO&SJxrN18 zv4=gg#3i*EBu9d}c0s_|M4K4mbQu<3x7Fa;;ZznI@Z%a5r`H1zj2$l=U`ir^DY=R% z=fmpNgEM_pffSF|w~$U^N93f$Zehbh*}BB2-YV5AaX0uGFV{|_OY$=G5U^BqL`ZW^ zQh^7sk7-1@Sp$G!vm=0`y9MN(8NA~}JvNZ|9)L$vNE6X&KyQCLRvvDmdsT@r-pe5A zDIz2|w8lyg5rQVT3Y0{n3Ms%iAXX42R1g%Rv1i0D5T>*=MYWkQhs7BPG=k`w>`h`@ zrMPCAWr9PIMcYl%5o~NMKnpozC?3>B7{d^Sp2e`K=9VNGmW5toL3JY1D+VV(1p7V7YfDl>d_#<)Qlv>QN28C z!3moiE@p=AN9ME1|36DA@GDyeOo)decwK_c#}kMvjKTP~XH{E5GW05(P>d;vc^ z6SUg4=M&oM@jM$meM`9gVC`!O*FTC07>n=A zX1y2Bh*eWG9b%3gjuKl4sqKyZo%dsdO*JG?B%nit?QMO9~a_NRMgL%9#iFTL8hnzCcR`#>T|EY0326&X!|Et>;yA~1m=7|dx%oWU*W{EZ?dqDd$+vU+cD4YuniC+E3netgtJb+i9(L5z|cBC10t2 zdMr+y;oFz`KY0LUD-XUbilnoo)Y->=^&LdBkb|*J?r?**y$=tzksVE1ueW>+z2V`a z@_o(I4+soAP(I@MC-e*Fn8-LfwziHKGGv2BuU?R_2F-$S8;zP}v2)?X#jn*?BSIk6 znCwba&K@@Ss0bH9Ya>5c0PW6!d>`Suc6H@MuA*z$Laj);h8YknSnebH6bugF|0|_s z?Y~}b(YsvcyDrVSJ28`TxAZS{m)}{qU97_{r_15@4R*79v&-Rw&)QvI`sX@~YDC}~ z73ytqk2J;0AaW)tKQC0%OLy?x{qv@(RsDih_r0ALhDb;&d$t*2R+{E5(F;_X!n5z0 zjQAWiM_%-)aVh_i3&{mvwNjjz7<*;aZ zNW=@xYv3#oBoc_=Z!RuQg$eU1D^}dyH+Xy(;#wBTg}-i4Rkn7|a)Osd?4=pAq`<#A)I8H-m!-ar6hUfF5&t?MS zD9ghBuG?@ZnQr0sElhENPJ}C>q(efS6eY^Wu6jkj`@^BcNDTCd7aH1er5?KG+2h}f zSTRV{L#}H#L@{L($wPgNeBRG7zE-|!8oLJ%|C>mX z+UNY;F2>Iyd~3h|#bfhL-=O&`E*6*aW@hi_*5ng?^*`-E>F~L~$I*<%?Opx-&PiLw z%=nia-p5()$poH<(Q+Z-N-)2N=FzhdzZabcis*q-f5`gaeBTZ!IC0)_OsW#e2Gz@} zjA-;jMRRqO=QC1Fr?6lZLo7EkkKz_ZIa1xaHIaYBJ$=OHc+_d=Q}g+FT)c~U+aGKH zZo0{L3&-$deD@I6`F^LMw}5TG?CbivPC9ek_&?J5{j$@}$Exu(te?vQf$i-G1eYo{ zf&!;LFJODxJB{=oh$srmEAKSq8@OGT)i;m9N})zmVho22uU+U7(T$Nv{=X z+IZo@$8|r_LbYh}8kR)z8}pm|cjnkPv#;^unAL@r4R@6-4Ffv|dca=HeQ<<-W`H^v zMtm?1NcrKpg1<^iVxq6ZN5j{&szctsoP)|qSITxihqk-Jj~j=JUj|3+q*`pf|K@VD zpEAeO*EGyAR!`wfzt=|PKl7HPhIOyd_Ux`F@E6MRTk5Tr?K5Q=O???GVAQp=Vs6*e zoQ;d)LF-02da*h>ZYzF*`hFAC@ZhCuR^N47&Hu|SpVZk$YqlO{Rh-ZV2+pNBy!X4P zTiYm~Ve;k2(>KcFaPzgv`$XbyH^$pHa=IarJJOMDq>xDibv6h9Nd_c1R1*jQrAUJV z3t4t^5fe~|_GZxqux*fWwL++h<}Qeds|)OeuuO?n!?nqY3d@Y(fAtx28$c+LjF0or zJ@MRq@y&k?@yGcb*FtS{e{!9?E`SsYr@hU&PD4@t{HZ}c3;26f>NhW-e0t(NaW z^NaXSA=X8dRY=%GV>^jJHg1?8Q1v=ysV!{CQe6c>I0$5p<%C7%=n6?`JRHGJmwal{ z$hosn0b!0g1+7ztuNJJ2Xi0&yulo5IZh97Y)Sqn}X6aeJ8GLQjp{iDg={#Xq9}_fr zprNHrD|T;rADC~U)!kQ59~tmc_+>K=c5koE@B@+`uxrQv#=NqID}P4E5&T?1xQi&C zIE5h9Fx|eXHAiD8nDI)?C+y2d)F&>8n9Lx@P5+lf_KTg#T&vo*^-_o%B2O- zuZL5zlCfX^=4q@J5<5~xD7%$Y7L=dOK5Yh>Cs!z7FYg{m0O~d#M{lav&E3e8g(x*5 zZ;B9rE&eS9?yfpEE{f|~c6b)N9v@trP;Tib zj@@9ix=<_~=Xc7B?6fMng{Ibjokmd-Pnm%8H1(?DkjZBa*;ESY84Bs|3b$3heC<+Z ztxPe2G3gza!aBuBM$DrA77fba;7(Xs`iO|k;8((>gXCy)+CBSaVtmR9;L`aT>^xp8 zPe*HEq^iQ{;@x}KmFK8l+QqipSK4cInEPycxHo-Uday#;VsPIrW{Tnz3+jqMW#)p_kU&R@himUf7|Rr+S%-s$To_l}rvst=@*{ zAEpmUF9vu%)%Ele@?1HU07A0FDN4t4kEfmKOq>OA1PAp8U}W<&Uhz{^51Q!XvE zGAHB(;mV4%gIJ9$WD)Me(Zi?)UT=^a2nFFzPF6}3!Q{AcrYRgUm>gIWkEOfKAz4Xr z*k}{G8|4-=X!4||n8VXbFG9h|Lv@+aQ>E_fx(*L?C#NAqA@ADaK%}uG3OV6Lv*cv5 zA+f@tf{LnYjvgW&rG?v`uNYBa!OB-9f(SC|$?yY5Hs$mZrjqu0FVL}k?4WvNQ$bcI zMgnB^xkyq29mytyUt8zp@Y12{@;{CTEe*}J%avywOZ@eMRYiwq^CIa(geQOB4$ex3 zxDN+GMxgy3_tPc%!`l_*&Obz8M4&bvoupeqGywppM1?eEwK$S1Ex_2ITzZS1P;2om zEZ!$4$0swo^fM9eEHO1LS7IpV_dOHhNy)pMl(X`Scm$=l?|7J5L}@_Tl**dU(ub^# z(b|>lS|;|bGUKT+zQq^J+m-H7GdVfx@mFvfx^+c6@RE?^OYXw`)5fP(z>dLkRyf@; z@ItA(zcPHZ#vGC2VCMmGzP(NK2(=?-gip`6K-hxW!L*`AaBi~%SzPmp*8GBED|90o zQQwjLmef)j4n+kXD)t`kb-hGV=eD#4k$)$gf!`?F*E=5diuV*{0uieQ)Rt6W^=oD| z480_V9ixYhhh0MG_H&KMPxa~XQg8Q6av+9r4>2HQM3w{&#usnKsODr^mod&#}^H+tUWd``KFRdn{4?)BVHYCdtjV~>Bm zOr7NAGn+G_RiEdqW5cXM>#t>Scp$Cj(1w=lthASNK)&t%_6HWy>50L1;QxgEsJSFi z3Mv~#R6;Cs7N>H37dang|lq94{x2f|5gJ_UrN35K&-qI^PlWh3{(ZPix%-@1td zOoCoIC#&=)zsR`OY<7LkZQ6wpv#+cyqEt~s&F9|vk5uutSA56!yxp!x*j}Gre8%FE zImYC!N8E6>9S^rTbGsQK8=doyH7F8LCLpLoY#GJ~V=m5nm@Fv8&lN7t!HlV^&)bAr z-K@#GWV2r9t0WiPw7cB~jQiida}b8gsFX@3qP~Cp`tq;Py}QKBUJIW$4U-AcDQCS9 zO8C1!N=KsKvDNn@sr;2whj?9%Wroi!PJW5A36%EmmtPm{g2u97a}uE)3E6n*#5W-U z#nce`mNjM6M44C<#s$z2j-)as$|E{D9L`4^oSoN&bWPvp%i(Zse|NdH(aL5gW*r#b zK>WV6hk*;brWSXf%Et`Pz3MOPFQkEUU=pyY9;IMAv0|wzM@t&sS-@&3BbyZ_@(K*4 zV@m7n=ob0Bv>++Rf>8XbH3)PZ-Pw2IbY$~8ol0t0l7la7bG+;5Dal0KSAfV;9=>6R z`C~YNt?ViGDGvB$^tH==v&%F~m7Fb0HQ&&_Xi11avmnI=hFN#VF3}(20bo&36J49- zOW8_z!8>SxX`|1nC{$>V@KdJ;;L9SL6=_=W4)Ouap5eU48+&rG2`dHGOVXE=K%)hr zUwT`=7T~e+{v5v6YTvQnVdbo8u-OXsP|is7j46{Ly!CVlr$WLsxoTF< z%n;`gy1e%U1vN2aW|e{cj=IOK#GktdqKg$V_Sg_&>8yRvJLu&x!qqZqP131=n1}(p`BGT#`%7wTxLs*{X0R@= z`r(Jdo@w9XelQUB$j@1{rmH&s+J`LH3{U~h0oV)7@DIqcmnd4JQb8j5Kiq1DMzGls zW;rH83LB7s4ZOl86|q5GSxfFC(>}z$E^=~Gm_y#OGZ_;0%qykRf8m4Oed`4jDFx+9 zKZhyuYps&`xGUv61^K;3$DZ+K%)j}PM3Z|34y~*0MvUmmYTZHKCtMHYjv;qEzaS8% zp#7bSAg3M*GBk6@B&L`!hlQGD8a&1i@b(FIT1+BOx2>i7YB4dJvgk75Bq8`O{kq_k zmCyE2-`J^`{g*5FE^yj0oRPE_18~yH#IC%qdl(Ty3s8ux0;77HTDkQ4xe7Fhb+*95!)z-C-}I;9dZ6{0#v}%3hsBg$a>lq!>_Ud2^-pa)fVxIxu%9jS$5hcVD@} z_4ZtWcs}zdiX}G|1F}Z!y1Po~8RR`0-*?EPF9C7dgxPFeZRKSosW8R(4H~tHlcW+T zW|9F)Lh+z5q#$w>`K1Jcl2EM3eFB05b&_I-6MV`}M%RnKF#Y&njx}xw@j21rX_?q- zn-^BAs1hFK%0hQxftA({$(iOf)MwewQQqkA!M;m{&}L)4>&jGu^}b#P&LLAXyX>8X zFNnZyqDCx%{o9u*g;MhaY{nkEKK!eh%F5^KZOqZ^1l!(H>!(^k@s?g%19#<(g)>05 zlZ3(O)aa+DN2eq%83Wmf#hMuzKe41_pwf-c4j%QI=Ty_F^4ADE>-!Zc&L8w{WBITG z`z81_y{wM*Qd)zj#W%Vd1e+FL&%FWmGh=}UfyV#hPJ7^*aX_O*>8KAhDk$MfA3!`g zI8jq5A%g*vj-V-|L+Nq($yM@vCMe%0t^BFQk##^^=N zis_IhZrj!hhGoM&E7??j$E}nT(+tZRZQw+WU$*W?0z>?5NL;QZ=o7a+sBiKOs3}!I zyb$GS6de{>sfrRT(xD(B@>f+sKrF004lWNJ2_LPvHF^FmqblABN7z4`AM|tKd(_zY zw77z+(zg0N?*=*mGLktV(MUzY-sQAT|BR5Knv%d&Nl1KIOJuxL0eeVcvcTIdo~eP zu0#Q1tme9^0}ut}0bO0F8KqDSw=1Q_TBH+1FWRmZ`61j33IQZYU(Y@FNaufInpdry zpPk&a4wq*5ZqIePl~@$GH#ZLMhh8C^L5QJ})(-pS4VkyaQJ4kQ!h0xz8cNpTSxR0j z0@4Q|CtYu_uUMn++@yFQ3aY;Elh0-pGvCBWxeI1G~YLhc_ca9 zx=AE!ZkN*?Ib%%MfrLY-6H(n|qW9gi8%i|*JCF(hM64%L+Gc4!uct;X@qz4@zxN7MpA*S)6{)ld%dfa~%KNL9wyQl>kX3l6y zZ5xpA1#3HE+8?yZO&K-CKF1`gY?!b>NU5mCA|4Au0)-aD(ZoShXXapCHB`5TSp}7= ztZ!4)KALqE7c)O~e`vO44V^RpY0%J`P^wQoz5l38u2!^8!8hm0IqFDu zKEZ^tW$IZa0DFUfbqvf!Cr}?d77#91hCsZ>0jAItEvpu%)MOnuEk+ZqQOpy{me6| zv@4w0$I8@aWPW4Zl-%=n8M?a%hcCgkc27iWDl4z{c6aYq5uY~Ee60Cn9xbEMCQ_a?c5Pixmu;uXD1|C zNlb_)K&FsQh`H}Y!M+|Dv3=A-ijkxOY2WXJ5;%*?%c3IozT8pmYUvfmpSn#KW4JRMrXSPY$~E1#zq~G7XLJ zcDV{-QV#?gd8P8s7a$nMF_KIWRb5`KD)Jp{qsQ%&L4$v*ilT5AqHle2AA3oa1|<-h zBL@hbj_RPpUD!$yknw)+ms(=V46bAZ=b)vq%hV9)hWw&QkAf^dVhImC;NgJDdPFFH z#sEtyNy!_zB+S7uJa$F{WD3;SaxV(6Dm^daUt2iLOuF7jyp^PPH6PQmyKP^+i^JsS zP7lLzp1=0d`Vo8HjjpmySK5cIKGWsv=JkAmI_OOq@gNc0>2}0QBIhcs+8=0Lpoycw zcI6tpf*Gr-Dic<0XP}-I${Pd)s)JX=Z!HYGfcqUg0*aV9!UmoJnEYw?Jb0Fi3GwiL z?+!B_5B7ze6Q(+nWhdV@?ac|o?Hq(WzF8i}^RG<;iDD|wYQ}X8`t&GWotrWLl&7Mg z*btfXmj@7eN5mhYwRiR(!Lqlhq|Gs$XH6b9&il(|sZ>@Pa!?j*)4S^uTdO-lG)=y9(Ejq3hIY<0E-L;bV1PbnJ1t;Ysmn{mpy5& z(^?PS9xf3h{|&Q1FI*&&+u^^7U0P#^EiX9tTTv}<040-1Trre@v)`Sib8+zTn#L>! zBPU$6E%L*_e;*@NNt2No{JxnVYtz!#2!fAVA9|V>oI@g&UVwi4g4j18)-`{SOfr!f z+_uCnHQq-6(*AX%mn4u=Cv-k)XDVSUS^K!X(?EKAT zDxb!7yZDcEqCO{f2lxIs)44U`vTbU$Niza|g=VjRe&nyOte2SEY4$a-oxfMOuE_1C zU{1h{@8cpqwv01~XgB0A#hAh@vBPVM;l;D}phNC@!Vxt-*z!BAAT1Fsr?e9;-r_VD=}A})k0y%RKSwubI3n#=8E%m9pRzHBiOg*ZtLGw zcDBod7>L$^q1`GN5wvez zQDH|T9xx-Ii5MYq?3x**T-D2Zvr0UyN}Fm2n_X>o{Sj9y%_8>VoNr%GCK=*{;}^~- zUaPoJ(VJU>T7?rjsCi06@0C-jrJTvRtc`DP|6l}r14!Qo`%eVoOf!5ojs1A&c!4G1 z$w9!<-zLh5h!`f1MS8)vIQI?ORlc&w<_rLdWGdo}X~=n!8ZY&^m^K{VASa-O{TtUH`WVWv627-DiCa6iSkW0(Vt zTuAUooe|3+&0Lb_vj~x!HSJ{QmclP-V+P9+OPPLEYkfCCm zBJ7jg7BV$z_OM@(U2;-F^)+2Oi4!Y#68Ghq#Wz6j)8P0BC%T`YudAq>Np+w)`TVrI z?t~hh>n$?h7hHq?;~efKqK?!@grQVXtJkf2E;_+x;%sz8yY5PcEEfd#em8kzT~Z$4 z`g8niNyA_ba$^=#@Xb`xfl|(Go1Ok<^Jm9Sod*q7YXYe`)*SU|W6^mLw-VG>UcIy( zwkDUm1Ex~F<&LwZK7Gaz)$dW0#F)0sKSJBX*u>G^`gNkJLmD6tUSJ+vfRwH%r=joS zlmqktzbPj?j=X_~VGvS=CTMj|0i;0&nG>%#aIHS^C;rwd*7lNT_>3E!yD$G}d2Qz9@&2Bq zTJPO$xeXvSSK0p#8<=ln1+bqj%RY~vEpZZ`#@R|%SEa*&dA|Fv@$ah$d!DZ&zWd%D z5sFb~W%4&HAz|qWpbLSC>J->vTp%X-5~*IegWBH`trg-2Rkf7V>imr+wsx4m2OsXB zMXoyWY6cLEWl*#2Y7qAyjB*2XVB-lC@aO&0qnQ+brQHpQ|h@epHg9 zmEgM>ix0A&qzx!4nT!4%4;26G}B8vv#)L_sL3EdmF3M zi|>Ohvc>jXKD=Chc9iXuej7WCsTR03ZQfYm$ZNVpn`cXE98c&W5=Iq8Ir%Yt( zyey5sDGQorv%Tlfeo~1l^2dKvueZ-?B5HH4wcb}vr-scF+so=I{pIt2negl}uJ1s$ z!i!@MYW3xwv_P(mFZ<3qkeLnxBhflc(>|(PXQ%=CDU_*T_uALgBmWhS6&_{@LL~yh zwz?BSA5@$VWmxc9M$_)o?~4_pOCL;R#fw;`g6eATZf=$-)bOzW^EZ&?XgykIzLK|> zg6n%ad0?JhHUzF6t*J~*TWd74qfWov@;3!`@tB<`T&*^1Z)w5rXuGmKc#Xvb?b^9n zU|4f{TN*ZYMU`&c^f|*(-Sgl>f>) zt|-|>MLVjb9L8uk$rTLrayq~tKj{~2X{u!Z8L55qCy)c3#}_C`%^3qgM%TqUjybXo+n7h!CQ{P%W8q%e+m5no{cG{xu_zcQg z9}q{3g*dgVjS!{jWzp+_mck-{Y4Wsp5LodcC6hyfDZU6tb8zSOm4kNGs)S)ZS9p2O zMm9VEX5jee_x+lKAhIr#ivd*>H}*i9$oKb7xO{l|VjrWvi8YRa8w^1uCMx1GEleAf?h)G++ZP#c+3-_X(!IXhUZH0^8I=Aml1|eO-+~;u@ z)(7VH%$d(Ng4J0M?zVgUIgQ^S*Xh2barXq1nVB>U@F23Hwh9#;vQC(5;)o=;X#DpyL4uK8z;cSR| z^ff8)#qTHAW*H5s3C?V9J=`&GB$ z0-;xvc@c^c6}XE14b^3HV#oc9>oEMpIh2Pzu#RfPlQClT=1x!?GN`vyeON#f7Ca1) zIz8ECgu=v#DGt03GOVy5%|X(lp@|+@w32;I-b+Re*eU-33)LpM z5f-Z#5c37ZO%fEuj$Xi=bihs!M>NI+&2?fn$8ouy5rf*#K;xk>GF_*k26i?7b!T)3}? z*5~DKbX`8*{Jmbm!7H1z@;fP&iz^+BB?|3F@D2915_h3xeTpf%Sn?6^5&39Z=RCyG z-NzO^{$UK|nE^<+JxY%RalMZk*-Kr0aJ8k$ zH_=?j=ejqb$Vc&8d+rQ*=q%J}HJ*Yh(X;?a0IS>J;3)FR$RN=^_&XF1jCt_#Jvobi z^6VBs=`1q^dYIQX9Oa|c^IwvN)~uNUcOk)@C`=}F~D6^bdDHht9$9Mm#Q0t*uh_gYp7>=-Y8>$g?& zwpD&X?d|pYjl_H7ZaaRr)$h6C^yhNKC2tTyN~Q%NCm|FNf&hUg0R)0j#E45$RZE3#H7JmTGJP(idI~;gp0JgWtGX;mfBX0h#0x~^~piNYd)x6^ZWEY z^L^Y%0pfuA*36os-pUh8zi zO55jo-Yo5*T-Gz{glIY{npN-d%-LHLiFtNfS zGwDhnb!wE_`Qz2@14o>T*n>i)t$kXK#WQpeQkR36PNif`(?*O%&l#|I)0~`@akmS)PhkrR-Idz!~B{e#$FI~;#Z>#Kd znbBZ!S9>(qKDV`P%jz1;yUD7my%QU(8na4DJseAdU0XA+UW*Gv0(LMvFwtZ!srPKJ z&W>k8CJDLW%(=vpkcOQ74mQgN>7^T{EJ^q?3fgbb`b{-Nl?l?bw(3KeG*|^94T&-t z3Mo}dWfJ~CRMhwH5Dy$$h1(VOh|l|Ep(i*;!}$Vyt8Y?!4>oRUbC*SX)9KX>)+sypIpsI7AbI+w4l>-)i`q}76$qITZ`J}U|PB|k`mZMqE8D4kZrKVt34>T-(mtZ~PJ>#dlK=jgE$Fs6e8!OuK~vXeN>m~gE5L!y z+>}X>)KKYQ>O~TTA<$MuO~OqXf1(O4%fFp0_4yn!MkN)@j0GEu=@EM~2YdYzR9QoW zbL!hMSm&Ibv*ALm9E%?%1(V4MInjewjD>80h+$1H`0)b?349hONq1E|fwJ0Q1C8F3 z+k9rda<@$WMRrGLP1$oG=?zNsioD#cQHp7^#cH*eHR4eX%BeVgk3L=#AaTVC4 z7{Vry9w`Jr^w;Bng4SlOT->Tcut~k|J6zk)l^E z?sCa6ji%(~uF6zkcHhh8evLq34M6fxrl~Sl(kJ&j*Wdd1LNj?T(pK#+?+~Zcl+@SN zcK2UMO45i9HHQrXoZb8H{acJ3Hcg~+Nv;N0Sj{DH@dCmH|EM@6{!GD@UWL!>gjgUU z0x)F~A|1um^B4+ROvS6QsTOOt@u2V^!FvqFER+}|;X#1Zw2UOBv)`Uo+SR1W5@H#2 ziM9vyGLjMr1&EZ8_OqmjA`vN&qv3L|Sv5kVUonPYq{=q?u7cVeTWYy`2bBOMS+q5v zqta=i(w&JbJ<7Ic4xQ|c421-~Enj}|r*(m$D0$MmxG`m10=*#1gG-ZlzXtp?`09p2 zs&CIN=323->T9_qo8W5LV8&b`P`Wu6>wu$7&8cuFO8JI7NF$a+ZE}7{#iD`$%sXx& zWr*(wMZ9R#!ibM}n!l8-)w%rY_{N^N)lZ|7rRHjfD%IBA*W*5IaylxV@_d&(5H@*( zW&bcaH6>0jlOLxQX0t_!{_uvu<@6Y7m;iByrElHlQ0?xK9<9M7NThHa&W+x zpKMwdHAw60#ZHHJXm~iB|DQGJG}1Ae?ay@h6r#d(0MlO=Hnk>~C`?C& zuHbyEMCIYUY#E1TC8y*Y^H4`r$@ymR-B8aFFXC5p-Zz8^9=(tWxy}BV`aj;VQIeIT zQ?#8`wGM2Z7>|MhDx~@V7+|y9;A77p=M#Cn+Wek)_B!>Ss=MY zsD$zBYB&Q#xrVF4%XrptngR=e$Quc}it@tWsR=EgEek>fZa1erye46FEqqxGyp#FZ z;J{#f_aR@$rhUgdvU{RyyI!ZIRvHl-~qQ+#FM(M6Rp02mDcT|mWL%{(BHXaQO= zl}5BVWUn6TT^u#`^n^N}=!&Qv>d`;%d*i1S*{zdr^|kMBsv-XwZ}BQoxOd0@*{=4W zrt&j-A9-K`A>2G6ArBJrA`gitVgzyLiBZY!1rwVU0xD&2p!opDw>-C=g>wsdH#o!wc-?sUEMcW(lUI+?kdWRjWlecw6f zJKxk>C4ECFhJtLNUN}53ccJx{XZCG%@KYYGb)Q)exuGy*opmm|78v{w2%S{nUo=q7 zFO4byyjfOM5T8MeR*%+i$LD*l-!Pf@c6Mjt@WxsA5%eZ+(~E8AZ5U5r(2*ic;>vM- z5^TcBQ(P=m!zTy`rzG!=OF)8&-~E;7w^Rr5rm^Wa z1O2`Ju?ukqqW=Z6Q2`EW=S7QZHELYsQ}cmTD%pMX>3rzW1=vT;gHF8&pcJT6#8*FU zMkeh!R0KiKkN#u2a!+lR(BwDOJvg?1`bjO5)zoTltZ%T9%*pvNx8LWEyi)nu$f&LP zK(%M@-Z6Z~*l-VdI&HIdV7tg%l>Hdi1)2$eG0}OP+S^IwF2>K8yTOLD{u(o`2=ogK zc7BRdX;bDYQKd3tF+EMkT|pPnuVR96*jlJ(9J3zWAYw;sUu)cJ=s{%C(RkTvwi-cf zcYb9Ru{dm&Sg0=`EW$rr!rTQGu6N~zyH0QHWD^!=iA%?ZMPl-ws?YDRQeCM?YQfGX zbfN-Hkg4Xcaucq5T$Zh`T860Z%E&>+ZO~mOR1TTv^tzyBQ32pl3irho9(-Qm^uhGJ z#$ygne}hn;V*O@pP&p-XKZ-_NZ7r?%*a1UX&+Es-l@s=ku#Awavc1)l*N^<^PKwr} z->OO7wa34`Ht2Px;#^U<(%TJqB|Shy{Oars>DNV_dFdC=waotHP%AC={on3?XZ7~U zHYeQGE6X-#NsAPOBFBqmg?GL`4wrfQP1QkX$ zyo|H@Wy1{yA2I?%Pnks!BPji9GAAmE^D7UxJ>b*AVr$&b7#c0D*p1~ToGy^!ft-** z`)!+%$5kpZt3KOPC0P7bL9nU|;ZB zxkYPOogu4;!kRfiUkDGGNq|M=kYz4EFV7n-0^OU(6=IQyAC*x^v!Xz#EZU+dsm8Iw zF?|Z3+UZk_?lqw@*rqqe-vSXRy6gnTnSwW~)tcyMO4h7&K#QEHRO z?S%$IB)?$1YfGBnt8|yww^&IIa?Dwi7D`rFL6br@ar+jbSxB+yAn<4>s9gZAUeT<2 zkwa-lvIwm?GRS>#H^S+okB7i9X~e68musiC*_(cRcVF|7W=r!=?~z}=(oVEh<(Vao ztvMYv1r{mhXq~P*@p!(^+j%(r?&B+MaNfv(t9j4Sw~L2H9E}r4PXinl@-@a`fWr=j zAbi$#%f7%!p34-c+325LQKlvga%M&QLCxC z5N{ltJ{E}dg@P)7sEN`DC0!}#fC)0>b66r+lxkEc)Tu8kd+9c~S6g0IbiC%6>*{Fo z!G0ds7vqtp^LE$IG;J6l(r(3NO<6QW6wc)y>@M1(3uIh@t=)^1hv}eHQ>gng%%6>)M7vx z__oFd%lH_VGk!^!TPR7E%hRzRdEhz;dAXaDlz=)s+5JVo^;F@K;epI16T+&H2zf^D z78f~0wwMYDvmiHE5~ezw3H)di=x`B)&v`u_XEg6RKxAgH=S3jXMIn^Z!mKVD4-bF~ z&$?3PdZ2qj5KqlifIsi7bakku^F~)+L;y@lkSovvzX=lc;j*mK2EIUNwmEH)jtXq> z)|o^Ouq-WYM|I(HLuoZD;hRH;hI?ggyAO=F%VI1d$}Gb6YIA?#%%|D8zT%;oLio{> z5xi>6gJY3Oltuf{4^~$g2F6{82gxAQH7JpRT@{Ja!3M{!qS7Vy0>eIwEkO(DL)w*K zTRbf>GmsP~M$q2GM_@h%W|6`N)MBxa`Y)DtfBMGcrHJJ#(mOUeF*5S%)`(dG6EN{- zST)mp_Wlv_Bl0>jP5zx+{SVBBrEt>TFF^lK0zy9lSaeX%HAuz4@_8&i-yji-1xtzA z1gVSy!(;+5kFO-CD5e0S0~-SGunoZSP$`b;D~_sNAQVwKmG&8pi-;i=g17-Tz?V3o z5{tV;-(7HA-1){X_i*plN%fltKd&Eor&;Trx;R&Jo+DBbR$H9YB2+R30wk6MWzUaaeR+cU+3q z-N9B{D^hhG6qFrW-R+&NojO#Vx^=YT)^>*}v(_E!bn6sy>G>srg6Qx^<`@3RcfRwT z?|i3p+qTxWI$l9bVP);AmAIP0ssGXr`UdELaSFi^DOxNH4kiNrA{?T=>qk)pmbs5; zuoZmJAfp}G^;qaenVxoduAC<wT1?5GG%*pc?7!iCQ!DKq^wlrlZC13w`JXZy9+C za#L_W2~GS5kvW3P)ra-b=g^Cb7eS5Ta7G>DH4>3TG+`Uiu#vjJA?oFzQKi)qsv)w% z86ssRIuR?@A(qhjPZyX&K;_+;dT-NBCcSs$VCUdGjBGNvW%x*MVi>!T>JcX-htnaP z+!~yKTyD0V{LL4ef-(!mljG>5loCi>R8&f?Hhud#W8H#xcrF*uQd~^uPn=y{ z9poZy&#YgrfjSBR?OsS%8pv06V&NdcYII?C0DL7fQkVdrmm_pB7{8DSW^vl`Us}*| z3>IUP;f{hG>wWTsj)%!bq+b&vO8`yk4_QmZzJvb z>d$#e+~b`a#<{Xvzc|{ule^1=BXs{Psz!5PjZp{cwG8QrmOxx6iqFRLids4SfHp`|F!E+PTbyrxmuG zto)L@{Y}$eYV9MgYxvJJo*KXxunO>{5n0Ya$5;xJ%-R_dU~@*8%}Uc4!$h+&jG9&H z6s%Gg$O?1;EPqC~Gji~u_vItMXk~h8&U3mdKFk}XpY!AigMw0W#9X8Vmsa4p=$`%d zm-<(+EzzLNBuleqzY((_J<1%5T;6g~IoFf0L_71IDL-EjQ&z`)gG{sE{`#qSs6T>; zbc&T@3P#R`G4slNwY1sb(fv1qoeOR*7(e$#@Y^|r)5*k+DiE?P9dj}nY$ju4t-6J* zMHdAI5&=UgVZ}@+D`Msl?)AQha{^Z5o-(n_7KeQHdnD`5UVsm#ESbELD4| zi`1gnWHCufVshLoxN}AwIzSu#L%WTlGYa!EEC)7D8oa>iq}jhZS*c84vyH3t0`hmJ2@u$b1g9#IO=66! zL8l;sb*xOU6OM&2L2R%ehRt_Jc)kxhT%#+5Q54gW`s}XhlyN^5fxevB&$dQF_d~m#`==_21#`<-;?;rY%`-q#|k9xU# zkI=;j$9fj+VE_F~!takcz_bBUNWy@URp?+{zr1ENA{aznJT^(h7+7NV?(uRB?g$AQ z%+X--2hut8`u7iBKd1n`Xgbio+SbQ?&+f}e3lXFii8+~<*k57p(cZobg@-?^aKb7S zCobEZf97rt#r+ewOo*RLrRPjl_u5T$JkP^v>?MGGA+dx=9%R^9I3w`xv{?9yuw+Bu z;3hNs6H%|zg*TD%_^|Pn-uZr7~(NYzsLt3ybl)7Q5+xLrI;mNHUp$<7mV zpUD$L7c1kJ~yqE=Fx-}YNWQYvtJa+JtRo%xs_dGrIr;&^{!L9=>T`Ssm>~`*d@w1!U zL?$ZQyQy<)!Je}6OAXCeYO=X+H#fG_7HlfY-O#ao`>z{vkshPXf(}(dhjfq$gSD(q zClaaE!NGjr?wv_s)+D%pl5j_C-cH~n0B1tV=txPVv2A+w^*2eXC0c!_CoezOzQ2UN zS-A%$BS@x@Xn(o?@wIPO)xG%S5tK%sh9S?{5GD2z=FKNLr6?Fb8Uv$sCqx$7@yoXKQyw)B&Hl zjEL=KgR)~W_n3S7$Hxqvv^?qm_Tl-ucID`b-cB;NJkkHHv2>lMmzx?NIM;d#l-@n{ zEiZ-E5PEP#^PpO#3Y*fvMRk>+Oyi-#pdmWta-xUw-&i(miLacL-XNuPD7~YKGnUf- z4__@a;S1QO;u~&kXnn5-1^@hqZSiZWej*CaiYrMgZ0IRlRuSM5mAbcFS)H{s?cKfE z5zpV>-G8AE{b(dMJWJtO?8kQW{zZG`?>Y!~< z2UG&r3^g912IzyKLSc|c;zJLpr&D3_>`XX~H-p5grFLfIynCs+e0lY;X7`q-9cM1( zo_+p)??-9m#Ojh$-2Fovo8R8JqNU|}U){u$PW=@AZ6)WX|HZom-n+P87&A;q6C%P$ zYn`Fmh@=QIA|h&3?N%VgFfAYg2mzw|c@&WZP%^7Ao@$H=+!@0#ITSt_fG5BQ9waak z-z4$*dcK~tB|aI6Q)-0zjIu(_AI{5jolMZ8m%mFbHk%vCMGJ}>4Sb|kaZjj+_X4)i zwuAeqt`bF(cBnr{@cVT82VI8k81W+LdJC}SAgupmyj*~yy3RQMoyWb)@=$h{Wx*%} z1*ws+2rS4;v1+VX0hbz!2#P`qXay8Snwl6NO)@fCV|~P19qY(w z8q72?nl_SXVu+1H4Z_~D{mxw$H>49qe{=7id+&Gt-~T)3+;dObuN^N zwEBCi?yML;Y230Yt821HRK9;;)U*jdlFd)&7aCaD#*J%MraddV3sbC~%Gb_cwB_u^ zxwUg&`1x$%NB7mF&dQ$hgUZs11<|9yGm^Q?X#|b|Yisyt203=kpTQa57vSJ{m9IWd z^!l3z2Pq9``TJfH-u6OE-XYN=4wo*gdbMovqN-PaYW+v^8)WLKm47Jf5PvyAoNH^2 zk@#P)uHPp*buV#GQ>D+K*lc5P$m0=VVWZkZ? z9O++wJS1~=%7nP%siZ1Q>|7b?$SmLV*19EYtH)PPq)Nf4qy<~VK)smx{DQQZ+ohIW z_ytf-8}WNIu$6XTDQ^-=?qp^BaVkP)r58gHK4z~{^U?)2p$-j4t zYEivg{OkYpcNRF0In5ZA{es>fAy?Jg>VV4BE~Q)PRhUAD`U{qQnUaF#7oCF;hqpgF z_SZEK$1k_;COgQE-6FEl<;Ly^Bx---sp>^kZ8`~rQAWUSC-$UHG@g)ggr~Z;?PPW8 z#e4lm74L7?>evE91O(yz%pZbO11=W!Bx3KB-zwA;Y_=Jq!4!#1+TxUTXzpLi+WZsrm@|VMSnj1V|<*zG_8(y59u_hIQZ;|-k4m*Q({xh zreGSokW3~{(IU==OTC5&r{)wB#YD~NbQaNNaDhbVJElexb>aDp}a-2gc`Y#v=6|Jch> z;-0uf;z>}HXccS7R=*qhEv~cLYuYK->n-_B>{qmeE@h4SegmUqdZKuf^Auqz$s=3E z8gb%r5=iXglDOx0quEub9nucFRy5_euzZ?B=d)QYGD=gQjn5BUk5R(1jXWS=#7Ki5 z@%UyklQcb18_2lCpda{nyEr7CCmYBzyC@f9$c@1DbHtwhH(YPI-s^7?dvZvL@-oX~ zi^OfT*gNf=xcTkG)y*Qe=hT7L zSVvHN$(pUf)L;!Yn?mFd8*YBB=v=Z~cVo-99V$fs92LjbW;;7dN;;ewSyO&jCAvgY z;lb^+f#2_~rpqr7ji$sFnl|4QtsflGs-6*Fi_ZccTKr@BCjTQLV#TPhE=u|3-l z7K$curSkVvveG?rr`8|)2`&P;g@?A$QQX~Aq@}cpaoR;&p=ne78Z!4<5#w3JpFD+f z$!ubLhVDM{0m;3&*;E*-T@dVowyV1LzOJ^rZxa0^uoM&sKg9{bZvlRmXW#{Uk9}xx zM46IIQS=kdeU4=IO$tf)A^~rB5}%CMpR~1ee2|>s87JiAv1{@{S#Tk;ejxwOe!4eH9^Xs&vb#6=i=5D}!tUTtBd(kB2}I2h zX{6M)us2yd8v2#q>hm|ILzw{`@(efOI@|>+?}i4x0qT`Gu#WG;^Et>3{QVp>(7i|< zj8Tl9cJ&vqMp=cVLp|RL4f?SU8gN@(!#m*niX9g6E(kR&hEVKTsIr_6&yUE{+*{l3Utl$Z2;lJuPRLL@?vY{Y?t47N8YfqKqC-kgV+2SL0a zudf>PVATUJ9zwaqu+rm|7wOq>q=#Olmyd$<+85n(U!?zo=z67xd$9jokk3mYfxQTo zyap=SO{kzNAdmIJR9=O?q(K3V0);2SR2m0U)g~z5^~hAbe>KeJOQ3?~K>@3Q5_%G1 z_;PIX1B;pnW7u`D;dux<0`p`)kAkXrka~urtazaDp`dh}e6;XQgj4n3z*u+UQXr+7?8CLnCE zy@DUmb0*%Lhd77xb0uDv`THV0_->X+)CP}NUZiKk(LMAcy?g|u*S<*4eUbiq(e+9X zXJC$Z;D_ufm`q=TVwMZV^h+ovw_y(b8m6(?FpazkxuhMeEF7}QS)5B(A(s^(ad>|| z%))0E(an%c_rue4G{mzx*k*xPTx0C?7DUT)`d65%z5{v2tp9Oe_Q6#ZXB__Jp0np5 zSR53ELiq*-1V!RRAfggV01;6n2q6lD$Y;Em1c;cJs4awOkWe5M7^_3IGIR!!uR^D- zs8pCb)JoMBEsP4%YGvB0jU|$M`|RFxBkI`tN5?;UXP$X?_wLz!_w1hc{jDb0^BufS zIfwF-nh>_buB7cW{D}6})PG33rwQghO*KYSW25;7N2{CEd=idQeh5mX#0(fjIf#u; zHu4`?t$F8J_5HT4za)Q2{S6ECM=bQY7|?XhC*U>8o#9-{N8mU1dHBFRujVcMH^M?W zY2m*!&_wy?u!sK+Sny|=h4R(3H(^&$&!zk??9z-U)RFfz7Pe5nGw^jfwpqoFvDyc_ zE#6EOz&K0BuQd-wr!5zidC+1R=d##4yzgQaoelBu^L(IdVk`6sswUskDk6wLP_K*S zXnjVL9`@R5CtRAgu|qlmEtc~z9X_)Qbfe!>cRHjg{!U^{T3=ib`<3Z(v&}-)c^8pYnS6&+lAn^tD*W4dHdovIt2Bo9 zbP@Gkd?#(Z;e01He!oKQ&(TVJEAq;C=fBsv{v^q4Cl(&y8$ON5Tify+41ez zc1-9U%S|43gwXI{XUPUVycTn#2k#9r@h z4RmoLGudP&lV^yxX1)6Q$9O+>d>aSTF`PJ;irL8~$1(R^?c-clah4BrM(Z;^Rzn(l zPBZG23;o?*zQlgm{mfhAQ%L|Dr6h4{Oh zvfam8EE>pZrw+V(a;vFeFRboa<@)ps=4!sh|GS2oGuST7^8y;Dm;1kiozt64`9@{Z zKG)^{NW(;Wq`T8!kq<#<-dQ1Kw2aH=)`uqM9)=GkoSkf}-S0ei-sgIUu?^~N_dnRJ zEbpXl^BLRmDC|>jcc!AV)^eU~j($ZDN&cE^ZqZQhl%}~{7Ukmf`ExoV@*$|{@b^GTzl{ua8!T7pm40{8n)b@!{g&4}4?!sx+Z``C01a zY#h$z>||+~vvF;5`#4M^X}i|hINw-h@)7?na#5Bp#tx?~ll_W&#k#xI1me`kit}Fu zx$RPKtFG|25#O?OH5%boe~9jcyXSeO6;Z|Y>#X`jE4qz0M@#GabiUdu_{MZFbm3H7%Yc5 zP#LvPhS@OJ+Sp|M00w^(&*I~R-~&~KT~&p>3p=lMXZ9klN~n(PW}A;}UGFDKqc%&< zS>-e(*}lYK+;d{g?bWj*bnmUv{>W7pZ3^3|@#tz`r8CcTzCG>Kiu3Cea&SF#Sf zmRgp2m4u7qNpf|4d9$+6Qs@@x9jnmC{k6m^Reo#(?_dY@A5$$N)f}CoZ5~26ZX@omd2;pdWOh zErIQW{Zz{mhbU)LekJ04_y`JVuS@B1r}2}iv76t7C!)K-3z5Fx8Qlx4_U{}~4LhVW z&to5hJ9vINmVA`xg{uU|C6cvNOMW#@8i*2rzxNBd{y&<(V8BG$tl0=&-(Ay z@K7{nx;{Ocb5G=(Wz%Q%1PV97+==DThPDqQ-#$*gBl`{$sLjMs%JweP=uaRQmFpa< zkIhq>6HG_jPSseei=4I@u0`HLa@II4^_FO(cYtqqv2y)V^+YT8MBjXiKDFL`=u`BG zea_oV{M@V&oMCaF5NAx>NtBUCr8Kod;%>epTc5<*sn{z~F|nkW^ZBzFUi+`9JiJ#P}~8kiALWB<3HTP!ofO8T8tQQ8K7<$p_4G+vXo*>UV$E@+^=K`X)@JljL_&Z)s} zP?^6;vwh0&YTBCi*yUOjEakqrRQH;jl^g8@7_XML< z=&WUyzW+H3VTQ_DZNi5P>Zh1*8+Xc6Xd-N@F{!#a!upRWFQO*wLjNw>8@`TxJ+)@H z{a>jW+spA7nzTm8%y%`>u|SJsQ*96Pqb{@-Djs@I3{^S2GV|7nKuH5-``hSU|U*JSUy8boC zAttr(rT_P`e-Jim(|_f6I(Rmwh-b%f7r7BVxrhCatlyWt2jbbWAY|;WFg#WNH~D4$ zoaI%;@o%2z-sgQ22niUnu%s*vgvD56!q(7)MIgim3c-f0N!c2fgl)nSaYQOjOKEGN zz)+`P3#D2DibKWNq1vG$U?>QR?9d@J7TTgkDVw~0o+pH1obeyfAHH+$z0bM#Ip?0` zo)fG+-8jdmO29hoy9BHRGkt`!wcJPOkzjp^o1=2$pZlwzhaDC8+^ZUEM=1;R@_KM4 zphj8d4AeH$6~49P(oL*K2dF~FodGz{_s75|-z5&-)epVa+ULW57krI7xzmW~%Z>1y zMl`M4v;Se2|91CH_PTTzw>YPn);LS_fp-#nd_g<_&L}r`w8hLJ2JaAyKJFX)|A4Mx zZ4GhKNRMl!b5Ql5$31$UKJvU~L?1QtqK~SSJG19^ z>g>$Gw+nf1=RrT9UmL8hZjut{Y1%luq5ot0-1E0HpaGxp{SE){ea+70t;XMcU&Dvu zntTl(N)7z%*YI9zOs!C2wnUED*BO7vc)lv! zTK=;G-q+A!A@xDNrg~GjmnOT71v9ue-)`KqZ!_N}zTJGAVT<^CHe0PM|69P*WV^BK z_3gG%nP!Ma`!=I*D51vhjvtJ1tB`Lq>ksn3_SoPJjdAue&q0Q9s)*XJr`!(ATdIxw zEVQ}eoRie9zTFlnjUHnp@{My|WZ#==3ty^xjdNd7g2^DR2Eo^#Z@ZxD`Bte8Cd9Z0 zdaq;u|B0Tq0KeUj?^*hVC}=Kd2TGV1k|URZlE^TxGd^0azM(?(_7VdBt)|}V8_?B! zTY<0qi@bH1Jo$C<4UiC-`jAU414f(_2Rzq6>9>IrT;h&fUTNOeb#Q z%u%qJe)a)kkX&I7BNz3)^R}KcXVG^VG9<$D9P!(cI7A=2gt)uTdNb^Bj6Dg=S1H+x zRf!!CIpXzUJPjW?Lmb}VKe_C`jSlUI!=1$8O?3`+# xeHhu!Ls!uMt>yh+FNGFL z^rzz8jNz@9sg=TiVtqHY!MAwpk3h4Pfu0I{;0eWgX=={A&N+w|$7&pR0^_dW3nAdmOJ^=QeL~edIQN zmFrwlZs=zkZOb&;d=CG^*eD(TFUfN!^aS}YjXn9)n{i$Pd2<8tUroL(&`9dN9B(4A zdPq~eXO-hqyBJ$fg5FR(ahpnfrgGn@N&#`~L@VewzEA zpzQE!?w^?TzQLJqVYg|TZyu+X=*OLZQ=_O)D#)c>%_Qc<>f@|elJg^S@)e~!>y+!A zC)Zs9dDN`S@oRFpyMQ|373{T@_v3gFs|nusS-YiZ^E@g;^b58IU7rK|Y1Uqz;k(y?PLwPRvvqS{d3TI$Rs z@=SsI88J|%8h0UmP8o1i?)deGOU;p^ny832MMWY9s7d{C4RKWCubZI(e~rI~y1T|5 zrV-9>&?QHk-CauZ;_1)jDf^L@rpv zdX#FhM=d^I%eR^44~^7@`Ibbl`EB%>tGB6<5<|!7BWls-x9Bqjna85f9&L1MR0=I6 z=ajn9q_x?YOTP zlNdS0*#m4ev2{v$!Qc4WuxrG|w%~BpcP#$@v0(plA zbTj!X(<;`KV|@MWBQI7; zr}WKyXZN@J+kN}p|Nbs=!3ys~YR(1t_o3Y7KH3z{J&ir~6H{u!ab=A4qWapuqNeD{ ze+&iNiIaJ^|C8_2$Be^!4Ex__Pep$`>$5}GiScuon+A^pe9m-x3LK_{Mp^avmn!_% zFxI`#`Tt0}4?b%-Zz}Q;_O4-%DEJ(rtyZSz@n2$oAM=mG$Fz^IE*Cye!>0m1(^cWm zgU>b2aU4E3X{+J$5qb`GmdP1sbH0=6>$HK-F8+uAvMl(#PyZzUaSdI@?YGc>ko9%w zpGIdkd`7}&7kr+F&%5v;4%uf|ORn2gznYpgja>|@-qj|%78_is4)zW0vb!pp+;5DjUy0+a*{OY%T?TB6T)z3FUu~7##AZn-LBdu?dmiTHz zqkIWk7_}qxd{f()Cr0v*Nc?V!g%N+;7-x(RGyW{%2O{c<|r&i9ZVow0u_KlfB*`!(Ns z4L;ZL#UE>x?~vZH&ypLL!}})v5lXQ3F>iQ0tJbtzoX#q=K4z>l@&&bUe?)%@?RbrF zt5nEXk#&x-mBifT#Hm~KdnxEHLf)dETiv6YS>5q~z-< zV%u=1m@|Z^8?Gstw#YU9 zzJE1mY(~B`By`LD3H>>mP0dqici?|U!S7MEC10IQ4z<{eReHn*n(pnOD#0F51~!3Q z@D`{5yBf#mkpFLvfLv}n$Z*?GFB-&P>$R#RKUwcSNm~qJz!l^oRa&W_t$JYxmDoWg z`EI2<1N75+e8BDY66d(6SFrPJHyeAopl<$S@cjjMTn3Vedo`S6B=2H0`%FatH2ZeY zENa9F&KP)IRFUrlXNgw;yAOB`+(8g@K|fzX)~>>Si^!Rla{e4=9AnYyv z+r=tu;^POWG&IbI|2JIBSq+~sm&;0uaB*JHN;609U1>NG=d%qL*0=U@c^mNX=4rTF zrg6>zts-yz1Y1dQ5>;+LqblnbYl3R+4AwUG|HK)@*%H*v=}ldgm0 zD~U@MUFA?auA#=ran^wn@DB18a%k=kTWswbpuhOq#T{`Oq zlXLl%&buqc9@@F*>3@mdlgtHaFJT{B)Wxl)|A@Bmj(bIHAaZY($#)k?-g0tGM|G^A6j(QEKmg3nZyM_uJmxtVH(@O7x~E(VwWq=#xsc4=CUU74Scy zKyz|oZ;b-YUR9t)U*s2PYZSP@5Ao={@*{d*)0z5}j`Ps%y_!vmS`q0R{eSN_YZ7DrBgdS$odBqh)Y+XRh|M?@2}owYyoezovGiD8H;@m`Of*?cg!38MWIkw z&X35A^AUM6av5^)|Ch-bMVwLeUMc1SDK75rW8O97J;?7N$NZ-pUUM&FSMM@r?Bf)% z(MP))J2^$2<)bDWjC~mF05?Ei6(BD}UWmNVSE2@AIoPNMy9XExURHy5UU^}x3!|Xf zKSBPz!<&5@?$t16{)_i=53Z`Z;_$lX>~qe&AxMK65E!Af6(1N>8bK5#p%?^3c^HX7 z41^1k@~9Xg35JdX1!@Hg3WVyUrl?30ECI2~lm;P!5zEVwVbnG=Ee!1lrIt%1&?NpFok6#YD=#GxLaw?@~y?4$p49%``%>sYL@i)0Qg@|i>2elIM-*LrN1 zY<&exCDGF6V8h_yySuw{ad#Pp!QCAOceuE_ySojp7k6FW?w`%uWRp(ibkgZ` zQs-2cef0%9p?~L!og0=8|L$cw#TA{&2#etC26ihzvnYgfgt&XN$Myi#)lIxun8@bu zFDuLcNl{FISCcB*9B!kT_CsJs-=_hc_a-U_TBj8DdOO%EJ8F@fA(d0bwoHEE>f1A^ zSBBn??c=ih^aU;#--q#;LUbHlt9`Vx+VaAZCZsivfs(}SR6zEytng{}N?sL<{5rjz z>_;xZhrlJ&VJ+4T@)O%p!`V}{7!6t-h@`DV)Mb#bgn~2Tbu!c@TBxujkzcpeDx1egj-v-}xtz>qnOF`wlmTuS{+X@WU+>3t?vEe*O4awvb zSE}e-^JbeM|Ut#N^?DTYLRvD5b3r668pqns<;nqV~aj-~CZ7O3G?pdswmNhWl?P zr%YT0I=T0mC4j1^qub;>-g`X{M7Z=%VQH~fV>5R~0xfIXVk>oJ`I}n;t7`)PQ1zOA zk=nYz5w;&eWL1s+pkLuu#En8Pru71KtK#DPg?yQ+OVNg9^3ao<2Kl6ifMNy{YUxMw zGonYiiVXWofr@%eO-*XnmBA`Z=mxWWQtASQ*XY<`rfmVOk|pbhTH=%-i3;8Qlz5q9 zTW+l$)i!IaQR)%11aU}ty@IUTGTM4O=mVOg*^rR-{3-L{><;^Uu`cnBd5*~Aj^EC@ zbXvjIw38-s_ob}1sm_1K+TKrVS>WQsPPN~h;*n0~jc;Lt94blw?8s6l(F}NNI;6tL zJ%##=F6d37MVGqybP)emk8KgRHJGC}_-Qdd_00$DpnxLueXMtwqV5l;PT%Y|kt%*Amqr`_)yS< z%c~vv1vg{nBKyk8vRR0i+F?3VZ5K&;>BAWKUa750_n|`47{Y0)O0j*i+^xA+6szFi z3u2djVkIXMvLHKh#ez(VcPyu^<->8zVZnpl(*#k%mdqwedY^!0dC}Z*S8`qS({BC7 zFjgy8B6)vX0yEYqyEPVwQ3mTU(HNA_I%M2{X?4VvU<`NSdia%^UCmo;lb6tyo^Z*y zQ-3XL=Ko^ilE(6>oTwv;SJu!u)=lw;<0I8yfMW^q5?^G9qY^*08~rDb#h!m`zcS1> zJ#%4ia)h7W@0;(d`*%v&9pd__pC!^mq>G0hX$|6dPwH0cP}?YVUSXCI4#bF7MKi&g z`4RxEF*R%8p~kfGTU(xmd1l&~{bJ4NQuyU;==Pz1j1<%%kja$q_x52J5*>%o2t;k_ z8wSEs_JMUzIzO=%_KRL+eP-KhBD5Pr?e{UfNya)9rl!!||AmL!ol)3M#+4rv8eMb^ zAE~J{_CMDkk6gq}uROBgoALqBy>hLpp2afr&~kbN`{$L5;tZ9nY=YbN*=+2Y*G=XG zz59wc1;3h#dVFDbv9?+MID}v;@7m3#O`|~zGWCP_2Lc2Z1S`uAf_^#bm!`T!Ynwk5 z`58W!abpuqyv_43KF>gt4%s8AdT}+Xpu8}+IjH8G)gx{Nf%WK#`pk-w?x@j7UC=_& zG)oCT>e-I@v9!#qKK&9p@;t*Tjqm3%yGa=p^Xd;Q-5wFKQU-hj>@r0&RV+66BsoPN zz5g_LN$2-eyo<~0{YWcG9|qvqXQk}LSxA`EZWN8RtedlJoYM}IOm>jZlgxXojFHUa z3qSvmR`mf=`0U$v@#l3bs@;+;J(Jy36!o6sWr!J4ZG52K<9o-r;}r_O3*#QY{<#oT zRu|+30}LU)w2R?=5OB^R`55^Y#-;dhhAmRGFZlNCvuJ9)2mV|(_t z_f|4RQKj`KJje1c3_Z?l{@{532*y-9+KLYxq0B!eUh|G-k=u|bA6`Gf8GE>&Eaft9 zX0sh}Q-lW1UBT%i0P{gVrwW*-Nn;M_lS?1X%e{3DMdH~NzORijOsm$kd02t@d*!t? zwy_X+Chh;uj%Zj6SBi=NKx$!2i`ZT@MNnBLsUAMm{mOXMm@0>1c0u=XP&V&5E z^S*lw4L#kZ{pPLtM7gUv%PLbry^!vtiPcv0d>>xNGWEMXdt+)mc>SC)xaif#(8L$q z>U{k04^t%4GAb?yMriv1!A|!=t zb)YO{Q=!rT$VP=~sESp=v#rhli-|1}2s%2y{4lu*f@KXB6sZ5|`?@{9SiR&H<7SK2 zq-OoiI~rTqftY#Blm9@|^_2;Re-D*^-10a3TO9nf$ zxSS6_-Avq3Ngs3aHNn_Z zGZkDI`6}R;<#Cs+l_UXCH?@Doo->hk$N-|5E`FsBv}SOzNmzCx0GqxoX$VD9+li{ zK+Tj#VsIlds%@ZHHqV?5BAa-Re^-^NuD}^Q?Z&W#SW19B3*ZHFlKdPA`De}px#pAp zAf-j;CB2ZsAk{c1cMfemj#)~eGIKkVwFlyS0MsDA7SULgxT@%1^WWvUZ3yy9 zYEmv;PVGY{9K26<9j4a1H+0*~_Y-p1m^WyLEWIho1i1}F>xYZptnL{nU7F{-YR&WD z;G(zO_;%yfqWKsJq!49rf?%iY!UZ$=K@;t{5bPQw8`Yhf6w?2(#gXV}whfOwU$WGg-p z5|Q@Ay2klqLBP`NLRV1^jT7#l0BhBH7+qtC+cfy2$x~@VySEK7UI*a78`XvuU~R~T ztecZls*_XE+q=p<_$=W2yJQQ0dE%TYmxc$%-gHE^yz7)NSaiD z+sV0k+ott&#f;3*r80BIHHqox%qL9#M^p30Etn%s+Psub9x=X$EV=$vtXK9PKQ*F5 zrEIS0E;NB&{VL%2yYN)%;WL(We5&!~f?ZzfiCp z>NgYY3(wyuIOoeAFVLPEuub7d5#e`8akmxkr2IS=h7&LGrk;OE<{;_Uf!Zkj&`L7a zO_2ADpkOGrCO_|p>@eH@Fz*QIQ4{0C@`vkQk_B0Y?Y?C6dq6~`i-+vA+deDXef{~7 z^hWC|^TG1il@Wu}smzHPjNOkpyT*VO!XFUp*cOqH-AxD=pMw)* z6fv*m9JO*V$xl#CBm$9dQo01=4bZy~wx;;vM6Yq~bYgw?ceNP~YTi${AR0K0-h%xj zB?l6_Bh@3MCY}k3?k$cXY9zsub7K{??$bQ9#prj`s;4Ze3h(uqQ;I{^5shKMx^=3Z zZP9_BErfvfTVrK&%%y~`UBrk(V{}(auI%b`?K@eAZ0z{A5<2DE9px9o{u1d4+5 zvs6^2c)Fyk*Z51$3^tX<(T{aQCO3)NWV50bQYrN1vLuch+S}1)~KF%oQ5%SX1BdUsgq47}ozCj0FJ^Q$&(eZI=6~u9WZI zX%77FI_XUO7OGjdp`}xO-U~554Gs=Q8G7-zHoRN^JT;6>$8UWgmPJfTDx#0UZ&lJB z8eo`>QtT+<+8S?Cp1n3f3s;1r zrc1mtqNRBUbY2O+L_E-MM1E??Jrk!FS>a_z1|ZxTSH}pe#190>6~F41q3sM!8gDn9 zdfO5lJ@N&BEsUpK5qQG#^UDkkmXq@r+kEoAcZ}e|n!EqhnR~aq-sYEPAJPdSJQv=m z6J1N^aoyJXm<%4@&+MhJ8ri8_dZjf3(*3qNFb6%3r++3soe-Kt$tU3Dh}xzq8d^F|ZvY*391{8|ECa`Rgum(evqs)GjZl^E>Nn(Vpa0Bdvc20imy{&*V6vubJj) za%q+`ERR1SM)z{$X82*+Onq$2+cp8u29E8n2OIFFmkx#J5?ec@mzb}$9$k00L_M#_CHglJsyk_XcDitsCD-?0X7qZbDQmR zDL$&kLGOwyx$WqwEy6bW&-N@tBGGy~phInc%_+7(SMjq+vHs|X*Se$5l{4qVw)px~ z`E65iAO4c#r~r<8m=$uywYXwGQ?){~uHJ?MrNKC= zEpuXfe6@saAAtL>`d2XV7R-`n5dq1rrW5vu)TRMQJ~b(0|8f_$$&)`;=W^=(^R&YV zQbwe1!F`zJS?&y;jvR@O95s*}G3$#$wSk|1nMrOoURB7UkVvDp=zWnFx1RA>Ln6&m7i#}i_99)xVok@@uLF^Y_n|E zY(pG-6-|^2);2>KFcHTmk6At>sc>to&HylThb>m5CnS~Zi)7<|wt)vU=@!jW$yf{vU>h&)fP21(Ts${QB^#*4C2Jv=qu@aM z7A;yfY$KLdXAl0j%o+$KTd%B|C!*`y((jA~MD>0QHahFYZvC__zEplmrtNouQ+~P% z{GS+!w%z+ryU}TY<>nUwv+!Jb!x-TSb6} zW}D@#dZ`70AubSRpLm#C;tT#?0+HVhrEh~DQg|*1l_;2F4UQV46IpUM+aDKHfpzroTk?*~ zK4zvcj@^acX=xEKg`aSKd>jx9Bdgqhdp4Z*5 z7yQ~~;P`e%blUvFGe*FhWbcLM&~f$L&;tGi^5kLTlehcM)TQV4^T&OC&r;?Y_Qg-j z2sbOCJ|8>Ui|luyr+Y& zpE`&8`q?~zje*ZRzj2mBzLQSvMq+05Tw6@#d%!9DgRXT&?1XWqF2JLY)Vybp^}b&@+~8dTtSv%OjKzeITwo)tL&~!CuOS;968E zb5`MUgZ*Ty2yv5$o}DjOeq8M`Uc{BG(s7AbKRdNwY~r7FE(!J0_FCAb?OJh zSGgV%8SpR7JMtD+=cHRk|9bY{@{2Hcg_fXNdc3c|?x1Jq8~4eq15c*}s?Ti?=Y z;JRi(R=VmO~Yprsen+X!^i6S}N5IwhB?Pa-o)(_2Sw9 zIy#V{QXEOb%W~(t=1StWi}8i-k7B+Xyr0ld6HKq4nSVmbk5)rx{-DSNA)DNZOR}di zzX2v#ElK;1W2-xc#qShb4{NvtQDzT?hrV+0NV@pb;-qI&q)wAZ@8i6`7d>9IIKjrx&nad$ww+HoF2?ifp zYICNa-$Lf8Ef_Rx#hl-WA1|k0oH)9sTbW8Bt0Q+d`NH|0Q8X%0erUuRRmtq$<|_R? zjhpJEtkAg_WL_jxuEfwB&wTrgt+erW-tN*W^|#Rf_t;%pZ4#y&J?hLyIdb&;$uqIv zOgUmpzw}r)dGJp7C!X(i@a~o@U2*Cs?K6HTg)@%}%m)*+ah_hUo2>FE4|8Ipnh!UQ z3{m*7R=^ZG-0Yy2B&WYF_mNXfR;Zlign=MN@C4j#*3m(fo#?Y)YeS}hlp}#~@fkh0 z3?dmTHR9+b`-Z_En?-o4^|)!hn{O_YRwzL~{#`Jo88TYhzce8DEn#c_K2rOhl3-%W zm~z})JJT`r@{^FYw1nIDh|iC{ZM`*Kxu5G*V0hc|;*KR}vDrAJtf0c5aPO`HyFfEP zQ{QFnzIZF$jq!~4_sNT(% zZ#&{YW=GY~MKKRyoBm(%YG@^B5w+>EMU1+0ZquAPG6zY7qRkuDmx3BhC4{6rpiHV8 zPg3{IfIMPN0e$luNq!nAL^xZlRtwE1irOA+*65sh#gRotW{8 zfbxS{K0eqjaZeYG^YzAUU0x=2chJbHn3|HwJonkRnkMsRsD&fY=rLf^}er@2@jM+d-K8@;WeYc)GI{ew(w@U(??n3z~tq zjIVK}ZJxh~dMW-(Pb;8>eUWvB4p}u`z^_}eoTH0;Q!zJ<|9axTbozg^`xz{e8`Oy#Sekrm&IwD@H!`eKZsPw9l6AMJi zDRvh~`Pqp3&8qm9oHYqn8#WnU8w=rD(uoO7T#DUETk#yLL&tq{i=q24ckjUSmK43Q zf^aE}{UY3(#8{obG2m%*!Z)h*mG%>!@fp;3l6noe+wK!SWjVNxmEtjc$Aotr3p$nb zynK4A8jx3-zTZl$3sNV9o&kJKqbtenfQvFBSRSYbZnx}5? z9&JZaUnVWSs&Opry4tataXsvG>6IS_kD69-p9)4wDNrjoR6VUgq!qSajat?CH*72I ze(6txV0sKv^)Mxp%2LDPSo3$OrGSw&x-)fc!MOW~%2cyX;xjMp?Zo@a$`W%>{C!g8 z<;Y8M<**q)?U|vOpXk!E`Fmnz%_wfty}Gtx{Qa-W?)ZBO?Z?qJ%_aUi_pz7CN?r3! z(lZO~0OGUxIsuBaN2SQ(k9?7NjI?Qq0ie)a%=tXgyIEZ;; z4z)m}HDkQ=b^-|qCdQQL-ey(7Q_EPLRz^c1iX^5 z=k&jXFAqVp#T-rxEM|o0i43z!Fw$Ius_ z*Q&{C>N6`ncjQJqkr^8TeRNX~_#;m#n&v0wOUi1m4}eJAjz>c?2^5r?`{e^60X6+bY{-$VW+-Qy)} z2?TCDaLJgmj#(3pl^6d>QkMsP>9HU6DB$sPUJ6D$@f^VL1l|uvK@beM$a~;@yB3I8Q+`wEDZY3VWgY{8j zoT|C8Z5Hx0YxOFT)mwsH2KktYI#nhxT^#8O#3?3SylY z#&|_r{Ov*%k?XzwfzKqiyuB&r@-9^wJ9AlAPbZK!lT7S90kLX96kFXbl)~+v_U@mB zedsx&9#t!0T>83CA&;Vv0o8~Nb=R1$1)stM5?S`hh^aPwF>~=xSfxsP2diJ7G%(8f z8WFDN;-9rT9MS6um#Ht0ZIcw`t+l_$Kox;P3WG$UGY}?x%z;9azu#Xg%x3RTTD=q! ziWdE!D={q8w7*|^pucvIy62cn`I`kR+ZM9l28nnT$EHSD7x7Cwh{I{8<1>a zSjwNjO=lOlij{YE%fBZ5X6Is6GT|=_cXBDmYx5B2uKiGP{PIG#X1;Mo`qn*zffH=l z^w$D3HqHv+4?w(!M*86HHweQ>sjjT-+Xi4FH0RUpbxrhp^C8PAPOBvX0M;z*_qU@- z=Vl?5K zr4|JrffwQ70f4&Q03Z%^QZ#}@7Erh_;FxGg(A`cR`+FbxF9=@bpO8w=J3^EA_Q>c+ zXnQWr(Z8k!1fv{YPagepeYTF?uRA<6%hSK9$N+<^|E`y6@McEgKay$|X1W%^IF0_3 z9hzuKTCbW8kzB_>=CZ5(1@ZKo+p02e@VG*E$)s;)0fPZ zoztkUPlx(}-!&_6=2RoSaIJ?cY-RoCL{l?dW%k4M7T5Ge4BWXwX1M&o zq-|D{1kNo(d=fLR{3T(HL)t={dSaU#N8jph(vyu-n5yFD2V-`?=7%~SXqvaGhhw&q zV-C4FT()Wkmw_fiJkXA;X@)v8yre+oE|~h$8$BmdL>*nG;GfQ^qXswrG>>x%*MLmu z<`Iz5U5ggqw>8LTD32dGvJrMtO(&%hD0c^>XF@w_ug7c|?8~p*C5=a`gG%h+8>f`q zH%P~-KU%4(w{w>rqUUwMJiq%5)b*tI9*4+>wlxtdq!MRuPKdoCaxsj=#IxM9Ghx@X zv)k=oYJT?H5U{E7Os^*b$6LXOJ^uY$&Qij^n2eW+b@)xpqV=KJT`1DVvXO~hHoDmA zJ)>NI8Pfin?Wl9rn<~(W-AI*oqI*2U*YHF9VT{l#Pmh);O23(=dyEO$I{M8^rc`THg&CiXrZ^zc871j~61OzIRwP0w{ zQxR@ELttqohB0l3vtUOHJug<4Xr@s97!WpiiN3nJf!)&gIQRPPrBhI9hMlL*ud8xJ z6m`Zrx}>U4h zaMpnsoI4V}2xrV;(_e{R$04-a+|=Sv%QQhK>~9tspfm(nb9*xvS7-At%<|XD!NeMY zotuOBC-Hw)e0)q209#jcXC?_-V^?!Ab5jR1b0#@+drMa&rKe2HDIbU&dC}Fb{_!zkD-)`8IeVLigYI!*IKE09dlK1VW zDK2C_X~9|OM1_-AcI|CvZ;l}OnP9{fW ztl)t$$-fE%VsO#$3T$g0k-6>zjk}{`(~nEl4F^O37A(8>v&`3`uLsYAI86k|y7;E3 zsIo_D+t5YN;;Gxr_N8RiXVI%6`tWMyP&*fDb4DUis%>R?DDleG$=fI;NiEMUt(kvD zH$_`ow?icXbSpBON(%b;ZoW9R*su#$7G|L#X;)s`+%;qcd384B+ZgHoa;#pB02Xz%!s z6~VcHzn_)Ac)&QpFV<0QnLxny)y{KF-u0q)vi3=X<*gBcO@ZmI4FgJ+TMcsn){3tq;qt{`6$ewvgz-+MA0PegD&w=7SMt4ef5n}qP1a+UM^v&tp~a^3@Lh*r5{gVD4w<#7F<-^{7EDq_Dufiq;jpqi0SL$=<(&}XeArv8NAdUgKD$#UQ~619PtHQ$^;qAoFAoN zyw-VyxWteMv)H-+R2xKWXF^SC1T_}#tha2WH%o3q31Kj`3DlBN{#%{BxW@Z9CAfD;tRv!v)cnrtpFPqxjSrY z|LE6t@z?hF)^@;o{*m(RqVnvpRR3kI&Zny`fU72fkQO`rLPW$@GmJ4rl1G-I#`O-dT%_34JR# zyMyYa$@qrorg_8|5#l;!yme;eM=L6i0{x9_XYP!f7HH66&K<^MKL1AM!_84c?5mQ% zV-fg;Wbg)2zfu&_PT@P>FT^V$o{^wqWTfJLd{N0KIG(Xu*Qn4LCqfx2t{8=zpFD&8 z&$5KQWP*3Q%J_;UC-AYw^{z3)z@VvHtu`U76IA^}C)Z%KW7^mfn=G+quitvqSfhid z-t3}`%ABR?&Se=C^9%CM>3WQsBgv;0tuoab&13A&ReDtOi?-~N)tb%Y@U6lY<-Ge6 z=|vYwnfn%QsT-utW45jGha({8&NsskD?ot${f18y$^gQV1bb1!j&^NYx<_9l+j$4GVHc<`RH*eY88@pnXfEb< zFEEJ*!v7)jK}OQ`ZdM)9_o~qMJ6HC|RQ6d{_Ucsjd)xL%*!Ef2_G;MnyPrFfW?`SZ z#+YFB0r7l*SUW4BKg393XTyZ)ceBin5?qEx9Z|E;&n5X#w-YYybFl+{h%Mbb1`)VAZ*^Hn0mvNYxm#pUxYM<+{OwmXb0rn!;6$hNy}?C zd-Bdx++_+`Yxzy(cGm4{J7%FAFm|T{6+atwLCQYrEsu>6O7XNZ;uY8!6}ufYv<4Jh z+6rxnWvJ>2Jbw|(MNfe|`7!0Dr!72r35(KhGT9oI3)*f9*_t$`zh%_17Af4c^_4XN zI~`kI^z@@}%5OCUGNO=*uM{Y3&d%}v$EMTmPP zewElow0kvv)#*jJdzDU=nnk?(C4}q8C!cr60HVqKRsTOk027Gkz~4e7lko2Oqin%C zb8Ob)Rb>c`1&D0FePQQ#)q=}a*vl2zODPxg*VIB!Rrt#l5lTte^M@AxWT>!OD=?HY zG?w(T{Rx@-i7QG_hUS)6y%61|igYU7dBX3VEASVo4C%fo_Sp0#Ng!{!l*ms3!ipFn ze}^qYVvZX3OtLjb*1lFqgLqxN`V7-UwuNF-#U9WcrMtv%l;T0xf?<~y-Oz5Av}sxZ zGQczKx2xQ=+_U|&d8y&dui1Y7ePgT|X8(HxI3O^qr%}j&m_H6>q|k9qvK@d0%IicI zjz<@-MVEY~3CX9)LA64hEb?N@#hek*5sN(0e2M-t1v(Pr0H~@$^@fb4866$TGXR8L zp?pJn(~QQN#5w>PR2bwMhB%MHBQt!=mHpR@N4n&gEj#b)7*46e>i<=1lS(~i(a)<$ zk~m2cPEQhFO%iQY6I(9(byFHwJ&VvL-F(crS@7x_YCjL*ZKb&CDpM%=&@c(Kv@Pbh zsXyn=w3}YX&oprXL1jux-=!hWpk-R$g2Z)=m{vu61~FGF9@^yVInQD69MC{uJ|l$n zqYs7BaVC_?Nz$mPebn3dal40qlYWL47IM=UO`y=&#mL~e$c<&}sZ3?X-B4=NrX{M( zW+liRHh3OJS-EJeWF`LMW=fWiz;+QHD1@C5uRToolQF)VNq1NdDWB0{I#(1i8dpxK zTAof{W?xqnvcSfzGQKZkmq_I%*R{Y7r812!v9 zF`@ucCjTo^h9AqvA1eT-%}3?4`UzeN4*_O`0!t*^5ky-WVO<)7TN0KK8 z-6~ANDvHu7!on&B(<)rUDw@M8(qk48Gz&&B3zIzyr9X?XISau*3)gu~xHSy@gzPti zMYLn;`&;oE=Viy`ZulGg05SX!GW_6A_~9R4zCqLwcGMu3Z{T&>FD7Ob!yTk2vX4K4 zdrVJsA0ai@9&ZqdR|p^B4%bX?c%Qui*Me_E+dDpQzlg5U{D;imzJ9h5fV3E#v~caT zXzsK~ue4YwtuS$|C_^{FO+%!GpgUxKx!9S4J8XW1*k!akF~5-RdCO-XznFlTqi4zN zKEV^Xw|a$x(HGLse5Px3zx0w*IzD;BgA<-;%VXD^GFH!&sr^-+$fHx+oDvt$uBihk zo>;6?UxPw8&sxU)?K^gZ{7BETuBq`m1cPda8(69acs zgBqfn@9$xpr^6l6f;*EhG!C=Vo)!L6>vwQl)rL2S?-3rS%N?@aJ3rr3JY0TU8iziz zIB{X^`BifL){oiW#UG^L%8+%2Pu>L;% zCo734om@x&gnN7J+uBXxm+guYC>bi?+vg~S- znGNEZ$rbo^>Z0t*rtGR@?2C+`c|w92d(g50ftpSBf_Cc!-{uA7?5}#V z29?{a5Q0)ZYEqG0)x3_~G6%uDvi<@T!aQ~K3^u~DRrG?Eup)JC4(fej{(ZyODYF3N zSXftE*mN1F;Uv$EdrOm0a>bGphmyQ*`#={MhZ3Cuyii0R+g3M`c1=J(>(L$h8pgQa(23l0GTa;iBwGp)uk#*Bdb(2hWRb*JuyF4Z6#L_|4 zIe&b3{re5}#qZtUKj4Y_&ree7bEGTim!P-az|6o*YE%r2WX=fcQzSUPA8*K>`$$M{ z_@3BLlSC-$#N|e64T1x@VJ2IQ0fn4YY1neNlCPqjfq`5OrJNIZ7tvP|-US`ATVK;a zIH&N4q^>AE(Y`il0@kJcL$N1}L4N8!g%6EEdFo-&>*m{qKT>zeHk}HI3XKZ43WW;0 z3WEy2NB87l40TF3J@AaHwjZ z_DKf2|!rlIh*H!@ipP;M&=_Q}&#q`^E6XfrvKSE5fLrfthOwc7v;fGA{hfKjKNf8r~ zV@K0|Lz0uij7ka9&c(&R%ZXFg{Y02&hWP)*mC^rCT&Wd{uo5Oe()%BOb>9T9$rRe% z1k2qN>A{5PVeeOJP!QLj{wuTQR)q0=nb;#4I^v}Fl-DFYz*>m3_pI=tgp!Y`=&j^46o=2cR1f-1h1$*`b^)V z1Fo2EfA&y&Psqki3?CcG3iSTR;#tIf?tKEH^7dFKrnMqSFpY#?=m&n1(NB=}V)~|t zKkEV2f$X_QaP&jF^t}nb@l3Vovi0!eH|8m(UR`~f&$x6zQo34}3LtGoEsqV5(x#T< z49Iv^D}Vhq^#YI-^Uv zzz;j)54(UO zqwrb}pSSqgP@NZM*Uh^RO|5aiPED;twOgg0*Xh?*xKCEEBeVmW&zm-D+uf%x)*l~w z{DDLus@GM*HKvCO{<`gRkJtW>hVJv)ce~G(Ze}kNaAsv@1Gz2ifuDzhD&-Kg6=ZPJ z5Pr&JkL^eS7+wZ=#9F48CYK1x^ea6PrBdqjrPS`?=NOeMU%5|8=GB1&Y`PS!87sDo z77I5{yyX!@dffU$v*}U)%Z~I`5Bqdoi>>+_?dK}+rB@B%@j+5JOx=_NYm7Sl!=pp# z+a#|wK2v{Ve?Sgcr2QG|fqyL$_O-+Yqn$4)ZMz)=Az&{ad^W zp!xubVjdNh?8GAUeawHk&shj@RG6C{ z&%C{GglCnM*Q}~=jBu4I(=4+x<-ShaeGGS%xZS)4KfWM=ku_V8L2ba7RMsp+E>)XF z_i#i{I>{@6{FXw2a*RAY^`K5fV~kdtcHFG+&-f3RL;#DLhW@_lT?+XG3YC0v z9TT%a`V{dxCCYL1bXpP?vG3!=(m<9fUR3hlIZmC|S%ZKoZy zlXlT=+CzJ3AMK|DbdV0wVLC!bDV>hdar%%xf)~od8$Kw9@~D7{sD#S+098;G)leNZ zP!qLK8+A|@^-v!T&=9_8gvMxsrf7!dXn~e!h1O_;wrGd;=zxys1V40!Kf0hR0?-ZJ z(E~jZh+gQ8KIn^n2tqLWV*mzX5C&riLNFAe7>3~(fiR3jI3f^&%DdcjhCkHy_g(^Lsi==gc4IB4yAux`8x91Mrkvp*;cV>U?!d*FlyK#5!!96*UdvR~>!+p6Q2XQd>=K(yB2k~GY!XZ4A zLwOhv=MfynBRQNSIFh3{nqznrkLFk&!((|IkLNg^z!P~A$Ma;K!U;T;r`c6qO-E73 zIft90n8V$1-f_WUJ1#nkJ1#lIaaqcUXYtkIAr+*oRCHuGN; zul#>qYwg|2#krw!$+qHDIP0lXrsAwMZ%e!j=gik$^5y<{vWccDE>~c{n>jpZ?)FF4 z)QLab{e7HOr_@n3C1;(k_Nr~U{B3H#nyM|PtJoa6r|P5<)EYf@)to@pMg^$8YN+0c zP#aWgu2z2)q^jyqDPNt@S-ITP>Y+Mdywq|l-|@dtdx=zsw5OG-t+wZ@=Idw|sWi1( zwNukH^(!)R#!RPR6{@1tCbdiJ4pbpI+zu*UwN}0L*;?u>MW`_CJy7jb`_v${TP@f8 zbmgy7%;PGbQ*?HvvYt8B%X+3}YuzPI(N6JBiO!Q$v>LBc)GhT~C8>F@PGmj(6B&IQ zNotvnB0(kUng%*IboO%&dQ;o~g@Za@AM4!2>Z)wi^+-`khL>8QrmHxkph{NhMz!B$ z=g;7vnxk$17N4lYI&Z1EzKJ?xQMxXh_38coTh;I}3h2HGRe=Vn7pmX?d6M5pxbB5m z-Eot(pGX~f4>eeKSB#!R^dIx)EVT?@HA7c@y-`Ws)BL7tf(kQA8&%Y2YKGya#%lRw z&0VC97*(`vl-g(bsGqg{UOLKmpx*<|eGgRjy)=sGd!p~A^NVcS`O)k5;Pq&Ds*`WO z2Zo2?@^AL5EjEs;44>JHcWtlJ@g>P76UrG*)=j&Koi;6O-831zmt?&p^<@JyPJmf^ z-K^aZQa}Pqi?$EN(byo=N`M3pRmDZBggBdW+2qNQT0{{Mtq@d*2YNvQi3CNFiVy;u z?>{rUu}!M-#8bz6&Ybh#&VT;v81eQq_B_sij(uA*v@m;{y}?$sVQm*YcPD!lOUdHZO zWY9O+4-o_3M=aH$>&)#@q|A2fR zN3D48dGGl@KB3sZ-irP)RE*vh)zS(k#x_kA})yL7xUSq#!zh*D7x7cqG*}r6e4(|`Y6n-OoBjQFnqF18Nv7bbS z*yq_dHp`2gjnpC&k?%&PBSX8XJEX>zVKRj2Ro%!S^K7Q)tv}2zrPfd(J zbbRvIM@nPGqelw4?C6KB!-pO`_`t~hnGb#NzIzYcbNBw?p?!PPcip+?j=|J!@d1;+ z|MvIoGIs7rZr`?bpuewIzimrTqPwdz9_xrk!Xb_A)sixqwet&dN7j`IkrO(XiR0Ig z4NKN%n4*^-7Zzee|!zulS3Fc!4&&x;(KQUIR$~}{| zDfHhNZM*{>xif2lzo)V3aj z${UX$w%0SautC1MAoA6DShVWiwCQ!x1TW;!X#!V-xqFOm+%Wwq*4Hi!2Yh}5sG(HUwj>2$j zoZOvL6FHCPYuv-0XkE-AM^4`Wuh!;V3KPv0IpDOcy=bl&vJdaP>`luako7$FCnN0T zlk=Rmp0~*5vru;()}$Fhkc8@9Uf?tc%P)+QONQHSQ_)zgD(6^B6)x*D3x4cXmRs>} zdb&*f-Gq1GA8;zhz?4;YfmB|o&^7Z5+*_JcS6x8R=LDdDV4Bzn z(Y1|tR+F? z4PP^S8WPQT+_ngfb7nn7ih96zRth6v&PY|Zw!nE040ly_n zQ|}Vr>toyN6@C2vVP$_+daH7!j641#BjcIC_5E2pY=nYU$OzM_D~(ciP;O5vMzRSi zrl$-3ijaC*MzZ#banRv#LF7hQ8u7U?V!}Q5uBl}s`4J*o&mBQ zNIdVkL8Ps}R?rNpwOii}G`hg`44=NYPtXm2rOI1SwNnMkE5r0D#vHkYYO~yORZY;> z$l4s02$?&f7Cg^u`Z353c-Vgwza{tbklL*wE$!pH7OkOH) z*sVKE;WF*YP_|_u-4gEakED(D+oPM%#TFXn;|b$2ECk)z^QzQNKwudSO)a9?B}YD# zc7&Ws3scy0)N5O=1&Q^u|St zvAV)W6hQ4~n;KKFcnhYn*=ERx>Ha~3>L81SfQk4Rt3@3FEbhbVKJ}h}Iu49Zm`e86bIjO)+t={OgjEhRrWI$O5 zCBf=#7&Ircb%Jo7fAJGHZ*XGTej&jiU(KKxr3XDYSwjHX0x46K*0}w+Q}bMhLX~av z(-(C?9AYvg4ngCNgzOS?qq19!68td15Bu;Ag2%)tiU&#yr$Xtu0>u;U%Vvh=PywK1 z3#E8-Z4LeB3i_5QJ5r}G(4IQe4o3|b1KklCF2F}*eYQe+P?CqK6BcJ38E*>&Nl|t} zLT4ZW6a~dYJrqo{2(gM#OMp>Y>W*~Mbf`T~0yxLlGU(nZA4J>tY@eEyfz5<7xHQ)Y}_Fy8$N+bpJ3C%H&mts05XIDr)aYq^%>O&qXEN z(;sNBoakbNn$n13K0?EV z+uDhOgg_ip8r5gHJ%jLvKy<{cQh}V6?BBa{b6lPgtF04!`-UxE z05%SFXA+z~%50^jcPCgNZ7SzPIu1)h#=+K1)?&EGRm)ws-N98J6yQ zvJC%|=OZ<#YLBdB!tEOzRms5NK&@YCSt@2%w8TTP>Zp(X&in6|{-!DXcbDeze|`ZD zLLZOJKBRuHxr}`1)Wi{KQ?AZsr5!e z#qQAS16sy-M3C=il3Yfn?qbSEDIpMvbb! zHui&S=A7Q#YG$=&>XOlDso-oG`Tq6mNhC|c*Ti`J!WiTx&%me%?{u)NwmM6t>Z@_8 zBC&gGgHr3zPPH_*4DMUABC&q^+I4GTzLx>yG|We%rRsbJdg3(-U!*8Tqt1h5VH)PR zOdf-FVVWo>DUiN7H8n*dv>D%`&r=c@39lrGj(Sl|z)Z^LLK}(2e`8E0iQw)}^e?aoIDvZ8Z5O$_QPU`Wa!9n21 zq1lJ@G}8}w`OvfK{4T`NTp@?-Iydbu=rqO=ha*riLd*A6f10Mjq^7b-ITPXvc`~w) z*J((6n}~jBlpEr2mg&4k*W-+t;nTXR!wY4!^WwwQu zqLEe0*JD@j1rnP>VD$~KI*LxKRx3fj&8By|d7Yo91%52&*XWs?)!xcPbx}GxuJd?o zdPd6zM{KqlPgJjWk9y?$imY1q=x(w|&Jb4!g!Q_lKroULXG7o?mw{KrGw<9KI>FD6 zY5 zAss(Ds4Ppn6Fb^JSvfTHS?9--&iJ~m1Cw3vnS61%^i^W_S0`Ru)w;V|Zr``+(`y;! z>{zPng`M9zc;h6&(sHG$x%W)+9y|5_BUqHG*v<6iRwWg>s56`jMcMqUBz|2tbmd0L{@#eM0bB zVm679LS9^$H16R8g*UcBpEb5c(Z5V!sh1hZC^34Db@e!iH(2!=E3m39fyxhT-f~PN1G1}^c?VMmQSV| zT3+As{Gr}jy)o6fzkTq^i`Bt9FZPTcJ6_nN+cdm!&%s^0VGD%TLmvwR07!*WSm6MYhP0v$ zJE778xuA&EH>AUu(qwDQGk2)Acbv!Ih_Q(gtbWT?FjwS!trnBt zM4Qg!EdFu-ML!K+KgUEEnknQWcFse4#`&vrp79*byIdiQaLj7Op%I-fN{!;DN!32# z=xCoX!;)B zgQ~6!*i7HB%>1tYl@;H;x^wTHAN?|YXIJlg<1Zb{ayFSdZgd5wN^Lyfclp54YdcA< z9iDxtF#umZD2|S*jEw~tmgV$%ffml>^m>oz!8Fc!G@M9_1} z6+3ZiahjNajKNfGMH{7RTBfl++SI&E8Km_UQ)`sEcl$eccXeePg_#fMch0%{yT9M} zJnlJbU$1=0ne>X3HGW+H_H#$kg7??FeyW+olBffu;EGS{Uu+sXGFoRWDm`|3!t5VT z*|t~gW7`7vIF-H!F|brsC7ID2old3zvR-ELZapKE>ZF*MSbelEyQf>MjfZpSFi3&^ zqFDxY5~-^#(TSC3V$TREVpLz~N-yvB>RE6PQhP=A1P>AU7WIs2v~cP_@-v#GOnG*^ z#bkUjp4Ca|1Lw!{mBWW8XO)pMJQpm(H4?xPOu-R!!Ui?k0wA$*yx3|jX2tC;t66Ks zjaQq;^4eWmqd9|Rw3~TStIbxZOKmk8b$nA{VM*2|-GkA*9QWv%;NiAvR<~7?>fc)2 z?zL+3@Qi>y+r8${Ng+GKtbS?D;n5p22KR%4LYrEI29*R4h2aU#9;wDqmPngcEWfbX zcV?NxGnM|W>FkfUoLu1b?@yk*U`lOMVM+7U*L=~bB`;OXSUIV5P0iGW&LnzPpZU(} zl?Obt-d!P-9nKOOo;-$NZT-@-&xRO_A)V=E1aQ~ZVWrw}kT`1U@p24WU#60zjAqCT&9W1n~AxZwPAX5gmk6fTxG=ggzlX?w@+_%&swJ0!GYq(c8Z;*EpSR&$o~w{5dVQz9@fPO9Af== zC(ZNcii>BQH4ZNY`1!RYu(HkmFQ{cRwNQ=!ICc4`%YWR5J~|;)Vtfhu80Cu9XtfNF z%A7_IlQ<_7vh(m0jXSj2jwN~|8bWM@6cS=)rQ{Fwic86LlQW9Fo^+G$xpay$u^y|% zbIEDZ>mVoHIMQvTQ2HsGjg|(qFb?0-wM8O-k-m^_N^HMGq(kh^`&!xsN7xN&mh~29!O@#Hy+);wOtpBMG3Xln7PF zC=U8o$fpJd`=qu)E__P10t31A<<%rIn%I@o%B93B=#$JK$AlxIY*&7vFya1>!e_5i zK=(-RVE$d0F%@aO&Lrc`-D{-o+|t9ma4+21rg<=jW} zhCBWXNm>wphx>L+66M958@;zDT$^gTm3R}YQ5d861tJ$KcH%MD-Jam@Fx^sHBmPpl zq%!Cd7C8}w-^c8Fp@px7)lwy_;q7QwBLBqtYtTY>AS)n6iVC*NZ^BBc8JP&H`9^3_ z%e&CR?!t231*K9p)baCR(#!)B|2ZV^EnwhPFjsxg5Rh+R4v2_nFd{x_I^?P`J`IdN z5|N9vUd<;@&1nauAp~(D`AB@Iy+zWgIqSnYKVUlO`2hAehcsw@sKrxQD9|hoY86Ik zHW;B{7@_4*5L!o~Y95KuKZF{u&~Q7xzaOS?59F|)KqFrcjqD0EP%qT5+u-DjP!~JY zQVU2t7o0Q`obuaH%U2^#eEwyq;Pat@)j%y<4s+>2Nau^OO$$aj7gE^gU`9Kh{Til= z_Y4JD3L@eejO2Y7<5R)-BN4eE6EGjEnv*@CAm`9dLlV&bI@pf6)rp(~--w(s&svc; zi&yiv(>0)H6x&yabO<5&pj_4D$;=kWn=l8VSs2uAF#PXOLmfB{yC7ZYO3y*Qs_hUE z+D9VBAQE*vLhuHRe=^4Af$`iOPRsSS7X@!G?QG zHtmPe!luWdNB6GM$-v+Nr1sq2{5HJtSK`I5L8yb=FaEXV=M&v<>ezNFSsJ9pD zBfP&T@r|o3~Jo#_&sY zv5Sd+N&h1lrFm9Y1XpT+nS(F>RDpRI&2XpWt>vjlJU9Ev4?#oN`X^!UL4(uGwBL_wf4k5#m_2eiEb zRkG%LbfRAjwGUfPQ?>+T*ej+#BW+oD6@V91-`uZ)bk8R)j z!Hf^b&qY2v-R5X<_v(4hWi4lUH)pgd>oYZHu;(yrY6(7j<_)v*v_1 zI!)Hu_UZeiKjiy+Rpsp$)a@y%k)}GjEs@V&xd+v30 z`UNvJ+v5LSgUwNFclvn=jnm8hf2Qrzn@D<$aw(tb;(x4RVm)$>(_irzg0on&64GcH z7tie&+UR=-V<_kB|xSMp7y4pU8Uo*|M8tfg`WEacg zSe!Y3PDjLJ2Rjf{C&itJe`XjN?9)ZmGsJVdxx?3sn3+;ziMLJ`Q8Ry;%&sg<>@js!gc;2Ev9S~ z`6TI5TMwRuIk4E)gGZnie&*`sX$E~PPQ0%RynX8D?Pn~d_@hJYL9uT4-ln}I-%rAK zQx7)9wh2ZdfI^it#BB>gvi|wN}9@)GOr7GewOTjVe4CTbbLO(}+>>2Q|{6%){$>TJN*qlz?VEh zSvT&SwV%Kn{C{0+*Pyf7RN_rxuV3dKuu475WMzBP)ype|o@lb~sGsjj|FzGj3pC)5 z?0oDzTcfes`M9~P#ouJ+QiLY4^Eg1-&S@a?uybk9$^Pvsvh(m)X%Kp2n0FWZI6+O` z#rmGtLz5F5c$X&W|5}~w4cBbiZ}Ki@Y}x8z>m?58`-!FeZ|89@`uIF8@G4ZCSj!r0 zCO?Qi&L;giHV>^lin073%)!20yso+_(NCLUfHzvVTKrbsoJl+SY66r)Zx{mo;Ri4s zCP6;@&)UJi&q0S=0O<47spKo6G1A|uc`yR{L3heh*c|K;ElKSnolp9;m_zU>lu+In z>2as=(^1=ByaT_C-v%$m`hH9NF0k7Fte863EFFCj`vBa+_fxUNqf{^KGOO=NPm1dw zjNT((l*i+JFz)Mf(xWh2gIo%HvqjA}v9lx8yPvbT-`KfWA+v2fV*8eA;LgA+9 zJGB%#QT9nZw$Gw9vTK+^ZKej3wr`nMe=M=6N*%2}HjijVFcob(Nmp527%LscCBPwJ&ElXc&`%6FH~80jb@p_+?jQ>JH=_zZvLxUh3@Zb@oRK; zuz=EF>#P~1dbla3-u`bvw^(itYp8cn zr5PK1#Q&DIxV<)QwC&ipT+mE;vzCQD__l{;b*#s(Ri(dP(|ywLdd8a5uuC;BSjc;G zq3$r_RUGE)cfoMg!0604ysE4`_I%uz@>Omgi-Hj?TKlze{FiVvk)-#4I@`vef zBX7#XXd-NfQBmIPW&V3r6jL8|r+#-m9lnWuGn%uTf9Ghv85)6Me@K{<^7oMrj!G(GZZn9-z6W`kLA8_N1)||BpyT+v48ag_xR3$p`62Cw9VUrp- zmklP+BJ{&(&d&;SwpMVy8%eKpB(*ZQBC9K!qS&-)wZ-Z&?_Je(!D-qm{R+g)=x9tv?X*Or;*Q_)ltDI8D<|IGuUEs${rE$rG-koi5NB za?v==T5Czn)i&N%8_Z5s^Y&RCZ3}pNeB=M^ZJiTAtlaW#>VJ)Qzrul-O#WN8gHLMbYyUsZ{z2HP_5YPWY3H$+ zQog;Kx5yauxDfFe_O9cl2y9in$F_sY&V#Q^>n+ix!v{u)l|T{hRzUf6DT@ z;`o>6xzF>yP!Y7q;zCp+;>K8lK@Aj8t0E1Wpbd)#qG%8U1}rG4omg8#Vz#NKIL1-3 zsL3cXwWD?D)S8Zojg|<}YF%*2xHYkjZEcNP=jrEpUH*vnhco9c=iYnHJ^Mmu3iI*o%mwIYsfyhAsCi6T=DhN(cT{A(q<-cM<6kHb+blvaMW!`G@1I>r9xbvD zsN9Yqo?XyXQ>cFKV%|wtv_H15@_rBRs*^*^+ec1V2__DjbL7+r=7))iL7=-g*=c4y zS7Y1`WjI~Hd^Hi{$N1PuU@wzAy_X*Er`qgXhr?dEP(ZD-qk6i7c;JXT5S$#kff;-JOc3cZoOSiLDLbshl~eIQv)BxGAa+?UnG} zm&!2XxZ^nm_^SkagQ9sO)y`6x{ROxhC<9*QPkSiU?~SZY)bSU=|5hqIr^F=yb!WCa(@~%Oo?x6Zi1@u z&+gp2-Lzw0$Dv6F9)+Kc@QF+&4ZB%}EHQe*OxH~FAp6K=dLrvd{KmJp-_Z;HjJ0i? zsRy<)@1}Tag)+0*JLJ60_#op&sg{@K@= zuM=NyzRu7^^nIPJR=U3zqPeHLV$S+{Yf+k+s6t<7^bKXy_(QRS8TNDVw~_S+d9NQj zct?UEOO&Sv@P=*^5vfn1^y)hxYn!T0S%72Jkoy5uS5GPL)Cs%rx=?yBKDB`3a7f)iB z5v(UOo(n9eN5rqFHD_Aa>2vxKCoeF*rj>yO*y0FmdOddg4frVZ`HC}!I9aNCXDDl* zX#hNCK$B=bRlp2X!hLyqg<^PANFLtzWpOUzhsfj00*_JmWHEn-hps#FhGc@yiMuP$ zOr^PN@Iz#wuCJ!v=+3>{`JegtO_VtdY^0xk03Re)m`?bj-nX{sX>%HRm%~FWG%w-5 z1MoxSahBqD*IEA-dN|6N80M=K??$T3$@LDoqZ!Y`Mo!^}H+UzT^S6O`OZ2BAZ$^;oW!j|RpIF~bZSZ|^{UPuur6Q+7Ht?h( z-9hR`{#I~-z1*44M?+StVnGqo}=;sY5H+pX4mW&Jr{# zuur3$Xl!F2cK#Ro)0-M@eT^Ml!q3xHW7Uy+gii#NW(`-m1rOGCYP=!5Jq2E}km(pT zcV8uAJ2lonsU3>-u=N~%pGOQirJ-&VcTZQOiPbP$%A$UEsRbL|Nm@e9(})i?QqwN7 z=cv)nR=AtU+95RtCQ!%sqi@}+TIU&!bKb)CW-B)M2(@D^e>G7(&F`_p6pf_knD30n zXMd?m^7K^m8vj_TZ0k0;_`G);yUMn%C_C_>3Y|(7ny;aM1RbS7{|#~OxSk~b4dP55 z^=6cNiMY7||8FAR=4%@DUZy(>U+qwZ`=T;^>@=e5+29+B#%~kx&qV$^kyw!!tkwkg zWzM|E-xq;D120o<=>PwPpLg;9Gc_u>n*YaV-G{jIJ@htDi_8<$5@Y%E@9A;slNw^_ zU^AO}kw#l<6=$s@CSOsCwMN&6}4H-K6vLG!+Mz7&_^3K-^Sho^T#{b39=VQulWPysnr&0q}aeQ z`iM5<`3QL?!gDe5>{W~1s&a5SF{j)PC#Ki4zCkV4bRWF^=rA;PyVT;Z6Ni2J7XJ+X z(Lx>`Z{0#a8?*+$h;x6WzWi5&iSngwk3 z%JG35yFj__Gs>X{$|26w!0(h0-Uxj-F)~2|u*oIVg3W;o^qeb*@5TJ(9`F$OZs3lO z$SIwnyi#F5ukmIJJw+yO6aycUCrj?UiGFhYb>yz#{wPxieHG^?dpF4OKW481ITjIf zmY7;(sP)S2^Td}oiC?9h>w^Cd@E+t@55L2i@8j-H?g&Gkz2J4q4Fq^g?C)WJH1hcP zA*izVV>o{f`h(CcMV>O`*@-;$$a4gF$V29H&Qj~%?_d3%bp*AR-@npt`n@YM zH2VFk-@DS!#@_2)@uj*@-+F@eyFILVOCv-5>jdKb0sYYLUESYmxN{Dh9zo3OLmzrh zAD9*1C9_HM@S7_Br_u@YZktckU>(u><}5zgq-1kb|K+`GV5_XoIR5W_zitcdtcV1% zj!;@y2PNFDg-wb`iLR`X0gk~C1xAHk3smVHAkx|vSX(Mk9R4Ua)3n7%!*s8yc{Ma05gum{${4tN0GfNk(Jtb$7X>bC>Eh+T^{ zGEks|nAbHbra@hO8K}~efm6*>0!gZfsc-&8n{3S!{rtm^-)*tb_s6Yq#`rMf&wM}N z-yi?nQJ3We*6LnjYoaw<*?f};Ru;bQetiEks)5~52^(Mx?1W{o1YdXesA%Y2Z&vcQ(-f8hLL>m2M|hI)7$< zSLPYHh_QvVGsqK;@Xp!l8yrY%?4!O;FJjRlJz^K|oj%bI@aN_Dm2&iQ-aEsdPd#`= z;{$6{5O@Nc8mI-TG|mg-Up>C>A?90}%CqIxn<}u*X};Bq^YSHq>y+mHU4!hYoS}8< zNB$c2?Xh2CJ(F0Ev4{URwwSdVdyFm2>DFdTH!Y6ZL0)fc;{IeuZJ93DJ;VQFhx?^Q z@{V!No#>9}QS>Prmvyn@D@Gx^Qmpav#K&zT{q~u%n6oI^>+_ z^If9$uuAXq-U|qIWIrm{lF}dJg&f%MUhak3Pb6+v}=>m!JlogK_W&SOqV(_7{`?Z}TCS+X)$N59&ojJa_$+>c~$v zyQ7h15D(|kOI2s}hn^bBJ*eXz)RFJjxsSm}ZN>+*$g`~Dw4ULf=eoJvmy;S4Jczx& zVvlPeg}8Twbxh`5OyxZ@ng0&&?W4!35euAr>^iN|z}u{)tHRv-u-C*ML?Dy-g^KX( zYVL0-Iny%MpXbb=uaoZbDs?Y$YlvyvWh!a2CkTi2)2KcCzp=%v)z}lY<(yJ{Tby}X zX~xLC>x@mr`CMZQ&v*C6aW=5STck;Djb=Few3@v2W9~|tldM|%A+5Ep@k~VBo$-2s z_kZk+Wo=0sWae>J1`yxtqIMiriWAc7*kmvM>=Q4JZoclOF zv4MEGLP5S$Ir|o&M*N1;MH{T@m_Amu#`^a=n4*o*@B6TJMfsb0aIW&G9oJK1p;d9%bw@c}|48vsj7_;zgZ?qg1k?q+|gsbg1CdsX5adN|)?ZDUn!FGC;2noHF{9lMR1 zppvuI&v_du?%)er6t$v?J>8BCva?QJ+L8dyu0SOxsRUMqB?!@Kp!sckd>rTEpG1Bj*g` za|)FaT%e4&CCae6Yck|{#hJ#b3J2CFMfinbqy zEc7h&tpD`?ZR3;w#rWZ9-}Ub}tbR9`H>v}vK!3~*)8?%9<{b8PW7OOI7Nn>*``g>y zreyamC3}x5Ihd^EPJdLgy-#5`qHypYh2zMDz4Z#mKC5u&5$N-fM-;y0F5=M%75ek8 zn=|L@InM1Z?~0ur^OWB<^V_#$yTrU0w@y87*`giYLex&T@%*g{Cx#U6Hc??~*w<$> zp@_5E%hYe^^d-zf?>IiJ!?>AW)!ZD_3w^zH+}9sLuR)Le_c}SFh%@T6M~eSIN=&?Q zpZ6L1OX$bY|gb{i z!JVgBP~6Ha^7cF^Cf2slpatzH^6?(z9cPs9>-d~8hG=_U%%G^8i`{3m3+UtAK|6hn z!M)1!SG9|FoS{|U**4DqlGBcEFaI58PP=(U9U%rr_a37vKo;3W#P1^H0gX1q zRcJ?|tNk5iaDYDPJWW@F{ad7SLVLYgtsGKzT%xjl8GB57o#)lV_y^+~+P6EurD^eF z6~1jKeyCp4jL$N@*IAbM`ss22cv5AXuTPf*`~P1Tcb4LNOE+Q9>jJ zF(h7r$fHCJ$whP=DA*QoK!Kz>QHswdSOKxhlu0BAjaqyS4z0G#v}9%gbnc8L}Q(&%Ys6j+hTht#Jk)mxCS1 zk%+kt-?t8#BU_-!>_xhlG`T)U`vkz2 zzAPYqUcf#}q|&YPnC{A#xNn*uAISY;!M6kHoRY1{gqx(Yv+@g(GSkDVVx38Z`y$`v ze-WpwZJ%1n7STPDH{!=d@>T~r*Y#>Hyt}`os9FrV`6QMd?WmjZ zHs0k<*>sWJp)Tsn4gaT%pO4|uVXd9S%pCbiK7 z_czA>68jpUj&X;MamLtHySFUYw$C}tScl{Kwd;6~)LRk$DcC}dnk=`dBeZ)>n$%*c z@ma4iK9jZG%ALP+wL<++DWe)#}lHu){?)?iu{XwGDzN?orOJEUVzkeGk#l?BR53 zaZ&^I_m{U-N|Xzp0WGWIUR9FZeW4k!PW#m`y`15URGM^00CRirJK97JfEVSlQjz~7 zRo^ISV*2spJ(ZNRS7;t7{)H+B@gE4xdEDca3}|_dhBQ=bn>RQ zjt(Wd0k>Oa+*Qj$aTt~)7kXxQ%9o~wzO@)tUbYW{hyTa2V!@@mnJxA+o(ZXAbcb+g zMQc$muj1b&+j@6=(X|;Ow=J}ToWcef*b&)Y0;g%YqA72z?@;5C4SMNlxg(Qjr=20k zb}3$B70afhwAl%sn=hP8?zfKA;td{&FXs$(liZ_<*7rf(A&^9kJ{biaNt@4s%0(hb#oRqaAxm0%m$i8)u~o0f6IBVl7c0^FnV)>;x~ zb#fPJX00hJ&rHJ?BYd~0i8vqo{A+6wc#Cb ze~W~=3D>{QiNrwK(c$W56Pr3C*4hQo>T@A{{?(i&YKZW9mC)|wC=~D~U_LEJ-YD22 zd{Q=8o0OU+MPCxrS8!g^<-Sj|E|t>@cF>`lyq8jy5+3yWw;_*HKbYVxy~`i%`t30e zqcOR|aE@YsX}TcZ>)==V;W$7p4ajhwHhIAOf??W#@m@dAT{jKrt3rg@VmzKc$UH2{ zm6%DW!-YHb=$w|w6;AR|J$g6ip&nvd9A-*8U~S^EWeIyo6Zz7`g59-PPLPNC<;Fld|fGkk*!f<|=I3<4U2`6vo-+qQqI6P?cX z*SPl_qprbqRJ9<#|0UN_+b_MY9ach;AtGk9Q}$A4mkfHx3x<779@#PS>~jycfL7dcv5zX+Z~fTk@UxU!}K7yEE!xQ>s#z1 zHz>M?4t;{r#`d4@GlIL~)(Uk~e1p^$_EdZazOYKf zAC&uMlT&J)6^7T^9Nt<#Sjd{pR4}uW&k*-?>3?kgj%yvlPI-I0+{_1tuJl5Ggm8pu z4ABmgejEGi#sOWrhwrei8Mp;Zu1{*+?mrb2cG%zowskYFXpAWClv;4Hs#t}WJS=ap zO-F2VoPu6{y3O8%(%bcC8>f=gwp?CMLeHpq>aZKOQIX_g>PY)GcKL$cv^M`&Ra?D90zpUXZT>8fQKVvI|zi&kC! zL&0;i;|?cStINfyH^7fSwkZ?FT=fwOClMW7b5>DGRivLx1e?pTv73B=2UiaO)w!-D zw|4(zF!vA1U?+NMv0L7F+1Uq#Tih%n_~&5nMU!qnJ)j4Zq={Huvm3;l^(uevG_6&K zuz$2bg?f#@)iTmX%-{)4fWlA~w9%~jmDIsZ`r24L({x$V&DufFP=m*cqV+fprdi6k zcA+4cRj1K&s`4Xe`?hxk{}q(CbGA0#&7ivy>10g`kIiX4@4?1J9~rrRPSRH&{u31Q&AQV&tVKt43f)-T4!Uj^y1|C{DY-w~LNV7jmz_}UOt$3n9h!d2b_iR@> zyOY@slUonFdyr)}J?Dvx{C829+8Ez=G4Ctes6chHF{{qVMssu#u$9-pX0n_|5MTFj zb}JfMt89-Ce=btoWektLdlPaT!%}9U3vLHR!X!b z5Bzc<7xCA=(7uRPgt?)J)zoi8$;iI71~AJ_L$Vj(krY<_D|u)#yoy85pAkM8n6mEz z*d+%GQ+*rTE3CeYAQ$AF#FlYH*2-5QHh`toQdsp8k1wcE?)A)A;_48AJQ!9pFw9r^^q zo6Reeo$Kwd-?(PrJ2%YBAK9Ot+>g<&UVZ{S`n~9x#F7h&`e(Uu5YUS(J3mV-zjqLg;vy~Y=$54G`KfJ({6g%O z!y8${;|ru$r8SQ6+9R-m-%&h{#%y+4Et{zCXAUktGJJ_foDjcJGRth%A+Sr(OyTU* za2l}NM-?tJz(|g2YuyFYSf%-0m7!yJW&uN-&&Jis{J`&kRk2beuUOiXoekq=>nmg@ zQ@^4K7W2(OI>=SsK=w0OcRNWDi0WP{;x<0Waq$Ril~_yELn^du?qxBT?`)VikY8$T z(0w)$yjrRb+}QkpRkCAsPDE_Gqz;y;d}AI3*PK&XhBJmMX&ipJTZRX|QArux29Fcg z@=>*P^0D1?O5?}twupOt891f}?gv0VOM3k})2sBI-8OVGPPg$irQJD~MZiw2>{7gr z(lOX(H!P=&oEgpb2_Fzo~_EembO|5Agyc-2EBhQ?!D=5&(<4hVP<%+kY-!PiVPH_#eX@U!~f}BbLhf<8uf` zn{JfX4`dT$UTo9b;2c9Own2Otfw0}=7;}h%x}ulLFN=~jL$YrTaAFR8swTfkbWVmZ zgkBT)PQ5y|ZmAEt^Cz!~f)9q-A=p<<_BEcuE(heh_|R|iCkGim<|J3D zQSaG_3zO{BJ9=ZEqdYGAAD>Pc?I^9#nNMFk=HyCxHB!ScUg?=y!qHaj-sYlDLVZEi zymg9Z@N`to$jv(Ppa-j}orF?X84t6aF*!B1qR+Gj+}i1sHJ)BC99N_Kvg_6Azn!|I z>80Q&sSO@~P>o|vAZ-@TPV~<#OK1fP^%nkPUnpAItQ=UR3y+eQhjPlS3Zf zQ)k+}=5NQp&ZoGC)r~gl$I`+0+xxfXsLruvg;G(RX@o{O&(dUm5uJ-X%NCKgb%%Ca zF)j0td{MVxzK^d16GzW~t-a(x1m%rDgt!qXU8Q{Fav47y1aRC~Zq9LxR! zJSI8c-<=~SU{b}c#m#@)jlJV? z8IKw5P(--2CiWhh%S1PW@vX&l9LKS!lHT<&etDs7k?%fn4HEiI_V!BDTyyOVTJtW)x z@DE~et#|a>Uze!hU}3XAZ_eeCW|xI=^ng7H9g+G`d)vWUvFra13c?xJRD%+6 zcDTd^MOO!CATPP3zf_^JUJ^Z%9?}*Kst~~QHhe1=!atk%Ox|PX9}4`!VTRW#EmKN9 zJCUxie;8=hGQQ;DiO#CKw8&Sx_2Hw;Pw?RWVB(1 z--a==MY(ZIdZ_2R-XzrA5Iqb4z371D`k~Oo-}_p|oe`amc1UbfGs@y;pZ)>Vdg}eU*($CJM%6~1dDU@2LK**-1)Y6hEU)CT zfL+am48uNl?LWo6bHOv)mbz+Q^|0mk(XCFRdCjlzBx#uWr@iABl-LYmCWc4j`D5I> zB61HiEqw#GXuwGobGOjllSxMfc%}9nphur~ zX6Gyw1E1Ia+c$UT_`uPEnwLx?Nw#f7Wg$2sJ7^NOd>2x9H!G;GzJ0EA zs2aQd82mD)TyNvZhCH#GQMjuT_ASAC(x|SdyX~?65%Y+Zr{Tjr3o~2d&49nxsdN{o zL(BAa-v%4=`b4jepe_9L=?Z27piX*5U7(Dn8?&@x3Xy;08%c$ui+W+A;@zLk4vd?c zTxTSx&Po(Dm}M63tms)qn6jlJ31_e*9el}nv+0le%UZ*SPmZDr-*`cXm``>7g`UF% zZ8j&&SR%N!eMrY_VEg&^yS07%VQE5Tjn9a|b9RN|HHWQd!cT3pbm zb&gWlIci+{xnSDL?-^v=-`{GCIgIkj_l}|3sg&}7Z+Gs^%rX6zh&H^!1I@h=*O(&; zd!U$D)|DthdF2Q?tVExD3Dmn5)@_-FuS;@V+sPKDd{?8d6mMs9f4|zsxavyv1moqP ze{Uj**|m>0NOYbOVZNGxr;wO8Hl3%S9 zpX>m+n9oOT#XDP)n)D1ya=XRf6w|R)j?`aNr^|9{Gy3fvjyfZ4*o_b!Lc3qftU5xU`u{c(>BAB7- zb527^Mw$!Ud5bd8LgCe(G|ysuU&}@RTpZ0UWz2r!iIbgHBW*k9VpiXp>5`rr(>p$K zrd7X=)VAXdo6qj+&eXPVP!0j9p~+)9oH`U|T{8wUnIqDc7yzo}I5Yh0v8J{Kbu3N( z6)$<`%6(P))LTIr+4LK@)`g#4WE{l_?Xhm9%b~~6a*EwsN8Zyej1>w{7;JubsrlE+ zLO+$uz;Ne^!ZM<~{7P-fz`pID)@8pL;vh_V-?d^^gO# zgFL2w0kEd_-<|hnzZJ8`HNJ)`^X0)F>lg3pWz}{mquw{Dr=`=p&ZN=R8|3MkP|al+ z=HT(&8_H@PeB60b_pvU-z2VxsU&J@_?m7FculH~o<@mDyMczc*p`{T!8dp{1+FJ0n z+V$KFXcNV*f5pimJSMq{KA%x37IEr#SH|}%_lxx2t4h>3@|F+KE7*#3_+AGaMV2Q_ zZnHfCcm}TUEgD<_w~d1$?AxEnqMD^lLt%d(Oi7(`_FTWOC0eKU-DNZrFwNg zVDe`p4vVf(@h--RpdPHN@SP~(>raZr_ikfM;j82kk4{y5__V(|lRjeA>w`6{HB8^d zI%YSGmsOyy*`=8}t2h2SW+VrJh<5zfo`_0OP%+#4g6~TaLmkP(*;UD1!Q<1_Uc90cBaD{xH3>VMv@)Ni11?T@NamvjUxiM6`LdtB( z?6#w^QSMI$?;N%G_}B{5r?HP8Ooursrz=b^>$_{W!*@=*Y|7ua&(N_!ZSNJbvtb-chIJEC_OK*AF$||j9kWW zka9XQk8*Eq4=JsTXxMeEG&Wj@Y;A{ghS}M-b$G=mR#&|^FNdx-;E`*kxk$0FxK3Mk zob$S~thTVHh-Ul{kp&!$6;=UvJf&GPD)EnCPdDy?=anNMZhoG<%(Iu1+Z=>idY2`K zW49Idi`w6`FpT1kbUj0BA@_-_$h=dXapo~%kgL7UmAC~{o&Unr`&hlfPBa%0?OsHI zdJmCiPQnfc*^+HYwTW;f8^qVl)UVOi0b{NQ^5=^vHmqDRhN z^;;b9NH<7H7;QBR+zfr-4!k->>6lW8cEbx{{;=YWNDya??vCR4FiT~7JF(6}zro=b zBze^45I5*MdfdE~_Ee-Mlye`;RE^LwIJ|Aji;AUC$B{#_y;m!)4b8>WQ2$eWf`ekE zS1`vl`E3AIQlD%3nC{ffcy=-*W=gxd-aWNDxppqeQ)M&2A}t)~ieuEwj8R@KsAe{M ze#PD#vvum|H|oJ9?d3nh1n2hRxz$?{8JD*MKB;bNckl33e~T3Dp-u0$p~ZVFVZ2Fl zLF&A*bMnsqxVpfN<262J-yIwFM9(=gHqrM-4>94nFx*aU*dZ&H@&vKSU8(KmYKVEo zV1+x!YF0W+jm%!Q78Ga2Wad%&4Y#Tv;1R?%qgOE%+yzG75U6f{MX{zS9(^%EbLuOZ zT|Cp-$pq+_r+KE`kumRGXK*GSbxC=&iXs13yEp=q%Dh2K#bf{=Hs1>$e%1wc0f10ML)xBoNZo(zz;wvsn`Ksca)@raw;k}ToB zIS~KvsL{Gd%^+Q3Y4=5vH_Sgr~XFN09acWJ3H_B2M{mScpa@ko&!t2}JkQvuxZ!d3Wp&?m5BY z%bLz6hYV` z-p5%U5bG`gEg|w77TfbJ(6m7z!fPXsi^XPE4fprENmnfT|HRr5s^R zSSG54!o-Uo&DLA064WJL5?>)0IX&iu4flQgdm-wDyF$`fnICPgW?+KH==Y+)%FkXa zp>tIJ;-S^$;@iA*Y4!3^@r7rn)N-NQsru}3f&E2WQQK0yvZ|?-sIy;_#p{=&s=3^@ zaayjad~El|hB#P}gVrqd{8?dXiCyV!rEHgdVORFudVcA%<1)>oeQjf1WBIVuV*D!y z*9(-MY|NG}cY=7$Ut<_wwl0uD)QSh*p{hO5ig~{~@!spr5+$A(W!s--_r@)${1JQ~W-(CA*eMp`Me;`7uLp&P#zXR!zXu+83)w^b*0QG+Xb1J9edp4@ z3ZjSTt$XLc=M>0~=B;{%*^dw5t7k89XVG5@@(RgE*QR!d*l!1YgSbWN-nyp~$PMZS zu|vs0;9j{$tG~(&z2rJ?iTqWuHyx-3O^2>c=AN^s5opAzwY~f|(-?qL0J0859n?L5 zO&{JK;|x?57~LPEVZs^yw$OEv_<=YNoC7S_KeCU20Y)UR0$C-y>_S9^yn;(%Iif;V z*`?q=he(jDC}sL^25kX^0wC81GW#RxquQ%GwBelW)1TGH z&p|*(YvW+zVB#s{gj2G3Iyl=qyDM9`ic_VlyFz#)Vt|Au|50mVdfU14`V+UzD~1_6 zaQHr%)9r6f?T(QmLUOZ<{-wU5%`KA!n9KZee++)e6}@u1exoK z3>Tm^q&LPJ>z$W?fq2{_GtwZO(Un|&7{d!K60>Kj3+O24Qz8R+n?q^c>bj|R{fMqn zW;o^t)uul_0oJDV8JH^NL2aF9{&N+R`O1>-3Qkky2jz({|E!ge_Wu1u4^OGBL+AP= z3FaH;$ERovc=e^d8zA!L#LA%v>k8cQ;bxvUE;g*rES1PFyasO19_tF!@x4oE3x=lI z(R;qgP;ObO3dmqHdSsOV2dCHym&jLhE3;1z-xKQls#K-gFz3+b|W%s{VS z!`!hp2lc`0tNP?2Or6i;o2@(SmMK6KEIy1qV-gW;l=RX*!L?5j+uu%%NMZ~*zDHQ2jsTg$ORp;Sfdkh=^dPI*anoVd z8fo(5VOXL&FGs9>{}j7AwGkzU?mMA9T44CJ8$5-Dq%9hDc<8d4pQZgh2Uvm2qrU=o zrP5Tm{dc8%wy2$NYGU#An$?2)rKderqav|_zk;oNnNbx5pO2B9Sn1b>Kiau?f&Epf z`i%A?@%xXor_q8k?>#J5Ywu98UrTO}G_(cU4m#E}Y>pbsR~rPJeL5PCU7+#(Ugr~M zhrkgHL`!;eqBE#Y%<061Y{_K(_HppMjdNIA*0sEEJ2&jM;A?n*j{MHfB07T=>5ch@ zFvWK;T=>kSJWuEo5-vpOeI_jY$?2uGr_2||2cI1J>IK$gSP{zip%dBlmWHTPHZFgF z_~*<9Qb_|Z!YcR+OZRP?26s%PLx}0jl5qE5*W%TxXWNn0=jVFJ zkFe6R4bj663i@>o4xOZ%tg49OBe#N=nr%}Xx3GfiD+ViEj!|)8vg=C1#upRr#ZWI4 z8wl}3&p5rjPCR`!zOGX5bL4E^*9cj9wBrI#`+Igzb9k|bx7hpl)BDP6j@;FavKK1V zW=tg>kecTC76H?d7=Yy#U@gW;G<_)n_$m0b3m|7%e>AYOB7kP}^#mxJmQoudXlvC{ zTCQEw@y3wjjX#`h2{v9|%_=@wpJ_4~IG;<#m&&wk(v7nAL$c25i_C`0Fw~5r=^FP_ zYh{Lh4sP}1SnOmQNSLU&Xn2S=ic|CMf+D|(sUMILM1Kzfes*GNXb!myBu@$?L&s|B z3-*S=Z3~51a8gP&a*-#QFVE-UcJ-^Qj4jK{%(xjuqTXvLL-&>;B^#Em5l)Dv-$fWIkU)iy@eI(S z^amrst%|nMu%sc*ADhOs=%l&;5|<~nj&JxA@)|H_?0FM}RyjW(;N!+~c1xmX43xkz zXB=&2ZSwXo^6dS=%I5v97syjiHEt3YPrkWPuFH%*AV7seztqZ;@SDUfp%X%DG^iOrLUON@>n# zn8cF(#;;Li9$}9$NXO5jtN*c`vfEvsSQ;lTQVJMs9UF$ zrg9dJIjrEbo(P1(bsAM0bmY@_>dsFYD6nUM$XoI7%kw_VKb zoM}5R(;*9qm0#%`5^CZ9aSoJS%Eu+n>zpC0z@A-%?3@LsNF8DUf37T`k(=g+SO42M zB?kxwAom&a_CI>(?EgRBIU@%nBisM=&Y9R4nb`ijcOInz>HbFp?Pu0UMI)S~0YR{< z;wYI$LbHi=Vf05Kxlnkbz1g{2<(b0>u=8LBXyQAR5R z;o(GiEr2#s?NjtzRfX*(cu~&4;Ssy`||NkfpEi$^-C9}bsIZ}EUf>!j&&66XO+sEHGcHK|jd2L92 z)7^sUt{Q!FvT(3~_(NMlZ}@N)fRc;NR6C36^{{wudgXbo9+*87G7=_Go3KfZx|d9Cv!_W0 zY$bW8OcO1yVkO$gDVC>wWAB@!wP508FczIOdSzr~rbkRBOfyDyrddaOd+T!Px$IP< zS5UZCtU>nQkMS5-pC9kwEEXFy3}=Za{j_{G>lH4UE!RRDek=J#r87Eww;R{++r4M^ zqdDC_OPzAN^t~6ar$2grkEwRxFfh0*?h+V1(D+!0oHUki;qiq9;-f<`nA~)>-e18H zkcmCHJSG=S=gg)vQdzuaH(l?N&-)RaaSC&mPzh z?6w;;sMhNpHlHyA*`2Pp>z#If^YGwsX!u;-M`!hiEMx@YpNO0bKhSC214cEoOr z+~zwkd7q=7d_Mqu+$5m9k0xZk08X(D^gLF+Fxwa(-Cj%z`B>N`! z+)mtv?D%|OGtxHFo|^#EM5L^myr)2>bn_${I<$~zlq5yd<0@q6Q#R00P18>^n58vN zLjySFxTsm^JYqcR!lq+<@j0dtDOh{T&l+OB zS6uKeVhn$XHRDrf)vB!P!cYInycXwni{M@U9@u3~j0+N(LMtEZlS>N{RrhCI3)ki# zju6faVfhLyRS!2w6Ccuefm|^}&N;-?CBO!y=$EwacY_dz3O#@!vWF^l05o%eJG}>p zZ;Og-3$Dfp9hLaU*7d81?Ay5qzheaKV?>z$yM{L=0y9F0{9nY9Fb~-tAsG`n(j9M! zKGO>k^ddv@G8eGlD1TiplQ|}2f`qW9@*eGfVN(0JRO6x6<8V~fC9F*;D+**L@x04< z8Ak%^NkOUZqU}vN59Ywfi((rhndScfhxSEXkWD(|BAo}?5bd;pzb^Jsj>NIxM~z43 zRI+gl05v(1vV8)^C2*?{9(RuWdyarxLhPJ$kpSLez`aZ?$0ase&c~V;dL&AIMxuKN zdvk!tN|f$gRH~#b^iS%}E_QOxp^IaGj}rpx_}@mbGpy)Rn)i6@*S*?S>E#<@aa+hH z!$1^vo3Pv&x~CMGr`YT{Xm^pCvTW@+ET}wacd?tYqzp%|Ai}RGNj{>c0kL&Rb{#rv zS$P>HjtK2OMOJWQ8Lf73rG264fX-6B02Go7XX6rbP8Ke9c<6vM3sQpw8drX)Tv_{F ziT*w@iUC4&NbMc+4-o*jJVino=s$HZX5Qy&hz@7;4^F@KmlS**5dR^?iV>tspW%hb zebNLbO*EN4zRU_*zOKr2Q0WK&{0sto8(&jP^5F95O7jH9bIqHI&k&b0x?9DGwz4f~ z>u;*mfhZ5o+Tqr(LE3%K&nZ3ZWn7rE>Aj-$o-VDaEl_N)F=*G`G82pl6H1d9`;Cmz zH39}_*xI+Kelge!4t!bAR+3n2mtYXB567BJCCp6GpAY*WY6gu+>P!dz? ztyGR>lBcN8a1K~~!f0cM>4S%bw=G}qx**Pp8dX2(K6SIwZRPFW7zDp4HH?1qOdpb1 zFKVm0fp~Cl(ehAvKvV_fz*};vW6anwHs>GB6NQi^rNaF;=coeU9{u83L8Qx_iAdxr zGcVH@L%Pg!$VaPo-@JQBw z{2i_*!(pexxumc;M|81<$_L+{(X`xa(W*4!6DgOXam9`jhhx!Y9U0}<3h*Un9PE2Z zq_4Lu0g!y&jt2{$dHf*8;728#RLQJpvd3(>Q>NTo2ij4+aKjm|l_)b&`|M@&e&QLF znj^X2qs|MX%#ND3Z}(YWSEN(kP01?`*jXM!rYi&jfrGI<@-IZ81giZ?w&5kXU=o(O zJfu8{I(fiyyebA|gp3tM7Z{AhmP>{}X0g!MUR}YPX=y(aMq5T<^r~#OY(}_7ZKi8{-?hcE5ma zEo~tk0}_G?$+Dhpe>HsdUSz5>H^RZEDQ*}AzEEF?cs@XtZCm3&_+=9fr(rb@W zA9TJx!R84tOE7stO+tgjEUOSu#zqeJZj10|3RKUky&&XvgE}7ZIo5xMUL7&Ehk4&r zzT&XvIn*D(dcu21U*|0x0Sk0t-ETrazI0nKiFzVW%;99hGQL01VQ z4Uw;^dafjHm^s335CvgD(CHxFurE$mrqg*VT}`8BxevLQ7kO0{lge#9q>iOgYaB}p ztD1&v8>I~Ei_7ZztdXL?atc9+^+Y1bOim=3W(sL^wF_sHQD};E)g_oPQwkDI(z2!^ z#}i3a;_KD3b{9RWZsy&Xm3*N^Ln%G6?b^ zstl!*?1NdU4YonVDv8Qns=A5MAUn5i+@oXn=QN8G2~7~GUrt2jDQZJ8g{IQfh+i*m z^1dB6+$6c<5EaZ8wAy4fQZ;dnSWs78By?Abf;ARnxMQ&Nz;d8w zZ1G^i4m;SaDA=9~+9E9x#cQkNSZyRs@m+^>k2;>sc;N7>@0)3&+xsm^9R*gl)v+eM z0Pu%nG#M227PqtP+oU7TsK;TMm?!gkPL|#l3RnW1Hx$2;C}=$6&pc-)l{_4>fhuzaqg^7COeGM> zYLojD(gq|{f_}~{1vWOo5Q6k@fD5|)^%Rk@p9Stz?3d?mgt3#AM{Z1&jS{l%b^^!E81wuEB?iBxthXih&&lI<_ zWo5`@)^)^ejHwExRv6{YI~>H4*-qz}rN$vMnBF5EKc0#K&Of3*HSz->vyZ)o^-E~y z7RGH)zjd8tZ*@LIjv0rQO)qKW#n)~g2^#?%!DLWc3X27Qah4M_sS>fTl#LbU7ieiU z{Yc)YivQd!_@XPp4|y^8Ph61A>9@#7bx3#z645~^Pcju38>v+7pZ_p;q58)q_n-I+ zFfR2~bYu1TsTdTb-si88su9pdA_=Qji3ci2s3q?TfZG_2(J|qSX~kkTFv8s1nKo4c zrm@=3eB}MWJ$}#*g=Z}Cr1tk47&GYlyT%dxnV41hJ0+&BI%}{*gW;Yh2El8);Wn|4 ziVrdZOdt6QWOE3J0uz475HfnD;gX8WZM!Hb+?)y zlwPT-EQl-&Q-*?A7;GJWCkBm*hwgfLeNUkg^LH3L;-1^Yw-O&TsL^a+1PIxprrFom zC%ZpNS_GSJXSg#Hv*O+-7Ucl^zr0yqeb-heB zGXM*bk&v7SO%fnm49_{?W?)pJPjEl)-|?beQ7J=m6RkmR(IVw)$bymle(atj;c&U> zlUX3XbJf%13G2t}MdtOS;JTd5s}{ERVt)c{?xon#`Y{Mjjv`9e9PSL1_Jq7NRsFlL z54yK80?@+PSJ^X@rYK9DN-r!N)pFcZK>S5|#$vKmn1Tr_#JDcMq0+wGQs``T9Oogy zV}kbf=O$m%vhkA^h=&TyeTPq<((!M?Hob}CZW*WmM{5)G_nus+H8;7<{50lp^%!hg zOf>mOmo5qp^gwTy8ylj0btdp>3SyRWiRZ<2v5V8isn+TAGlfz#Sc8rGsugyaFRJ-+ z$LmeIds(Z`dV$PkCzs*AG5-%Y9ijK{-_PHkPnDP1-P=hWJ_qYzKq6mzZLJ=kua=rO z#G*8CZ>B;c%k|h`1#j8B-jOw9Howq1T#~xJZ=_stlwTzseI$2gs;ZH|@hMbC3JJ#I z5KwiIxdyR(L>`dqI~DWWV9Ghdmi}#(T#P?=sDS<5$B~VoWXdPcHwbouI=YGKjhy?i z`r{Y1GV0%o>ATo4Ai(C{+7Iye%-!6>yE8ce0UR_ryUvTzu*Ml70gOpqbh;EvrQzGP7h$N9)tH&ehMaT;Vvt zvP#<*zbMT@C+~=746|kakddQFWzWFaD&YpVA`fJWMlQ=`E%hhg7YljBn~v}CHTUrl z+}AvKp_bL*K!sU`xu>K^$j|1WiZWPaFMga1-&2{7kwxkFvRB|0^?gpXPl2^|2v$$Z^dAsJ{?IK$G0U za$J@Y1f^Pl0tM?q*nm6RuyODQVM-}cCJl*N_5T;>4kk4)grPA={0|-O_L{x@^zFTU#f1Qz!zutg2k%yW8vP(3qnI634=9ijG5qWH3Xoq25IeC$@~o|fK0 z^&_{{Y;kwF+${8z^J%vm50JNi{F*&%?LFAwgwFa*aqSzoN-pmuZ;Aj94m!|{p5Ot{ za1}o*3LggQWF}bvB#Yo$V8t9vW#><*4J zlzq$>!yWXXn8qkOybpiDtpDk8gm!2i8sN|;g%U-m&`YnK8YSVthduh*=eHYqIfkbA zeXHg7d?CRb(9z=N`a$Ezu8pnTZ=M>s77C&u{W7^C3=l!M>3{!c$An8nEKxyMsc5tj z?vvh$k={|I6VpAhav< z6(VE)^(R4;OuZX{0Ix@y) zq)u_EwIc{)rb0QI8Pj8ooTR<-R(H`HF}bG%Fd5_U={SC}Fb&?{PKe6`MaohO4yqyQ zC)dwqD8XP6_w_rd?aYZe%^E<`Wv5GhH=El5Pi`aT3;Q$BoXXCQ3(#VX-9wrKSUV}` z$DXT4K_B!{OJP|OSNwOlWvw`z5ZOQ1DZj^Ib z!uQ@OXk&JrjuVRoFgvJdn}K=@wjl80p7pa|bJJrJH&cJj(t5guZnM<>JsP$_L7&-1 zrA{4s5*vBa%{pViPfVx@Gn&SAcfNhnQ;f^KDuNn64*w`Xzka%;n!#PHplH4@2Z{j&u8pg(9Uyo zJO!fD;k`jH`61KS2Z8HW_d(zOThv>xtuOZ{?95_$U)gsb1@?p}_Ebbe=qi_2cCdwQ z^wGxkvSi}Kc=THUweKL_{btULJD-s_Slv);d^}=uzv<iwt zw^Uwt;M*RQY8}uuASp|4yi?zR#5iSrXi(sW3{(k^#CiibPIJeZdoKtSRPr8?e}j<8 zjBX}|jey)Q2z@N(dV5ITTE^`A(1%j6U-2 zEb*Swi>T>USu?jLOS`l0A7(htCGyiD%~8fSp5Qhpe-ScZqYe?p?kFTFUxg!tqWa7ZZUgAG0m4F zd)Ow`%zAR=7NDP+;tCE?2OMWVzHDl$Z@z0{b;OxEy`{!fE^3@-4H{u5N$)R??@PRR zc6jUqY{{Gaw_wTo{gC-%+`j>6r#)sIC<_T?#;p8LD6kK$12`@-adqGh?B94meQOza zj6C5ygDrAY;qTo&C5kPS8VW-Lld(bZT1y3v1w48&%)1heX|;X-;`+DkB0AoglVpq) zset8G1|mv4Aw3ZWRQrR*5U$xP5ISJYDD*?xBHVDaCHXIEchE`D*3)bAX!HNe0@7Y{ zF;E$L^_|JlW7E;vZS+~)SA4J*xAs#3nH`2&xyzlg)pVvaTCIt3AHD9OmU+X(8<*Pa z-v+7VXFNqqG_-)qESNTIWI1R-O)az_eZh|`PqLg*>Me6{v?jBlhe$7c3BM_ zHW--)GVEOdfsg|~B$wt_{@!ExG$}%JK98E>O&_J*Tj*H-)9@j3_&VtNxnAbEiG4*3 zji-!cyB>W{gSBp#u#Y;=Z7Tf`xd+aMvN#;8DKJC%2t;xK$^gb)(FN*b`sfC|$kh*O zJaLX^DHMeMk4QZ*rX&OpJ1Q*)Y2<^BUh6Y{aP%*3sdm2;YxQ z&tip;+bw!&MD+n_ycCj>vZ!h~L3l-pVl>6v+2rycAgz=>w@Q_a8YmGT8rBLT$O5o3 z0>&Q^Qx?Htk)$#j$^nMKC_xYgnZc1*`FEr9cjk`ZBxru^%(r|3^JIY3?QtVOs4(C! za|AfyurCG~rlW|G2hzvjaWQo`a(+(dBnd1ysy2u*PMbO(Pz^WU7t);_++X`MQHf3C z2Mz1rIax<)UwXd3qbHnar+L`|D6~wE;txZYGzfEYiRnmIl-Gm>=Ro4=pb=b9ae-G1J@cD8zXwR&}q=6gd^ zK~X>?Yix9$Z+|MNjafVem_6JLJH0bp z`F(@hEqr!Y#}jULPN$>8jZRe5p1m}Ak+LZ6lB#Y<*(gG*R#MyiR}6IiQTwn^D@APJ zvhIPrUCq=Uy@PzG{VsFaN`W|VF+9Q^zJM{SSFlF&g1WZ(aNQ)7&iXSY)Q*#ije6qR zHQheAX1g7SJM7+muk(rZ)9pNY&wUCzYqkQLdB&lORsC;Wvo4}Ib1G2{Co2-$CTC=-|KOh@}5QCrZhs_HU%FaQfppiC>Hzmf9A4j;cTC6FPis&<9<+rraZWt8;slu!*%2${4u$gUSUQ=TSmP5_6z zCD9ZM86cw+Q5ZOH+D+8+V9l}%Fn9e2WA_j&NED?BxL!Tmwr$(CZQHhO+qP}nwr#ts zXJRILCVJ6}v&hKhxtVb@Z>T_M z)z_0xQ7gExv1)Td)(egDF5$0zxiW zP_GcSXy3?lkZDGs;if39(Ekz?vsL0YfjVuC%`Iw$QB)C~Ax1-M8S+>Eb3_*jZ(;i@ zJBQmv=VV02!TJ_n#(x$n+wIb$gUK+}*GF~6REC;&hIaCT-QGsVY21~)=G0cp?9gpg zkl+A!bo|1*8F=gcM*lf5D9~~gnaiwoz6*k250(&&nGie@W#Dt2OKO;oY~*i8zm{N~ zx;puOC?~EJOuzdIvl%{%kp|5q_ zn#HdUs=82~M6HjJ4wtr;D;j9H@`un97oZccdtNR+2Pf?2K5Mq=2V ziREYkE-Q-&B9!I}AwQGsy>KkMWt*GV`*0&idG+eZ)?*Wq_q^!5O>amUA9MwlW`;cuB%K~G;1 zo$sA$M2zBuaj^3yJvq4!a!1?^i}RTMAcBOKij`EbwI)hp7Q*mIrJ%4w4m`Xbl3}Ua zc83Jp2)NuZsH8u>bzhuK{B1!G_CKuSwS0w0u5oZM*kDSr*&Hmfj}QX<`5HjYEVw}3 znTRWHd_R}4MXpfpKCUG#3cpQnnyUnF!J4sb&p6L%2xG4P?vE3(_Zk0Z&QX zZbr9!#f*>ihOvz-_Yqf;3yE_46bw$~;ljee8KG~KB6GIPa(YS3Ap`4Z!J1}xGm2&e z9K<14uVB@5l4x}{jwV8+;h@+vsT7z=JjjAXhZt+SKoG)%#8XSOpR_81)BxM7lEfSs z;bLyo%7xTls6rUG^=UBKl7Y}OKBv9W&ogWjhNOTDzPi_cb3oMAL|+RiKaKS~Y;FA@ zaF2W=m?zMXmtO8XBQyo)DYlLDA}|C zQV3y!Xmx51G1^&ZhMXO}m_zgANya3PINCK&>Xt@A)U-xj8q=T)-GA0d@c;~f|6nCE zfg#HuEEpg`<$1#8JevE!0EJ!JAw*{2Vu z)umSq`s2+-AzN-Y2zTj)MHN#TG1BFS+Gzv93t-c!%ry0wCu=CHL_ZT&u5;LA*LP4m z3=5XiM?CXpH;hBR00@Jak zRZ#M+6Dv z1RkdZLl|&lhwlmk3$SoNcxW>Q|I2s=EQXI}f6h#3Gl52rGkf4C=2hTtEoPL)HWG?vO2wAEKa}lesGB49bXOJbHe7Br zyY2Yx zTS~m~r|d0n_-;=t{W~$1&C?9o!48hKpVoLCQ&dmr#v8QkLCmZRFvJ<$Hvm0a8U}o$ zmpX;({Z8U22HGwaTK6>beWN^@@SOG~eJD|1&S48WHUhX9uthKgv*>_AX85xnnNpw= zoPsOMga=KE17gv_f>ty;JISdv%)8B77_C2JHF}PQ;?#tTjQJ6gKS#5f3t7V9ffEz6 ztSFNkHPT}3alSiTxO5q^Qg?(+Kyy6nvgUoAaX_zOFwa%zixNzK&MXJ@7_k9snN4!Vb-16XpJOLv>~l=#k0)a0Rv zyX(9XZj8)&x8(^QHZO9nNEADE#x>Oy6?vv%=?|Q4LD#IkI=uH{udG5OM`4NXc_XYl z9QrKLc1Ew(UlMld8YW zhI>~|P74au=zyV}jL^1BacJEfe{2IJqjs8@q+@vqWK+Rq_eCL;QuqgPmouAzV0sVB zm4%j~5ZP8CikTlK9{DQkCHv$|pVWjJn>4BwFITa!F;)nZi+60=!2?JcxzO-tZ-s}{d*l{ZGLT%w8)%g>}3(0n7^AZ-cj9~ z&Y1t0pC}%1ohtglTPR_pWZo#GZyL!j zrf-H7Trd@-o?Uy?&ZwTtmP&0`a#lPo+qLIEI*5(RbNgq4Y(K+;y7U$0PA*BO-M`(z zL6J*+$YNS^s5>5wriy6X zR}D;j6l1cesx+zKa=Lzh;NB;W(!`_xQV!mtHqm5uzE1hR;#gs}4I@mG{=JwUJ1W<|4WX^vBluP#dQ;SJy>-KsTps#L~o%0Z?`yjF}#I*49VDstM{{5 z2}6AP;(!woPZpM>FpJ3zS!ADnCul$ltQ(BbFbR|zTc*9sgiD;WI*T>(VHJJ;jyG|s zvEb&#E|=q3<7teJLPBSI^)e)E>{Z|IT9<(`tj;O>j#}%><4dHQ&g!bKP7@Jh_2(N* zm!X2^CwN+)MBL@;oY~h|Rdb2I?j;F~S_{n4{rk6#S|7}jGv-)dh$eRxnN4pm2)kZ4 zo06cSQnl_#RVfZL7Z>RpehU^8ZpspuQeX=p+!wHSbdP8QqH>;#AHkdJ6cY)<^{^aW z$SmXj(I2L~ezjT2WrV_WmM;0y+XIq5?HhW1j^zU&6c*O;k6LBwm`wo=OcLzE(wkHd0Lfh z!6x7&iY<*ii(QX_251}&gm8;ups<6Tbs%FOTe`d_rK_y>FqA?hygxQCTW^-Q zlETKP047FMD+8*EN~}L2^^5cVv1T(<>t3NX(jGx@xZ^qjivP103C2Z$C||W-pSmrQ z3x^E?01m@{l?R?#f0X+IM3)lr@7dJ{i@gXo@U=}1aR*qh46eb&lFsGn6 z+&Y3P1lUYeBHffBa1kDHFJCE>7^7%uY9PfKy_la~q-e!qSYik!+S}=hXZ@hczP7FJ zzIEETdwbfS9FbM|a_!dZiyx#zhC45$f<+}@EJ(<3z}f=bBjyBGP-o9i)Bgu+@!tB7 z(7M~Yd#mqyGtgy*^$lDPJqODjMVGN|Z4UG_?jAk`2^3{;Oo$w&u_0Z5ATPoWS{w3F zA5pZ*YON0mM6Q9HDh{U-q2vWhYLLP==n_nIaoNc+?WR@u$j1D?8VPE8p!Pwk1M54V`+7If#ZE!^Pq-L$RzqzPv;(R+Sebc zA8@^)Aa(1)AfWzet-q&8b|p`O9ia`}afUzy^5R8YlMYKl?udlp2*{LSdw4>6>?!*c zZWnv*6KPWQ%Xw8qc3tuMZZdS?=mhw6xF4;;1OD3;{6DT-fGzY@$Bft{Tly6k>an^7 zlwxvtz($3_b*Pu~xp3tnil`SNs_?NA#zz(1NSLu5dg(nx-yNHJcS#0DOv>6~QPl6X z0!BctJTCk~&0Td;jK#l<+H8kH!*03o=Vx zB#+ta-H`je_s~mLHVYqnvCi`O#P+Xh;x35#0xfpROM&~DvuH%@2&BsKA|T2$LlCMw z?fcb-zc-{R=!J26=G|We)7)(c$wqk|iI%tIlWoJC z#Gq4N>l|$CGTeF9%(~pbtQvmDoRO*8^Fqa}bZtiZF)IspcT{%azpt((VA)1Z;j7qy z)Ec8(PMt-Z8GhDJS#+!@0)0#}yXq>GW*+xfF^;vab?oevKCg4;*xWXVR%?;l4wb=&``?SA|JsxS>>AxG;D`m9Axm zPLj^?cda`66@!!4%C;io^FmU3HW^zZI93mK=XJO{Gai=n_vL;*#zX2Ao3n@hLG3lo zSHxo7x-Sx$V_%o)olik^fmUl<{1i;qi9{la+=;Fm9H*gsYrAU)7*`EI@%#j~h|IgWRYfn$D63 zY*J$>;Dw+EF&iYhJ>~|36T(_ycLC0VuX+wLAHG1Tf-@SoLT|1tNQ4mRl$>UufBx%7 zNR;15ehzOI*C=-izOYk#5wmv@j1mq_FL+^l|oioa@oQ; z(u40>AsE^24Jo0^1Avu3nQmg100*{G1ehbWqGO3Hf5Zdkjg|Y4M4>>9B8SA;Z&N;B z9~|@AbiMT0ImKM%oSF={QvR|gixtPVN1=(nrN~8WuX*H_Zev|p9#zx6XQQKO@0!!y zEbceT?3G&M53BC1!Kq8LY)0^PXbbj>Y&cgG1=^$K-oH**CJVg?Qf>CznV?Gz2)M-; zM-4L^>~nYV*r3Ew+Sww9NU<7<05od&?eKAj#d7qAnZPSm^zkk04D|}^&FIa3_jg2h z&}uq10*1uqA2h%pjhS(R{FnvJ5*OZY2SIwaO0TVaJVlwG{}9_6PoH|d{wDh{TW{aUPqfOin-8d~k3Jf0pqn8pEERWKrj^ zVYvd7nz^`kNAIOL00RGu7bEejduI$Rmu7w>IqHbW>q~c|hVZUtAwZAiC{4I0w^5Xu zH~?kIAOvWx&I}kX&Sp$j0kOEzKUC~E-16Tl)85{jc%t6|bLG7!2#`y}3{=UjP(~H( zRgii;`wi^Uw~(iI@ax?W$4Ml2BHw6 z?B#Xy%{f!rI&{!-M~-J&Nx_Z}^qFK09xv(I!x%O+xop8z_>ePK+gviLo4z=Qv=3fw zoSrHZlhkk~D?6NDyVmS2msHm(%}!5GWpQ*ixs1Fp-a3!xGe|({hKEBhC0-}Kw1n`MRUO~kJ&!H~-(yAnrz^rv0sN97iA@W^3ylFWz zYG=QH_!5T_M@mX9o!p4NRlSU}LVfa#Q`+?9ZPzw5`4g;}i4VK$ZRhEh*auH&05Oc+(#%u3r>laWpj&&^}J81&m~BQJb|xBj}BbhY?Mf0y%y;O1!XLR5kHyD zZxYNzV7_;YdVG4i#B&1=)A)|e=JCs%$Y(_tMh9A_nXZ$e7?OV&dG^Fv+M3yELmNeH zP=Mi+hB{&ywDNj#d*v{tL$S5Cyei=8RO5VOePm4q>!m@WR`*I(gmU`_;K|+^o+>Si z=Sa`>g=TV?aG`U&92Ww(x{J$8S6ncZar*+(>AKBUi#9@mOrpJX;>)?&5JSAJpyaVR zE2l`m7*&RKu+-`Zqr_}txEmAiQ=9&%^)>3t=Zk8K&yK8Vbof9SlI-O6LmU_N?1bzw zGeA8^lqeX5iekD>O5)|+CZn`a{7xn7#<=Xo>hrjQH^om|Yv#g-%;$3~C(h=|HXJv| z*Yer2{L|y4t=004?7v@ny2~^_UYD{P0idr_nh9G&r!v@xPpx)0_8!FZvk^xSi$Vuu z6A)=_h$`B2Sg;_2@NX<}!B_FfJk}tD>im-7uEX?qn&6_mNn_zg!a{ve8uG$Mq7JA* zzz(y=x*OY%j|JDz^$e^k@&Tg2Q?s_c>oY5bG_K*Mzwib{JE2?bqZ8i(TncX>huLYt zPZrQ*H@b6|KMBya(GjNMsV$_NBs933Wri>QWt)Zno|N6AQ%{$RMB8Xi4pN-g;5`T!9+tQtfSEwDj|6X07|6`)cO zRM2EF#W|)J@Jlg0My>#pAqk;@On4@J!L#-LtQSTb`>Lo(poJaNzG<{lj`_aO$U`CV z7-b|@J&I0(Zz?iMRKW>ld3|?%KC-2Q0ls`n8W=44(xSs|FKbyD(OaIwR4BMaNR1{` zO3Q)FTyYwjT>b9;QsIrO_2gX&i!2;;mqZAugI?BK&KI0T*hJ76=OrS|zd7&RPfo9I zm*0#qT)X#l@$9I&vY=emAll}syw;6veBWQis2ud#nL?`1DiMET$5}iU)A%We$vTRX z=Qyf|ESFanz`LU{>RM<|M*MlmY1}~TeBkeF_dffW^swfjn*Q{Hru>yw;|+AjFU&c9 zYf7dm|7My_+t(iSVIHyH_{$Y^uO?Vn-|)u+t8{*1? z^UjlNB(l#H=CB(rSGm{;r-66m4e8`lV1~QT?RD@+@awtyiOk4r_!2+#9dXYPJsPbx2#4~?f;OBUAXtO^ z%Py_OvUo>eO*gv%Q?GOVBuaXYw|a3~?3}HgvhGw-+g`J^bIuL4<;<7>qyq~LD#_f? zyy6Ez{LQj$UIat1y41A^LH-XWFO$i_phdSUmPbu$Xg;M6;33)`uFX|m+z&MLa4T-P zbQ9>~a$RQAdy`0}_;dv+wWwFL|JSMCd7S#ly`OVp-!5d+)LYa8y$-mN{%tLzkHPNV zD@7Ki(`y16;+roB)B zD9I@3D2NgCX`{jRBFoYneM$!-WuGs$8blWr>Y`L0u-iIacczyvn~D0Y%)h8|OghxE z>mpL%8Q2?lOQK;#;=}gjpzB#*i=&k>*)C^{cdm}Od^PLyVcN0Vq})qnE$=kg9Qtsbu zHjvNqet79HKfLfaxnNzB+eCnRt{~>KPZ{YVhfy4B*igy{1)hIE)bxn(Nd3P&5G<|y z%1BQx_g>LAP?_e|gfhQ0{4nxA@JB%Al*T-yJB4T&=zK7r&S~tQbm?79sf^7?bZ(2X z9vA6{*=zB#{w0-2cy1#WH}Bm+p7%$z z?cG72Eg>H~PjUoe@zFoOzrwIa*%KbI9QwM`pIsL=zXJLupRM5z9_+Wy)%y;ZF7giR zlyKmsNZ;P;c&U>t@qM|^^fHKc|2mKDb8MDLr$vC8uvH$H(D4t6G=a1X!E^#)d#g=*Ql%sGC5Z}nSf6o8gToIXD@P5zFU z-ut<(*Fu(sZ|urXwxfKHd@9fi0$$GUtvFAKI2y`ohp|9 z;J#Gyw1huK_1=2A+|bAbh-XDgL%1>}!nxt2Pp$w>9I)Xcr^P10k<#2)k-7wm-l6ZJ zuhKPZ10eck6l?~~N4hxGhn@r)INS9ID1vn~!<;m>%l-GRc~m;Rxh=IEK{rP~R} z=Z1_=E2r^i{#9bjaOQD7IQ=84?)ci}pQIo}f2= zdrk`|z3^*eWKfK&XKJ)BP;>28sPvw=1V!jltCmp8q6FWJw5P}jj!K`27heCMAQ^n zUxo%brcd9XVTOr=(h?l%GLcY6O%!b4DcHZ9$@2W{p7qkA#zxmg3>O(5{sHZv$Hw*c zj@=;)CMm!dXXvkI=8D%M377jZ6%=GA6xY9s2|k&hH%AD11g8`OggKOk7eGn^6>%Si z1sPhjY=#AH7svdUIj{-)S_pqHGd_$!&L~MpetQ8%1Ip+c`;VuZXcdSoCI$$?97`QE zzc+deuQVh8EPw}XSD@b(&=Tkozl>3+27MoB-U*7^sGm?0-?JIu(rT4HQ`sn*fc+fP z&}r?j@KReOyq_gEEMNSyJcPhc=W|A9A4x&!Pb~aOfyh%>ut#@ZF#qC+5+An*NdwP{ z#w2_l%NaZn_lwLoBv@Q2*df~dBgEy|GTpHJ&r6BoJ96F8&12b7-??6#Z=-95>iFaO ze(jxsCVO}RIT_FoVSycQL;)8PL^hE;Xl(2(x#Q_++1Zw(7-GahQ z1=Pfyh=eIf0i)JjTnYOFS7+EkIY>qFhQvEre1WEqPZCXv5O`4et{PZHU z6J>Yu%RB+}{dwXO(l?8DdQRyh)AVM`2AJ)(1@V|kOY;U+OWAZ-m5F8LvVOEiG*yGX z(Qs6)MimK9q#1tMhSs*mby~c{3Z8Jmg*leS@Bfhn|ZckvCyalkJu%+G9Bn z!*%9!d=W!IQL_CoT}c^4x{3*Mf)<*6S6iG8nl4=wbs40QhrWwH(IMgezi9wAXpxon z4A{BRiAQH+W*$ynOLQz1F$w4M>+%Utv2Tk%_PzR3wIAb6zALf`3t>qqBgBJgMG{6D z8OdS{^a*05BZwjOK^b_9;p;&9(K{Bj=HecrUFNrKuYk4oQuST>cgX)?}m)|I@BK3f+!m* zhWs{H9k7S0AMF5b0=shlhI|tLWSLAwY>BHAk1~A4nmRDE8!npDT-TiX{^=2O;dF&# zOLeyUWS&Cz$^FtnY+bEBB;2c*Y;hdy(;fe4$7dha4jgH-4e)1g%-P)kA^#Q1|Ng;K zVjq5OFMVq)J3{>H6Vi*?gOXboVFdWDVUVqBa# zy+u?b;Nx(`^y}{$rYm+dp~}az^{FuPL_{>cokYWG@B4Jpv{u@#>$dt(OX{HU231Pr zFa`Y82*pZq?(N1uVXvq$1NzmTpwPuWILr$#R9nGJzgK0Od$t6Y?U5tHEnVueSPqwsQKX3TAvrY|)kkHBV~|3p z5@JXtMQmt7k;YJxq@RW`(gz1ZGO@^>hKEL}oD|vSk;QhSFat}l>X)~5Q?6)#eke;e z!a=G+p*_~TZLXYgO|!<;LLR%O4YAl====BZ)*Z<~ZP?7SH^Mr@r%a{X>UNfYEff~J zeA(@14jy)j`qP(ZMb><6IeV(#6vD*(hj7Ej_1Q0DVJWFZBb7o_oBMmBQj&WDLwbz% zxecja^zP*}EW7IJYAjwERIxCH8f_~{>V zf&HjtdmheM5GeK~?n{-29@38-Gk%b4tm?)4FJ@47%BpdiqwSS7XKaRvA(?65E-q3) zfgB|#6dCjMjLfP4fm#v(gyMep5*Q{24M1Vw=M6a@ADuTrN@v*7MBG6^=GYGl+F`wa z@IiU};{gBk%cn-{-k#4oQQuy8)dMmV{#2O*Xir|*yE@-gT|T*fxvJH@`UZ?M3Zr$* zlCJVTnXd6Z2W7P}LOCuhZ}ax!m6mR6?x`D41cRT{YTwv9oSd;ft*d;fSUO)5aqw>o zk#vfZS36RaaFAM9H*ZYG9)u(?6az^yAwhTBv_GeBhyxB7a&$mV9Sf^^YkFs%FyL(~ z1Dps8r#`1UPg;`RtIZpOJQ}EBy*h!2KlCbLsA7Q<)f0xa8RS{ni-Sjjl#7h$De_$< z7~uU)Z}T5#HUv$~wHyHny$OPbn4rO2r zu>DVH941z#|4QL#CGy)8^1%teW)-B46AXmZR0s*AU~$HGr4eDwV*L@^3}gaX8iCyP z`FJc8!XU~FJ)_X^c0SGAc3E{zoa8;2yBa)-(cT@gL$9d^zk!p{&ZUN2b(wy8XZmG5 z^_Y54>gv@lvDXgc&`N#cr(VI~XR|M&M1r?Uy|wy@PxwwjX~cUP+aFK+uYVv=gW4 z7h$5{%uzm&gh-!bfdHG)NH@Jp`JdwDuLKfl_)`p?Q8pWTCY2P_H9#b!$#)%r=! z5~vJfgfKyxAx@J#BfmrPfZz(w`74{B*(ZHQcnAN2`lLEjlcv3{JFoj+D?N|^^!O^` z-`BQ+m*naZa~eCDgWO5p82^9XFouc1O3HzdHG~Plikbm2Eo|&xKeMu9;lRv_{e z5+W6q10q{!+M!?Qb0cQ8?$ofg>TXs;Us6bi+xrfs_v-5X#*u$W*P#@w`y%TH@aK~J z^5wr>AngB>1;WV4{{JkHgw2RRI=GPAMk3aAc*8P#(bvHbI%!aCJhG8!Q zt-DesPWpfuv_?MfQ$!RKisl{Q1+J`2$kKBzBAghZot9uVPM1*|LwTJh9#sRK=a!WO zxHTvC1wBmHc@0nnu)XXuHX+0Eu&NprXVc~m+3o!Ym1QY#%C45aWu%P#7!O!X%|C*) z&ED0X0c1le#V?=ROtoGowFizZK=x|3LM7--(JeM(%O@ffnxFB4F8740Mo+!pXv8ko znYSC|R3`_|8?qFVL5Y3!c2ikkq*I#v)u&E~YqB}TB*z&{$TI2jXz9e6Ay+bPqh4@4 zbf^QskK%7bUMM__xVZ;rN-KWDX9T~mogq_V{c0Kj# z96$#5K7er!$!Q&fLGg;feWvXD6E$CI_TO}dg^uoj(iwVsR#v9}t6I^sG0?OA*G@&L zfT}5}{-H$ux|>I$k403`P)8fFK+l2Cg!Mfysj8DXb(k z6k~83VG^QmmA78SjUu;`!$0_XZsYR0eLLm-y5o5JdCPtJd+YayjQ||M{;rPm zUgUJ}hlYpkumzB@828z6LfYX%pKk;UOX zMUQ$90o*M_NH_?ZV{@Yrat<$g)e?RfTL#{xy4~A<^SQv_5b;5fb@%9nG4G==1q>;ciei;>ehqXpe{)>jkKQwTzpv%0Re8D2n4V9;9;fb;AA>ANct ziso3rv%P?oeIusinxU?tt<~iRvT%6_kEikR!@$R|$T&?Z-IkEM>qeCjIMxGWTr=#L07hRbIX%F9$vLS3uY$kSCbY6X4n|_#h^eE(#$Zgz?;9a-X0pUH;n}1uHsTjNy zJnwn#y_1hyhN~2=^Mm-*T`@N+zmP1gd?ri(aT(Pkjr;*7=)_)L;ejc)y-W}VN|Bab zyVxGnLZUA$C#)yT7yO&4+WNWc@xVe)ok$I78}eYgJ{_F`Bnk66)!e40Ko!m^iYkg4 z+kDkHMYE&UHP$uPwUPBVZB^40d6glS4t7rk@p1G7uF>GaY}+q?d(XQ4?Y_+)3wdGEUqonz`)jmPYVVk`9+9|K9G zyIPonR6kXb9y^NxbQXOe7_UAL&LOx$J=mfe@xSR~ly%^h-|@+Y=Mnzp`Kww#9DpAR-<}cLt0wH##;PHKEt+YF=kNxazg|lgK;tYX#Q+b zLu6jDZc!s>TcUqQ^r=pVfxi5(ss@CJ7&J-uao;<|2Jtxp6DWna$ThD z7HpX$z-Z2DyofWA-+d&+OqTi*&)6PE*}hL=6VK@`hjU$;aU@>u{IY!eTm*zghi52Qbsc=mfQ%C2axgbD0?dFsTR6smdK;MPKFL-vC^HIkFe!6>Nfo8eKxT7& zOc|wDP;FeWOc6x)U7?-@$%TBFToC`#zaV2T7@R)=nED#ILK%BQJ?Ohqfc9Fn;Tyat z9rElsPRbrYi~;qDJm^$O3{%LnCC|4M_?jeQQ~O;-lk?pI+TJjP{70zrP+9RxeT3b! zKbbPF8SJ1kQpIdj=w6=AVbtlzuYtJd{EkLt@bBRLs_RQ`ZVp(8b8xs@xI&EE-_uGz zQd2k59^2IX(vEC)A*_4ND*I{q0u(!&U1ifoRn!^xOJDyDwek9{%9~NtEJb6x!!(e6 zLX#;6lS7XaIe43VjIQ~VQyEjAXY$YV>zeqkgRCZA|7nnA;BlW4UJlRI>#Y=-1X2Wi#p zRWUtgej#16_)||rG>Y!2>ufWZ-FOBuMf8g|5i|u-6*TV>k;TLcG`sqMOyyoW8ZlO+ zIh&Z?YMLPrF{6zbQU=Epde{?2)I5C=$}<^v;T*dwig3Qv>O15@LSn`0+ z==^vXg}|vQXMLVuA;?q-&g2=d93jml@vB)=#sDcQIlm@4n;tFhW2Qx=Zl=Lgodhw; z;5m=4Ld6j6n&`7x*5cdmpZiQ{CiXJ6#R4a4>%DI^D|;k0lwP+x-e$(*I^zx0aRpUs znts&7w0$FBo`J;Zo4{6vd<<(``~_w`m2UI0#TgucyKeAY9KfE+%2HcWZYyiQDt zbDq0BpR%aXrwiz}Zm{K7BC~hEyBS+XWR+U*RlExx;OigoWjKE3CO;d;QbebqDq!w! zH^!swNjc!rd>-k8Ucnbl{K(04s%EiGa23f{ZFhPeghC4grauSS7{Xr{S&$QyJ z+5W#n;#$Us`jWE z21Z{HPx`ERJY4`OPVp;@(c>{O&n6Qh?e()c~)R^ z$V0Wp3|0!@evk+*ox{E;udKa5e*p`qbJbzYe$Zq4E9%q7gVnMJuBFn*2WJQ0DaXvf zRr+fMi?~941c{urwXLT&E-I9hk&lgwW#77phbdL5UXhR~Cbu3AYeutTiY2dr-`!iZ zDupM;Suz`<3i$WfQ|Qp#10}=~%S8*TMAzHqOJkF5($0L$1PU3Xf^m}b8&cpCag&w! zAIg8@l}?G4g~h4HeU4t;kMjr?Cjo?I4q{g5=0^WY2$hsWb(0G==1lcL0k&f9#09?i z`$^T4fSUhvH@M1x_kI!7M?8vqcF!IQg6JW~sPfFj&?WZdsAu4$$kY<`;`*U9=1GX* zilQa>RQ(eQ^jvYR&7na7VOlv49u>59E1%?wtn%22(czVH-=U5#%j4doxXLmEMUI19 z34EBy^Kq(&mP((TZy^*Hu;+XA$@8Hl{8+Hs%wOFT-#)MPDOO17Ens5{OV-s2=uyCg zo@&)E2SagDT;ts4c*rgX-M;FiWLraUPyRtvM1OrR9$8w0xAU42xF{}7nt~Zo`f?3C z$;rxR&rN2~w`zf&(WxmE<=69|h6*v*EX}hDT1>Z0Q(4Ln8x_mQ$woH^BQjUmlf+#6 z((CfwHW^Pbl-uv+V`ekss2s&e8yru-6W{dx@P%q;NmI5vL8#kuhnrAz6Sttb)q%r{*mJmWx37Cujv~N~>x-*p*;GoT^ zS-RO!72=Gl7p0^Kkrke2g#*j%uw)}@?osvBki*TKGD3UfFuS4DVv+N*vdIbB|NQE| z&fepD2RH;AM;uV=%es0k?av>G67FTd6&Y~hC>+UYMY@uj8gfTak>3kBI(m_nQKuzk zWurOEJ)0T*0W52BfPv1?#3g|tVGyN<`K$D(QrzB5sKtHDG=b8;yjBYI34$MFz%74= zs3WxF z`b|C?H;3_~u&Zj}parR&+J+WKyw_i~sMcW)qSi!KP%s3;#5U38?64uv*Fs@?h0K;k z7(SIXe9XontV?2ta5divviOKCogjz^Ju@Slu{>PRK#B`rAs%rwUud)Vd}c}N)DB{~ z_(I{>xb3e6bs?1@RYJ2Kx^4UeCFP155p2)bVx_8Y!LI+x3SV7uaHd$Uq~-a|6Z;m> zzmV^qwChZ%c}5OrZ0jD%_V4f?aZIx_AwY}aeJ!dZ7_}ZN{DQbhXm-;E+q41|81~<2 zbi`AoY$#^~QbL^ZNkIx5e-`}lql$lFMzI;z7Cu6rnYTH^g{Ql2B(kelNpeZ!Q#wE9 z!`jStSH!2Ai>r&PA(U4P%jJv;=1NufB;$bx4J~zmOHme-Jpyaknha4A9eEXNSnowA z%G++-Z-auba$0ZuY-Gpt->#@ep11oCvCtLANp@!TZ;R1OUM%*t0|3s8Kj$=chQt|4 zfnA7>GE@WBbnVmf<6-gkV`EKdh9l&Mq#`S3P4#uVgK@2bY#^UdD7$)vlhZGZ?7*Xt zX!NCjFd-7!#I}Fgk7o%-X$3|hX|hZM{qB~uQJYCIJvuJBFH?n7lv$RS ztQfm)bJ*Bj>_=mM1YLDMZ*uR#Jog}HuQwg>Zc!BF>mMCAJv+NoX}+F`uQi!tjJ^~; zewf2Hqy0{B_!|FooX9&5BbdbL+o;(ET6Z>0i)_t<-$#sv6$IunhSFW(*MqeJU}$%K zu!W!4$C$;Ww`$Tq&D0Q9ln)oBhe**cf+N~4YOt+XotuX$<>udP}_HtYB(cW0yvZM*G7$vEV&X$$d#d=rQ z@bC!iuvXO@q;aXVw7T-Kw*L4D#V!2}cI6{=fq3nD-z%I~1Qn6(x}#Eq1@#1QG5X6; z5UXU3tuab}`3{jkBWJQT-U{gpcXQ_pKP36pIRMI~KJNKsO{%9yL`4ZfFcK(Mhfj1z zFbU((6@BO?uO}92L5ItMhWap33R6&vqj`h`pvL8cZ1`5E7CN zK}LeC!HnSqS>CcVj}9D~CPZ9PZXi|)R|ua`WGXVgwgfVo>Q;bgeu10qQW7_zl*u+< zBBSF)zEp28uleDLGM09}b$#tH`pT(1d11DsDI-4E|WRdIp9Z_r_M#_U#;>8 zIxR4su$f=|PBzhtr^aFakF%oJciO2xJfCTo3x`P4UTMz@j*~nx2 zgVZ&Z@UB~jVuVX|?gsP?c%j-wj_1# z&`#Q|)27mStgID9=}QbsBYFmxG=1)?oXa!SwS;WLD$ZC~k@vv^NL(XFLiIIk$hCF0 zcsSGXft#79j0>s!HMIm2Ii$yXo04Ypw(LhSdCcrjIA2rN&tHx2rzISN!tcV8TzyeI^?*-ui8aLG)SQ9PHKC0q}0{Tqd~xLgBerx0YRMG788v1yeFY zJ{tp7p;RNM5zT6U`vvnfVZ^c6RTVQM+?{nNds-&2kj$}A>RY9_ljK2sktxLwR>igu z=8p){pqvKdrSS|o3Gzoh=hoV{go%&y3!sn!bZ8IJ0=FcX^TA^b=wRrlqs&r+z+>X_ z+uU5;X`VSfk8bR^y>AX?W;%~hcD#Gsw*1!|&&FY%L%U+|9jkR;dSCZvmG%Z8MH_O? zJkEF5;EF@k-Khsp{4iJ-&8AS(@~xovy`;l@i(*VLp_)=DnnH(od*#6;vrKY|maMs1 zuvUI!&BY&VEH7dqs9_ONL|f&q);5I7WbfldAtv+TC10|vyR@!K+pHW2JpM^cfJDu+ z)NPoGl*7?JZC+$HaXLffBzW3(oQ~v(BCfr%-L)V$*n(a+05{lDvhCiaPZ$FyHnY%> zGpb2SlYbjSv;mi7Ta#FqMBo%Bi6;S9dt*OHO zZ8nOe0wa?aZwc>}6upp;n`>d#kRoT948#y8;NH>}BT|nok*W0$`7+l_CGgg;Iw{&= zpOa)(scO0OT@`w}#34<@@G|x=acTq&z5W%D*reO{4|*=VbIWAQ`TnF|3U3i#pKwc!R9xuL2N*h2+Gq>aGxY$4!l$6sT^J-g0S za?61$LO=}`HvXnD3(?0tvzwmU7r0e{=AFNIXX(2kKQe90ZhoC82@e{@Pd2Em-`seS z{NehxI%vb_5ofs{+AwK)+5Rj?(rcmp^`}26+C|F_n|2jb%4J=iPsw6=<0adxy*m%;&64fQ? zJlTa2ccOEXY=^|x@K<#jQat^jWST*ol_91kDUGUHh4rYrVN|`~mP|8rMw0EBrGtOA zLUhIPSWXa714ZQKazZ*OgQ#VRKZ0wulF&NqQi)~xu)#2@U{^blq5>#ceYi$5y4^#w z2lBQzeJi>$)KY5Y>7B1Cr0-dZMO$D4DQqCLmKg42*|C8I>Nw?eaq1oY0Fsw4`l+>_ zolyS(=2L6ZJ{$P{9wbJRwVcixfeRgJX>MBfAvCVs&Od4u9i2&!hB_z+Uor{>4Dl(Q zgd-(K7`^XX(#}16;T1bSaqyFrf~5YLtCpL9l|S5wHr>+xJsPx~dXN?f+)^`jhFrs` zF~ORFM-3db#+`pUD?1$$z8A&cF>CfzxduNv@4$F)PQ;fP*G5t&3DW$5;AqB}6oN)& zazXP+mtq{V9Qg>d5~?MPCB z=cYoVXc0Mya_m;RlUX*%^OGMkc?YTg4D$}kF-tQm2PD@}Oj5i%i6&hgcYcUl9~)Z- zuAZ^`=DSIbFsgoNs2P_)vLz*LjfqZM6`cBOzZ1BnKI0|3C~V`77JD1nx9$>_*h|k4 zEG?Kulz71y^XkT|ePCmsT`b1$Q5|RPM@;tq9uxWWS^s%a*5%PY2;4`b|d)sLJLB4sX6#7p7Jrsbx&kG~+9e#JQ7>*9oR10rQOYQ#!-VNL0{ z!cPJdj1#g;5r_z3<<1NDVtCS#1m$4Wp0tN{7#|$-vppMmbwnZ3LJ%#dr^^)${&%hJ zq%HfiTjx0d{9NDdY4C8cdbtF@!F!eR$?V8;J)yOg$Vu^Kwk)RVC)1M+GJ7x!Etn=T zET6(qRIh|V4lX z-{7y_V5d0|-o@=f@Rnf!0y-fzoG3GSA9~tN0}dZoo9#$e5SictA!%sKNlH zvq+GB6KVi+WCiTk_&ad~1x|-H2!fhKfn?9n9=Zt)cOD(wSewhgNp;xD(k;f)X&B#D zyqJ+7;1@1ZBSv9rB*np|_xYxWaW3H7>}X=$<=X2>&*QRb`D+<>nYwnlA(w1uyGk!A z>uAgRyfezVVCC$xk-H1N}nSQG1YWjto950R+VhqFrkc{s!P8%8t(KppY+B)w(T* zPuH&s@Scl4>K}nIHOy-urgNSw4mpLqP(56_eZ4jD)b-TflnIaE;NEr$aE_&y`jq;H z?^%|AgZtv@8M3>Vf8*TLj3|DhPaJ9Ii08sSU*7c&SELFeB|+{3CNw-7z9~CvpT1H) z(NJ3%Z8-)!35Cl?Iem^Cg@FG)*`0D-9cX8KuSNGo(Wh?9q{^Cg8{n44!~Bt5T2EAl ztY>@P>l^@9_aX5#bTr!>pP3tGywPOPMj*k>lV`A4UyIAbNquw{7oU1h2R`w#U7iDP z4-bhe)Gl&a{@J(iR)bEj*B<3!DU=O>)fbsSMoxe4(fi9K zPdiz0{rxxl3_Z%TYgYDcU~^z%2v9oe_2zIyL+&y)VG{0rsIEvMiy zI#gSzR0LIoRfLLV97Q_=!Sa&EvzuA**-wfE19eDS<@ZS^h=}*ehr|fuDJ-r-!>Dz; zf$`K%X4}hKOhwH1sldDE!O?=p$W~9Q*#M&x;E;d=%kyp0c*}_D;aCb8a4@SKcF3p- zgXbb~>LjI7N~KsoM8iB*hrt_PPG zp8uXcDLlM(UWCt1XZV_h`Emd3g4pRW1MdEB^DZQ|QxU4E&;{%j5|SciH8NA{#zn_N zc{Wfv1U3dy36Y70kMsv=u@O9TrB(>0TEqbCc`Py$je|p1pcKbs%`#_3J?P=I9j_ZL zoY+^)&lH~iQC>E}DO~i_RzvM^3g%&AJ)=|q*;E|$_^8Mew2HFrk#)BUG%)+ zJ8)LBdv>;A^2vLYw{S~Z&v7T((!HZqfwW`1A}{ru&h$_zRkNexc6c}b5@@Lg+~33s zoe_69K3C;cp%Fn6l#t9tNu{!cFtVCOf4s#Gw8*|Aj$xJ`6Zde(Vy2meSIz8g;;7%e zQbwrrR;^Cj)lA4l^9vVXO9JHYoMsEyh0q@97m=y!9k; z+PkDYn9swmaNE||V!Uee9uch~B)`qRoG|f{4UJE!KZ)p^izJwtsiL!_df-lbSmddZ z3;GtSkqSi9$VGTwR!Tay(M&HrI{aG#c0V`=MY7*cn5+a8nkiGBdJ#m((0JUb7=s*m z#MeV1vCm5tt3$CY4NX<)ZT%9LIkMH|i-9h1#Dc*4T)Rrwa$t(vhpuXzHgqJb|F#jG z$)i#TIle=wUy93&(r$b6_@uPnU0hgQm@ExE!@_}S5BRf2i~w=CFEP`Kr>_GI>*31hu-8F|;6-E)voPdNXuqW-f_kGdf*Q&RK* z-6cpF_&X=!^x)+uRcBhO^syhhjNF8-bzxiL&`SKT9;#{3Ykk=<+|q1=NH+mb)BFDE zgfKr;LxN80-<8<>`PVi;N7>-vKhc@Q3(nEIrR<$+_~{28B*`{%26Q=0ctFKy`Ys*O zbKkDaU$S^9^R}7q1GG6g;{LKr%Teg{N{9uoGtF`EZW}I(az25DL9+6`RUCs#&v=MZ z`1HV6$&YG(8??(Zp!;huHlP7Nt6Z>B;9`?uGGNsgGwxa>>&>BaApMp2BMul#lqVts zR0SB8bCRmzRV+uisov|QRcp>=jL0Xg2YxHHd8t3fo>)iK{w7}P1-!rj`^Jn}GIJ6p zhxkCbVvvVpzI+3-=kx(mDjW<^t)`ciJa$j59|)uPnv;W zNxkZRrUGym4JZKi-44H(sW+{YL!l#}>~x_32J=D=A^u>T;Lj1WY1zHD*U2?zL7(MQ z7>2aRbC?q_T;;4@q+JXAt?J>s?%o!_qD6XE8!-X~WzVp6@RL?Cw-P$$G?_cp@jA3c zm#-LChSGcPF-Ai~^X@Z+0T1X7%qPpmtSB)<^Z1%fk0Ae8T(7VS5xPPibL4Qpw{k5X z0I#ww>J#|h%xLF6mh_GH?e}sz{AAxYH$j6lQ8U6@w|_^FPm%j9+3FK_ug$6G)gLcL@3UL<(Z#A$TF`N#2~~K zF*5s3?=wycxImd@aXRdHBlfyE#5?VX%=P+B&1_4v^z1i(%GBEohB@wE z=cgLIu%9;#Mq_-0A?6S!_Ow+eEh$fTbq6s42OX2MVMY^>#t~Lw7;D4-G*&seN<_T` z{-$Sf?N%EWfpd?^kXrtzRi1o&;{M6Bp7P?UBQUOPBLjkwFhY)EQNX&7Wi+cGPfrORztk~7G$L^+wgXAa~C7c$G5zZEcV zGtY2dyY)=B{pebFkL5soAih9!vTaY1a)WXZc*O5nNADXyAe<(v)dx-fcy-J2T5umN zA0IEDPCd5C9JIflJLpZ!pl1V)pMCyZZ~R`Pc^+Z!GL9WUyn ziQ1f_X=Nnj%s5B$vij(cGSA^x-Mh%pDc=2yLCo?$WHnkssQaFKJiJYiNb=dp2a@io zBN&eI14&vphoCBhjzr&RnF!l>-gHtv=yyt_xNa`wA2Ru$s72H%WqPP=WBh;dR`zI* zZ(l0_i4%@~cgmT#FKQpWFP<+gD{6B_8?2jfViu?u?kJlwNnqQiB8|K}@cmC3ckMTi zNJeq86NDS&y|#MA7b-kYTUapmeD@kR8&`siY|7inZ&S?yi^dUz{8@#t8^AZe8}1uE zl`;vP>(J*diT9i2vGRDOvR{^;DLIS}A?-(ImCfxrm-X@9u9agvQCWPRD`t<~a&lgu ziP4R8cR%qCT%cDk<3^A&x)!VXVDQZ;q`iKAt?RxZO9d_mS~zk#`7&DUkNZ}4Q*Iu! z+GlP)|IIf?F)dDb!l%l&wRUj6w9ca552d|mSNT5y2NjR4~nm3CytN*U16V^ zfBL9o3#S><-w0-WQZ?677U7|Ial$KxuEbK{sg{{fDrMe>LL2aH60RnIFFVIJ+ao`Rm6hB` zynNQ*-<0fQp3p?OiUsS^%&J+`r=ZQSi1-Jp`AB=H!&x(lmfJaaqiVePsdyGyHtIF0 z$S)oa)omy*IaoIXTPSaLTa~m=H%dR6_DxN?W62;$7%ob-16wFYyYI+{8?~Zn30hcf zYyI~htH$zvxIHqA7B)06VKv0~*e2f9IV|`lmZswcq@8 zP3wvq4r}!&Js5YMU^G=fE5xp~IPE@KL_C^3kCM>Vpi4xN3$39}tw3i!v^AT18841D>1_|_$KLf3A|kNSWBQs6oNQXuLx*Awp?@!P%kh}hkhcq$+gkTM*+2|=W@Ha&Voa0no5mWjPQoG*TAOi(i*TxZi- z>VeMj-40kg6$ndAn{&ly5Jdw5Qbk6!HFKLpY&7Iv6b9l4Dg{Rb+xyN{gTo;SJ&CSZ zcfv=Z{lo8!Qd)!VV7TtCo68`(n$R)X2?|8e;Xkf_r|y*qNgUfjDYvwFt%Q8!Qasdm zd%)~l6jnex*?|UqF?-c6f-6z#l}4oqse`6)LY|AkMuwsjz)|V}cp)}UXwL3j8(c}v z3zgCCnpq>Ur4=pG`2uzV^#B*kEg8s$ojr*Y6!y!`+fI}Cg~P_oAURXrMb6zw&XG*y zY0BeBki)LALSXHBz>zc-Y@dDlm&gsK1>Qa8*Z^q|X}DRS4r`+XU$1 z3F9CS72R~YN#rLaH5(EJ4r_6O;D^f6wEWZx4FyJgiH|TGWjKm)nBlARu@}Xf>@VZl zsz_O<5@Km)pu~{W2g6asc;dn(yb5XOvBSjB7RAko=4o7Ln2^$h)ElPJvT?bo@fF}p z2gYm5O{mw(@yp3{o z;Y}a7y^1ia3;0ZRmhdvES5MRD6bjj^jd=yoV+i6KtL}Wwf=a3RW#-BUN3~RtODlc(C zS%EI<7~4+B_3q#|peZ=8o$L=@IX2pUj&FqJ!~Gu4Nl*VrM6{sMYz%{r)23bLB%F^q z)=tQHxcUtrgec+uFwzVB@CwFEx0i9ed;lxPcl%s~8Z>QTD!>v`d}z;LA?~`_fvaqkn`|gCBf%)r9pndf;eA0HTm(9i%T9*{{zg za68f^GJS~78GWgozeG_YxxX;(3N#6h3SV>EKw1urPY2?%k{uMi+eoJZcmE17OzsEP z0a%m*c#7w7t|jd{zRDV$Tv^g_Z^aozw@ixc=D)hz3N6Xuu<7}~Wo0*dMBy7S%?R9R ztSGD(*bFwzu0kN_g{VKCEjjbdff3JG(Y~qEzD1)|&0Wo1FVpgh>zC8dZMEl_pG5pi z$*wLrh54u3avDx|DzQKc4deE1urtT&YuJ*lPNC%=ifKEmGL7%;0UshOgzYhmowD5W( zDn+(2n))bxVo<uyVv@UxnCfqdHBJzO}ox*NB8xpzE{fp zH%LKpp=1wrNHjG$Ah19xcWxK|QB=5zGlWtbiXMnJ?x^6-ES-4et|F(`BH2u$1&y0Bg-yspH8vFszb_|*@Sn(Zl z&ktrI>JEAT5i_R$1~X=62G0M{;j=L@{2#-_pqiJ0$_gs~@%D3FN97(ioHgKQ6}cE1 z5`THVXyTS?E*n8K?BXcO>vi;?ImI6A5XTkI)CuLjJ8*=Y^yC*I~W+9nf8m^p%yMh80tKaEYfm9Q5U2 z_LiHXaS`7|l78#+erxRHs;3U-d?IOvQ zd-RKrQUigrB;^A@8-2+M500fp&w~5z z`=GN>S(V&BcKJd7LWfq$=K&OprVC|5?5?gGc^(MACzw15}lL zVxHg~6d`<($XkZ5Hgrx~g(+?l4pJ=#tapa_-lk#hqs0m~m?)zVKfYuv)x!eLa*AVj z4LEID_b?0W$Gi6%tbcvye{qa%=swmnk*oWhTE({{$(YX5{TlvaD#n(gwgBkP#NUM* z5%h=tc&6NSgyPrQt2p_mm%WK3bFT?H2kV;jn6s|}y=Qt2`E9&P=}oZT6?}m8Owvok z6w#eJof@ASW11Q|QOS-es8L*s;Fjy^7F;R$l;bJw*vt6OsicIQQH7YQETNT~U|#?a zXfM!#g~FWyLFTa+KPM4=*B}hc5s0XCc_iPcmUjaXdJ6KvFE|l-s6jndr&I#Z3W( zFPurG$5&6PIaAkAZjFnNd$B4{DUBe@D#0vSHEG%^%a?+l$!<`-^LQk5E$#UsGhlkZ zHZ8ofU0&_fqDldq09e=Iuw9w*Sne+vOV(}djJC|2tytx9lirXw0nVkdbiGVpJS-DU zJd#~-BYz7TLFFCzGyMy!u%GS_W9|zaqM5komn1pl|BM*h z&}E&dRr>qv>bm-wZ4Z+5gRjDP)WX@!T5<;!oK!y6*{{;!AC(l*T_v;t8XEoS+H$^u ziiOEI3_&7Gy6A)$xs;duO z!Dca+riQy{-YtOQb3gZu!0u+0O)tY5{v-QO6&&xWeaeMFLhzm!H3Ia{xYo0ig&Af9 zs2Vs5$_R==eDM?wv*O=?D`wifrS#hK`$sWjr%*KN4a8d4i1&ZaZeweC{K9SW%vK=n z+LKPFeYGw&G@y|~+U*lkjrHQZuh|+>R{0BcvxMqG!a^`rXnAh z)`^5F7AO*Y=`^Ng?w1&lBiqx!3dlnj=g7|VM*f~{uZAwFFtX0U#pz+~(xJ&nOb!LSKFG5QrF8t#rR6BiO(CgJ zS8NX#KcV9SyF=uU$oUBa9ai3Fc!qIDm&;B+lbf6wD zbeOCsz0f=4S#xf1MJFDS%P|C3Q~v~KWEX+OWk|)5cf=&0WCLXCD)Me2zV^y8df~RJ z2%{^awX7R$n{k*?IT|Nn6{y*lV6X}gWSS-N)j{g(fqBDbFR9iblMV^TPGN6!``>HD zakh|N%z4U`0n>YJ2R@%6pLY>a`JsRpyQ}C8%OC61yiVo#A{Kt-CjdzrYJO9f8U^0S zmT=pUSm*kw8hhNfp`%I=mcB5ql(d43k22^bM6C>Gkw56gAfE!E`-MR4cI@S(0l~_D zXH9cPugn73i=i%K#>?m1%-QUTs|DbQYB0G+j<~ZM1Mp@|F2{K5Z)JTLp%-N2zofh& z`jDN?Pp8fidbgeBp;c*_4?|p*yL<1d&F)B^)9q7S#L;a=`(|+6%0ief{T<`-5cxx@5u#%T}SbsC3YS4h6@mFAuE`qpoCr>frjUL}2A zxz>bpnq^tqUnz!PMza~@4~BPz6l-JeQQ8hFu!>3M$42ohxj?+o?Zyq}-QU1+J}Xg_ z%%gJUd|PY5bgC!!;udJSD71q_@>yyTuI;(GWVq1x(*BXh_;Dg#UR?b4h^#bSH`_+) zDrWtog*n0yaY@9-Mg|epUuqm7xV2m*$-9y*g>WVJ)Wr7(1%}h01@0^;tS+)?-IbF7 zR{JEFxm?>-xaGm$kh{I;IMCSC;cYL{Ng|c0VQ{L3N6!!iydCL1JUHMPu>kT3ZGdyqww3nx z#v{A>FO56p5H1Z!P8UBd;3Qhp<=cD*UND=scuv=lE<6N6v*eA+%&D5Q(_eNr{rFM2 zUN^9Iuyw7W1I!pmHolqu+p|CYr^1N!o8!dpH8gJg1$A}?;m7y<+ zgI4m3%y^f?Ny;=;2eq+tscDKd#d>AQo_JiT-%>_W?uk5=CK2(q@v-r_ztKEU-f&yt zyTMm_$#+3-_&5-b`ZyV2ru{MhLU%&w0gVWv;oQ9O)U;=--!;d#BzBe^z>$_DK2U~H zuN4<+hZUPd#rysaAIjbFt?5<0;e3JB0mk=#?gep0&xD}&BPLkEw=K0QUB95KA6u21 z;w@;Sx4vpTN>Ar5ZgZPjVs+7Qe763h<*2;g^?^tCvh^Y74HzYEbg(m|MFASATcwxhV0)K9Fca+A+emDD}UO5&RC*=KMP(VL2B9CqHGPE$+&Hgtg6B_nfPXnQ|=4 zQO~Xk8|yfn%l|k<103i%O@mj9+IGdl~@|L`(a zPDaN6eMqF5$3K)q)bFk9j5XbO0XBkI$bOrUK%w7w{)q7yXl&Scf}=32hlhXI2!X+r zb9qoUP~em35XugHgP=o!r7b6Hz&bdq5ET|3p=n3(IC;a>;)sg!In|0#>KC{(5TSe? z{#{K^er|d6T=R9mPk9png;@j+j;19Mi zHxqoPgV}syT-SJbZsoJC-;cQYBirog=wny5v5n~gkt{LdY0vl{fZmBm>KpL1daso? zS&tW^G4jEMa>wu^K^LPHqk)Xo)WyI}_*-L(q}$@eGWlIL9rl?v$#*yI;z!~ed)N(x zuLrM*!`D^IBNM3mC*C)LQsJE8IkC*duH7a>)=E6c#g|L@U$Et^+wZ78mWl^-$XZ8~ zX&aNWtj9^1V{tp3fkY~m7$fe09>|#h9gSo?C#CLm=FtV=X&dQb#ZL~8a9>ALhsw|l zD##3AHtvzY31eza!TjnYUnWR7oY{A=7z&{fg9DqlUk#_R83c}0pPxcbjkb<9 zc^EJ_SU|4Lqd%*Sp);hCa*GC$8J4g0&pD*&k#wshBryQ?*a_?62;<+glK^0b0EpI3 zi(frl6~-8Og3vrm<&;`-5xm1w?7>QIU9jY2|LJ=F$!3^I`#w+KW0|h6v6CoRL-`$Y*uLsBd3dB)E&O|Aa*kQ{z{bn~ z6~69Jbh8*w20M_9EL4GJ=mLQoLQt4(gdJw$2GnMlZQ2Oo34l>O2UcEk|Il_qi8Vyo zG|4x>S1?lc8TbjoxBPpGMd1865o0R)ftaB-2i<*=bNMv@YpHN zX3V_+U%jnJ7I5wse(L1uE1z1ukHrUwR^}W5!y0CZFZ11Gi87lrRuQMRWbcwvC{ELdsDM>S?W{mml&=URvJM_bNE+txp0hwTT zAuri3t8?3l+Ne6!^?c_H<1B!(IBd->t;pZ~uPphDF-fG4V7{bq84RArJlN6~IrK^WzQfP9RaMulPwD!DZuX+@MZTZhg` z7ZPTx_?*9~Nzo?l(Yc+I@5jd5+Nk>sKFHPcr0+7()w+1b86}D$vUNX>(N7`)P!VKV zyPef9^|qBwdCPsK+3a~fMb}n_7{kw299dxfs}4KEc);n$h;Zr4?N^iwvQ zNW5CnXOSkXVSjlH;*&O+3{s|hyWZ(ZNu-6+4 z56Fhqp~K5_Q$CMi4FE}Bq0rRDe}V{i>y|L`7k^$PJ2)bUj~%rkauoCFA{6?JpqLK* z+WrXjEg%;+Ej%C6BbKFa7Gf|dX4f!IOnUDe!lX;#XCEPum#AUOm1l}?0Fd1bZeg&+ z7{+wWp=qBQM4*jX!r4V}DdLXGmCsrG-+KkazR}`Su3faOo_rr9E!q*vZ*KrRj`VDU zVLg=5tCLW!{O`2Eef{lv(f9^x@|2yi|6OtWwsQa`glcX?e{r zSy%Q+mJo+Xj4nAnACgOla-WDzcYj zVniKw!&l~U6<}T=t$7QB(a4_b%I;_;7vEMFTe}Jz%kjaVORTK?HF5fk&-#3GJ->%L zd*)wk!hM^U>T2|?mcI`M&1#~pIt%7{aMNvDj=|22g~4L3I4DnFGd26tk0~&{ znn$oZ$u3^)R!2%pP%~!wQR2$`_)k3gz=x;XE|i*U@Xyu zDD4N>V8nHj=Jp}509vGUp#X(M#1zh}@n3nRC$XFWrf!GVlTk>UBDuo`y6-OZR>-fE zkF%&Bsr*|ViIDED9o?K*L`y57?VjgyiCQZzZy|pH9=nYzPKj2XS0q`5 zxR{G5#47|cm}-$ofnr=un@TNs$Ork z)a7<>W^QKwJSK$_QY{)Do=B;kpHDG4rcg*xux4eSB#fY8*OqbB4!SJqlJHoaVYTJ5 zg>x8zW`1mreqeswBK=PsdF0BK<^kJs9s_g3M5mR&JMw|RCsD+Cn3-5Ok8|O0ZJ~H) z@_6gak9yf!W#hs4mB=hb*AXU1ul}FCX!Kvh{ngvBFu({I*fokgMwezFJH7WO=myKe zXGD4lRu14xZzi{*-`L9MbN-YfXh-o|f!i`e9_b_c#jdu~CuN~T-HId4i8?`WCCB1d-u zjpS2jiUm%9uHl@ZI|kr8y;jtxMbDjri_FV_F1l9%-@%&T8}D0H?^iRWEaq|p-PbL~ zdAQH>%(4Y#*YccId@B~&Z#m%(wSNGn9@Jh0+A$}|QVWlA?Y5^v+AeVa3wj|BbU44^ zN|tpbd<3Wo!~t>1l?CeNx}avv(TjA7dSR}DOv&4M+-GN*-p)tfg=*9%lAWh<-UvN6 z)1ZB!8PbWPStzAx5Z%@HlXSvGrB)w{`C{KTw`a87a}2?+fOnL8-|Ydn?_BlSnB+W4 z9Wge%)=3x+vPzl{xw%O9M6vG9kYZq`r%+$3NUiYoD?ou@x6kdN%Kcr+SU2eqYzm@T z+mgFY!LFPrY_A0FDUC!u`V3(c!5{~E^rD^tV8;N^40O6*zfVLp0`aw56eNcT`0S#2 z-~S=(VoA;NouPZ7M<2h9zd++l1zCz{en6Tdv&l4a5cD20c&SI07!0NQGIu%QcfP;3 zfF+L|;s^k%SHe`%%*Rf4nM9^ShFbnqwjNka6Z_cA4qH#85%iu*G##bylTw1PqU16d zkIXjGQ%19Z*f1F8UjGWuHP z6_K9Lyz+wPMJETxh8q-zuFBr#b@ktFh(zG7(tBsofT3wuMNf~9#}{lR(!&D-;q`h| z`EcE@15S@%-U6mLqa2U&q`?v?BN53)>aZ!PeK*oNAxRq5-$hbF>c7FIjIxc?ZOc`& z^5m46RaKKzB~ewBF3TQ7W2W_gb=Vw|NOvZ}u2J16rMl~b6+%Gp&FEI0FXxCdP$iDqb?A<%_<-5lyKxMXMApnFedR+@|0 z<0-Z_gRckX$^NzNpXIuX7yqQK8tdy+sP~6C?L@@B&3=5ir>U4)LIhophxBOSiuWK+ zXFK4uF4hmSjXP1B-qX0Nq&|{%*Wt4r-T40H$j|Pf+f8_8_p2HH(Zi_Qux4aq7y4bO z0L36K4;<77+GW?fRZ**#$mg1Ih^TV{oX5yu7YPZnm9v)^{w5TZ$EX*Xfr#zj4YQEs|R3(31&D(f^damj0v3Bb^|6n)c>%r6*l&6 zahYdoVda-w&t4Nvr>S%BysiQiPt~7B{lqvt_1cL~{z#r;lNZ9GvcS3Gn9ME}XJjG% z>yxWO=RJ5Nnalwg%l;~UINo8PbI(k08ch~caUxw3_#|4QAo-TxDf9lTpUnO|P|zxC zDr0|rjZMB2;6c&CAe~(w@Y^H;@z=Gr6r-QQh9dvZ+_nhK?my3M+5Q`_7+L=}RzF<_ zV7UL^bodnu4E!H&fc?KI%EZC^zpLuR$^P5`V$d_!5KV$;ENzD*+;A)zoa-F^+0Ou- zMGm})#>8}Q!-XUWg^QqXq?3F*@+FVASC0#o^9#RW*eWiOevbo5(V$td4=S^&9^Gal7zE5a2%|{9moCWM=x` z0H^l~fb1F=0LMdX9AZc^{(^QzlnH|H1p)=qdk41qkEi@sdk>iy8CV(rr+dWC%EbA9 z?zK_PD;C)t)5qDd?Z6==9%N{NqxLj@((tMkz7gd%@RF4CiUa8?AZ;t4dF;^P02-1~ zfrG+;hE^P10i|qNNkGM-3EwU^8AmBE^sz#<(x-gD&Z~NG5yCzmdWGRspa;vGb!hX5|9sL7yl9T85;7E2{;%Lhwv{3#x=ggzI z8p72_0YEQ*+$F4YZ^^pl=~}P;6hTB8_m=}(mehl;b~~#$3xM@yMmpf8o5Kt42C|oV zAs{2z`Qv@ki0IH*#5za2gD)*M@mMzB>)1~pLk8B=O#XY(J^#BmB+)6XZ;?M{S{te} zWz>nOfiNkp{%txYfn-XswI{xShz6H{ye&(f`wguFu~sX{kzm9_wn5|fs7NR z^+;||w}PnFD?>m0JlfU}3u@Z}aZB#Y+8u4M2HbR85QM!oN&VhY&p13}rCV#pjuU%# zkODRtkCQR&d~)e{Ne@f>;aBO0!;JCwkv!1W9wGf9&lfD*{E`#wRP>qIJ*bNn@NZcz zA#q0Y)+@x+0-^nN#|MZ{U%mX4Q&q(Yl5#WELX8w}#Q|8WT3p^u)#N(W9@-+SOOYM7 z9hr_2;az;{`&FBjIPEL{BGe%)NIcV#Kq(vnd>Xe(aWpQHH~!&d^+PqAI=qkxs{2R* zo%GRd8Sn7yA?OdZ?ap!?F8H~ex-U|vYVDu?g!n-AxT2i9yCXgJ4ttV>vxX_ zFL&VQR2@3aos<(%Mu$j;f8lZq)EHyxr?7|MKGa)LFAHow<%5y4ns0<=qcJBS&gl`Y z5tG)t(;FA0eVQ*spBSmynkR5?l+LC4v-GF3Z>*nSC+hp3%()W}Y@f;7ahie56dVbnAz=BR{CO-+8rtiVKyVuNWQ<))o*%KQB?n094-^*;VZ zW|~%{(qknoips)Wzm-v+)KpaOl;lI;-F#G7QJhsrrGs>ke)qy4{^}JDTPiMqPS}@< zY_gI2i7-aFby&&{#gcTxI-@);3)X-OTPjP-RB2K8?XQEb9Xp_Sb~wc(&X9a!Ji+~- zv55Z*!@nRjbcl+0lGKP2l*fF%;^2%v_5F_TEGoqMZLxchDvR^?UnX3}1st=MkTn|C zaWmTvr3ktbjV`RHx*zxaWmXXc$r0?E%Fst_Y^VF99Uv`mN(I6T|2MiDy0oc(HwChT zaPWyfL9gRl#!Bh`1A#z(zZZaIJ9G;x)ayS*T4UH?a-3@}6g79O;F@evQ*w{10X|f* zxc%Flu4UO?rJ)|x7r!8W&@0RliuWed{F_LbYBXxqty^bW6oQtp`x?vL)d>4U9Gw{8 zW$4gZqncq;)n>~8gu=&Qpi37nwi}xoVfe3Rd$Gn?l;@?y%y`sFkjGLx^bwj{crSOi z;y*o??k0oh!KOtpD503C_w}MVI{!gO3&nr3Ejwxd{p%hD$&`qRmZ;F8QfwhE{9~E{%%=;0Q)qxyr#^ zBdz{`5wNaXq~y1Aat4{ZES3*MDJQF)o2F)2^Mqz8u~f7y!Er&Ic7k@a8|NwWTG$Q4 z!_9}YwWx9gv2wx52qP#yinR)(<%+JRR71ra(w6JIZ@kuGeA~O!_KqH1jJ7>Xy2l|) zKi@)(0#Fx$9KjrH3Hyt~D)3@~OR#l)ShRS-w zYJlh2P@i*CO`tm-!CGy>cBi%^RK=&Xn2CHL+iT`D&CJB&=>=F9rrOqLs$F`MUnJkD z@9=NqZBM3lslB@yp=4^#snVW~oF?t*>d{Ah_@}<7TR$aBawOhtHL$|xzPPJuZK+bW4p*GOK;pF_+}LFc7x zu1fQdh)A8bWfqp4%a%A~&dtgKp*^ePE5>@TqDMbnLx?ZluxRENW?^j_a|q{2OzGqE zZ@ZB;;|AY7Xd31!eiD<^g~ViaAu_J4VIDQr%&OI9&M)HpB8#u&ybCj5nkHee`KD{e zRcypqF*^ArYppqWNF`q=bnAh){8xB3sJ4hxUp zVp7=iD?%ypc>K1Kc()wEYOjY?%|<}{xLiG(?>Ww(%P_3u1sb*u(6*0NBco7RDQyQ- z2dXxn3x2~$m7BiK!*t}SQys$A$&(h5Tu?J_uq}bp&GN4Xqs}inyZI(qZO6D|u`b^8 z8q^(ILcVZP-5Mynuy^p&kIz5yk6@vaVNN92%5X!ydm`%VNsH_SmM*EB<}=?f1RcZ#(g>eQhV^&T(P~f_d465RyP3^7*xR91R*=pamjd|}XGfj&{~u|c8* zkK9jd*;gvzRZ65yQ|A?V{ z!EjZC#xy!|LXScRsgep*N1r?+XVM0RD;2#`rM&F|WpJMa+Vv0tpC=7{=r${T z=x`V?{mOmBBeXQm-sGK#MIy?hKC~PCsCv;DcnccAUgSd?@Rcjx&Q2*U{sC`;exc$0 z(8(~~R&;TUVWs|#*K_|!vHr%Yug}J zq6+RmJ?3QSUi-R^Eo?3uVOY<~&K8EOF?;j#A$HHA zz&xiH4(9ks$mMYeJkt4! zZB)d?fAY?IpYYE6+qm-m%dD{Ra3RkA*eWrcAk-p(;F^umo`Wv8%-P(s;*&&Gu{U=f zUVPr$KzuZKy>(xUeK1=5WceO@mVXMS@+pFP(Oy`GQ*F4dsN%l1+VbC~T{7MUH}6e3 z=*EXI2lUak4dgYZ3B{0o`6H@kuPuK>)Ifep)Ifef)a*5Uw;1xJ)2QgeeW(aOAz#eK zH}^DjDZBVxr~ofDpU0V5!^mgkj}3Tb-y>I}t+iAN7j3Jx1ExAeBw^y)gq0Pf6%%T& zg6lExdIS+;pN~B&xnrTQ9&iau7bH~+1~j)Qy0t)1Qzg7#QiHKTFy^&5TuWE+X@@N& z*(Ht@9Txn_l0&jd90wPEJ=x{p^7&2tjMZUbSyrLoke(7)EN0wHV1* zvDFg*b&m|X9t{*dDrk8EpyIj8SP@#jKI1}tPeN#eHH;@+y?PBZ6MP>kEEIqXC1alA znkmgcz}I|*CtSp2y6o!ZiP2;ktbhdtn)_eMWU;-4A^Gn0L>Q9(?ClNXZ=8AJm#5d| z$6p@@+3{w0%h;ZtZ+1q*g|R)|W1SlQ_vzn1zjNzz(`P{Tf;`)E{#d^K==r|h^CS88 zBj*u3baeT@%qi{-v;n<9u$xXHMNga9q|N+FoB5SCu}hm6rAeOI{f_j4-reX}@OQV> zFgGFAk3L6EpQ|4_J2#spWdK|+ltI^D^g9;H{%(;NOqNCS*dck2o*lA`tLK&;OHu%G zD=>JyW{T;$;_(q6$eKAN$y%z#-}P9r@i~{uOAhIKE1CAbWVdjt>-~-${&<5&;w?OP zs4*rxEka`F_-@9j#oZxAd`AQ=&SHlfCFG84OV-j+i`BwA1Ax&H=p1+WDB6R*U9Xhu znE_;=UCh9Y#ejD^a@Uybs3;Tg_3)yUzKr%mj zYkpSA=QA03UM9tHVr!^|Q!{01ks&72WoiSwGl-F~LcA!T0YC_A+gku1CkMG8cnKEK zdJ)X!TG$6bk(!yy`nWrADrxCLP~)tg-l2^RYI4(~Gmpd>SENfZSbU(l8fl^|aK?wADz}bWlmt7UT!N z0~yyaj0ezjtQu;CL6WtCLD2#h8S5S^gP{7-l~soSM&u!^92=t*gEOjmjE%r zAEXJcsF*|%(z|4o~?_@tkAd&YY@#|GU2$ zHCoHnbI*dTI>W}MzSaX{n|+Z-PIRw7u(>&4VJa_wIx+I-uRnY3@@G`OKJwFN4s-cqp9GPn?kkI6D6m;vkx%{}Co8HcDM3PO^Wo{ogs)f75kyee27;^JY^ZW9Ec z40hgg`<*;1*erMrXIBGiKvRVeC8ve&bcbY7ltXScq*&O$zij0k5x)|U?ZO{fj>DX2 z6Yl@eqJ$tO!)QDEGcJoBLC?~dgxfZ5;<#4cl?aDq&XsI+!Mg)}&C_PYA%CuHYzSI8 zg{0!9Aj;$xv$OI$*~Qr$)Z4i%tt@kjKTj`EU#8lO24Xf-rglMvrXX1UkMXhrs_MAn z__@1#@8c^xA4KEuIw9P4=zZjtg zb2y_TKJcVO$3=zQPENsUA)hOEt1^wiXgN4C$4HW+ zPgEwUz_<{1-WreL_GjwQ3@aowP3GCG0Vr}@D*M{!Bah+@VT9@4>oHE|cqok3bw7uA zVa^!dKRhBP(tA51Rt69cl@Y>z?TU|ni1}*5-5CkV{UdZOTN|ZE#3aXOxWjxK^&#CQ zLlYB+M#sBCLR|5P6Cz{W<%Aoq>|*EmTjUNQ`S<=;KRhSx#oUegckgu9hIWQzp&N=* zk~4roKGNF54&J?U=U`~3^7iIf+ zN%0bXjoQFe+fK771I1vA?Qi2psZEcdr-Bh)tOxWQN@9ZAm@sF;rolc6MuGy651xZR z5u}Pe7}r1!)?gkMX_ycA62%r?YPX-E1hJIbjEh)1{Q)?@6IAw{CHCS&ddwzH+5b|%zM?NS zzmNz)t^6#lc@Sa+wSrY*BV|f>EymX3T`ZeqGaL*BlWc!0FTlJXQ)Mq|;IE9A)vXxU*nZ(iEEzWjknb5Qz`)DU1vYF`An2{VcMX4Ho$O zjvvq>;}Xy;Fm{>P4OW5CRKoAllD@#!QHt>@rG#`)ig*+4{dRkN5cxsaexo{w`XFro zed9y%jLALL-g@shaos|XrW8jSrSNtd6O7txZ<@qt^Qf3?c4-%=PP<^Pg>}L%0!g3> z6oCr(U7(47M0FyOUeNw!cG#5hpTTb<$AEN}plqIYml9YvH5tq0v+iTIvWLuOWyj(^ z?kB&OZ@h(ZPTieZ8U}blPcM4WoNKcG+jGGgV{dZ(U(-Il~IYd#{3idmD*C|v-uc%RWVh~ z!yN5f*by{IyF!EH99BmI`3)M*HbdjK(?FI@lh|D3vkjn8s&+R@0q-?7zqb$XN4yv) zpSJ~61o@i5O3g(7Rd5LWT=`dkWqdH!y9M7gP@((>!DgO{elA!QSmSvffxZ*Cl&=jO zW-nv@PryOt`x1P?P-C!957P*40Xr_@R)xX{vY zGe*sUZu2)$n^-ywz4Wb>)`-S4p{EAXOL_q<)eC%Geod5G$nGkQ85Gbmon&oJ-SRTzwYoIv^1b4HI{zxrzlV9L66+6*NbR})EC80)LN1pe5aX+ z$34)#5g7M6Vid67AqPBx-z48hKB^&uWl|%{G&{j2;8c0#B=%PhyAQF>Xcp)AcKkV^ zlF~#JRb&1_-2Y5tJo>^n+7OpW>;S}tMr>Mp}@PV%m^m`?iw z3`X3Wv{s_-a~2&{_xX%XHSQ>tc#qn##CRId_>}T=Szp=M^Np-8vrn&dE8WygxFyZm)TGh((GHFpN~5oX1UcoB0}V%!~CV+=yQGZp<}8lZ25 z?;7|At>!mTzht6qLf?yNpeO_Q0-@oW@P68;X=fu)MSBDR;&b#R<7r%lj;V#IN}jA`(`jBkBA zy#n8E>GxaQ(F|I(9KJr`PM$PBO_h4H-fHd|uXu@0CPVUu?p&yR^BkWmd z2;g4SlfW^N_Vxt6F7Bl>fp2aN)qzcHJ@&Mo7O`zKA8cSb$g4T<&!o3O#s3?JwGM!d zpaxWnw`sMQfO^17tH1=Uop$jtv`dF3>Ch&}Z2;YHjJK?Dl-`oy|Ib?eHF{icqgmK5 zVeaGN7nBQsI@$|hW$jdi_IqF^`h~D-a2CVR9?idjR=42${Q&NF4KhKxe4 z@FKroL5#cTd!mtw*;(Y}PAUaYB0iG&Mc6Fb%uk?Z%R)ZLLTpFU3~&rofeMfWR)E!@ z49rnX#@RHGn{PoLd=~kzjfU{2@gBd#8RSw1Vk3{Ara5?@0?+_ffKo6HRH%1uLHx8J zUNPo>q?dVcSJf5A&s%=)7Z?h*1S+7YlqH}eGzFxDvI&GGKmrZB5Lv=13Kp@pwMf7U z0wWR-u;~zVgkqqj)#3~yO2M*;jEGapOsP;-S&MDy0D1kK`|eAAL>!p$kI&rq?t6DT z_ug~P_bc)7>mccGVP5E82rqezytM`+YK|8ctp=3Do$p`*s;_f%Z*&pRY71V<|aJPNG zD+N<$W2*9ycPcyur)_yk>xij!U{xG5Z%Xmk&`8!9KD;?mUS+A!m*HmE2=7FoDJz~U z>PDo*msZ}@@UZ0&?9qDSOH++8m6|3z1!pQt>Q;S)UZ#xo!6y|guw@S|ZM<={B=!!( z_U+;v(EBpn0`J>$r>)z0Bh z7ILm2QKy2Hww$45lt+e_w7jiz_kk298k09E0XfbRe5&$u=149(X$)g6l$E3dB9bfS_eZL6rNG>_%b;U0X!&JNv6yi$_${H1=s~SRa!Z1vQKB_71 zI+<-*uAoWgq|`T&SQ_ts=}CaEVH3CJcqr_8g-5-WN68{+QKy5FUu!XQ)G_BpQCn^cUqvT1(DBS(Ok>=m z>_sLMp`d(fl(+;ckrZ zbHbzEc5MEdw2$LFeLsk%EHM4@&CKCoxHZZd_}}CP<@*?C9C^SwZR73^pLBQ29e!(k zKx_GxIh(D?y+2}|noH*}XPa}_ar#$`M-Jf5ljZ&wte-UoOQaxpnXyL`*HxqHdO54K z?cjC}|Es9|+z?wGC6AbHw9a(>*SU@lady8rI&>GEDc)GMV^2kGdh2p}|=8#gaM?Lu7*rJH+3yqcR_=W7p8wpc2oV zhYitra5sdXD72dg`S3I7Yt~vK4m2Wn*ZjSWH&dD$H1}%GH?=Dq|GE@<^JRjUOa3@prl31(yP4!HXTx%Dmy`yXve;kDH}bN?%QBTamFArzKk85W z;hgs(-pP^tjZuDvPQ1fI$9ON1-!75K-g3!L?vv8AeD2{eDMiDS1s_Xkasu~}e35ao zP0qJamc{v9Oq_crMubOoZf1VF(1A90WDJS>)^9;Rx!Y)2-zYUg}h(KC(I&G=t$o$>R8kjNe#xx*Z;T=W$ba8xeo<$PWz&5sbwntKIV_( zIpR56E@dt)peb|#f!8Q4OCtC z4r7~Av;PqtVKHZ+R|;ZE&V=PosAu=|r?#A7Y_4{KprbsbczZd+N|8B333HAnMr)yu z>gFG7dt>*J=!+ec%*Fo`|6BlVqh~!A|FMGlIB(Ck#P9uYlF!e!#P9PepZyu=lUooR zx;5P0W!Aq%@r66GtDh#weT7x^jt z13vCDcevOck1skIu5tgTb$ovwpEpK|4aWC{+f2KGfUips1G(d46Ky3QKli;9BLBCD zJ{OV8ESI?k_3dZEgKpRGiYe!Z+wp&0)Cb~Mn)y!=1M=mi*xwmHmdBjc#~pxo@gJLI zkclJ3GSZZ+Igyl6*l7i89!4%SjD1_7edEiUsc$oOvTcILiA$eKL9!k;xr}|c%YBJw zuyqagYyB>;SVL3=*0hzOFs6R z>#Ckvj6S+3tr8Pul(ExKu=^fzo8NLL*5VU0Bt6*68YZN~>b3OoG4WODL=G~EJ2_7J zB>p0Og0bkDzstQrP3+NMx_T|iM>K}8kM6%{$2UKhl0FhGrHB~nsKz7@7uA{WRpuM( z%(cYCTkFh7YyLrcs@^nO)9Os4G0V^)WirJ*E<#-q}rc?YV<2`-zVVC&GKx{VsRehAn|_E-fT2%J{ySCilAGtcH~9qbPhO$N4MZ}^J2i5@aeQzjclnTAW- z!C)o-OdBO;4HbZ8$R{R&0-j0}CFTseVP-*xOn^3OP_He=z6C!5O?%W)RRpQvc{2~T zSpfa;UgkR7QSyJf(+iRC+2LU9amdyf776A{nHUMi`#?4W<0+6W5vfOO^HSQ-qowhI zkj?mi^=M?%O>5&fBk;$iUS08DuJ@m+AF`eoh7-9Da)@IR#K=XsAM?QD zzGRoF8_#kYq-2MY+sirgsjomz%lA{Xnir4u2;9@Se?SWIIE!DR8P-OM!M$4sn2w)g zeJSe}wi+>C-lH{`cLwno`>`ib{~*WUWdM7~`&Z`4D%bPn7M!0gPO*|QFFrzZQH%B! zg!X*o&hKF!@>gE#p5e95R*;W&Ja`lBZ6WGL$ad;l=pO)>2fMa2*Q!UIRqtH0 zcR5v{+n-7|6lu;m zaEQk{*VtvJTJ(Y*_c`nMUZ)QIDIU~UBGT2m3hQgmYEk3VHTA#lto8cXE8RIH(rH0^ zbL|*fV8^(Yeaxw_FF331`EpG6FFI>wpKrxE>=-%!!`!fS>{aBl9@Dj)sZrxR4QDuw zc7h$CI;6idq%X-1X?JKo{L?T;xSPNRkdHp;zGNZjZjNxy>GtQK0wjYUfF*v;+A5x( zmdQLGRB1N0(C-1dgG&8OG69r;r&L{WkC64?y+HiBeOM)G&Pvp~9+B+|S-WBp_EfLWk@} zAcuH)1@47t|9;?QG+exc{9zBRl~qp;<=){wjuRhZN<88 zvn!M>5)mJOTmxP1m--0ks6f8r!}BQ(u`araQYv8?_^$`_`#eL#c@h|pnsPpku^yzy zcprMqjwWUg!d~~&T+tuzaF%jJXXuqnHS7bg&NRQEB(|4oL_K|5)rmLp>=^hbo=<_z zN)X2z@%%buG42bQ2lb{XRdY&?QZjO&DE1HJ%=L;I-ax%2VgvR(hkk$RiM?ugCicY~ zag1`Mt=L5x3g%eDXddd*ELo#U)Vh4?#7^M*WY8|~qKft3^kCbFL+AJ=>M7Yg4IMM} z`y8QUwhDTUqJ`EhS}1;s_xcT`S`SfAD;;`FqICW=bjG{zA<*q0V#O7#lQAO(@+#Ge z^E46haW;>`*jYsq^ytNR+{@?@ZTwNe~DUCiCpwq=(C0PDP*jB-GhCCv-xre zcQ8K&|Fyw;AKr^bv$w$&`mWdvvZ<6cQYp@{VfBU%n`s%&c^v*@37-eOkm+{nO zAJ+DhdszMH2_WrtS-eb_Spf0Ipc9b!e5P@U&!pdRLTyCGNe{p6-nKha9o~Je^k?i< z!q3yABA-^WKT>z-RLzG`E`I<%nnM}93w&(?6|;f(UP<^a8q{X>ng&IkyIsQnPTBTN zin2eV7<)H-av5|*3=&-^!TLF51I@C(1AjP6{VhUXa-8Bi%~QTVR?d~k_Y+GgUchJh zNW`i)AS)@>>H?pdMm@#rRL$OTPur7lreC5QD+Tcd^W_=0j#H8N1HN+x^?;w(;(sUO zo#g!=FXqsX`A~|tuT!CjrX|)daTYP3y#(G;b?JNfEIcVnTS|%nG>&PP(qNu}C*Q zHP_Qdf3BQ^A8rKt5c~_ohV9n|k-sK=CVP9bQ)owfeT95BRkPni>6okL{|tN|#Orn# zt<)mj6rs7^@h=I@$wKm~V&o?c9+=ZgJ^?$!dxL$zDlnbst^@AiJ5cMgErRDEhkM+0 zo1^9(0*AE(!C1|x2o`Fd)ZnxQb0b*q5vYYM20^qx2RFbz%`f!-73%&ljqK-Yov%X{ zLH-A_L~{iF0`Hk(nD-9SR%&gbejW-=KyVG@`yRnmkHA3>weM>lfsY~6GI!N@S-M5^BmSzX;x_NXnb3((d^JH*OYq%?s^1|X%1?ZXtZ6L?BSCM25OQu zNfA68PU!y14wE%gG-f!;w$k2vBdFE1Ha;c-|4i)l&O~se_kWS=)mb$|&TPa!!IK^V zcz56xkKl!H0!tEW(g&LL=NxfAFF&b8aZ%2@}3<2i0iVw?uXVTFSixA!^D1?Z=R)xWxj^{ zrPL^L8_iqDEe_KZ_InzOdvUe#J}vg8%8zlUiEYTe|I2!r09RSu0sQ~I-S54ZG%Xmz zrI1Q6is4KIIT9{8LP%6nM2-+ZNYMZ>IEp}#;xI5(3p#R$DQZ2iBE-)0pjfrqiVC7Q zg^D(wty4Qyv11YGNHyEves3SXzzaN*yqVwpclYh}|91C()JS(51FO3k`zL(YJoa(D zsUY>uFN&EysseN@nOybIdO@AEMV`eDYlVdzqegzRV?#o=)zNsRx)($R!5(ec?69 z3NMvhVuZQ&JR=$S>#dw?wk%ZxS?_U~q`o0mxic0g6UPk4b`P{Mt$LieHisCI`Qnm2 z)vF8G$2chp_yC<3NfzYj8$9JytiQn-5-PJB~i8A7u0mM?| zAND`>BJ0Ho^rQcUvF{!4qkqn~-i3aggNG*|POT^3sMFVyqpUN34`O6{KAQ6xArsY; z=1qGa{Olk%n(hxq4noH38RjY0i}HP==oIzyh}8z5<5cd_KFQ!73{~Bw++P>uBc*;3 z`#sFv>M8wge8;yXzCCl1-;D5= z%ZSh?<`DC2B!<1%&Ku0V$Q)PI+@t3JNz6~)VJ7JF%mlTh@pDzq*=NXV?_*gQ`Zw{? zOtVLILs$F$tzVujiukLezl?XYkU1yI55m1=@QFK>EyL`ciQm~scly609c^wJ&frYG z&so`g<^VBk5%o-SSQncY(ryqxs>oHgh_AmZorw1o|99laWc%8g;DR=sp z{Qnzx4BPpnTpf)2RC?*3N>B3C4*Fv9i6L;c)aWYW=^v4+d?;O5d#x@fH)1}2E&13z zGC{v3m+6nl(RQ+qgZwFv+_IOQm;Hxro1NERBGvjC#y-P5$E7cKva3%Y{j78jE9UrG z#s&H%=or^Cu4|w#x>}vR%O%^c5$wMXn~T1-EzmbnXQwwdV7|rbd_rHVCpubP10Cb~ zp_x0{0}5Od=YO6dmf2mk6-4Ee@>k@jeiR4u+6oCyveq2p?xoJ z{2=XJrMI5UUJi=y+c&d|H*JvK0K^e>hW-@OyIxL6IA^2jSv8h$}aBVoDO|EFB;@8ti_*jIo2 z?=Wm6wo-MGboO13k6d%2J^;J57Hl4i-HV)ut!O88@%;w-&&TFJf)D;EwioLIRSkD8 zHb<79!<>`23+p6eZI7M~IRO>=Fnw)5YpXM;+yAlMLEkY!o;uk2dCsri+HPyN{XEK* zLA@A1P!Ct=P1tyRqxCz?vyt&nSbN8tv3?+%HK*B_!ooeNhlSSu+x@e@?H23P*iWVB z%Vvw(6oVixfV)_wHgji&$#`ERBU&g0{%*-vt0X_YgA}BnC)Oq%(|z#AfIkp_yX*}g zmA&dOGRK?8+ZirnBUO^Gr*TfyL&j`VKc;=3ES6bjn{FSv4W{V!@-!5~ZrBN%pvat%K2rSuwE?Y~a^HA`-H^Gv4iQ!pB8;TG7CIFC0*e&wy=yr;{W z&|FeAyF`k-MeJ{p{0>SW8z#Ug=ndyPhQPVd33|WKkK<$3Zx z?1pN{g@tfEOod*KDky@>VG4|g#V{OZ!c~sGP!40D7)IK%eo^w{9?X_wb?c`Zzl5*g zAFfQEX3~(Woqx8zb%K*Qw10~11bI*bxj;T<$e&CmSpRHo&2}fpKzA4z^Sx$+8)wIN zaQ=P>kaHRp^P8s7two+>mct!xuKBKwbsC>>b6o4N`>l5UE{Tl~WVTz!#vi|SamLW5 z<;IWT?U*!k+?YJ4+kGd|hkgf<#qK-Q+L#9U9q8fKwEAA?*3EN!DRTYn{?3JncMCDv zGVw!eBo|hOmYD!rZ6aJ9>wlR8N8}Sl$stxeFwGH}d_S6PoL#MWw|HzaUGgYKj z)HwM>D|sj;BfX?7Rs0BNkSg<1Cvp$-r$}^Ma>5;XN68qPoahf3mxORvDNIBk;?5;< zv?<|2`X!)ua=%W4`hb||bli1%i0jKvM|f{)c<*3)Qb3ibMx-C-lLGpyQ%QAQKg=CG znQNpl8IcQEuLY<-uvSZWt{I+gTfzf5za&I1YZ=i7zSU!#-y3FIS{nCv7H3kOz^rcx z?Z*c;B(VQmyo~12Pcpt4$mymvp&xoCvc4%gi(Ds>3g$Tjsrdd>d^veo*_rS!7F=cXGVkFe?0*3dI&7Ipw^ejvj#rVbz)eVppD(k8=f^RKkofox3cZw&Fijpem>P;Rx5 z6A;t0mW{s~65juptdW3!WmAH-9d7UBc6v;VxII@lapzjYLr(srl{Ae7M>VOt7%c^# zyAEob_`7EO3AVMLwS(N5JM1@7zYT0h&t#}Rj7fqwmj4qO9pj`obSd-*9cw(`>N6ZU zPzjTh^tb{fr zDO`m2Rg5ngCxr4bl=ndaWWy}zhxT(Q@AYEmTdEuYbD;xdDTh>l8pOj;7!KQfbC z?2%A|*n<8r+8bm41mz>J0n%ZCYQuKy*VT4QlqaB6&D|FgR2c_d)%K2J+nt_Szt+6yYn6HSC6r6lx+3Jd9(J$yQ7{`OsC(e8tED-dL@+=U#8C>3fP8!} z;hQYj-Z>duJObO`GcOKyRP}1i`#$^yxoh$52Z3&gccPpO zRmhzSGVX!)-Cilb69Wk-r=zXPBX)VN)J>1fH}CdJH~!QsLxTbB;wYTJm<+VXU|YQq zuYjIt{|EBBdh?ojD1U!-JMK8Cz+(=CMHtuHBex@eH-i7dyyvm4B9iz2UU?oxJMAga zj(!KZunLyKkKq}}lCeI4UcfVOxv;3fsE5B&zEMq6jJ}j&e1Pw}Vtgkqq7NV&?t#A0 z8L~k3-3_z#dGr}nz%a;$@$e9oL4SBOFoO~Uf1pGo&6#Z+aOQ|&r&z3XW(ST?VlWPU zIj{oqX>_my%AcYAPOmOwoMX2Q=Qh!L&ex9dfycevP%=CXwa^GlAPl*b68aG3a`>aF z%eWGb!B&j_sjpp*pX24~PddwJy`84*u(Nc-&N4dM(~WZb0g-2?h=1CX%tiJ%v)Y~} zrrTr05__!vm_1NCYfq-Nc1XKuXJ{48$LU1#Z?z|z-_h1LpQNpJilj}mhiOyoQQAt( z`?8&`|J_bE3@B9bGF2aeZPwdUty7c|P4Fz%9)t|*vPwv@#yv6e#Xk{zNI4HI#<3q z{O|rm&A5MT`TXty?bYugG~?NPISEps5%tr6-x&J<6sU0pzHz-g?l?IH?*f;bT8xV& z@3(oK`fcndPHJ6Z2GIb?yo>gVOW;tkxB!UFp|oAhr^BL&_FAUu+d+p7v{^ZH*epi> zajFwDsgs$A`yJ!V-qg?hCdRg>b>f0^N}Qnzciu)SH@8zE=B$(bZrf?hcMP8O@{J#1 z&J$E--ihb?Y?Qf_r#Z9^`f0B?XY?74t^EnlhP4!f{TpW$Q>{p*O=2|_i7J%Is1Kzg z;}>qc$vmj~5NnOJ$y(sH7rA-KZoE{L*|JS*bbck0ogKzv>Mq;$b<`W%*kssLE+(t_ z!78{izED4}>T@VojK}_cjnd5fsa7B7T##dfB~3O}J?$=G)D9+=)(&-6F z9HGVH4JtK>8qC{ijW|pVSaXAT)@d^DrBY)x?xTn3X{$Thwo(rI511RN#JmITA39A| zFSISB1ELu1r_sKKa*U6t6VA~Av78D;4bDjg`qHS>9k0_tF_Ox}GVI@Ll!NgNMmilt ze}gEaZ{u7yAWlS1p>dqX8QUmDWKyy5HyUn@qB7$-JiB+(5VMcdhwIq?sXXrx3?`BGx5!q&&Q< zl#1VA{ENtw>pMs#vM%$?DcEK_)#F+VL%qDlWQl95UJQ0tSVa`p&p7`PgDLE`t*4o) zKP;+n|AEYL$0vF1)>xd+a_4P#zGT(s#r0Mu6}s~zcy$ryoV6ZA*`Ml-@4NR&SPaA* zb1_E(Wf(Cuk=#G+hm0}C{vYUXesOqf|I(R582f6mmNLc|V_%MdO%$qOw_41r(cN~G zF~%5Uj4{R-V~jDz7-Nhv#u#IaF~%5Uj4{R-V~jDz7-Nhv#u#IaF~%5Uj4{R-V~jDz z7-NjFuN;l&8~wy6>a2C4AnDYO2GJU#4#Rq$qk!zCSlW;O29VxP{&(X5Ek#Xp>!bzs z$5m`ltWm9Efq09GEyR0O9H7z0&ylH#5a#S)e4=8Fx>}}+b&9p(RcxSrkctK33>905 z=c^d&u~yL@>On(jFx^KZ5KW;{nnO!yA(g{CdKhgJ5G|qqvRmHKQIu;KpKk$`rh>b) zKo}bqAkw6_Bm|O>5Rz=@ZL>Q`hHQ41ErigUVz1b{V!_S_Dk?=$0lV}j_TGDM@63}Y z_j>QS{&D}f_uO;t;Y@zN_v7|V&&3e8MQK^NLI6C4Zl(6nrRfs%5}1C%H1(6R_UI^lq)J@>SQkWFdNR? z!{{y6rJ?F)baVF)$O*~eKUXjIpa&6lc5TAkjMoG;OEKYnSq-FpcC%kxyY z&%rdZq%M~pr#0X{g+JdT)``~Nsp;;LlHQ zc{;W`FXBGeLGFCZ9bp+YvZwQuL+)R*{zM#Wy6p+~o!W91zP-QQhtr>wQ`j;yAx|OO zy&K!T6T9I)0`94t_aAcibWUCE-e1L^&il`wo=Ue)$=)38yp0;Eoi}5mUN61PB>~JEZ*gqksxTI@#AZ(Y% z?Z2w(;hZL5CgXvSRTGF;o6!oKpy5GQ#-pj2(;SS}!~zl9N@V{C-CK60}x5Z zDau02o5~j}6)O}g7R!?>kt>iZlFJV(4J(WTd?YE0f)u5(q$u;F@=z6F00opUNj#Et zCa5N)WT8v}2{L2(#)=_IAqpXiA@UGP5DE~A5c01|uL`e<(}fRt55*7p4}}l8nSx`v zP9;tSPDPoroY4+chp3Vm<6}vQ018FfTwFOu$}Gy$B-x~xBo;|XNl!^`Ni9j7h}gX% zTyb+5b5YJ@2e?BsNhwKKNk>U$Nu_axBoaz-`E+?~C2R#AR8ht=(GS^|=sW5|jPaSI z900$fPp+5TOUxbZA)u{+mG_9g0$@(^WwBqgH$gOEH^DHWFo8To8=pu@0njVz=JLq7$K27F zaGT(mP#dfOCK)WqN(c9pNGH z_(#$k;7Rc+Z$m~yrY+Ke;t*l{GD#(A9nhj^leZz=7VbcD2r>RQ=^U`ESe>UW(-z@C zb_g>rIewTl0Z37#%hQ%_3v(bk1Q~xxx(6I9PUltTX3B6z_OBFRdZHS|8eS+w@kZ2% zGd==_@rV9j3zakb-wRTGQSW07KNTkVBVNQAzW_%BL;r_`|8c!F1!?XGb8*H?Kr!A> zV?nA5>U@mhn!>6jp2oFC^?ayH@?_<_cR*2T^2vjLz)&eVFs!o&Y#)g7y}x^}cCM`J zIO^nFRy}xAuN7f%H)%N-lK4K&Cgt}ErF;P}VGBP7`6})sC{qwNd2nSAwDx@{|6nRG zFnJW8eU`YI`8zOo zfojc>OkiVBjgyJN&fQ*yNgNnBUUewpnnuk-yQb8_O)RnSb|@0CO(oYYa#XSj_C@ z2u%9P<50k`011nSJY}z4o)4stV`!(pVOrr^(OSVg&b>{& zti6k=c4+0){?vZIPdacbv>~)3x~2Rg{UiNg{b3pIyp)fDk3o)ssQnt6=m|Nb-p0Wv z{7u%>h}28mCz55H7J4g&!U>P&rH zzzB3wqxC&#u*QWLlP|r`u{9&zn>tqb%THUnA4jy8!!JByvbr5^IZO;0#GMs70U**( zMC=%b3wrA(s)lf_bt|y&m0Xx#=6VoOg6fbi@wF_Jbt@ z&p3a>$|W~kkQ^c%Gw$)%rzB+RiS6UY_C;IHYUSibzVrk0%A>KAWHhhcRBMtKX2skILQRX1+CViBZn%C4MCT(L`q&eJM`hkVoGxfKI z=^yKU6Cr8!T{_S5@#p#M;?G*Gh3hEaftu&www$y#X#PFO3)4?euaPd>wyof-X9zv! zFSPE1Ee!2%YT6AY-Q?ty2bPCMXN{4*PlTbySa!!ry%>9#9tfe#a%r(5chdr#;u&i?7 zOy;T6w{h4Cm$HYf9Tn+zCG@D3s8pqTyilsbS!H1|&E+gO?a?&JE0A@LQ07QlIK5>3 zN>d_3zbMf)C}AO|$TKETPt^uEC2gS?=<+zCS%Upb{3}m4_xPMS>&2tNvW<1KiN>pt zZ$RRtQY1%&XLl{6l2i?vO$aJ(JwbVhOk7U-S9n6OGQDN%@NG-Nv)iJaq4f`TUaL;_KOLp)2|MluMeFN+^OY{)&{SE(eu6v)=CutGsfQND|;+m&Do+ zpB4!J;7yKgk@O-nA+ymt0xy?xL!l-tpaR(y_@%E#H~~`#vJP_fO~qMURPK1h8g6Iiq_;d5$TZ8YP6K`^UrCp)_#8#Z6;8@3z@R!^2qoYO&hrj2=R=Wakd|5z{Jq2ujW+P4qpB@q+v&n7~P|9Y8* zPrGL6;l#AvTR@G3?C@>1h!Z(tN==)3AKcUa^-0!VQ?;XqZ;CaCyC3%Ti|KP&?xTmG zzC=&|ntzQ}ve3skUmS^v_uQyW;PDo6q>$yIL3hvR;8Tw`kpi7|*ylyGmXGv9~|? z1|i)DvR3?`Zs@l9{;i`R|K^#v!Po4ebw;J!5OdvtWREzyq0j7?^8{1eg5i%CxuM4H z7+4Lc@&wVkAtCBB|KtB3>S>S2W(fMnOdQ5_pKc?ZduPXGBSfnXzeOLuMozPw|h9ZYQxPB&uGikh-xs2v1b2T@T6Lv0WI8GLV#uey_?9%G_9G0K+r8T^WI zrdR6w|8bB4H~|(yMDp;P1r5R{d;rRWgyI$W|6TO&m$T3-_0D??Uc>mW2Oqqfp-*=O z268>Ux<2Z4E508iG>)jO>>pa+kQ5yceD;6lNXf|X-*OgZ{$Btl|2J<}&68$fsHhl0 zg!AEgUOyx1G=uo)J>0v;#ID&sFt*XJukh_ZDUW4jIRZWQwRq&1Je<1;~ z1{4E_2EutT-dd1@>C_6Ji#(b0Lfs!ftCRGXJ&bHKuyJG-)QyJxySXdPx4G+++;*u? ztzJmO+ZA6&MW~~_?R8q>bsue#PAZw)svvX%iCh1Ax?vchGT)i__tUCkvhDn*PwT%0 zGW~y;y8?;*!xR)E1}Na4R{Gx~eKN7I{HG@<8zamALi(&!g_T!6M&mo?b4dv`5X?4w zpi={kS5sRM=Ms<8!3?5%U1{RE3Zi<6oLS0em}U(wSEpf5NNaJM-!4wGi1S46WZyEG9s*M~-*;<-H$5+w+rz@cUa|iV9#BruT<9C;~nwIrMj)beslY9J$G`;_av6S4{qHl3jtXg z%^%iNY?`t_IZXTB~8vg6bhDv|vd-Rld*3q>&QJZKwFAUNZ{qC6Je#kXi9R zzF41sEO%h5Yq;i-^qz?c3B~#zO{CxiQFuc0u58;vOJD&+6r~*QtKH z5o`OXnZ;IfWSL^Wcwux;Eri!;=joWS8%h^9VXjI+GW-#BJ;j&X0YQLP>9>dG9QQ6J zB{Z&N%NREYXPPs*%o`AEG&Sm?dj(^*&K!Vkm7alU?PYJnI6)yNy!Y;(=>M_HvE)sP z20C$ocZW9k!DS7cEe_#%3Z_%|^n)VhybW4`7|I?tXHSoK%l0{hLGK0zKFmcxlddXR z1O2c}jI`v1%*lwAYsq+UP3p~zA5X-Gzi|14;(^O~*4+d4`p^0b8Sg&-EJ5Acb{)@_sG zJ_y5)T3DrE5dH32OdXx*3wG#j^ca{L7=F+#U=KfCg&&u_UiJ+ur1jc;7CASHhHrOzOGV6Q=_M4-R%K-NNY z)$Zbd8b*uGE9fk8Ppd$N{c2m@$(icgZmtKle5;py$D55LVdX;~bNB1+nFpcj- zUhD=C{Ei)~8T(}duM*2z1uO(%lb({!o`IMeo91hiX8^IB*OL4egDPkCuqo@@w~l-%bk-=TVC zl{(Rbpv|?Ybb|H1f+)XbNlPd+dFT!v#MxWy^BPpXLNmRk%G1%L{oW1pcV2(N3z@a9 z5f=^pmMt%|MS)@yeUq#K#&jx^k=Hlfv3ww#-NfN)*`c1IOoPp2FQ{bXSIopuIVl+l z{EQ?s88-`QFKw%+A*%#31^xPtMW&qm!)F#sah=A|yz_Dbh%bgfIVF86oYMkIz5yAm zQw@-rzXd!}#MxxR*;Xn?v$&x;_7xE>i$zp;o#ktwFK8)eDvi3rx>lo#F?mt`h7ATG zd$KjIl-O^mEvn5Gd?$}>Nc6ZETII=0LtGcAG0}mTkP_5NI0M?MlOcpjZIS&T8pDLt zRYJ~fO%97iCAs0NHeXy-F%TvR@^%OQLuq*CSS zhbX;K_(&;`Am?T{sdI*|Y2gmnx232T4jQePPVN#U`)jSnegHP@s8^m%u z>OK?v#p0<6)}AOT)tX-4f({q4(5Xq0QM;BCM+Rjv{kfA^W34jA|VmhSFrNtCY=j46he@fvk`{QI@nf2bD4D)LqGO_UG&NNwX$ zXj@hvbP$}A1#=6~dWkDxmqi5B}`@9Cz?0W#C|9*(}-P$RYVCpcQR6 zfcqd*R~l(Qk7SYc?zhu1#;u9ff|hb{sU1(;M+{+d^y(wgwUWWo9n!CrRv8cI&h0TG zlrNxCWyP$ngkx*>4kUAi(}ppzAQSKW8&zzt&Bt3WDdn^b(H?>c8$#W=2_LnQiJ&PZqAHs9lqKuq9sr$4($Ib(S4Uo zNT}1dOw?q!Ml={v5Kv3m9EfLaZ>SB)uZBF~NvkeisNNPxy*@cYo24yLH!Vl-mlPeH zD~sh?K`yVUg~1n_!q6X8EB|}Pj2Pf#PyTDV`ZMc!4*v1_%*XAg)ft;6WcQ8LM9(t$ zWSdkP`ab)|WKF{Q-(Mq-D2pN=D#?h_71*`V9OQk;fn$B2$iDt_s7*#Gt@?)8XAeF=LjiU?~?R@Ayzale;le9=!@P-{t8%vtMqKEm;2=-7)RZawBoB3A1 zDqi7V*aHyl@ezo7*bUBKc%oC^@JD|0N6M$;?C!gD@>6|LN^Y7C-9M%r_p|D=av)~H z@WH=<-q7!u-0-YGbR%THBEB4Atf``n+-^(MZ_QUXo8z(4t$VCl;7?*Nb(&%Q%&1NH z!TqP$$U4i)+#y3J`6$G*;Wv~lIBbM$H6c~lPLBa3UtvalJLIa;?Y6R4TEMeKANyRm z+`Z>an+&a#J!sBD$jr;<^z{ty@rGwx8)PTUCs2=HPOqze)mR(TNXimG?R0C+Ot(yz%h4ai(ZP`0Y8mgJ-sua!HYSgQ@8qc~oUN-^HI^x$%HeRle zZ^f^c-mTKb(j5YR)1*jn{m53pNwWgYIXI&l3Uonf%B1LzACwJ^x$Ap&oCGNM#bm?L zohEBXUMi$A6^5E^i@513(Iym%t0Z&7hI?NZE849F`;k2shcK@~(_FNZ zFV@8|oB4t!REm8{8DKV%0(zm=y-Fb}e!pTY%uxQ6D1}RFitvLd)=D)JQiI`%iDnzt z9__Z|?w5`YF#TZPt?Exns*A94yuqv;uOE+AW=JLz=OSyM4GIeeXA5obA;u%^bzR#IN*BU^VtS}kJk(1`bcoAZ`~c|xHA~CHFkfZ%%_I`QtB59 zjZRN;$wOx8_9Q}OeRKHgYm7fwg=+~V?{6q?A?c20r|y!^pN&_ZKELM{9;iB+`d22T zc0F7juIA(roaXV!)d@JX`-z zq3s~hJI`^q0@!e(5hY{r(O={Pew6ZTay@{l1caLpMegN{WOT_nv?mjY8sJ(GtL~eNf>Y=JhL+PT=nP4X&-_ zP66j0TPSYm5aAd8GtTkW6m6(rQU_h>5hmyD#jAAw;l(__5=Nihi3AwXlia=j34 zmLwmF=Wj*wVNaQU4u~j@#K8U&X~d%tV?mH`=z8J?wt8ad@ISC2Nu%Leg zR|SZ1E&8hOv=w*g9D!o~)-MUja6$1Kp=ppX!BG;YoMsYJw3F@U-P|Rkfv2eTLNR{B zc}k)Q86-IOgBupdO@;z09Hx&rD>9$lW0Llhjx!zRjyP+y_jpFe&y$V=?%1yXh()O% ztQB?w`jMoM!odiBcA`umX&h>J`G+MT%HG5)@j>iD{uU5)2d8GEbA*ntUILDql&z$a zUj2o~QI#5a6WGsz84p~HJD3ax;YOLc>x?^;oX-`*SK}9zngtBAFX9evTxdm`qCC52 z0H!J!8TvyR!)YtDg(@{_2t{nqVDD$2akMAm2)jGD(ZPL0E=V{iN>J#9+5u6)Gn%m2 zD0XPXu6{jxtMYeD%dZqm%rb#rYO{1pq4&&MdCobc-$8KT%_gqS=2I>wb zRurin)Gd}yv#lT8NWQ%l!|O!}FcS~l%@q6oyk+mwS;_5X&lji?^;|vvL&vSfyUUZu z)AKDzG~^mM_7_>SgcQqF5aK`(>(tQZl!s^BVB9c8#msgUI{_a)Y9d}v^+bg@RO{`_ z<=H@%B<4kP=)}b4^>XZB-J#B{yul4!k9YTLW825Rt(Qt{E>cFQ&e(Zg=)g;#6e^NgFht?>?+^n6Oqo9}Y)={V#U0B@T#Yy9$4b#F6sxew-&f z7tM?z{HZN?$EYB^O@1Lf)iukL#}XAOo(X4~q$Y!*JbcJHj<-?~FhqVwFp#9|%pmsv zn-Z@-px7vV^|DyXeYlT7e0J)*M{l0#B7?197fD`G;IDC~7dcF4n(0(uqwkz324muQ zZFmx@aT*jGc#Cd!k~AZn9*LoL)>EF?!Bz7*$3jg`FI=J&p#P!^0Lb(qCNwCtLj#38+_ z$Lr;{+vq>B1agSWoaEcl+tKvHIZN!J=K{jt4F^Gic%bBcl*ddeF2H&dSGVdhp=4~* z{pfA#ahZ7EuwxU?6m#oS6m!m`Z4gu#RvZ&t1%9B}pp_4E2_6A&=!ck~%D}ge_gSF9 zg?`*k3z!{rt5O{GnjQF%qp;UflLBzmFFBi2< z9MkL-(xr*X%8Gj6fwBPXq%yiPV~w;uSSZF=Cwq6Fu<9bHAB=aWP<|lH8nX^jES`HH z%mr$0fk6ld!ntjj)HOt*zc{2%FIXW3%u=XBl(0h?+3F&ay^$?&uEAa*69U)RQqDF7 zte~S&64)Yhph~}7kOejXx7NdHH-NxQc91Tl?+rWH+*F{g?xY@yWVMjju|qE0rjiYegCd;t72H`+5fR`a*bCM{px2%+S-jfg3)!yd-ZD(E;mhrtE=GoewA{p_L+LN7Ud{BhKjG31o<3)lY7#S%Cd=qvv99Q#tc+dkli zteUrSj7W@EP6gTWGWkpe;WldY;;9*cA1&|b(k0B=vg2D&=gtufmwB&U$T{kpDIMffcipnr*BX3zG&+EXzq%YaSlpq=(=Z~=RrbG(e|Jw$>}+6e zY`9}d3Ew#jaL?NSygHr(W9&%C#`uqzM3G+1+{($sfnLnYz{y0!#K_jzij%W$MK zeKW$i<;RGgs9f%nnu>%z`VttfFkRGg+)5-p?l1ZP19qDI$j+`WvMdF;^9P$IHBz{T z(nX6?*E103;Tiv~zM#=RKi~h&HJ(<&k}KT%_jz5gw7wLPcRHOOUdOX`5&S zYvh6j>l3y}jVP*7tqlp|Q|QBHJ;RpgSpVaxhW7`Yd>F#;oAIZ^&xsmVuyL&v5F~LsY^L%r* z$oNwi!LT)v^QV6FQLZ9ED&_cQ+Rd1hU27cY&#npFqzPVfj-Xq+no!pB(wgm^51jXp zo9(a84zG{h{hlrF&iBn=wVD`q+gs<*nye0#pED{o&v-Vo(bKHn;X3$T7W_-jd5UxU9Rh4)Vf|2#s z2tXKrNCdSQ0-c3}Px%L#%S;(ZUtx>aMZK7rOHW)f=YvN!>5u&5x2j1C%J! z5=N3>Lqu?l=q(PCy!OkI0xgCZ@W}4BhLg*m;h24gp@v9esxh0xlX>MhqYTndpvo$& zSGZxmU*W-Ob~P6kM28~FI?H3gvOxmM_m82fbBvbuq{1p@pbY7%#x#YSLT(9)|LK*H zVJQSP1npR)zb^C0ww#nNXRRqVzN*CGUSW+@pYnwl%g-2cUyW%j75G%BFe;S^!KK`& z7)BdaE6$k2KnbxBVQ@{6(CmZ^P8l;9MZxyiRPCih7#qwOlT|H0YWP63%N>nvY|`KTkkHjx!Ol7lHMUZIHJN|;E?zZ;YYHnnhoG))EnCL8uB z{m@#RiD8TenLb}sbXn8rdQ~EZ?>T}hHmFfkNy)=&x3kqa`;R-L(qz2AsjqiUz?4j- z!m8Jx99{7;bT8}{eCW+xPgA!gnhET;E-Ktuzc6gyybQY(%mI`f;MgTV_MXP4HR5|- zpW2Me#uJooc}ecE2Xe4@a+a@dOz|r~cVq!ZI)3iXIQk0pv`m99GNbV;9G8D5#(O#X2HzGWAf zRW`>KgT!TN)hpxrDOnUYTfJCk{*=WQvkK!HRiUO=m#SI^KmTm1%BodN_wy)^%C{Gq zyflrjrHB@~!N1Ds#U1Fpy*cHejN}LJ7HSGLWMVay}f2RT2BiZJ!!y|GI~hP!Ok*?4aqIo!GL{ z;axbj9p0G1#M8X$h{ZPyc~Gb2UYr5q)}{s&JNLNO_=^Aw=W+t(xtGg+#U|tNApvPP zc)kgY&J`w$(Pvoi6GW*=oN*C?3zf(N zS^d_5)F%X4HV`K?TsBxo;q(BW?>pg&k~Xx*)8~5kYi7a|ss@z~35-0a>f9XeeezJ|o^ypLAJ}thVp&w|i0|lwsq2$kwEF_p!&SlkyGy zh41KaS6kNY_nqkjzSlHd0WKqPMX=Sh1B@;776>zn;H!&W*w!WeaewQ}PsXfvs1*THnvAbrcqG1YRzLYvGLEMGBqWx6Rd8|sbbc%(MS^g`m2*9 zyyeEWCYAr_Y9YALIVb`{wyhxrn6y5&h+nKdKpi(#A*pM$LxG+Bvo|G+cXpKMQn|J# zQy+Bp4gVQBJUr^9?CkDrZ`!uK>|y`<@N{+md;3|_@iswEw7GH7(yKYQSyYy|7Gv{v`ZuqFmYMJPvCW)^Z9u*Q+#k3 zibz0UV}oyhz=|7Ihe;C4@iRa8&@Zrrg9gw8FHWvDSy0Z zY=aTmQOdFce&kZ;)MSh?{iDLE!|ICZ#^3P^Amyb#9%dnU6K3R?0vzh~W5m_A3Y`_R z=kw9i>{Li7qWJRh?qh_VfLndShq}-|*-Rb3Fa6I?296(RNi0%z)P;+N(-oU(z@+(i z#~c6|dvy!lKL7l9o=97cN)Iuq5l{k0+{O?iirjlabR%~=;!H^PGi(BVn}D`^K_qH; z7s2%Vy5tKN5H12M335aUjET%xR@@F@Cq9b^jZjih$Bx++a_*sDU`~9^4EWEZj<}Yh zZDEVK-!plL`$RU!V+7~OF`JAXI;kW#nDp}pGeS}vle|fF_jU8kH;nW`smpssM}RK! zYXzQJ#%qPz$~2P(;gLF%W#EwwUO?4c-C`3yU33=ka3|@!vjm;61pZlbv|p&ut9F>g zk2&1%O=OfbJ3;&^sDUhD5UYW}jyaav1;&@21h2(h zJs@!BDHRRuEvPW7UVNzTS&sKBN)nCjlkRu2O7788t7-(gmSXFyVPP^xr zZ-5>7?$#QKkv{S}<*4D8{EV(jpdyt>#V0rExGRB2ywa;IT?}C3j@3j?Zz94bcx;x7 z(G)Y}a$Al)F%j)Ej$Je|%BScTJ(EW$>xc7M4(hy!eQCB_azEVIM4AEk{VsgU7}`vc zf8E%~opCMZGe%GF@5{<6gR4;MIW7-PLRoiG5gVLotwa}VUOii_Y9Vkb`dy}Ez}Hm1 z=D(d&gYW%}d^9wdi_f~YQ#V>CyxMClPXp2R=5-)(Br1hlN8hU(t2gmr_4whex0q6c zk5`kH+lA=np4=vjwH)xCIpP1=;8gVF zoJt~H166h3MH{wP+0;Q1zFX|$QUK80HaNb0qakx|YRAZ)C!RpeX&L02W`5pMc3ARI zMPC)=m6dv99Ku}wJr>MT_W7gf{^{Y^D%MGyJF=Fi%GR%zY-ueEJcp6+gq|Z@Pnq+! zBt!y*mmrlT@^xN~hmE?T#y;*NuZ1CBr?_f@x80k%oMT!8oeEk{`^&33cqGsmu9gW+ z%l6m>IYe06B6ydy9^b{L%7nH^sX}l2#%kOh-HJ8LvtX@c#vzM4{TH=?j zy!yC5G4z2%2_WzPsp$R}ob~^P=>7-3n2?c;js0JXZl|VBBpy552lXd-cQefda!_!P zkk*Ot7{u$3yV+uQK(Lht8{)^)?~AsrNe@LiLu?_o?5=t*FDKFoM-)dQ4DHFH*3?mm zi(EhWK?8j}JG*a>g}GHdJih{Ue#sbzW?(MljGJ!wE^kf;woP%~l{ zJOj)~oPRT||12SMloXU<@Q;4@Az@{FbqNVR5HTi(L%_w9XlA*RkYpWgb~WT9;;hoU z6vkB*S7m@>qLrD?=TMHYz>Be_9gd}DNR}~QO5WcL0R{Dp>$!}Jg%*}xDG^cAR$1?e@ZHX4j2=ph_uM+S ztlV>+Oo>RiDDNloSyX6qI5_e8ZGHN+lQJ zB;826BWa5NS=63G0#~5UG^Cy*!xfz;mIpS14S^#nlUc4_lxS%YCz(xa%q4^uPXy4omn?d}SUq%? z8rsp-)!jI|uljWVJF|UFM}Kwo<>u=9G8UYXak=X(-*Izvw|V$BG2v=Upv&yxEQ;J| zVn1yd+wQ1G+SRtfx8dvdcC&B$^qtYFlk3>oZft1V2eIOc zY&kXV=JxZUVc8{dBIpjxp_MtP3sdat~FQf3hygWtoH~}ECzgRitCTF`%$w0#DH*17pGRX%A`zao*+aqT)2(9$55KHrW^LlUD$+DLZlgiaImdWGY_`S zQD82k92#ZAO!AWPdLl$UB;v`9q)v~z+8Y0wn{#-HD#5b@gkqO4^IS$F<4OiU8s8D6 zrOnW!$YTD+19z#Ei$=x=q3>8Rhh-@N`ojp`u`*}RdB7YcwX!UohNF?<+{pcTaVU$` zC#d4S^_o(&e{Qa&5VICR++ei8mHYL@am+AOXTB$8Z}qLzx%S<^0*|eFv2ns52(GLe z@s0FJroKZKXOUZ%OdWfc?>;nhzqe-A$suz;w`TT&QQDq?_BM~5_LSHb?>Cn2}_vp%j-b+3)&rEDD)i)xE55ZNY(J^;CqPAf11RN zK;z!R+9N6BQpF;BFmCF@bByCc(iBNepE#bFcl2S~P5vdawG7dRTJs$>=PXt?j^)oXNl9%b4Yy*H9wx?5_;zq%Bc9kMJVaN@EZc9SV7 zJ&tTbP{Z};W*7k;(IzOW#CxlXdurcm&(DjI*;nk>)Jk>QRrL5+Ibb>oJmT0}Ypa15 zpUjG_g2%KQ#U0V?7J$~R{hocPEaBMGE~$UCagkcUPf?YH;FEnYvP{7kyG5VED zGCfegL=}{FlSte5c7`ZT#2BTzY<<$E2LM?nLrs z`fNw6sx~CwQ3-BMRT__kCY(rLb+UK@t<(06ayCJ(fh#TYJh zSZ3CSqVfVI3>C|KQ|CU>sa5;tr#*-Ir!&JSB$&^P2#o?Fwp;2~IGz9Yi6g-`^FhjDfkJc_7si*QSF7$E}h!$v`IRa}--4Ux-*C zAt(Px^Hw5agcSRsa*t$?wW=+WYRF0uLX|d$o0pLA5@z9*9t(AENbhcBAdGLVQFjeR z_1g+uTah7~S-qsyeSkk~RTZgKuDuFL92*Y!d*^IOY<+@Cd=j)L2|1>yz6A9FIakzBM0` zydM(*mPy~w-@j&T^tw!tP&X>pWBEVeAOvNd`a<<=YHaoRJKfzr-=!(@5X6dtF1-r} zN2AIVlUQ8Ep`I5K4nLJ86ySbIIshT~6iX&&-EaQTv%?tB4)1SMF+M$ZaBR%H6~BW4A?|`F)e% z$(Id-{_2_lpBtuH3ScMX(bxDKl<$vicR-0S$BN78!ENV?%dy0J%(YYMi0ck7u4sEd zf`UNVUG5bTxQ`kd!ipR17xUfs>=aDCJpXwg?c^*z6Ez%}JHdL+<&3Ex7KarXnfqhX z`=glSPIEE;Vy=b<-avvUG*8MvBD2#$E|zpIg=H^}Gp11tGG1kth1dijDBU020x-FLrIChh7?bXpnWvI3-5^`QaJFJKwBvT`? zooqghj#Vkv!mPciIj`xG+KY4^<{gd)&)HXLrxw%{#R5*c`H~Gp;@u}`E$xXU zv%fB#F(W!}81hd#btM6 z2{gZO#Wg(pSI-Md%qQ0TV;{a^a+40;p)_&mpNjwVu^~YIq01C>K4lC8v0K3J>>3O) z&YnSZx6WM4kUTEHix$2yL+^C!3|^YA7;<}0+kH4rl}ozFDZ%*jILUpm9fM0GF(UPoD9142ti_y)m8eBj$?`P z9ILBrQ~MEJ8QQXy3wFoRR)W&HP-1}P6>k}b38lnTHZ7qus-FHCnzvwCMkcM5c-|Q` z3Lx4`($VZGNHGE;}Cq7>8& zE_=)rVih{Ic0s?XQPXfL)H{^Ly4e^}(*~g?&seg^p4oV^s5557gyBZE9;*6xJ1WEYQ~64C+KI7S(k-TfP3uMpYIrrx5tQu0CHfBuIW+ zUucQ0gmIo}*U3wMT!RjCB~GHCZ-5ZAjflWvf=53`(vxI^Ul~GZlj1mMUxt-;!`NbpAE z5qJRKnU~)%x_BIcSjOc+t})WT&;Txhj8h~5fexlpw(ZkpRp@VPAqB$Tj(~f5j~H_& zO&b?lZ!A?_Dc_oK2L5rz2Pe9Pt2rknaa7pFdDz2{Q#wZnY;V87j=V+n6TQj4zBE4l zU>`%Xy5f6ov>Oh_Om!|oG<~K94O#Y(D$oNKs{i`uBJF=&=MW{ls%!2;ua&U&1xmHxuQ2!7x3HJ{5rzB*xqocUeV ze6hSaT&Hp?-;CtAgDak>ZAI1kk7^)?aUEZX&>DPPMkHDF<)euHFV4O)Dw1Hy8h0O@ z#$j-Ghr!+5-Q5}7-Q5}7-QC^Y8Qk6VHykk) z911%lDwRM*HU{mgBB0GpHriK2SnLM0;SSR|EvM(6EaE`a$K_~fs?amf475o5kM3(y zuB7%Z{@6F}Xg#d}YTiKEF)-WIBHA&hoDtC{Ixm#lTTQQrah16+QYL}h`D#o8|GJpp zT!1(>UzoTZsg0ZNgxYFmTHtJ@vrnsl3;5R&2HQ=Av}B&uDQq#!qJPrCg*p25{{<^tPV4 zMD*h&f8V^&>J&y*+8N8oZer~{1!k&6LfRWI>qex3ezi190}aRW zI<|)>i=7rjsC1kq98=^?4%P}kVuXk(V+uc$uc6B|o+U2Z7AmP5)fVqUDxkZ3#k(bi;PhUdH8*R-)`lg>< zY%vdISR2UQ1}Uwxs1~Dz=lLfti*SH1hV)P39-yo6)1!ZhNgV$dOyZ#buN}~LQpmr- zq;FQt_YNrNAFPzdv8QKpn zji+b%3Z)C1wMNdpgYoqd)Jx>i4h;Gus$QomiHq{^VD>S0Zh~25&2!>UH z~)orT42cw5KR?L$u?RqPkrP)_3)&2OhREHldPY4N~Uw!QB zZ(^w|TC0mbBXLM9(jZj|V;8EgEi58A0hvf#w_eRIAhqPB)UekmSY%eV{0;oo|OEB?NP60~L}&Yi+?!e5()@ zC$vQ;!BC);n}q?{#wCE3)c6b>loWdn?UaNqIWa472!xQC867NiXvn`u$%2}FVZo^v zsDxQNFw4R)Zoh$9TPSm>2o{2Oc!+4#*n+x++HoG7Eurwl-*_PMWDSSBB}i&O>S#eAL2P3*{|z@M?l^*L@&#d*Jy}yP#^Q zy89zq805?T`0x4O`;X%099H6jB~w=D(uZhxzUEP<>7UxGD_Y%#KdP*q)?x~NPpC;{ zJUIENnQ4+uqwI5Eg;7lZe#M?oidzxFjWS>-=xv<+ z80lajf_+JwCA5ov`pp^ZY7^Pz;_9h)UKM3^%x0n3oID#kn zA;&8--jqI$9fE2*_%xJfXQm|rDa zT5xK(@_-bDr7(|9@Rl#k8sMmxObq_3Ydo;~or{u*|zmKobSBFFL2WpqWe*iFofs>3Rh-datU zHJPuQ-q33w-pCt85kug+=g{IT_4GPMH%=BWoYQf5!X`y4Z()LWvhM4cL(`zr)y`rc7_G}R64m{ybqvdT~+jST= zOK_7j%$CH+VS_Di7UMI#4>yZ7{LFNfj}}^m+>-1YSo{&=0U#gT-3{1M`K^t2{~t5; zgRum3KCUr%__0&JKvM2EDp*m4JzXDjctgd!@Y*nhS(mR(&E~scCWE5g?w*C>rM$J= zZ*YG5T0P}d=8hO}i4o-s8 zW1@4=Q(G3Q35f$}ZOhv@0|0jgco^Hp3IhsMDJibsAef3V(vZw!P@*$mpHc>Ixsyuw zVjmTN^81Z`2Y~mdWFdq__s}D-@p=Vt1<>zh!`$@^W8%gWwb0eMe#gQ}3`0M28= zQCki6kcPm8=e0gxAm*h2#&W$gd&7mpqXEtVPy&bEPpo2#zm&!CN)8XW(`tTppH+!J z2jE(MKR;^o8_;-GK3e?P1}nzE;deBCE!Y^fk#(Jm4u}U3o0&Zvz!xk%&>tf~*?)z} zjQ=Fo@W1y;vi&zxm6_w;1mhai*DXl?(<_;wRD;<87SE5@=Rufaj2|C`|EmXK=qxbtZRoKswq?Nr4q6Dlqx!3QQO`lR~)x zCe7D$?s|!m2;=+=1sDV?Sjl8xPvhmEqg{0~M$0Q>^h|Zta6}l_ zNydQ%U5pm|yHtiCP3oFh^!|b^tRvBJ@Suwfb^1u0!SjUnkyeq>lE~XwP3kL+Ii12U?{GkQ_RIBV9b7w5pkYD{wzr0Py zr28Gz9`0WE6jO#f-%t&l5M!7hHh4ef1(HAdufKpZoFkmxqmmhPEN(w^9%nEbw3)c9 z4WT_;T|p+GKS<9fV*<5jkYR*3_8b#|RXd-Di)%HbTrm)${H0yXZM0>k>{5HM1IzRg0^V!bX;^qCY|8jbzD9FgT z%Rn66og1EaExwYyy5!^W*#Xcf(^7;#FxAuAQVo>7?44Y`oZJ;3;rsk<^KoNUesFqv zc`}v#=+8m)sU&DdM2wkcV+($pgmirPwRfcJ%>rJ5MP6WL=2~ovzs;xPFX4f!G;!5W zz{uv}Uy)iJaIQ;N!YVL#u%FTe#<0jh#{BSG;5x_EbhwWls>0esU;#{B*TtW*NnmbL zDLr>>v9xKx601KcaY!JN8G+~&y+uMZxeM%-Q_P~dXKg{IAq6@&GOHE!46PVuk6^|I ziSgKPOv$)ie@(-|@m?_Cv<1O=YB6KPfd2&eh1po>}0Q&rWd7 z@_R&;z@|x9*MPZar2e@UAf(~6SK5}{`=H$N&BAQh>$1XVqrR?PRj+01yK;h&&aS(# z4;C%H1RZ$9x2|^W91#-;xpqrYx^;IT;juU>E%@BTIaSD+^#^F{V8~+Bk5KNr9k{sD z2Y{Bb80Z};d1+O?FhVKv zlLeq8{QXN-Jn=j6@=(e`nP7~LJ6>e9HSyN3u19PBXSfCENujx8n7Ru$N@Rx1R3R9t z$8eTAl0`%9%UTiVZmnlAbH8BhAK7A4yk7=%TzEml+FVC5OxHsJp#z?BwR_J>A-k(v z#oP8m4RYw1PBdu9@CI?eJNjn2%f;U;t|8OXsZsfJB)Yb=hMrfr?V8wXfc_T@Jy4E( zkHNnLlYi2(`QL*H$A2?B*x3I~h^s?mJY4JlgNa6A*&OJ9?Ce+Fl2*)i;&hbF*>M{um=azZ~tk+P%J0=Ftz zIfPZkO4FW~t?d&<|1z&%l#jIbV2rco4V1Ko4bLAi%0DG9j+BRww$Q^_rCTXbf-1C9 zp!DuFQlR7&mXc7$XiU}lkzQKqTk^*moY&Hts?0Dv^%tRD;)=*V`|gkYP-Iga29csD ze|8fh910Nyt3>*~Ci<~xQfy|-VBzwp-296up!g(dNU$1{d4ZWY{}X~^F%uD@W3fRe zBq!#3FiQuOe;i+byn&^i{XUdv#7X4DtSKKDO<4r$+hQ`0j73X44-6?LH;=ryVaBNk zRVy3GC^G6a;4jaDD@QVm$q%3(D*}yoIX)AI?Ew{QWkZ6g zVo}cnC?jnADo22og7NKFDiVY`m-s3CXC755yk>sm5hB2R^r!GbA%8Fys@MWIC_e=& z^|KVpdiW{Opo*Ct1&d@EGTRzVEX$%1Ribv$5NPaATdrYrMu0*7J|q7+c{|)JD<$pG zMuAd@bUNxxI~WVaie8=pmEKBU6e)ogawD3YdP5W1sf3MAkf5Y^lWw2@eD8hHE+vah zABpoFNT`w~2}V{d6_OHT)Pp}kct=Z))9;WnPIx} zJE+NLKW?Sv=UQaPBS^cFxt0atE$ zg@C0w9DH_jRY^b8EE)EOf^)X?od$Y>S#X%cBFT$Z@Jap*Fr2QNTGz~b6G^Osnn9QmOw|^{^1DRIHtF^}rIG^s9pz}z zg(l7k8vgTTvLZ1#$Vv@7`N$P&16izVtl1-h(@QSOh%0y!Y77R%=?T z>+^;i^;1S{<{iDmLn^nn@W-+i_-A2M^YLhUaJ*PLW)a& zK=l(jx?+!H(qlurgFOr}Ug{cr$K7UvEMcLoTOKzFw8+ie)x$}$uFE5HVeit)J~O5` zo>@0j2$HMOYl39-VDqLoRf`scPk~eiVhR%SOn8n0U#chNVjzTHEM-wVlj}JQn#iMC zG9h}_VO7R~-fwJB96Ls-)N#ipk3o4@%F$VuUV!slM(HTwkMM_+LJJ`CZ%g~^u8QBO zM#!AvN4CAD=0^j;U4#4|%4WKezPml!4+wBEx3!wV!66`1?XQ!CRXRxsOy}?Wu4rnj z<_dscRK!F%q(8k_H$zU}3vK2z4x3mXYI8YF9N;~{qrv^=Ug9+h+itVMi~~g5UW|RO zH)smCK5ft@`!n$@50T!HRuj}r$N3S{$Z8w8bV$hY-KP!y(aR=ga6W3_9Mq>RY2_c3 zmBGUO^=@G(-MFEy-pzKqv^}Wi?mP7C6pUS4JE}a#>^W>c185!NC&>zAJ_zsQ4Z4P8 zzCSh1N7GCQ(zzG0OY@n<5zQ*cIvt&%IrdhvAfVB$y1U)V|XQyC9~Dea$u zZFf%j7d2Nef)(;R-6uV)yc z=|kXZqt zZs%ZKLU>FyjTvM*U_qQ9x;sZk-)?QTs3Uv$F1B@|dT(G!7X0}H(XQHrt|wNc`tH1r z9gSCL3do`cpD1n>ry>>B z$IuEVq6JweXeSwk7}TGkV}J}1V+}>9|B&D#N5}Cct}ABbq|^g-fGeV}H$=w?P4HzM zk)dD9WoKZj1xv{{r=2xsoFr|{l&+_w8fC_C3X=ZjWD3$R204QjwUav|DeBZkybg;9 zing!lI_-|*XyMJnPm|VF!YRZQm_Q&}APWy5c?>KAfqN7R zM}WG3&VsAqU?8=NmF{$4CpS|Hqjq6+WC?ssjUFJ3T_LyRr_rD=k6fQ%FDg zoS|<{3m9sh)S88wAcY=5{$nnWOvKQRjT%1&vMOIxR%m8nH1FSQUm-ukyrZP<#0Zxp ztjs_O~fgfclO&LsJ#P?cyV z?m%@eSFB8)U@p#BZLR^SWC>NehmksN4X89dqOjUMei32`&alyMaT;4BkCRQARLDed zlR{}CSVjbM0-_wgN`7${sWjWDkuWLS(l`|!ZPsrUsWEQU>bQV(ITEc=zN{Km8YTmt zu(%53>2UI(@~~8drR6EbwfXf!<;(l|=&F6ZJ!F{g5|56r)y}cr>jhJZ*0asi_2u*S z^YU(9G+akncnq(R;#lfb6*`;AyKRG)$NS~|e$O_>^+`6J>&4;i;E7D}d;j+2!$NF( zb%md8W5bH@umS^Fuq)tW1Mrs~>uAptIs2%p*f4I4_H*LR)U zgOGzUD(E?vV$RNGf~@C+o@-``#h?$AJu+<9@y=R8 zNP3@u+4)UA$JrdQqeI`GC?O2Hsl-d*%*e)i)00}M#znObj8?fyr4mj^x-|U-u6w1c z9ig>Ghaz)HwBc6&t%j_r(MMc0pn|50-WhP0ooyclI+H*O0Gu-m{@A@-rL}@LdUC?_o#(NM_Dc; zQ{b;Ln&LU5te5y)$Pmp#t#b7*Uy(3Y?liPeaivf&R_d)+RXaDFD|#R9?9@5|+}HkI zfE!fi*CM{OCVc+`=nYQ4%*z&Fv1~ zr+yPg8r0}$7;?BgZ&BLXCe6osEno69y$~CYLaH9JpPjwsQXOa3#w67{I%}-}nyH*V z6K|;%7eYY2!!54P&QT#-xQ=i-QuCp_1D#%S2Gv(G)tmB%7XeFam?mD|r1e#WP~MO# ztMLviVv+~C(+;ou%R^~(b&$e#tY1-vOV_Nm8u}{G|x`V)wa~o z<@ghJnF?ApPqjz3dHeEoh`SF>dw~?So2$*c0(AExlKAySO$~iVZX9?z>q}ZmTTw z@T{dTCyj@FU#jv1J(P#mdU)fTXMEE8?fvKK!%JC{`krWDIZ zv%-i2PQQNOT}cl8a^i;EXGG=HF@)C!vg>dkr8nY4)`|U5U%t#o_nsK+&8ZVw3rB|# zLsm`Fk5lGm_j88+D7v=(YmQ+?>00P9n8_GvY5_R;i^eD7=Um6HO0j zBt&@rUjo`c$@Tp20gdCo0F9BA;oo>6&o!)LaQ;KSCpDKoMG#>-yr(Bin+*ab|C*zA zUXZuM6;|^&%jkHY^W`av(A8bTep<;!b3cMm?oaamME~Co8u7}`9};F4o%Gp00oNnl z@@B%qkfd-LRlYqv+5zYY0M*EA6-RJkC_gF{-#KnxcHkWaS33UT1wJVL1PCGErJK+a zFtmIlqDmW8vDz1+Sgamy4Fncgnfjq$ zg;Ix2aM7vQS4*&x<3s@J%+0R+r2Hg3q6U!Z+!(TCfPa;cg6861Ub12hjb7las# zAj|Nx8BA`X!Ov)Tuy_-W;ih=7Umg5}mUVpWf%IOCjGpO74YFqmK8%?N!=%llzDo#>-x`r&i;6w)|{T8}G-+u!qJ!Aac->%Oie1R>s`RsulQ!cae9)tLk{UbnJS z*tA$@wwXNq3!oxyDv?O6J|fp|Cd$!CJqCYAM_;E{ZkHMBNnNkOC>GWx)GEM<7X4$v zFs)GyoU!CjQKG3BcvAZ5kB(tR+wd((2kk+vUx}Yjw=G!tR|ti4AmKfOZI^yBg_0}(L>ZzLCwitczm>y ztp*nB8XOVpsmTBn4Lc*>QzzTU8}B&DMKso~LsmVTdn{@}5{<3`7oAIn5#egtVWeRh zn=K#rx&#(zQ=@^+_BB+uo28wj60|SkG%NKykh&Q^y?LM8`)dL;$GOY}G0(eSZ1Q?- zG9pl%sLldKxVteGpx$yvFZKuOCsF6T@)qv;qh-no6;}2F>9IuvigjUi6YjZP8ctp= zO+KY~r~ol=841|>7H-Nxa6cz1U^S8waA7n;n=o91WUO6LthzzDrqA`fZY=Mrr)6jK ztti}(z^L_zz{FltN2{*=eR562c;97iD!8aef4Lrie^ykljU5Bh;YO^Bcb&9^xacND z2{hr-=TAbWY53yAT`95}mpSp~kD4&YSP>>%!W)QHsp1uvCDE+de%JDZqG#@Tb50I8 z@8tLly>#tXdwk>beJxF}V^fB~(bwD287VT`Vw460HCJc~N(MTuLgnu*k}E@Vy?mtca@E5H+q=@nTSEIWof^(WJ_rQvBTU|i1N zj>VKLq{b#c2%4`4{fKCO8%Es^=>_ILL0OiM7<6am={WIqdXFAtAijMJw|Bd2I)CMS zn!MF+k1k%S=vdpfpIs~#YZ5iBRX1lKB!V1q3iEA$R*PjGFtrSegJz|SfoUj{x$n)F zk+X296f6YXc1sZG%J597x_(4lm~3ZVER|=F9j#}arMxXpzMc-VZEmxwuAe;|dOf*x za;$Guj(=gCZEk+9hAhgIL__jjT~)c9#k^h6;#1rXc&ou{-vj%zwaa>e#CD-yc_N*kk|B%$t=P-yQ=1O8a^6*stq7CwPccT>*vdK%fqnO{A195;1*ei=SbF1O@7t%BcuwS2{Q9t62uoAbAbY^eQmaPss6 zx08^B&B43tjzN5=j}m$a--rOjJu{a$h6(8F6f;%529 zrHgX{UMFq~)#3rkh1K0>5m~ou?Uk($y52@7UAt?*W;<|W5PKJa)OP-_k2>W;czs4& zF1D*$GZ<`A;b??=-)2N$Q!4;LQwSKC)A zZ=alnH+~(yn-p6j&H|F!IhE^=U4?@|szra`FwNqi zAT>RQl!47{V_S!FcKyNdUlfWXzwN#_&x6>^#K2eVP-}eXm*FnOIOalEKid|GAMTO^ zqh=I9?F80da0ct^DVMeF9}}}}PVTC=K{7z3Jat@8@g&LuVNsR+O{IL@nRpHp-TShx zITI5ms-x>VAD%AukC$|#b-I-}oU0Xc8?)^GDB()%9al6q4dg0HRR>uI&tR-?a>Sc8{7e#TooK`NOT)IApriw(mU? zcx(rgMmP*jLgMcJbZhgi+f=peib`gpKsZJ ztE|MSf?zpmVEWNjxwyCicz9EG*4l7m%FRiNNhc1;@v^kF&nXM5FfgWx#9_>DXa#q1FKk#bOW|r$9g<{HX%y zbR(>0Ke)A$+hYG7QuZH$!h(+cT~exPMxtlcEex>a#29eD#<6084+Gy`O!uWa7$qcv z(B7i&9s+<|G_;};H*v~9tqvo!_*ma80V)`DQ9a-=J}Dg%y3b6-*|B~7K+15?hK_LF zPz?6a+JPQnv>zCB;)9uE_3EUQP%9-yoo@OHrBcM8HoGXGE@p!U!<6pCUNxc-5!(Dz zXze8m#~-8(W1;l&6sSIUUIV*`d6Koy{YVOwpWLsKFd|1RI&_&wm24nkVA*US<+|xxup;UZ#sFk5FfH$I)ASrAfbI0?GDUZrB9fO# zOC;lIQ~2p>F=O#*yZ`X~(xPjFoKa|Vezh3?Fai;ujk0BdC*!F(o87P-gRLIXbM-^cUmVyS7PWu@XMqdaEwZ1L5_MX}3cJ)rN`rH+jWTSR&NK}bd`k+03Syp|&B;Ndg|oYZ#Z!;k_nA&@>gihWofL5^3`vLp({p?&pK2h+u8J;|H>(}c&Q^<5o&gzr;lNWpPyjqO+op(&G(Jq1jagetEkY6sxInu(f znFG9$FdBZqIm5kGXX<9ja{YtHLpM*d$(rfOUA<~`_Se02?bT#XX0=V#Z^}#iM+5J6 zpvtPF@%EPoTIW4r=bV}34xz`0^TDCE=`Fhav>sy_(UwfaRw>b2H4%g?%; z`K|oPC+qVY$mAAmw?$JX#>hKZE!~~s$Rp3juI%deW|%q#Ev;nJZC5@gy7rtSRh;9p z;Hg)BjV9Gw^@y!EA#4h`d*}L3&&C(?CUg%2$BvkT^1<7uu9W%%heitiY;Ba`bSb{} zz_0YgC-qfB5g{o~L)Qrz(-7P^ZcCUD(D1_uP|mZv8dgAbg3L&ir^!W)FfrW%gu5oa zz;9V%@+yQNoV=A-=5OP}K>jz0d32V@=OM?L*(Mb9a$KMa34>*T(UfL2DWWU-fZ!hv z0vv%GXYy8LnBf8@1>7kWVo4B^xj>;Aoa)gP1iBRT+ zE}}olm+~4;fe9&rL05kX*yqR9W(USw$Q3A=XA+DX_wv6s#Pxy9`#TKzF_@4@Gb|TS zTz8(UQA>_KMIT2ms71vwp(_NSfalb0QK(xN0P@|eI>{7)0drMS5)gdJ3J#z8atf1q zUF<|H-qVWo!;o82Sdh+sM7f!?rv{D-)XSeG9VBJ&9WbuxlMOpk_2C`s_~GICgbkG% zMx6oFfBKj!3~8M^9(Ryfhvz1}fy%jp60>878BS?wS`#N|zEjC4EEA82$@35jlT=VZ zCA`-g9e_1OHiFFp2bSYz3juVpf`kpVzPACk^9R2jibt`JaToI+%XN*$M)Y4P_fIUy4B#VCw?e5DMUK;~qBTltLq zU>@XiA$^i37mC{}^3W&$YLRAucrcR0n@E{Ce z5vCC|R4xLORnJe|i$%f>CJ5wFCeDnZN~(?RAvm_C;5(RUG)&=#d)eANgeL=on|<0R2~S>T8m-I zSow5DexPhGo#=<^8L{0{Uu8DFNA%YyM#f5&#HOF2Ac};hTdOk0Pm`P+h9zljw}SFW zCA zy!>z#;}ElmTtt<9V_mw_^?ZJx&O8v8N@eX5PwgtJHdmP^;6h{4Dwv z01*dU&J$VybA$`6{cI(kN~9s!hfZOEQZ*xyAbT6M6rHI+-XPvbh`xq(0)5FV76V6V zDyOb5G)`E5xN;sRotI#O2v?F|8WYK&5RZpRjC110;1G+~qAcN|XLnEliB07hasxBd z5M6Nn>$fb7u3ir+YP-5*c=J0^^$f{vLm0&&-BepcWYnNNX-faRa~=p7m1lKjus-m@2&6!K6E2~@ zkPJcTInKgLiJily!ubW-K%~z-%n&l+AsU0^x;9KDVpC=)2}B@LN>b4mnH2FwE1dxv z=42Ou5}~wTdZT71$o%dn$Pq?NwfukMQy9K2EFp>ha-uK<_Y&E$TioXq<)6H^5mrKN zGuEC+F9I}m%5%iNb+@ek@vZlzBfT&wTKnW0D2c~B?fm5e68KP9BxtLGOb!00ar@W| zx23VzoTNmEGkSwBAXwrMe_++fII&NHgFu4x)=R`*<<3fiM^*{2ZvVad zNRdsLeetyCQje^Heb^OtRHSGSd|J*QpIsjfF+T7*p-z?%Yg^Eo`kdd2(IMe7l1%dWq_HJNj)K|~ zaS}VYrD{NczVF^67X-=fIAsQ}!1nALz}k+Z4IWD)@#@*4W<1(!-YEI@aO0y!%&4+xyZR zsSD^eG{lpX)J=r=6}m(_i`Zo%bMAgZ@;qJgfITeh@!V8|Rz@aE_`C)&PVPg|;woFQ z54qr1h$Dxz32Yb#(GnSUDAFfEENl&Tatdlc2T~@UDBYsJJE|Isz`I?+l|1G3lYZ%w z57C(uVAPZ(e1s{%522XjshpM*JQv&3!!Ze}cdHKf`LYKR+HkRIf~$rGmP` z$RYFJ`FKBCMLqv1)mqrejZVHizkV=0JQixR=tHsGjZici<{mzQfL8j-=QwXzm5%tk zyw_*R_C4nH*_$4) ztF7(%1z)pF3OsAVX1JbIlY2?{r@zk0{Q=ETDs4BnPp68?yP{^gMKjC8V1$H)lAGH+ z=+42xU^`vR_-OyZIp1BIjIfH^yZ2}R!C=NsF`EDEAWLMd!fzE7T%v~OnKWsrVJq4k z3F3%StvWW&^oel&$_majHE@+e?u!aHlAo2rGwA|G$ngHDskTmaW})u^d5l!y^5Gi5 zQD{1xkhILPX-n8sys#;egdo3ibLs7Fn+ya(gQzib8>pj*JWWd}%oJ;Ujhu!0dxC?L zdWmMyghkq$^x(xg4b?DG9o}BE9DNhOv0?e&rPNZj2&ci24bVV?;epxY|BA#xxn1xV zD82Y1Q1s~ita+?(FT(T_*t1U@ZcPqUD1s3fbAK)YDnxV=lBy#7)pIRHejla0rWE%e zqOy9OhkRT3><{Y+ZIuWn<>Ff>)DKNBEF!2vNyy2}SXu^MPKmC>fiUg~9Ko}Lb4{eQ z1K%R=T8rG zMNedRTX|C}fqqU6!3<2ZLr4g_wL)3TilsiA*sM3;U-H+rmjC2BHa^A(mC$`$A4N`+ zSG+YGE`qvi%BTlAEU;P&j4MMiYP{C!oY}ewNvCLx^9)OnzZ775dkVo&;x{CuSp#;! z?*5!2><;kzi@*KXUP$fgILF#zVs%+ce9FurInf=^3GngYN!#)YM1!rZ6&c@cweOA6 z*^Ifu>lIMBdU<*GS#-Q0PhNLlPTlf(DPo`jw;r#59lZB8P-{fj^1R)9 z)~}ncyu*b%GkW>EcRc*EtM5q{Q)TIi5Pamo(Us%Pp0T+p3lqpyqtT5zS}8rTMjqvD z%?nF(>CJ4lWdtqdthYoLaP2I#(=1#wjQv$e^o-$!t2M?}Dc}QP>&oV(Mcd`+=)(2o zbqk07K41Jc3fis9f{nI}MHUGPI~y=RmydFPaTAG?eLCDS8s=iB+hPf+T3um18#(5?CW8*q$IJ0me|#{uI(Y$2`2sE0Y8pyUj0;$w@%e zGez$obsR}}s!b?!jBwRXx$~J{$^%aHp-{%m8(i|n5J-WL#tT`08qTJlE@mpA)MIy- z$hD~E*e{`j7>SGEfT0v!JzmQRSaT9(`y5j?GRH=i;!Q7F1FO$>j;9|tcdT+OdU0tg zu;_FU^c$HMSVy~pK|(Ii z-R{uhKa@4>oSnTs)?b=UuYWy#uQ?Gf_|ukbOw98x9$fQFIO`6-nJ%2j=eH&$;hVGb zwYMc}1iF4}s1Q~ggn$NtYbJ~QE28~}T zCpz(d2Z>jouox8ZINZ?qkiM_Kpm&?Z>}$3smu)wC zu(j-9N(^$h1c6v_XWBzabW7en24Y5v#;a90i}hv~J(B-3TUV5zB4WyzAK|9w1JN`z9UuyxqROzvVPhf4#haKC-vtBNnV` z;F~^9p9&g-Dv}pK%OlA5RbX>egi=R~l8^?RRBRDTPKSUo()ZkPX;P^3;BFrn?zL}r zU$B?qELxxQifJ{_m09KsDf68~9gB&U9uKw2;uw=Qw(@3vQZ1{0shK{E_WKnano!~|UAv64=|K`3Hhwljhnun^BBxJ37WEgK@tC);H` zgxuk&!`p7**F!y8c`(*kOY!dy{V zJpj^GzJ9CaJYRh=?^?M=*4&kPPtFdHFhqq-oU&mVrJS;_!#M^aSOE;;+i(yJ`Nndg z@`26C)x0}-L<2?K_(5MX`TBapxeA&))5-NaOoov1<+UDS`h>! z_#p{wS1yZ)&)V#0M7s*B4UZvBVK#qrn~`F5Rza2|f3=Cr-dd*k{DgNo zayv%v&?IYy#**0(VdH_q%0jrd^S7)5KmG4vvzM};wz^n5D)Sa)C`Soe ztGkph=JhZgY+T-e8M{8Z5gEKa;KeLSW46_f7w)^~RZbfTMV>{EUuzBlN;MFv}L=U?cO60fIzScKNX_#`^MNr}5dLZ&{$2{v0 z#q21Rwj$z#8W66*E3etWvvSMJJpY4^m{@l2_%AnV|0hAm|IX`Xq-S9GpB>^I8k!Nf zt>49$Jfw7-l*%$t(fg_Wj0h2X>j^RH5p|~drFOBHI1u)?kEpUDE>1XR^VV@9Yoj$4 zyvoj0PpeLoCCW~-Lx00*ls)sod7v1;X^5AxKqOWSW~wNb(?Ddlxx_BDC~`t~TI)vdS(K`Fl*Kr;+|MQEnOP@-tqcObDI zk-12)6X^8VmXY+WzbgvUQ+JC6lC(&FCXgJWTZ;dX#HFIH)fgd_JqI2kfI7u#cZ%$z zhai>6Q`3Ydj%sAjonepSw|FI3>h5^sA06j-J);PIe++-_gV7D~C2xQ=0)}#qc2 zbj!R@o!pzNuJug-NNvG#`<3m@8@iA8`}FYJ=2ML9H?OdrulV+K@n$%~-~FI?^U9U) zTxYv`y*qD?X#?={xK$IZ*m!U>_fu-gdu{VFhqrV6>*iwqqaP2#tbJXU@5=Crufykg zSYT?hzkly@vHVA37fQIo<1|0#(G>7G2vQE{jWmBt(>c`k52WPeIP+{!`*aY2ohs&N ziK;kPu7lv*UoaymQ<5wgv*4&{g0s?`e$9tsSY%lMKN{a-09557^b~FAc|OOVcw-T6 zA~cgSFW|z7ylV+^1@7e$K}bgub6=Fk04f8E6AJE-I+jRT2+p7zQqWayk2MK$!de3S zJgxocT`?)BV{#;#A2e`AUR6nL@>IogyygcAviNo#&2LXJi0$p|Pr@x%-di89I2U$VDxnW|VFJpSe{aW64kV-&yL-UA4L^jX z4!9#?K>}JT6PCwQ9N8rvHOzI*f!;4L?)x)9jdd`5D@|hA?ok5^kRI}v;QEsJSsl&C zfinLeY3~$VS=g=r#4CR4hu0f@kxrRLb%mcU?@;E zc#qsVhp_=Io^ftSaaaZ`#^4bxkH=PJ5{YkD`;+4AFiyC1WRCJ&1*#c4ax|>+_gG;o(_88h`2xJcR(wzZHtjOi}2bg|LuMN)KnqK66N6yuxX$Yt^QPSU?8i6g^petKEUKEdICUyT8M@16UNso((L}^fj9`CUekvUb77h$V}h? z8G(-vrik4q&Sl)(Nf98c`!r+N^k&cXQ)b1Zh z14F1P@f2NBLyySLG`rbq38_)lzb~g*uBwQW!v@K87c^nz)R{)A^}?M$K+Ro~$waxt z6KYtAI21;}D;Wo-1f3*<%H9nU_O=l{erukmeA#YHvYzExbD8;BOStOH>&<(hKHW|m zdv>~tF|kZT1LPf@=A=n5)&3$ka@R`p$r*Kaz7?bAA%XEwD2fWo6ADDV64{9^X3CpN zEUhl_+o{mki6}z33X&dIkUEI@Ah4?1rp@>z;@OIO0%qPPCa5Io6zQ;U3W3Nqg!&txTlaM2qf9h>Z;I_aks8 zxQJ2L6-qz0)0*@{_*=a0=AViG4vtIf*+mw#jiDokG`#_#C1Zq3gfB(g!7u(EPMxN3 zJp^+Jnc!aD+U$cyAUpDQ5~u`3kr_)&O=4wwXyI`yJHELTXPtNZ6$4S~5FGA{-7k8# z!?GPV^wx$+l3GQrw=@ag3MO}VUEhKVdqM+`(qv8tj#|*kSY6oodG2P$#wby#Qvjzv z)xq5bx#d#P2EO==0EX523=G(-v38)%%sIUa{>gEV`f;eN^Ye@caa_HTQ6Z03Pe)Wn z`?e;@d_~*Sw;lZeckG{Iinb_LE$=qlfu=>^&zE)c!=2qd*z+HwX6)zs5*%AGst}U{ z*{6KLFYES*y43y1%YDf65}ecCUT1TTdO=p%rFAVS==ChLUv3)z7z9FT$)~M?fUV+T z)7BRrYD3j~|jHGk3 z{5Li+Q<`i4XNqv0f;oi6pCT?41-c=P&-g|ZX0>0I=)Z;tH~1fcY--24_)!KD7c^3m z%{d7j8CtaE8J>Gsy;=ibJFfC#0m5|QO(WlLYofr6wh-(6Ax>iosc_W{szXvEnv|hF zW%B56p$9hJQynbAK@Q3B#{h6B%G7s>;kAFQ~J31i-476o#mNF#Nz=Nd}m9R0Kf_hc;usiDR6JV2&zfhYsx&lJPMbPJRDbr z*^(s?rlOoBP(%ug4Mwv9bUI(&l!y(3oS3aHbS}-GUu+cNoYZEjk4V!qsNjdNQj9;`I&Kn`S!1*KVUsa zZA-%Fzw0h(_Qyb6#;a)XT!Yw_>QMeyATrX*L>-Cjytx~M*mq9BUD}cj6SLHU-Vd1} zEGnOq)#E079h}g^`|-RuOQS-;B{F`Px_GzvUDNaZxc~Q+P{2zgfX+2jsJ2Ql z;Vo3rl^me4L!US|onk6-y5{8N6XU!6_4YKm*tlZ%>GpDTFG?$)nPTfZ@bIO8J=$a--}@H-;vYp_m|UJ zo7_zGTHWoO8)^4XYK*!e^mrxf&e1V|NN7bdp&7r~03sWpPN%oK}Ztk>?P`Xy2Cv^Og z;teY@M{*44h$nZ#*N_;`h`YuMClUjLphl-=#5F+={t@|lP-_!iNE~JGOaoo5JPR4D zk_~DuGSKpv;D{3LhBSj2zZhR34DD#AjL=vcPcTJALcb>M87W3#m22c?L)c&hpt!~5 zLh7f5qYGb&(tZ*^Yah@C`RaB+-Yt1Vu)n+=Es*4Q5@)r)J-63Sa3qMV>PjC`nLAcNC zkEl~jtL46z-DZ!~dVwVlF~Ke-BK=$XlXJycln5@aQ$F#|tod?z|Mr?{XS;@q)Exi2 zlS#-Ze$8jZl^|mstx5uw@PL>R@r4pJarDJWZOs)1Q5$I0g1kYm(s;J2C;yXF9}uLH%2zXDU7oZu+~yE;!5+BGBZx&f`W z@_5>%-HjRsr@Y>L)7%b`j}4|&)+Ve5pKL1=yNw)E_~tw>i9|Ie(_EX!#16fBk>mKN zo4Pc=U}I*#PzC3>dP7Yyfno0890#=P^f^v{jtTe(@0ept$Yl2LS- z^1L$!b1ke)u>L>YS?AL#92q~ES(PUVuHdenq0m|Hrkt>g&(?o*${pcdk6A2uI?VXSp(EQ!&_LTWIXtl@BtCK6Hdx7cJY0iwsaoVvNITTH|E?^V!&{^?YlNLW=-UBT4wfIo^F*P_-cB@J|2l^AZ@wk(Rtdf&W;O|5RLFY?qb^Rk+6agv58iJm&06`{U;uMKGxXTrzIsYn2Np`=NCu?n?HltUPxP@f1oX@$^b1wm-O3C`PfZ z`b2TMQDEJAt!0Cbb<3)06bl0ZLOGqoi3nBOii|L(3*mgN&UP0UJL+(ZN9|t4JE(U} z4H5BHJ&b-PF*Gq_~BzrIP$76O=E|1sMCkBmzHPqb%c|M}k* z5B?Xq`GkZyR&)kI>f44+%YmL<3SXxBFWi%GO6^SkLNw{sH z2Ejt-SMR}`!2PBN&M_+3VHuOBe!|mb$;hfJR7soLVU)I4Phu)H|Js2Lub528Y!94l*T9oYWZU2Z znH5bk4|r;Q$s4j>6dk}TUhe>bn6+v&hDJ8uj|luUv8OoSQX3QjZXAi4@6=YO6w*N< zej8G%iDuxErkww!M?#98QKL5A%?*?e0Y?fn`QmyO18><@jh_I+G@)&Drfl(<0H zwI0;H+W&fccCvSGN$b|l_0`Rq6=?egDK7gWfsgDyxHfjLy$#1GK4oV&C}MUmT(Oa&LcKshgUyY~Et0=nN&W-5Jn?CA~wb zz9`??M&C1>EW@yqOfSfB8h(vl8S@lBXwh^j*Ct%@TNFS zmktN6SIjjl>C?$;ZFaeAzK7dBu}gl16vj1P2I+0_97&Nz4EZ!>EEBH_oXR;i-1^a&D?iK<_#Tf0w%TG zST%f4FBTNf%!|?Go7X$X2a}d3T;`O_$IJi~Px1oij?Bxh)>2HvYYku&Ldn%M&(! zqTHm~7yA3UBRHmZ@-aQyT(%^-y}Doc9j)8(Ide{{+INp97xVva z4{nF^g0DhyQ+~kHl>{nYr0T`WVUv5Wfv=j?bANh2p1r=`pA>!E8}tqKHMVl|Xb@dh z^<>d&`!Qgb`htu0EJyG1>*d(|Ic|(q--tu$v87b*JA^D**ZOecZ!-9W>e&f>miy@9 z%gxitvS|GjXv5A2v^rTlFSa7zGr>1(+hgchgI*f3AHzGk!I81gVF@> zY5agJ@DqFQTb_?~35=O=$~T7$@`8ty%8%g2XX0U6%Qm4pT9cE^^^ znrLb#!PmT#A74q(LM(PE>g$OCn81Ei0bXUR^?z<5ao04XRT~cR1SGs_rc2wR1&(S) zw=KX)zT@93R@*aumt17fD8JE)U&q2pmkzdjJn=S{2tVl1tL5e+O+pYp!z`z$7nWlr zhRl}ZOJufBlq(&hmeou1pt&`2p%VjrXxwCCSiurJseJJceFIQkLcq(zb7Y$p6_#Nl zKt;+9BD0a}V|gZem*hPhEI%QkXUui;<$jHmme4Ke?4Ot(TX`*m$Kv7rfMX*quC5I%&9i34PJ80d?jQT-Rj-XixsK7jo@n zg&Ohdgl^F2BC|6-{HI?qQoVh*2ihdVTAw|fF&u%`NS%MVny;u5&#Xi$Y|IXrB|}QF zCSPyx)5_kcR>w<&w-i^cBAKiST>en&B@C4V5D;+$M35_;|9LqxR;} zi|N46S@^3>`DW{ym}i##<4_bUz4V)VeV0YkM`yyAjHK!w!_oE-c{0r!6p>Pf^{(9v zdv}IMenYgp*YHqR?|XLLq!?>qzd5W&DD&y6|J3G6m+1a7W6$O)hdRdd zDb>Z_GB(OBl=E-*$b)7z6rudi=jnZ<=Cte(xb#@)}>rut{CSCQeF+k0RBQ>mHv zpmLXx%-(Q|Ia+c!Q?d2RiWo?XdB#_=*8zt+%(pJ-(gj>27u?Ov^C>^52E2=tIIjZK z`Ur2sVr4oizQxu^vn7b;m?Y?8djq}GGX8LHynN-!BoJxHK+^gdm?5ZS^a|hI} zZago>xU$m4l+bB|iYD?Q@Z!=fz*1M(B(v4T7?w=B^+j}S37%1T9 zG#`hWTdQc6iFUB;o;1z+mkS-N#z4DmE|^dT9gS07)$EC13`JzD;c%XBfZ(MKXvDSW zk+Gz5`2i|DDoSSJVlTv?Lbpx|PXwtilnObUQ=wm7f3@`RL-P|O^xcQJKG=b!dVCxF zDf!A65=~K=$j-cZu8Oz)(_ctXf8j{r?d}E=cu~UN!p{(tLO;>E^ zo~%L&%^UVNgDYl;-x}6S(ReeAsjQ1izQ>caYF)U-#u?>NGqQIG^>nYRv|qT*$*20c z$P$qyzX|2Y)nBBC-($b~O3U9e!2g&U{{Qt0=4AeFrh*T?`%2nB5k9{`VMJO9l=uT8 z|AptwHwN#H|K4$-N~;W$NBLhpxn4(8AzbM4GvkQT<1s1CRkOK_DSXA2cuIMeSgb#> zElIxAt0BkX@=Fxp+T#LS&cTAEdrBP5Eg1o0+z-o?#tC}~hBEJG!JDhDH`9srGIf$`9C$vI4#tRDpeZt|Ipa3$X~q|L&w)iX{=bTIi+4kJjF-@4 zQ?#`Q5`3~!t_%CPD6I2*@>29gRdogo@ANW>CyT7ooEA9<+%EBK%i1Kq1?bcMKOc$L zmYdp#{1ffw8iX7}@IV|YbVR|;PVu11e@DZWJ4a)st#VKce}96-<|--{mz6J3N4i{( zVomLgxXS$Cgkr5C_?vvSZ11=)zrC{y)tUcY;tGE$d!YW4^X}MJ$iICC? zbzG4Zbbg@dofw3h-v|s!z7I4-)o%ntnzta2 zc`T<08gL_jk5dAZeaKKuHMOyQna>oh(W)M(Tfks91l=9oIw0)fW|3!WZr(UPJ#VfA ze}hHsy+WR~)8&6paf%+#E+L>pBeM#J4NahBG4XJ3 z^J(+_INcrHzOu6=7CCfj^Z6JJy!sr}YxQMv$=TZ4;@8%xRxqc-pi!Kn39xAUxq{K` zCf5u|-VPz-3mw4EXO_A*Jje~>REvbGWo<2+hWZxa549wS;K^h3@#11sw?PrG5Bpm- zAVQnO961MYCmd^7WRs35O5^4&aZ@_8CE@Y+(u^LwSkZVm>-ixH9f4*ps*%*m+L0J| zMQo#Ag(w{v1b7-*^&PFk@4^jJzY_W&N#}^tXyvmP*?1a@1C6f0W`xqAZ4wBFrxg@o zhkq$HcDJRwVjZEfAH~jStZ8qe$qup#&`SF-;%$uUfd9ZwYN-y7vSRiqbvytGixb## zWKeb85j)DV?)ID`m9QgJQ%ZAq8q-i>6dK6v7M<71Kd+bB`mvHmI1ym&r*x$7i1}{a z2LDgxD)#%wVMAE|l?g8x^IuI)fL!w%+KN2DNA5&A_;zwcT*@>wRtoAr8*FuDy8NS> zLZqJG$llvOs+xN7@TVf+&z05Y*;Iv+eC1pSR_?Aqb^ouWT+%(G%ZJ^Q`iYHun({p@ z`PKrjaoUlCF5EvQ*x^o6C(F$`1lDBqvXb_Cgd&@0a2-s z@A`0tYAWUakFKb%(Mka)(k}MPmLcgP3W%{^_}exsTI#xH6OD%CsXiZo!@ii{9jrj>^0FBHM>peHHe? zWkE86$T5wtsZby-FSO?`gx;n_ec$iyA!}|T?N!D~%oZ1-HM}@@%nOW+)-lJ3cF4H1 ziC7q!J7c!N&=KJAS6wkM=~HKJV2mXhisbHz+tRZU*ayk8sf@)mw-sV|cNxB@OKr^Z zBGfCQk%y>obmc_Pn-2rLPW{4+=o6+8En4MMYPE?Tf{JvcKmBkSwBd?lt+gKT3_vPU|gt=WhZEb2tPEm{j6eymK-vb^Lj4>Nf6$6ah!BqnzKr6t#s`;oWx z0|+dh!u{K?8M~wjsHSvukkz1t;#{K>eBQ=(dfgP4`)qF_3qo+yTPTcPFbQ3EAHh2u zw_g{B&z~Y;&K5?8?z5N=x!+UVxCSOvUrzxE8-*RG@gyZkSm6u0Ql1B*M2 zw@_dai?^=K(CVtU3c05*`gA-5Ll` zV_U%=NAWwh#9jNt1PAfc90dj$un<%9U$PKCO%Hj+8R9s1E7aG7O37S$Jm&#Uk`Z9v zf`f=v48{6Is{HeW#Q92S8|vzVf?zE#jBflx9g%QqxG50e1BCn#uL`n%txO8VIf7Rzw*71S`{f&7cZUEj$>r z*swI77;?xafKF#TqGE!k8<|?fb*K-MKAnuT#u;BfUz$OoKN?d4aXhsGi~PDUFM3doS?&SP3Wup$j5%l$Pio4#gZ+|| zLo352)9`J&)Ye*O=;)oU%k}#qv;#sE7tql*iOOAo{jGAw>sYy z%K;S%N?hh$A*$g|DQ@=`V%OHUQ9Xh4ulLWJOP}5*)6NaO&-&Q*ult+N?NC+QZ%Wpq z-By<0voy({1ln^|1eqs-1avR|M!WJhBn2;|;+miW?jPYpj!hA8KPpnIm5vq6Gd+>u z$(@uU$fb|UF^K$5Fv5%$(Gc1V{mz^feBZ$zfcnitFMnic2V z&7NN#DJ=hd*&a$P@WY-SSNuH{Cw}KfW$IqQ7HtCnDht2(h>&q*4?|Pf!~}7YIY|G( zEfv>AmjA(q8#hKUKEh|vObNFhPCv5)`Nv-S%#Fu6#@Hx_IU>Pyc563*7TIF+xVYLT zI?)=IuZF~)a2L!l3#g0DIqPnl(18j_LXSHS5Q5|lX^dO$MxaVZk8QyU&$EYsK!OPp zD5HvFd_UmREShqtLPRqZ78hqyL<@9P4zfVmMzR7C(aTTSBT9-+#da*WPfSe+U)}uRtrKlk@zRc&2-yTc!7Kw!4fQ~Nv3M)|qg5d3 zWLHpJ)iffOaEuRpKayc7Ky!ZAUR0FXG?bNzw5dfN9kM&R7*z@8S$q!HZPW0#F*sT# zdHwPW8V#=;&uN9CHaxJQ4Mt?3fmI~k2~jh?oIio4C0R{_P_d2UGxhEh0FXV~$q=bD~N zey(E-3fppxtbcdHtUoe4TA+CF$_($l?1Ol~d;N@a&Yhim@sTke;xsvk{O#i`NBV{D zRdCIj^x{|kn0i`pHQr*>NoTR^Atk!27g%+oomN+qj(c9g3iZr;jux73jw6rf_+~Tw zz5Kj=?0kO9^u2fz{IYF*dw+5ICKL%03wn2LZ-3m~e0*M(-tX=%Ubaed0!GhD!99=6 z#b@kCQZ*e~onpdA3pXO$E41?|PXvxMZ+5&xCOtYW#Xa+#dqnfdoli8i86Qwd)5EhKjSc2-dn9sU#{z@aox(ebTW9m(^_%JScW^sq~@D?;sg$dK@-g1{+ zmv2rj;#J}-cB4e7cu94a%5`Q6a;`vRrOHbl=FP`QTKzT-9 zE`7*yA8>nGS+NOrL@m|ka^-Ud{@uSGQP=AThKiixR@U;h?}KT}Dku;HJk_QF*l!03 z(2<`b0mW_PJlt!N26&QptdRWMDo8OpzdZx-kKjzzJ-6q z(rdYEOq%=$$5Vu8-q*tg$HzdcP6j0ig^7*wlitCc#j z7FCoOOv^pqFJXNHwmr!#aonUR2*9P62A8;5LaSGX@J5J227yX8gE+qDgR4q~2IuAD z%$Ma45ujL!(kyut>qdR!5yN>@c4&bq9mqzYL@}71RED@}DP@laM<5zT`$(I3k&2-9 zvCCx;wqxb^EA_1`@KW*hWaGW_a5uf^6cj=uU)vIBsXBn21#7P!Cs%bnL@*;kpnBLpd@8h(&Q!JXK^d zbA$1DJ#>Jf%`-@5Cd8VQ3@dyKI>1Pv0M1N{xJ!xIH7In4`M94h`Jj1p0@zm^6Hlp* zPcDBc3<^f_w97$_PK)WHZ-2jdynOstZu)rKnF3KcpBJR#-?S=?th{X~^@pw0?gu8~f}OMxj=CDK z&~^>P%Q?7hoafMskm=^i^0_`5KFK_R{v+oXs@I>6G*&-;dUdK~GQWKi`uK$E34T5a z65DS3zP`^Q=i2#7A5Lc;6K{nQmV8}4zHJ~A`*?W7o~8>ASaPsMkS0*Cj9EWL!GpYg z1A|^lO9eka?m}bb%PY6GKHg(Xf$zv)ug_N|nXSa%OVx~z(-B=rVk@-YjAw6*il`G- zDg~r5lF@L`MX*XM9`P^^ohSbUtZ)AKAWImAM$Y{`Q-M#y2fc^w9~G@03#gBBYy%a! zTfG+VVSM*;GY(&d3ZIH^iUh2(+NN_j;F+Cir9Kmk4G;ChwZ8^Zz}F$h2|z0`foW*h zH55f0E3HF?Psg7~Q7klYbB!F~O3Eojwio<%Y(*Hq;lQA~n`#H3k1b4nQrD4j{M^O> zriX^!lcCkL?=)z{(~oiProRQrr*c?FE1|78T#~NGcgHQnAux#g*bT5TS$lQVaf}y| zqfWr#!v2aMFT@uvuFMQD{OVmLR%W!r?)O1rR-ds&?z2w7&&cBMyMqJHT0)0iAi#Ep z)c;AKOxSWl;xSb4AaHD(*@gVe*j9%~r?*9tr;Ps6@&MGpmOd$#uJ#VwXo!>bU+ zK9BO1#vWy{2ICE_UuCZyn(k3^=)qoh$L0yLLsd?*9bCgyGRe)qPT^hfUlT}TH`mKf z7AZ}tV|ffo?O=4+*|!8Ss@6D61a9H`Li>)79aU|N;zHV-slEKv(61AV(mI}(ZTc!6G1#U~Q1*KvSIOFJYsA6Z!2leh~ zXYLMBRl$E$f+IxYNTTv<;`rvWmRc$-b=S|Wi9|0XthD=wH*C9r~ynBAb}%^b$`0vT7MXz9wjAWiR`NYk6jhAN4fg`XW?1hC$f zOg?}^9-dnPx;ROw=#tbVtm@!Ras+%*XrocWo&o+nV`Zf!9I>-v=5joCG83SGCd6y` zuvhImpQ%uIZT4u#!1JC?KupFGN&u-YQhJTZO=BEA>^nM`; z!T^$1yQxWbCD>XS{6=?1bU1!^Z;srao=|iYci|Vr{fY^4B`P|SfKSeXoG}eRNekJ zL65un#ofSFsfobB0}LueQQo2u>k$`Dx#?PoLM}Ge(;}*fSXs3K-jFrZo5GQ6T<)je zw~bA(i4-muppBwKS@(GK}jOT3$Cs zMk-coWtUBo6mgUI71DfX_#9|_UMV?6`!jhUE&5o4{D!;Eze7tX200CM^HCb7_Jx0B zs+UbVFW##UhH!r^I!oq=Y>=1Qe3I#9g4Alx#l}i&H%D{cPE%*S)h7O}%-5zQ zyk30Lj4-{*z6*jvfk=Zpz5K_J{Xa91{y!2+PLBUZbTRaQQGZk`A|WFCWk_HTNUN|N zH6BUVo=G9j^}CQ?-m(_XhjQ+@PJc7OLdiGlBvi=%m9wC2FHWFsFJ0ejqNo?k0IzYDWR;=`K|h&D zEdqr3DP*4C;`nadOc)s)5Vde=>9No-yZDo=2!fc2?yKIxxF+Z}QX znluVdq9`!{?V=TUM9-RsE(u`kK;)N_Mg>LS;z%OZSU5Eb{-KITrztiCoKN(K&Boka z+Bd-o8DzP!R_tWSbS|>%!)e`1P0UB@( zQmTOf@381V!kg`h2LJx~UDbzM9gE3TxKa;hc8^-hyf7KYa~4OTMncdXg%c2=%$2@t z`y&!lm-GR+o4}_Z8nhXvSF20d0|2W(fgE(cU7qMbg{q?e6Ws(~P&2 z*W}pR2~accb7HW?ei9+%vH-OQozOy#vb?jdEF;pIb@HtlqE^Ir)r3CbDf@&zuG+Br z%j+XSp*AvTCc5_uWD>o2K+LhbzI%+_wnMJHBKB$b=JHCNSY9p-b-l>|elG8x4Q6g2 z$6l40OZd=8Nnj;f#atF`IoF2_3HoCL(>3+L#n|k>B z_hVl#CC;V^f{>JMB4#;ZUUg5^NfeQNw@Mqk1tWK3;paM;u9Gk&XWrtxPIHTPVTaPB z%IiXkKx?thBg!!es3ql{K5ZT1U#VR>3!8uB85?_AHVzXZAsz#eI;^g%_hgJVmhh?7 zH--kHg1aD}q}*i@j|tUhXsN8N!LFwBEH*g{0Cw!uVj8}m!H?-=zZ|b46KNJav2;vP z$2XID*c>6(jPlft8IX00Ia()Vw2pA6wJPCPEn4ze7uX=PqfWu#bfe?eunSCx+MS&a zTqfaWV5Q>%gVn@XSCOFn=_mDf-37omqKVKZ!EPVM$zf&LMi0IxA&pXAWH}|pISZAX z6!gLHC~*X=g-CqCEMO-i2okcne~azXgvM|;i-{kXSy$5sz`;`y-{~NMG1@*Cp-amE zj|e+#58(iM%lfdzh2(*QO2Wg3X_Ae1teS4RTBmui423Ilq{OmkOYE!fraZPI<_SLNBB>&z~w`yvY;CKBn2;;^B*(L`|AFAwa}j z*gHaLoNmxO%S!CHY6wy!?S`Z*-rQrY=_Au?Q9hI+1+vxZ65Cn3kcNUu=%Pn>5Vh)a zBr}jy!^0HL={LNX}D2OT6(xHd3$cMqov=e=eX*T0_`FZ(U{< z8YzC-Xk7EPmhPITbS)|95kchGv%G2g-0dQcq;l)~Kep7!$J}n79i^;pF)F*gLvOZz z**cW}4s&qvD*ygtnEM~uN^|{h9uX@u>wgpGrZhJqC`M5~lHUW)qpTzXfHhZot76^)tLr ztVj`1jLtX!+VNpe9zz{7InPhFwTQFl+-CyAZ1HKOIsu)i*Qk zS<{B{b21qNE!$8N018b-Y=<(>_7|rY#J#r~w&MViMt67}YjdjN44Vc6c63#wgwtx=w4* ztU;ioMy6w`;LE)Lx=*U=a~z=;mPrZpBUa`(%8K*HV(^vJgy%Sfl#T>DNE{E1auvRL zftd{f=n=Ho(<}W{U%d=5WN-r1X-kx$-5?vw!FLUH&}cpzx9@?Mgm-t}Dt^ zUX)IrP3mp=zI~4oR%6_+Z)l$4J$NeJW}<(jbT4EY><;VFGZpwU7GEDVV~AdPDt*dU zh(5s6428!q)bQ3po@W~7_KqB{JU?CdettaOzPurSxxMY)>R8n3@_V@@PW0{jc6+(M z99_&l+3{7Rm)?5(%Al}pCKuz;`>xqvPtHE+eO(N0xIU5mx=dZ^eg6>n`E{Cm_36xi zbosaQ=}8`d5;7X6Kqh1^ZNp6UuME8Bw4}M*I(`T*JX>@?(SZ9_HxW`tLR@4G3|EFk z;h2V`h(lSIEZms3Wx^X=Y#l8uyAcu448;}UQ5j}m6pWXzMbZSZ=ZFLVau^H3nNzfz zx-Fw>QFN(E35FIaQyvIJl#PdCX@|Q-iv8i?4i%FSg072Jr_i)1wA|(iU&oW=Eky+f zDbi*QY#rddUY}`M4y&=*KaQhbnd)6CMtk0rrL_!XNNAQjCrHM5;z^M48&b4P7b9$; zE!7qcS)@`s9*do;kt<%ufqpEg7r z=-gE7Rgyf#O^`echub zL*SylGz-p8cr7p-+ zE*Q}4b0Zy2;ho1|>{0SD1ar0}K$sxht9?1^C&AxS2^vq%*tOgyFZyP|jrPN#&NJW* zhF06RN)E&7Uv`WLi?LS4BZtlS8jLeVnouqcI4tm5LksIxi~ekg%#rJ*@FTR5d4Ya9 zhHSKDfzGEz=#Ts1b=Hw0%^wh$5?_@F)cnddmbr|nL-Ux$-w{K3=5=!hxCk7_?PdRd zxz<(v(|)A^XE|1&prKHhByUn&v^t-A>EKM{$^_zBHgx@Pt3y#nvgcGN@P^|*GFRO| zY`Xp;H<=wBt$=>&=-kqH=hj9$3(!CgwQqnyqf%~B<{oZXM_@vu&<&~J2duvu-X$2ANv?yLO<)+i*@WyiomxOwvF%T)D6jY zG7|5VbPwe%>Nq$g*ET7?^KD398e(HV9i`pXKZ#O;u z;f|U?d8)>AR%Px%i%vrZ18bKepKWt=+>)4Ul#O1Un++rh@Gy?{>&kjMPO`cABXmY| zeEnOqe7VcN_?n>S2+?EOU2aCfC1k(|b7S*9L^B=#uj95`;Zm;W-ronMS95Eq1w8%{ zGR-DkXdywj0kv%_CO*D?bh|RRQKO3KT1&s}fAPnyz(3qa*V@WX0_^YQ2x*P9DjrP9 zphi05#61HOxLu?#e22WY9KF!DNul8VmM%xfT>}o^a?9_t-~UvWY5p3x z$WXF$meLNIhj)d}CoVx1CKvHUWmI|MBz-rUvof4;5%n4PdK?h&oCvF&I1g8|twIx}v(TchLlRytwKb z`xI?%REzo~mEqw9@Q9Ej(J_h6C{T&eQ>gY91{=i425-gILoi7T3?9U$2P#(8Mq*$@ z33+Mt72tbsOoI66{g(RZF+rQY55x@2GQ(*2Y2Y=;Q<$-i%Wfc_g%1YH5S#U>Fr^3e zsa&w3PQrEK>^UMCVsCZy;flP2ai|>qk~ge*yHz@dOkku$$FXLV7N7tefexg|#1sK~ zDa}CefZir3ScuUwc9gn7JeD1(%4kA<8s&44*(3&+zT%PmJ~AKyFN6RHDgE;tk4xX^ zjz5eS0#R7s=nDpf!1}Xy_`IJ<>nK7xV+!| zW|5!o_OHG^++H8=Qop?39bdI*ueNjqyr_ZdK0F`)?vEFdiNBo?`efHoEWCE7`W=p| z!Z5T=p5Oo7Uq2tV<`RFs)-n+b@=Nec{@ZQ!6&%_Bx}7Z5n~mA>eZ7CV`P)f{r7>JU zX~cFa9CIgDJr=SkHezaT122B8;Ds8|W^xU|QFutLPRk+5PrfJH61;GvV9iaNfk-1p zpB{%qozU**K#>vd9yxpjT(<;990lBWhCpIKg?O;h3k2^~4vBZqoFM#l!q6-7VFaYW z*D)k~3dVaq@9eVhpSU*u(DO6-$T&vzK*t#U{QfAD~SV2N9?Ng2mEz0oJ^J|NT7lrndmaij} z^L2vOU*Sc8>!cjL@i(NvU(5Oxeh9{hlb93zWMZ z&7|7qT^F^IATYe9savfev+2Sv@v9c2y`lQ>ygRm|HEHU5V{G%)1b03PG!cm8XeDVl zC9SoIj?8BJjB(1t2>2DJwlz*-;DE`D*`8R|*=xP7nMpD+$psj(S9r4Y*d_OL6;s=_ z(Tc5WReQ+99)8iH^>?YIrjM5SVv_Ll9;qoUi549EcDaji_09nA(F`Y*$&ah1Qz;jB zADnXyZU!a06Zlto>pzFO&%cTZhfOWqjcBr!y?(2`tQE6DqE5Yk+x<6$^-6Bi_41EX zSO7&@&weoMDQVcg`Bs9fN6Snhna9p+o!D%MRrENvYJ(BuKvsx_7w_)BE=L)iKN*y+ zCp-Z?cQ>|-zs0(khES7jw9k<=mXQt13Bg3(ab^6c*4;t%@9HgIx?#w(*C0Ed_=06F za7y#~fmxlpUaC!eCjR zVNGPw4@i!qiP_8!RR@6IUcB*jihiEVQ=n1&?yBuZQ|BOG)uO*6N8`auHTMh7*$*f@ zwegfVa@uKB{z#TV7VTYQf(gsI5SA?)EIy)@f9lNgg1 zk>_&p!gn^R*3$onv$KqetXLX7R@9*N1)1{|%=3-!byuL=P zPVJZ(42fQ7O^cYDzH*Vr*nlQ<%RAXgxT>a?59t&cCac=0b>WZ$?G}3!XUx zV33P4rK|xjowR&^DEEz zhJ7viSD=KQjgTrmf_HPu7{NV8(wZQvouCXpBNPCa5u)h3|pp?dxgv)t! zGE5y#OrRMkboP@Ds(3GSN5dWbbC}FfbH~l{&|caVxl>GNnI(q4 zZs!(ur38B%dV1IjsJ(vsCL!ZoF=sf_)tqPpRf;x9ckOdb5DF@p%+UimX{eivREm9* zqrZR)?qvb+pT7ko2Z&2#VGQE$DZ114f?a99?!xWtVZ>yfS_yA38!^WhXAbmr~EJ?q^(6zk3$q@y0ACSM zlwIy{)D0gAa0bf#LW5;))-$*|m;_LEif-(mKad4Uz1f^;Ljd!N9T}S`&)3&A)kp;o ztJJWB2FDT%-k3X?5=JRj0}cAl;-*7R0I)#eJ@1?8Q<*3UJ#x3zNG2ZZyaudGr@qML z_qXGLt*xDVME|YN*OAMs%a4JY7UYg=k@j|8-xrWiD7jDT=f|tf%aIY}{yNSitA){% zkZ=1nqM{g4!x-@V0={oAFPGEEEgo?%OP(J0rzw&Ff4F)!Ke`Q0-mb3x-Uf%X7$*R_ zA_a_Q@#_XqWz&qE+BuDca$I7hr*0CDG;Jv`r$Bzav~sG*l3BN_L`8T7 zCmu8AS25P3&6;;aDTNg|7fO%;(i`$^@(#jfDi@6%gGO*FVcp5bFC99z2d4aU)ihM2@SB7b-kQ+H zW?WMFf-j)1r14L&<7l|c^2Pb;?B6~a;uxzgbs&NcR{qUp!L=>CqYEamyK~0#eD>v2 zutzZvzf>yY8x$Nvf+-eOX$xwwTz8x6*75JISL__2BE5@K7rpiisy3lUx=NKE6=`N> z+$`lrWIoGM+ftcwv=H<)ozhJI_{=fK{;pBHF4oAet$8k)aSIGHjSEH3agGZ9$%N#e z@J^FT#zg?`umx>e)lN*U^wjVI3ZFI@jc71v{FR7|s|srcdGZ<4Owv*74YM+()i-N& zD8k85PCdu>g$igH2MuG|Sg2`a*eL5&w8Pmv!1FNlswAW<85lo~&X11k4vel9O8||P zdGAnU0^Jkv3;QH3*0eK-uuQ9(=`5=v8_FozkssHYsSo!&@hNid-oa}A6xg;N#RJM z17e{+nHh1T66E&vl)N!x{XPE zoG+r$cH)>HkxKjNm4A+PnL(ZN2!))@b(19|RmIkwQXMmCy_rS*DdZpmp#D;_h&tBE zQ(;eWID-;bnRy-7HpvmSRHcOU_ylvP(v~I5vtQ+AW+0`c*&FMQVHP4u4WGZnDMCW- zAQ2y5xUvoPoX91T_H9)C8+C+1a{M0g)wN$0aoBE2B!Y}6e`;ezz|THt^#qi5^RSo0P7cpwpZYaW<;4LyX8OkwA2NiZhbK8v5fWlNieDBYrRB#9} zvg<=oNT(C+C)9q2yD(bNFhrj32J=y+Y73GgPOa&cP|rFlUnywAQ>&A7$?xc>YU&md z(X-8klYWPDseZ=5KzqhOR1r)lQu@7l8K_+LK8ZrG;0rmbEqjaMD8!J}!Hp?&_~qx{ zjlv81`0nZR@;8hKJa$?r!}#sg(eLH%@8-wiVt#N5VKNNFO|QQ&JXFA(GuJ_Y4!phh z9GDtPjmd-0H1BzI{&XXsbaI}0JIcql2f%w(|5X~EM3H!OBeo`GW6#>*%Ug!~x%KfC z3wI~~Cl-eN6AQHiHVnOb?`n_?h_<%613GCK#19nX7NoMfizGw@BnHLoBV!1O1Udu$ zJROIvcSugpojQK({wo&RKKxHCgpK-=JELueNuADuQ`tn=%aYG)$~PT zj>W3g$K)kxA(x2<$}e~E&r6#6al`<}Fe790M#%w%*_ypa1zIwRsou`pEV2Y9yIKu~ z4kC!$Ml6w#$*x$kcqVyT^l8Qv0&fr${6kP-Hs5_6@`z7jxsRzXN*D@UBMDSMPz z6!=Nj7HzS^Ah!G;D4eIB1R>zqlH9 zn8#itZKF&x)u|Ope~14H9c0QRTeD%G1$0s7ZAJ|>7Z$M&Co?)5XX|)cZU4;T-N<5k5kyl%`xmQ;eLbHSjZsF@0| z`*mfKzye&=tq9+W7WR3R9);xR8&+lHAw9RN^X>dC;8V)uLjKK0m&ZZqt*~Iv>*u;4 z(Qo%zEI$D)yeziO!-nE1m*}@UR0AdtycAO!$isJ`km0Z{c}PTqGe|G&SbrZ@E%C28 znEfcJm7U(XW^w*0O~&iSI4wU}vv8UB-zcV0qr!?7JLAkYP{wTCCb3OApUVUcS)t?~ zvrWXC#aZoP%s9PVrn>kAM2u`$4*jV2R~6=69cV{?{V=xt8Bfo=kk9qcvT&x|IX5E% z@zbp1by4|Bi7t3meF|bu~!=K`m#iYY- z{*b^on*1w}_k+TJL>G6ZKFieA79*>wIvSRe1P6bW6x&ayqQf@fwkttl8=_XMM*~Y* zip7!>Lg^3ECjJ`cnCIJ)R=uNe&Xu`V9=nIuW*BSsbyCUxDrp{*t_dY~+--}A7t)Z9qD~zt%1{dy-bVAbwl*{(G=yVf1YL8$i!YF) zGsa1CAnx_13IE>6i8YtCzmTIFcef%uB!px#EF^`65R>xeCa|wVMP>qeM+8lDG|_Ok zmM`KawT;ROH?@sCA|)_HQ*&?}L}kKQfLo3a$d0LL7d?P`WrDwSLJy5_$~)|3eVAG^ zP#%aJXib4_n5*o#^x z1Y+E8z+wRI(sx@6;mb5&{hK}2GB@rtT!f7|6AYf(@D@WoY|#Wi$XEd7NB+@IXvfOO zKJX!2zZ;=CiRW7}S%Fl$+#kP?#uJxWv)we^_|}bvfb8*$>o=gq9t1G8#~a>*_)M2w z!xNzlo`Z;=u27TR?^kyN&`>`T{X730)&vab_xTv*C5~@1T{HE(|CvAbxQHl^eAfVs<`RCAps7Lp2=2(XZvVi~~dSJh&=g;ol{z%TX zV6BRKykeOJa{L63Z=ify6dPvy=iL`oKj3X7B`)U*y>4Or-Qd%UX#VpyMe$Prv;FRNO(gKczI$SMFQGx@m7yW#-_@W zeS#jnBfN@-O2R}!cE=%F#$_+3NgfC0+Tb4WG66qAdmQb6Cw@}OGotXF63sMyBi>ed z&{KYNoLy&9zHzMG>|-9*-$CSn{l*RDx<9_t*v=Oj10%t=oIlv zXm}|db`>Uqo~^!EdtfVF)U-3SzAGog44~Be8-Njw&nIzuMyQ{jH_9v0VO2f5!;lGX zAN&WWQ+$=M?STU6(kA7E63Gn(3{oQf7%5Ol)fu44Qkzl}TvFvnN|kn38%UUTw+2OP z)3R_02ouVEQ60x{OImP_GbXQL9$Hp$Y-SDcI9dCZ zByvYXJU~_y?@O$2KKR5LF1qhfe1#VM^Do9^UQ@*nr<=!t;#AagG?p$DX~Rb~tm`X) z@NmAemv9hCxo|9IRXoVeg)x)Ej&Zd0<0^Gh`{-atfxV9MffeB3#irn7mAVx)-P41S zhKa01W7yJ9fYQ6lX0~emTQ-O2tux?~lXnwgc2XJdtaJBWMH1nM51;6quunJ~?Bkcz zK->Aj2vX%Zvh%Jf+K~SgU}`FagM=bbeIy4t|@GjQ!ZHT{zx*YmPkr$|2)x;d<+zn>&(UJ`mPL_d{ zAU<0l=Z(aN09gA{cnKb)<44cbWS{&k1o}# zO_k!w_RsyA9V<8m(VK<#T()hz4f2-EjYi9p{v|4TZ?A ztHkiUzZ3)gAXn7H9Rc<8BN*pRvEdm4T7q#``}N_L83axWEk$%bx(Fdz7itS-ohZyC z-}uf&3f3fCoPBfp4S6{_0F*}YS&iGDqEkU1awN#|-V4vuit_nHAV{0}6)hv{6k@`L zshtAYR40xEb_))mdBiydz2XAh;tE}>&(NXCiK@^92>*E)s42gMl=_M@Zn2+8l)bxy z`IekzmdQIr{Jm;z+U`-I$L!Gyx9Q-{3C*r;g+OX!p<-&!n|qD$(>5c&LD5<%+D~DZ zvqZ3ynA?)t-Eh~~UGJ!T#9ywA?Cu$c#oa3Dokv-_d8nkY)FYfwG`1?%3Y`(GZH(m= zfd7y=2itv5t3Bkdu;VQ+s*QPngtlZ$YO1{51OtsbdQnDZ_rokz6|OqF{gn@D7u0{A zdEw0}(hr&^AR(p1^=*on@fn*Wk2^M-Z18-Z)Pagjwd>Fxm4rI|WE83q zXN+rM@E_lo^;5^cozKSh-;w+NH3yf4i|0Qy!8iU%F8G%Gd4==ju4Cf19$d?;GbyEUoc+dIlx<#IR%mWs=8Vm+jBHY< z{tdy`7`A{C$4F_e*uJrF*xs>T#$Jl`_EPWHqo!@yclxU`8+t-3fB~NjuG2}WRVou< zl6A~?N!jGVjXl+M7+IBMOkh8&6MSzIXA3WVnLVN=chRGY$|XQu-mSD zf|n4fi(VV)ID(hFz+A9aUbC!BisQ;(J-NS@KBPRbD&2imsSN1rz`qpO-)^F2jiINo zald)*p*cV}x7J?wqA_lIG^VHi8Qr1=_0>dFR_fmy#Dz&Gf4ccm6fx2~kO*)Z)=^a1 zbm)p9pZr*=lV9lu0^T)ORWjBI=e1`Zp=v!!4NdcAZAB!3y_rv#Hn)t_z&1r}E$)@^;MSHi3XvN6V+Gj0C_TMWdy{ zS5WLcv4J3t{z0JcTcz;39ojV-?@a9G_k9hYj(d6Dtoif`c)8mz3F!KCeet1n*uod= zWYE;uBKYh5@^*9io|C=BnQZHco}b|6$*lP_O3bJ=xkW&jBk*xIKfmq&=i%=Fdg8B_ zo0oCHoIkhQf8GbC*M*UZ1bltH?~lUGlGNzUS9f^IGZBABs3avEQQ7*?-(_h2y#sm{ z91?AR{>ThtQo@lLPKXPu5%7P$jm8$x6P4Q=RSJqcI&zZYzzWu^0-`qg4Dy;GH`yY> z#{uc|?}Eh(&6qYd>Q)F=d>(0Mu_^}X9ucQ;nbecl9Y2h&HT5I(8JTZun_Ab6Rix{w zvrN$H;zQoQptO7ikHe{aMz^W>?iez3F4WFs3_%YX2t%#MmfG5Svkb$r zPr6f*WUd=}j2O*ae-Xmv0v8@Psmz9>kg=M+M!pVm)Lra{ z1raSo`*l9>02f8rzNUu*vVZbj1r{!JeE&QS3t?ogsvT0 ze7v@{cWH)@q%e&|>~mv_fD)|8=mac%o|R8;B~)$NEmCw7Hp|90`7%d`5!9FsiA<_K z$+Z@ndv0Z!s_n5X$lc49EjcTv>?tA5kWC<1b=RP=YOvL>WtECfz7W8}(_$uJXp#gA ztWog}>1+Mg6}~A?S7sOMTcy&c?KAVu!pioL(eEar<#yqFAH9w${S1vef)hDVC&Gw! z_MdmZLnYOC>juJ4*FEUe?R-9g!BKXoKOt%z?P;j%1=BlQF$vKcb=R%&sI_3N&NT~hyBs}=UW1uz?_$ejZSt&LhFjW|L5+uMea;Q z=DtOo*%pYD{doTHYESu%?0kF#UOj)J#=-raIHM-D>G9~HaNEl=)iS9EZ)T^+AfN3v z4#N@uUFK~!_|^A8Oqa&Ax`UsF1JiS<z115CHm zx6x`_al^k`V>i;pP$E#ZYunm-d(rqNNoxGak#N9&VN5FXx>e) z?lCt~4ncx#{!sLy!h>g?uh=M^T}Q9WidKU+FX|Wg?{nN3?$LAS?gbnXg2TE_BGpYc zsTwT0MH@-MOWl*8zA5CgXkoOmr6?@%t|a?s+K!uqcY|u;!_p0^pijTU%{+R7$O(n=AqsWE$lO!} z&yu^eZC$I05l)m18bQ?lvWb&ya@fqT#oMHkBN9V4A`4!3#;VZ4h}2N>2-D2-bg4QB z6?s!ua@hrK+j9mbFDZVf*}5F6VxgTPa!f)r3ibJTke)p{@|~JF5tWU?7&i{5lDa80 z9|ANWKIc9W`^;NFPf+d3aZaWYx2u_|=p2f*}y6IK+7bJ=+>lycMWw zN30~%6rx4|SHb`1w;FMXXxm;iGctK5YMgMrX;F+D1J1lAbjjYmKN8Kxyp41*D|yg( z3|?PC?5i#QX}Fi(Q!&4jO6xS^Q4h(muOxdlPdAo^ZK8r#Srb zPx7)9BS>!Dl0ck{CpL54+VLB3o3e_LDaS&4R(E$P26w2Nt^P@T10OtfO9U&;yxr)j zTd@J0&99q5hT{9QdK#sX7oGSIy8Z(>9DW5)F-g~-uZ!-;o*O zIaw{oxkj;ljIc@sGf?95lWI%+ZK3YsKIP>v+NaG>W7gPy;Kf0LQbf*o0DwE7LwkAsw052Jhgg`cne-w*#*5@}RpmlI zaL=^jEv)U@EHeU6aKo>0OP}yVbSe&&(@Kwm!d33&F4!=2OcF$8>dJ9+bd!vs44uVk z8o|(ljoAFXCHf>+&wd11OWbUQI$I#ovkRZfO2Sq7S06FZ z#vGHa6P-}zs4W2BXFx+L7I0(?DngJ&W|2}rnaD+hz*EYQw$r+r-*Mpxf=NeRnE^b< zxw(dfqrMG+ot1f`!qf*itAg<;qZ;DXkX~sC8z{mZG6`rDFth9NI>K*|!kc}SgrwG- z@l{Q8aaQ8u^?~Q8b3M>8OSZfnk)LiL{D*kLiozAK=D8~$aSh{^=p6jrM=WtiIlD6cq56r~#isA~=1 zDTh%-cO|+>*7mR{^+vLYlr~^nbwcA$p1cM8Dmpi6D(*NE0SjVT6F8Jq<*SmzdJoEQ1deHxMytiSZ6acA@*@pgdL5>^jX zq=LC)a;2rJon+=}s-em+1%{5@k*N?|`1dgjl30tCU-zGOMwwM}p;4RYAeigM`%Z*l zf-VTSq9(enwkeO6Njdv;mq8fEdwokjN2s4Gfg0py+`MDt&T6H;-AnDGWA0$g@zTMX zkg#Aws*VAL;ObGr6Vaxd;Nb+KBGgta<=wI>H*!uaaU`3IH);}XB^POFN?{EqvI5Yfd%2CnMs ztl=41_Vjt2s`<35r?Qh@E;i0Q?|n+4iRJ>!jMh1WN-b6%KAqG>$C1T4h-xUV>a;6T z5eiL`LUJvMkFKU)r)DW+MJu#jI^E`Lc}ATX63UO1b{^&Wn|~(GBE(=hXU|3n3nrO_ z>!221%G~a`1+CQ(d#9KFdl?9%;orHXAs1d0@bza0HG6 zJ*yOykWeQz?H$)Iy})3ap8TC+X03;ExcGt>Y;&8|moB>t0EIk-Xtl;cznG<*T_4lM zkFBRGvSm+1_ou}Y%Bl~ps4DiVde$BfHe5F?`>9I54WC>1fWPluV+sa zy(O4;V#a9>DUKMcdj>3y97h~$+~$mgzdc_*hJ8uc8LotH|6LZr{$CO5xc@a=orRN~ z`Ts9r|LUe&<4dA^B>xTCx;krj{fW8)@zo#*GW#csaC$%iQ$e}=M_Oj>K@qm<=3pMY zFN9)glUs2vw^>n)_{D6&GcQZ~d@|kZAzNG%dPpo+rLr#f;}$McMq#LPr{cDP75RF4 zrh6mzt1tjmM`GUhTRVnc1IKx=q3lz3u#?IYOmGm6;#av8)Y0QtHYWq z0uDC4`e8_p2IUXxewd#mXpPeF!Rg}yVyPd%WY9C9LMkL8-n|q1g=5H-z^G}Z!~Y2M z#uvv%Qb$0TTT3VDLB)oyE#p~McpSwx z`g3t73y$iRbpiDRqD)e2?$L z$B*yB#E&Q8;3gI&|2iZbg+e)xe-JOu(CBJZaYG~I4o{K98*TD92D-x`jh>+4$InVS z3JqKKf#M7VnUKS~yq{;lL#qjge9aPHtVNwLQOg3aF>}-}`RbAfi3)2uYSv@wgS9U8 z%L2Zfys**6`1;22eP{STjBp)H{Cl!CB*zHy_^(2>-k}_;-BxF`XP^5Srv%M*44T6$q+^`NgQ*`O&LI2jx=BNpS0J?#wgS{#1HjMC z%b;sb2ccjs;Ww4X%E2&xwT@Te=~%{x&quLUvc^_+eo$*ttAxs((#}qX5D`7}M3k4CrlWypNs`LFZeDQGKsZW6WFm z;+2*HMOXOBiiqFOG>uQc(|2-o)y771KEs6UiicN20v&GzU8i zgHr3{H9}p^paTFDR^!BTZTqZtZn}pPmaf*~c@LCZ~kt)za`%Q2R_(AT5N;vxP%q{(-ps2^v|e+;_l$NLa3H+8s!zU$^< z3o5R@i@0zOjA=H$J(?P^C+DjYkXT4(si%KrWs>ORI5#$*(#JxkwB|&S7zfM6BHwKC z1I$@I!JdPN&oI8k?$RfD;SHIIb{JCMs7RR}9k|(1R$>U)-I%Quz5P|0)!IoQZ|O#8(6^}m*c~H#^7i(YXHn0XopC$u zYf6JX6`JaB52#kMt$2n8HqEnWfz%xy*|%!Es0`ezklDy0as@e^S`o5OS|5+}!8?~% zZ*dAUgb*$6@iZH0b5{VpG^#2VgVtDgDhL`h;t1FlNZ&7I1VPRCQWUGQix34CR6<_! zU3vjK@6)1w+F;$iu{Pi20C5-Fl(Fsr!-z4Lso48!g%RtV#ETq3i0WW`b;*dO-P~dd zfDhC+f%~%YrLi2s<$!~QvZ9v~gMomlx3_QJw`GR`)32Gv?>RZV^JX9CCCx`QiaGF1 zJ|mxOuO?;1iw4rnTU?()wv9xA%nmPDPM5yU5Z3porIq@GA&99MV0RmVjLzpUbH1eZ zg-43z+YJE4eIAqZ1{;$MUFi9$OhYt$NBFm~?Y|yNE%w(!=Y zkzIyO@iv&6U(oQv)@1OaB?dUL4F;saVf$pE#14u;)%K!T;6}YrOoI-GBWnPr?NkzN zHW`Jc_~uw(n|l-(yjv7_g?j}=b(s!W1)v20bR9PYC8ytDcXA#llJ$$rY>qN|rSP+Z zYSjG*1E;!^W&JBOr?GQe_K5M)x1rI?W9tXWNb^yAwW8u1vYqSt5X>L!3%P5oY#_WM z`m5$fkop;8WuQ_=bLr1h8ogAa{UWhrw+;ggn|=JPX8%zfh=(%Cy$ zwNwBpkeK#^7ty#%XQjZY#d;=2{zdIK(Rf+$hM$s9`5eA%UhbNo61Ms?Xl7~Cw>p^0 zJr7U>yCxmXiNJyKKB1QD-B(+^itJ*(NB9}xHFiwTns?fBh|Kiiu^3Ai_FUrJ4Yg;D1 zf6!J=aR}M$O-$uF&rfkc6j>ePX3kfVF)Q}P_SS~ERpUIB5>Ha)Wa@uYWK;Q*F<;_v z^N#oD{i#OqN+G8^;N|gZ_d!ppM*p&>Q@)k4>zkj`+ug(DCR3b)%lK@Aez}+^8u~Fz z2}v#P3v|HFE~281$U3#q27=C0`d(o8={Ya3vNTYCqOhQGBrfqD|))|msd>0t?Psl1! zqkqhCHAigN!>#>8_aj1zg%LU11RTa&s#>Vh8IoM zCFz(nns`OdLeeK5`6lR?sHy-qij?JoyXg`OW^m}eLWepoa4{8D(CrZAx!Xf`6Up*M zoM)wCpy=(!^c-l;c`p4OQ3;-X+83&_Sn$+K7$o}QNQS8^lZ!I zm}0|dfpuFTF-ZZe&r>N|xy&b{u?4Nhki7 zxiqFMmKRnG9KavJFK0*-Mx9nL*rT<^!Xu~nbYRv9 zSrTtpefngsl-M$C5=Z;OR18@w{NfLkqCSR1%UH8yMufvXzl+|WG_p4kXVh^FS1pW` zDpHXZZ4%AF62({nluHskQFaVQ0eptgaBj-&j>hnIcz!|_9{d@Jn!+{>^(Knu2>}!S zI!Ngd&@&Zfp&M^XWgIb92?xIy(lBnEfR7yg^1mnOBZ)ckTY#Q-GbFb z_9epud1>Ehf754b+o$KX@L*pK|73XkbR7Ad@6vY&^NmtvLgO#Ri3$36QDQDOWS*QE z=>M|#y0Fv<7$4&zg2S;3*{(KO#H6CKR!qZ?C3&45FE&dnAz#=_|A6RmA(6Us7s9KX zkQJPF<``RHBYRr1DBfe}J$p@)zSEVX&p}j6Q5AMMxjM z5!WSRq>3aW3z$Khz+x~rXCT^=e()VGWAISy+mZgu3l%v$lD^T@fW5&R373AZS~U)i z$y)K!0W#75J8ptQT`&v)IzJX}HpP&vvkAtj2DE4qLRq@Sm$uXoch!2yo6YEfTz!H9 z0-_EE6v=?EjT*qZ1vDBfCDGMVxuE?$QpYLdferqT72xH8{#BS(9_|1qA<@9yXCOf)C0 zR)vg{9qCmmDS%1A_nSIVlyZdA>qe4*Z!D}H)rjYJf#`vGAGQ=v)6Q=!*54AX$*=Gu zH`mT(*`$cP?Vs=xMqnnxSnOKRT4>u#1<*YPIE~x#aTusv6Hm5v35G&gI^Lfpv7+F+ z;-6|=O<>nl&db0sTp!r|KS^B0pLN}`#|be4c1M(nOUqPnKmm5L@94fH@_gE(9&{rl|Wzk;iAGyhAc&du^4vkyHR@)3*=x<`2HGk=Xht$0{& zC_#uCk~%Cw?kR!bUVF_0=+E~|9k#@!tJ$U2b#7J>wia=>#nX2`ds&*!#(aQs;jstP z3w*aEi3&^?2CG6KT#W8o8}soRV+cQ%2gBTvFR<_Z?Zltr4)FniB$y6FvDVbq18s@ODdXh&3!~FiI)KM{(dJ>3F47|W*qOc zi4gkOc&EHd$-KAo7IG8^6AzK>e0nBrGw>XOsJM;s()7D%5Sy>HRBf3eA}phywUnbo z3XRciI8EP<7>yC#eguehOM}8Lqxts|+9AH;!lC>_E9-c6#Q|uL11ns}v8-ozDd05x zfDX}XrxNxC-7?M;H(77O)|~8DY2#=LPCht+k=}t$3yJal}L&papIeVQyTA$ z`i7;<*V9;rn~V|+dq}c8SWmWbG;7zpZ@yf~DZFTtPv%6b!wV`)&MGPV!8VlCcqR#j zaI$!?Xd2rz7=9sBG4`^>8qCeC2G#1fKOEHt`^OnMpYKm! zu?P9n`+fJ}c}~BpUAOah$>3!Gzn|CJ+hyFd1IkF#Kp%fA+*}*8+KXMB^`_IrM_7)3 z=jRvvbgR2Vl2c8v>+fmKbK9%Nr~h-#J&VWnR##7eh{(4D6dy$Hiu=+)L*e1-QLG`g zbH{b#2oB867;)=j4XUcpT+-`gR=UhOySfJs%&jQ#PF%E*7-dKvWQt~UfI+QYT{H)< z89mDKEQGL5O0kO%erOGMY^4gbA{xy>k1j7a7qSd-=m^X%Dr8C1*Z~D+nLdSD+0h7a zKWlTO`Ewm%Rb-X|z>6!sWQg;!Aqv3Z(_ULKZX=1b>)?O|js{Jgi#yV0ieYuP6a>osDsPSu3T+8pv~*0hZodN#H~aGNRE zPn38({!kZ)IQX0c)4dy^8rzpWk;^W-k z!BKWX)-!Hz#DV519~YAHW7Xo~?$~ubxEaNF$y0@!scpyy_oKjMhf)Fnso3`WI$48= zePko9bCue$Ub#u3+2}E)A`>E1azAn>wc~-U~L>d5ClzU_{_f157 z&ZETJ)M5#i)$VzU342P9#+D7O-m#Niw*>`TPdm4p4BB=FJMOvd9exAT=Vi#4A)&h< z9;dzX0~cN}{Bh3ZDm$Rbw9-u`W+uxh_A^SSLGD&4vo$SD2%y2F*x;eTsGtXe5+myA zz>DhjX2;W|tprQ4NXrQx4uzSd`FuK5aF%Eko{PCbm#00TDQlIHl7*|F+7)hE&NNC@ zD|KKt*F`Mx)EJ;2blWWU>}JN+1qg z>CZ9mJjh;B#>hT9E3T%P@Sa|4`@%3@=YCp?Zd--ha?_6DwF_>VcXnS1zHiYNjCu;c z!a>KKXra!;6$t5n{n9IGzHjR#&kP^xFhHwWNn7L8#Y`OYR-^syu8#Nf$lg^qL%J|C z=XD|Pm9*5MJ_p0;fG1bMTbqZ5XDKbD=<=TuvGLw~W~FjMIh8CjRm1*3m(kKVQ|PE5 z(++qab-A||kqr&S>{b8xOxY{VP})0=ir7ny9#xb>$@)1X75mjgR`@x?hP)r(%3S@@ zp`N5_0hGTSNaQ8kJLZaA03q@>7!VJ>8yyPKZq^I6xx)9#-r4COsy68`C=EEJW`I#~ zwoo%`wQw_2xyc&RbQIP8$xn1P%?H_9nWA1`$ecxmsRrTO zO#GqT!VZ&hEyoky-Vuxde3#v^o;~}v@5u6;s`T@a5nZ8n!y+gkeoq12TvL3bA1B!t zaz7do^y>&T2oUYPay`d1v^>N7`)kp|eMI{bv$=fJ#(&Y=KYgc+#!XiAC>Dpge!*UA zG_6Ao1#LYN*LhRDF&xfNdTA z_!7~(O<4quCa~by=rI7c_Pd#y9(MirnBW8voAWIAzGR zq=(h(07Y))jg+a^+K2K|JEoACidb7i_bw3x;E3m)iAobfQB8r`~kxCK0aSMuCZe3 z34rGy3+)&PMKTXEvM>A0sO4ayV8me*SN0v!;X9GPSVF%9%niWlVDV~veYxdbOYD?+ zJdS}{d%rU7=?>p0W0Kb^A1eddf<%7#`R%VYbbj$|=JUB3(g(s~hj6z50=f{itQBrnP*d9u; zZ%ND?d!(Ap%$`Dlt5c6Eokgal;N90COe#zvR&zHa#3BrPQ5W+i9gAYX%0+{1Y+2D~oV(j`MgXre)I~RBV~NA*XFi1$pTL zkw?7T1rtrvuMz|1OsE)`yf&I)@4V^f`wzQ8Rw{e_ssR1xaD3573<~ZR%~|uy0*hc{ zmzIUYVNeb%9#an1HL|1wOyOxzX9>I*rM1FZj1@#NI}K6DJ6@0E&k}gvt0_a1#>*Uv41#QwPe8a(BW9_I;|(%lJfbcUGx}+ zAWqXVma)H7^OLACe<6p7H)e6;G>^QhE5NeQ*n#sPKKLp>t|I>if|>DH6WAb4qw5{& z(#IFVo_h4w8-4BBPgT@u%6ZZG#UzPox}1ayP-O8SdG9yqe5*B@vAiDOg4>(OX1^Ax zXD-Y1`FJCgtvZq8naksJPD_VUa)sH1#sRO;aD^#8Zzy@^wKZj>Gj)t_^^SyxP8tho z!qKRLuO<0aebTgj4Jm8^j^S2tG17N6ZJ2yr`Ms@^o(HuJcC|oZ1qOX|B6S{gJ^T<> zOLc03)N01#AywSRmJI0iVepBjJ(KR033vbwtp`NUK0AlZl$p3Ce4Y7=Nz3?Y!*AH9 zJ}W4fJ;++a=#^ZM=Vo#!*<;Es`#0}{9P^z%{lp6H(8}&Oz-`H;d1=~fZ=U_Y#b1Ei zeedvTeah=H@&~!iyl4lhl2?*MViJ$Ew!S?pJ8pX`IA(lN06A}j*;FE>1r06ZiNl$& zlG86sw+kvag@j^@F$Z@z=5M18oJswxK%A`ag;}z)+>rfqNR46`ENQWZY2OPMUFu3x z)}O-7zP7zzZ<}1|cI>cSUH|va)6^H$@xdDlON;pSl0rko(`!(f(Ch=3)I02jGb>V>ksD(m%atUC9($Sb=^>et;TeIo=wX zV1APXcNo7kW@n;@?aR2!%JrH>uC9H{;G#SoiInl-xmok1nnv~b8Z9zhQKd%Y-h>4d z`yv~d#B@&>4qP3W%n5b~nJjJy1wER;WDjC4of}@;i_!I#RrDlPQg=W0CKp+8tY&wG z0d@csr46YJ**!4Az=Xdrxg;adq!bGettSC#RIL(7sVZC6Czh|i7_6?wQAWxV{e>7; z-wY`X10^j?ZqbGvMT18yrP3sfr?MqN`vBEL2t&Ih?V#3E@U&`+)rjn4j839TmcLk$rfj^|yjc!^qjH0DadE6`8i*D>yba&v8dv1TyR z3aLy5$cUy#1RGgl*84+?f zKV;bGU1N#;h4G%RDz^&|RPv%i%->1+N-Mo+%S~F~eph~u-vxQj7jbl)yGX?muQ<>s zYnUb~VHlhQR<|5MhE&AR;Fp63H=l*fc2?J~fqQcAuFvV5OGN|kr>FJym|~xzo5RV2 zJ)2&ygQIz_Vmqbn&e!|D3`yyP0AD;OHwKw$NMyT8v$~htzt?~F4Y~#2Z&P9}w}dDx zWs8TqKi)B7K8G(aKe_Ghu0GyFin;7K>0L18mgTt}ZqqR*N+Ydc*<^y-egX@>%()Wu zF!)+MkD46#71O%)h*3w{j~=s}!(`*Xe&FtU z2KZZK88)pe-`VB;y65a*h<&@-SAw~y@zOOvdvbeu>3<|f+Qjr`@0RO*SZ+H-@z_1p zL+wWyUnaV@S46UUI8W|>?R69W3g_pQ-zQV704TX^$4NtnMXhqaiSNx%- z!S`|gscXw%%H~-f-Icg9-`3gjY~!nJhIvZ??LWp67`Tq8V}q5U;T<5GXZMcK$r?;` zNJlglxc+r4$E66A;P4%#DP9wed>s~z&ZrD=pH)DN4jSq}TcfmLAFV_9Hl1L2^czEj z*%H5g?p4Sy_ccG$m?Lgb6&Gb7HZ|%MJ;|o;+&Go%PbL=?XbDRPYkzq^o^k z&3x;+i_JTDcO{-uAAR}r6xYCM#GSZm`7V0-E^m3^gqMnFipe~>E9$TDhldw#KhuVGG{iFmf#}e#|@c;P!Cpti*2$ z%e`sF{i%+fCS;bCfNy4_D&o%hCR%=M&K~OYu@-fjINp zb{8e+Nq-ye^gK!E(7{mP=8o)@dvu@f*)b|MsQTJvdE;)koKhO`Ve*~yt_4wnkRbE> zHGI?OppmJfUU9+g@?op2XLfgf2gZRwvb)3h?+j8L(bbjB{Ln@d+^8M?N zOYXFFm&s2s=)pO!RLqJdWKGN872|GuZ595@j_mbmK_~t#)!K%;L~s*-U7WjhYohy831w;5hGdXlVL8Pvttc zl?@MxsvDxTO^b1cJ`58P_2lyKHu%h$~A z)ROS!hZSR;vM=%^qH4x(KTaD|V#XSZ;y%K*b?JlcN8HQWz>7EvPYexe!v~y8{_MxJ zTfsWku`j8qh+bS33z?+Ok<(XNQsqh%c)$j`i;;ltk0HgA-4RX+i>iJ{4^f^vR$3BI zHz7m8IH6TUKe1XO-Wv58Nb7_C6Afno zh3O}-gPcqcYbpXshhc)k?CXzknXeqX+nVW%z!~Hpc?=JUOM^*EW3HPgE^rXYF{Z*} zSn1f6*@KyB0gXX3T%}Be0S{KP=zs;0oFwPZvjPJ*1OkbRJCRF54sAisJu;$!B#Z^+}foWSAO=Puz(qOL4nnrXT+jY&&uiMj)sD4$oCe-=d6u?2_|acj^t2~Dy= z!40GXiBvYCrcG>-N^D1MUWTSPxpQoGtOUgD0d*S=uRXBZAyIJ$3;ch=C8M-v3qOmx z2d!h%Qg6u4^jG@3!IhMNHB-gIv7~F7j7a|J`>}!n!OXR8^jDd`N!ea&8Vpfz(i*}5 zCO3T3UgOZH8D)1(u`mdNHnAR8JsJJVxMmc#BtdN!R7{eQw+E638v+5h^%$fIO=sF~ zG@pidrz9V98xaIUua(CfvlIxr9G(Xx)yCw(7%N>N^Bq|s6W$rX0@W4#D)qhEaQqpw;T>CP8~$(hTdZk!>N7L zmzpzY){h2W%jBG?^yYa}0Xr1(B@L+@LH3&mVzb+BZJvbZU$bXt!gn9Aq@^(N+uonx zy8+BWrcuEI1t+hA*L!p=ls3*W9m!#M*>tnjyYVgY56oW!h2O1av8y?LB#cQ@D;FIh zh1cs-jdmc5T=y$m05DQAo8nn?sKpie00q!_fz|Asu zUd&!GqGd}#3D1Ey^Kfs6k<<|;T&#Ntq(D@(@^t`kju}fxlWCMJEe~A`GInStZh4Q_ z%G!(G9Y>BJEGQO;i`8V!A!lGCjZf7{c{HSir4twOnVaXS%w&cmhZjM?Z8Kx<;=$q;y=&OnLPZ^((A2&?)$g=0 zny1uxZ*R4$C+;<1pfg0ALO9ww`N*nc)^IP-7HOt8XB$BJ_D%nk=JfUUCvV2WJNLZ8 znux85n>4pDJ0(vR%OMYOqbY7G>tXOr^O${F9IxP%Nd96z6B&aOvzg;Lvl$B+*TZIZ zZ~|16!tW(qi+-|YZc8=0f z6m!MeU{=Rv`}3-(TUo!;vBT0vhsWk$6?KcU{XwQ%+*HfKCd8C{ZTD%fQahiw`}3`9 zF3_e=cOBr!4a#7b`XGktOo?Ce1iVoh1O`IvTFx4A!yco;5>vuyg97buEvo6ju6N9M4 zv%R&hJwsllxXOQb@n(LuTKp{VM<*s`>Jr-cbnw{x(Y59iV}*BXXPU@SM$pzNgajY4 zvFPP(Jb;j8&sv-{H=}WALlQU@vNUIpsb{Jobl}=0>5) zsG5jASNQVn+1A~Qi$MDmP*Cettw5iLpFmfUX-5@u@Zw_6ZlLa0bQALDu14_z6ZCxf z>cM1$BG*`_wNQ@U(y`@#*22@x57XN4kD;%vN&=KgM#~hKaX> z7Tq1(%u6-%eOCBIqV{`J7sBs+#&1ClHdTFgzuWzzc+`r$%WnFE!X}fI`Co9D0{${2 zVrdhPUpdDAXur6?8ngKtu#mWG+2=a`Fx4I@L+5gy^;p9AdwbZ8Xo$6G(K(XrRBOqg zqzcpt0|Ly#Pyx$~^)?6L#n<4^m?RKbbr#iP2n8_rIRsZU5?x$)T=-w#R0 zv&*{otFf^6WR(U^8EgrL2EoL)oQlQapJ2uzlb@4DFP|@qy}a1ohJFG+x-$vd+r~_z znz9Em({z_CGV9s@y#M~m4b`_|4=4no`5?rKHeGxsN#12)k0gWw2qb}~x;$55D6!fY z@~^VnJnshL?j@J=a%w(+ZI(r=Y>YU5y<;}X)e?Dp18$CjbYawXY*F@o##XE(v`A#S zGBHozn#I!SZtE|)7||If8AG>&SgD_Cna=UmCh<}T+zrfbk^xdUp`(w`dsjhI7sOvm zy+hrP{(qY~{8xOpf4TNpxWB-siX<$|%uMR;_NGiqMwV*MHiCjq&W@&rHi&Q*TVJw4 zH9_+ivgS*v56eMA!D$ikZ4xGi;}aG_5Go8K4hM5J+~B|4epIe+S7dIV)pjDp2)2o4 z2s4)s=l`Rt(ySg|;#tNTm|TLGM^*Y%>85&*Vp%5$AsZFaQ@RfV6K`3G7cZ)cG)i)h zhlWIjXU-wb+4P{^IuNZ-B6HTWH^$)V43?SK=~PxG=8tsB061O&aXz*XRJ;~9CFw!y zOOEyM*Ou0lB{M@R6}`bjqnP6bD4OsBWd49a$e_Cr#ZxdYiQNof`UqQw$pkNuHqNNX z8U3E|6H8;5Du*Z?-Wr2t!sROyzNr;Mw<6@r6@&JNBQzwCo-t&16%GkmjLa?gT54JX z7b9V!;mWnB&EX~hGQJD|`sA8)74C3`Lgp+vX&Z1@8gSgzvxixkUMjr95I@6AP=cX$ZKqF z0W#ChljaaU>CRe215YxEr3&QLNtne0pob8Y4_EPl+_P zr_f;}N>O6l>E`Qn|G2x2nf7_!oqt811{QtI9=#RH*?$uHJnir1l2H1mvE2Lq#J`Ae zFhAYFM#y-z=DfCn2g_hUbfA^=xKyUC->GJngfAg3krP`e#2BYhA zxpvXKaA4l6a>Yd66)gsBKfPXEEar5yTMf87CYL4SV`3c_x&8Se{AdaGGJ}f}(v(oi#?i$`uFc=g zbigq@tRDP2Dg(y1?zyI!BIu1+ zLVnD``Q{a1NGJ{A>f-(ukw5up0_GUgjPn4D&fp|ObXywRl{+TH>@a03DFV(6`iL}8 zr;kad@>;mY!M`~siTfRyN4b`11-lfS2Sm(p>@uw<=-y(N%qZzlwH*(KZ^U>XpK%ie znLm!hpP@EmkMuCPw|8HZ4cp5g80t&!8=sx%6UkZc-_35d2!>lEA#QWQBA-CGmsZE75sj!_kAZ*_vgnC(`<}IWHQuI zhMW3jCvQ#Xq>d2Q>F~M@(EC&s39cavdt&6hR)pe$tk&@hV z!Q@uG24ZK_v2VY&!$`uyT+i{EJ&}th^R9Y(Lk8X=UtO+9S%ebsAGHpFW4)9bLlbG$ z3S}hC8#p14&pTp&zt1~z_Ba0E5&IG`9+TR}-UoW09o#*}Mc~!Rj+WWA!MjiyZdv>n z1NV%p2tU90fCu#Dw9=H(g) zO5)P4Ri-Isd_%MhS(~jtwBobu+$OMYa?i)&0m@-Y&aCXz!&t|J@MCHT*Qx=i; zOX^@cvvJp_w-}A1-bpvY=ShBoMYz#*Hc&X~owIPCJHR!O8qf8HI9bjgtWQ79K5O7L z|J#K}2M|yLwDtwOsxV2r5(sG}bZ#9N9)4Ueo=zN7c_vLG<+Gw)3P4Y?$>|*UV(ilP zN>QW`355@m@5`zLULs;~cTaV#NyFxqf}^&4M}6e?t~en|-fo%tME?%5RTi}RZ{zrX zho1Xi`Ja`UPaSnel`M~n@J@nUda!@dgjO8lPiY-{$7d(_cJdzeKZJ*nj%FTPJ9oa-CzApBvV-4TOM6{O;=TV z1tuPp>L(3!-3G;XruRFjt488&dr5F82H2~xc8IskMGB;fQaLc0Rf<8YB}T2CKE1J6 zN5@j(K9N|<9oly-ixMmYDei)}YVzf{7v2squ4Q3T3!3|PY0~@m5r6v=)nL{}If~FF zMvdd7_67e6m@5@+AOF0zg`6ve(x)HvB9IxD%Z+WFaQg*qz2V(mGCp7tlM0$Eix=8- zXS5f*z^IecKuzJ;H~8BuNb|%)gQwGPJ{2%s7Ois3+!WhdvdkB}zCWU@e3~H9p|wCZ z2Htb2A?p_?kdhnaz)GLI%X&2-;Bv~AC^Bc;Fl)Rhg*q${(@HNlNYV<14O(C2Sm6Fy zf)n3i6JniC;)BoDAF;&|?p%0!=JkBI(LOc|h|L?8e%gXQIKxW%akh(tUd~Ybx*hc3^gkxw2pXcQb@xVw$tsl%w4Nuz zSx@BL($&S&=@C@#^QkFJi*#V;^C|UR*d<>ERZ5@Ur{($jFiZoYDCS#f!is&82p3c1 ziTm;618)W3OSa&Q4o;a|&F{tAfY5XZQJ8feroEx7tSi3kmtCk2)lo-gq?skxmCjF) zT*4|}V%U3A7zp8DnD~ONGQ(Jqv*P%v28Zw&iwDkQN#la&t?sZ!K<2q4OHd33Z)Oju z4g;U)i-5Z@c7CedXiu{{z_4cFwUL~Q$oY3zc9{=%bgGf?$A}8HPks8uHw%^3^<$o4 z!lhqAKT2g)dQT)VAaNBTlW(mD{e2Un{8J^9Z(a!e-YG z_8HT=b5`g?Wsem)-qlGv`cskfHKfih`DqO+k5z=hwV)gf3ScBfZg2M(8PnUK$xYRU zrG%G?GX*G*NIt~J%2~Gsn2xyW^G_M7_!^=Rm+${y-Lx z8hVUy9LE!Feg6_SfS&bX5hWsWuGI{g!ENB`fka5`t&@kk1^|N*y=R9Mc!;29Hk%=SWu{Ph-uW-){DNUBV$!f@%3pto?HPKK!) zPzT+9-^P^8nDStCAcHR4Mm$&8o|8(p69&ai2<;kcJXOXt9WhpG2BAOBu~?NQYO#Jh z+$N$Z`kqw>!X#vF<`9JyPFtC*P>8(?3g2O~m-Wzv@#y8^q}<>a_IsJ*mJ#kZ0Ds1L z?Ac2odH>JOBho@XcFtpWljfs1hdfHwO6<(P0hK_Nr2y8MNvEB&&1I<2Xtj_TcVUb} zVYCA6f*2Uwtk|`t=p_e2hF`YaRAGCNvtHbu3f=vV`*68li(>WD8&f+^N9XsM8d_ab z{DMNi!Y1a~Hu;^sGLftV$!ABM9Yv@p_7ao_FY&~2HzP={wJ^5AA{_D)ShJ2%;w^f5 zvv>lA(@;_LE3tFVtn(sy)K6M1wHB`=7$^$%HbiH!X)pB04vXS6yY{j`iH#xzg;%ppDgtHW;*q`VH zQMYl5e0(=?N=h9wck5)f7N#?ajuNN|O%F{Dl5?(02uMlrv^t2LGRfXjSYgeuqg{H8 zy7WHow`)G$x0myOABr!N4{nd9ulHXKss#1*a-u(9?mnD5B8hDU8HY~~-p|i3uXe7B z4^Ccgp5)%1w$~57s5b*I>(E1o)imqXV2>7?lB0(;TSM}a;>BX!0k;+q9DW>-*Fj5! z7>!Xd_znr%^#V_A1~bnfF37bCF-0i#e;y5}XWr+a1!Ho)uSFa%>^XoN*9Yjkwm|=!K z0ePh7x(0ulq4|h+BJzftO>>R>vQ#5^?TyxBBTyTU=_YK~EpF8D8+WA;1bbgc*x9W99jVI^U8P%yRbkfSlY)qC6ED9eG_#PfeK{4 zyOgHbayDq~z#s**6j71{3{_5&MqyQO-$5G53OZ8ZJrmVHh=ka9IdKKDlsffOVfbLe(o&c zRnkR^S)P{dQ#Wz71fp+1z|Ja~;K6WE-TokTl%Fh4Bz2hoF5UPebU!maSTz3Y*#zlO z*7lr;5aBw7a=}qpfBh@#8VC(x|rOxNSX={NzG!@C#UHZcgPYJ!q|}=K<6_;gr!O+#d3Xv4@q(6 zR`>ywCfGBemwTiRowQkMAMqf|90 zCnJFMLW0Fyc7~JGQx(|%Bv^!`k9k1Ery}uCTTfpkPCpBeOII*G0xoSXNY1C)ci4KD zk>WryCQ4tTPSna`c^?=VpUSU=ThXg_wy!+&CLXQ(7YjA!PqUL8X-xi1d(be@d9hR? zPx7UtN|TtrfUKC*OFE`7T8V){+^D_SIu13bg7@HTk*w&C3Ze%+?}MyfD23b=Dy&aL z5c-=jgg1;FZr0i>l>#+Af5WhoP~*&?%rEiTbt167Vvay$B7bl+V_;@s9D0RFsZs)UO`c{|MDbq*mO>v5kl6gw8-S69ozlW30 z_t-ug9fEv0`rg>!9-2Nb53fhWY3!Ft&ZE#Kny2xlJ%F9GKq^J0y5=SIAe2#K|L>_ODSk85n3d9 z6~?wJMyItSICAY_IEMm%om-eD=7<*SY$%sq+Juj6c=-apKGfepjhgNA;MhnIJ1Fk%D5RrUX z7(rw7X>9Iub9^l%EBL8jOY*?iG9x*?82mZ-wgbHh&M)=O73 zhkH$ZK3srk3G2w^2VcQKjn#2#t6q?KBYun^3xTrgGR3&=Ia2yh8cRhjfp~|{q<&ri z4#t4Kbh_M`&1U4+OWAhcv~e0ELHT}nOf#s%tsxp|c^vD2gQ@lKG{y<*^kpc_C3DI8 z7PX(AZb}%x%38+f?nx|7@hmQ2xZm>ouz``=HG#a2kLOnAq=4-L^tO#C*75-twJDlv zWqglB-)(vpm&?yxFUJ8ts(tGeODo1?1M;S=Lozg6e3Ql1%ziq7U9yfb3ndO(Am1xD zsvU9(oQI^k(khZhA_r{KbOjfPY?8U;wmC{#_@HeHZ34AK{?9%gZ)vz)w|SieEBR^M zh?M>Szxp$AeFV2fQpY?Eq~5X?${S5GI3(5Nj$dm;jThnfolm#vOvFey{bN z2!{@?$;niZ8LI59antYEvC~`kG=>y~wIjppkPszP7~aJf=G7)w1992$LC-*M>)zp(=atFF}3hA{~>qv-4d#ssQRWHq3`41xVt_C||n zp8Y=Ri!1m|8laT)`~{9~VpoxP(3`!*yi=9?BbM+UE`x0TRyEXGd0%w_v(fOAZUS@wh6$_Db_J~_o{<-O&Z!b3RdHI;n;KJ5q`E?J!J$`12*;h@f z-;GuEu3;Aw8)LfN)xUjtb@}S^e%n9aoFn)(_WY<=sPM}Q_&ody3>o*iy<0E76nuL+ z=&UjKW5vCX?D1!}VEi5vcKACS+UxmkKE}ZNGi91s(A#f|RiN|rZ?(bRL-*_Junz&U z$LsZeF%uU(Qs0>&>1nHP#^RN=I48PyfOz9Qf?;~?2pT+hYgiBrP*lt@NKoyTALGoI zQ*0J9QTarGx`G2)LA3=Gt}m;O6k*cx_X#@Ii5&e1dJ5p zQ82DR#%)Cyk?gcX^!Ni<3693FB2UggM2^!KE|FHQfA|==$@XyN3?k&(aU`fsc8I;B z$p%$0ThV8`1Pk%&!Fc%F%?b{7AYAfF7zA$+wJb_9jaAbIx;0qT!O0|(2 zLOF3&&vp2cTaJnFh%m8G%34Py3yKf&(s!VT7blrzX6k2Lzx(LYRVrCX2{(4n!F5*; z7+kYyPO$8Q?8itMxC#Ago+{|;6vMhEwXL_pa}+}#0L>N-B-~N!2t}1ybqwzkb&>@x z{P>f~(-3J!B6#twmT_&a5xQjOe-rV|4P3&%Zpz9SWR+louobTlTcTm}2(J%L(=a_x zY$|{A4=8b9R$;ogI3RyLW}ut&)=EjTziIsQYiPpBG5Kno(9e^E$8H&x<68;&iw?%B zGPgQ&qVC-I*o>E=^~(l3nrNe%_BU5Ilsfn%wX?uLR~3`tAMYpw+(JmD+rs6YDcoP(>0;woy-!sCLOwGrN8r!YT+ zah7rsHvk^POyl%;;Pnb8WGh|}04$ zDlH7&qDdBAjA`0&^-A+7cH)GsDtDp|Q`2OwspHJ)U7}36;xzFV2S>5;S;Kq2ts2p# zeWasTeD<@S9fYwz09ojJmGAhzuclo$Ao(DK+=+8Dr^Bm% zHF;`zkq7D=U7yd6h6R#G%)_IJV0E)`HglLZ>&&3TN-Qm51EA}0N7L)q3x?509q^c> zJ)|R8QofWpQ;u4CT9v9-T8i8x%FL9O&wY8@O9<#?i+3rm6Nofb@1=%U z49`CUuk%GikKn6jw`QPTCvWPf;XsMR#+$|CzAN0GN7pgmKUYDMSR@onpe2WdN_3ml zuiR4bYf>Dx{Z?CZbbSzmk5zP>Yes+SywN;gOJvLt1HkAn6a|M*N><5I0RF0^^;Y;% zBt8)MZN`p{Do;6Wm9A^)?fjb}mCfjkgs6tPW7OUbclGnHrI#h9sUSLbeLrykopUsU zsOJ)wfjM#a?*_0wdv{pVFp4!cuB7|jl?9E@n+>zcmYC*(amkKl8 z^@`{A8KIYS4$Iiq_0bU{jKqSwUD3g}YKtsw*?D!&0JqEgl$xK6IsbHG(Gi(id;B>x z{E77)gkj_Y|KBAw9RC&hj*IPo`}4V&|3gwUp}lFV!-e3DDd0yRk46C|;fn>{1lo?1 z4)#jpTINKLUoDZY+Ci`+|n3vVOl%$~(q?V(j0VB|Pq|vBkW91bsVozQ<=mFa`yJ~;&Ux>Q$ij)UT@q_Za zaJrZNL@4;18CdgJoJPo%Y+zfJ6fsrhX&Y?#%TW39fO^Tm=BDvNE~xSj`qjC}f|WYc zLYKiy!J5E_KqDou~L5MhKv`NOCOBMY7HEA9FhDkz<61K2yO)Dk)?js1XUk z5@|H`RQ^z<)#n}YmIbv(bAXE|ec^c{r!qJj9-htWGqTMB?+N0A{vcW7ArY%^+M#$Q zRt=8S%>v(2AsL_$uf%}xSeqB^%fip#A76?nyP3>lI zIw5h}vIuF?K3S|GBc?dc`_tw+9w{p;U?oxO4wpieIU|9aPUX>1zh0w9!{tup*=v&? zDZ5oVm-k`NR(?J0FO}*@PmEgZtZFeye_Iqt7@1% zz!-Ri?AeR?&Z@Bfa!b*sin>yr$CM)7qT^Jq&nPmR(%Ck6r1R!^`)Kg9{Tp&j_qM== zH_YEdv(Md1Vvw`#v#!m~F3-oirprs>Y(b-18G0=aETuS4`q1K9k67N2BBWaB^hJ0PrqBUx zISeK|-!T4A#+4=D8V=qZ&DJ5*MP zqphyNiFz-Ze1T_%QFNSvZweo9)MQE?@}Q~bB#UbF*gFVCgQ18S3f9eqp#SRdcMGMs zZP2&;qyMh)o%&s`L)2u2`h8t8Qrj`o^nnPrUumG+GP(x-EKcQvB!l+2-SDFSiZf+hRj^p1aZ_q* zR+wWC>r}Wqr&vAd8nGd2b^er*hy|{BKED+i#_w79dHGPsV=&xVq%5sNwAtFqyhwrO z8HsP9Dy%5HqM{RGP{L-SP5M&ac&CLU62jEYK-k929bNfTYHNG)w2$V|+8!lL`KyGv4g}XwMSddXGeF->} zBsz+{^Z`JNh=F)){M_0^GnpjQ>B@-;s%MU}wB9Dj7Ck$_M-6M)B@Y#j;Jbv$d zo)JT@5&34-`KxW~i*)DK8VOHjC&*7Q1rUvUltm}rl%1f`EsNUGcd~7C5N`O<)~JwA zgjhAV$}*Q?(1`ket1*C`>9Fp0I&Ni@(b#<6IN4q0F+`_*oSyA8sevz5o8kOvu=`@* zeg`xl+OhF40mjCE6)GFI*vty$eA0h!5mb0cD)KXS*?1&|$1gABI209`-_^|%lRaN9 zuf`3}Q_suk{1A@)7;*YhBu7(Xv4qJKL#IEEeGjng$Z1bGPM8Tts>_~%i#p{^_$vsa zef4}p6j4ao_HxGczvq1(&aCWT*YN!SVkSoyWrSpV4`n;f1Y;UYJ5(G+q;}VAI@`AV6$HO?_;R zAyfRMF8x>U-ktuRbEm@Uw&0<~Q{qCRO= zO#j_a9j81>LQ-W`ENt#5BL6~dD`^G|OH!I>0@B^9p{gNzjm6zL>BVrlfZkkH>d~STYz53LDa-Elc)$S2d_`lj1mbPS+m^ z)fJX^zzEv80go@Ypm7D1&}IPXSUH+-CU5hn`f&{&nsX!;8U>?YuA|XjEP#cWPkYbx zY-YgY>^SVTwjV8~2LVTQ!!k)0jW#;S5)I2GEFOjcBrJhC2q4p@gV-f)WZNj4ZqgD% z=OQie&{k}9cD280w@4^q4+5|@{siI@7lj0hH3kO`b_PKtq&|a2ib3-T4he~EYATm< zoFSxuX3MFPr0t_aU?%LN`!Bp7^_R8SJee|=>OtS?`nfFo^g zX)xk<;JIM{6k*nWxv13R$Td@?rZKcLFoJN#a2JwWADM|=u2cLEh>1`~F2#L6ytW!P ze3+^bim?0T3Fn}Y7lsfnWi6Sl-lEB(=t+wbMmz<&OQ(JFsC_4M`;R9?5#!E~Kzs{t zK00|LEbo4a1{P6E*fDCa+sT7nn1lGP?q9D&Iotcw$e+)T!{g04-EX)1DdRb6?vEEQ zyJBDdyZwX56d~QN&!_o)=(7p#h)G8gdJxaxs3LpHCSs;b;_kPb`Td-akAwYUDP7gy zUz6ZIu8%`LuaA^Ic^CM9z1%%tZ?;nb+9Jd~AE?cwOuQ>Si9+#y#}Cio%IO$h2iArR zw&54WnJSUO)K6Sc?M9Xhl9Foa6j_t)ZaLn5Bkk1H(*V1jD*8tEG2|PAUqKU5~=lRfmAzOM0ht z2gMK7VPXi?=?o~UuC>kxf~u_hb?oKJL+mZ97B;LjiK9e(5oD0k2^KRY`e&+Z*y)}%DEoP3 z^Lyrj?5Hn$G%p`m59Bk?67_Lhq|rTpF5|Q|*q8Cl;yop(O3)NIBK2qrAO74Bqhc2% z5a?mtB*VOQx*)*Q#&sBHWe{FGUUs@P@>xC z6}EKbvx*=6Tp^_e&$Cm-a7L+KWgPE27r3F!1Jy#Qqv8YD>wj;3wjQdB#=g7CxCSF* zJ83Z#5yK6gdM|NH)BX=U6755PHnEX{AvbHAHo;f4{mhILUjxo9DJxJRjS+_Zb zwP8#=K%GwcM<0vi)=*bCBQKL#)=Q$~Nf^EoE#2d)Gu_J@@O{w5^~-FCwc0)5ufJuh zTqvX2FvMiU6ZiHrVT0Nt^p~Q`*91TSGGHf#06_P!e!xSl+BHs{-esxYKjO1hrkOhN zGW&R9&0}K+@<@RBi#s@_-Rsw+iAMpYAS7#CO6e$XXY>0ped}|iPF+HgS(;a!GRJt< zpS+hZ25>*i#aBZkq$zHxYDZdR3RJ_AJ-I9w+Ldm!bJoQgXC+%s|3a~wJei<=5l+@< zR$N+kZu_fx+0fa(B{YD4oKQ14)pDvqae1)z+6vFxr%i-~9%EW)gwYYP4p;Wmruv-m z6@`qMIC}T&iVMHM`hLl9V%usm6Tfq5v!w=c@Xz5zG=dLRkcHmrlkVqh^5(0~!@=h^ z-n0Ukq9XOyNmZuc=|&k>{c``c>O=Z<#gj3HTG?^++h3_YFYB&euPnf;E2-M07tc#6 z=`~I|!w87G62Gw)c8ubB|1W2Tt=A?TG|w$fyYT?bDf--Mbe-+&19HFTgyThu?~~GX zQ@~Ewk%{M*w#~D4eudO}l3fEm|37dkxMO_zzbAQ`s!Jueb4Z>645$?aL^T7~9dsIvNvSGro=45G|ctamd$F(i#kNpfod??B!nAS`mH2T zc*p!L(#$6B3Xk%TuqXZX11OD^Sf!l3gz^}l^Mj`b(|{`i2f};Czt3%DtsLXG5}%mg zL2%Si z9Q(kBu!e4-nHrijX`=7;cy1uHJ+dqk>@n$L zp~g`(ROuuk=#L4)mV(s{KR#{ykez6h3zQAiBtD3r;zvktBJGaQ3#A1Lu$J+xMalA1 zVEq2L3s9w0<-A346)OF3NkAsGc$5C(!qM>!(3UEv5D!Ny@gfd)2Js*vH>Z3s1Y}^# zoVTEfEQl5@1ziX)sbMYdG#k#mu`GAlEcwEfL4jP!ZoXuWG9yw}@s4k5>W>orL!^z_ zzu2-)Kee@CkA-`L5Y`k3e@6BaUX)^H@X;2g@@ZSD9wKok$rHMTEF49HN~v9xK%xmq z8HWG9BLK2D?uV6$z+IHI6iiW-wG=GU>_(@;&|cthQn=ajxJF{|{@i)0DFx@2eoBq+ z>!&(2gPr1`P+|#e3sK2MF!FQc?FUsY^j@iSk+#X^SA8ty;CKF7T5KM3xprstgZTPL zG}f?Zc-3WKfA09re>2eQavy)9`x`Qz^Leu@&cyCZ-}>h4?)3c7A49C)`O>K=l9Qvk zD`J>SSAJFa**b=DyV50t^8~1kkeiZqYEi@?5Q`hy(5q}{>Gw}O9Xz-)z2FThS z0*x{eOTjXsnn1Az8pN1tc)fif$5Xr>4++FY>q1&2sj7S$gwH&`onto31NjV7M+9Zs?h5)0(>D7MZfbsijQXws(fmu^P*a)W&P<8IS)a;hKS79tc2w&k8mJpe-^}_ga-~3W_D@h#U4rmD zNFi&o3rU?WltqYJ!4N_zII;;p%ldPu3XLy?Viiu|1q($PooSq>1dFHX4-dQg20fFQ zBHJhaUjN4z(5N86$fc6HN{=v`yV%gcHLj!MQmcS!Xx@Wt&4QU9U)6+%r} zw61n$^08$0 zaQ*a3l}$^hjbjuv9#^>GiGJ-|#{HnJYzWd0(L<7+0{fmchZfdit=YZuU6*wf7hEav zXv$S8vb7nV)fU>JW=gcK(EfzGh^nBYJu%MVhU`hk!{Fo3+1}UanhW?b4;B^W?v&w% z!=-8iO*f{wmJVt;Fojid|F4DC-e)K+$jwCzyE+%w2p_oN$>Aq-_B%YB(ez{P3()m1 zecf??8?9(t@eT+w-ESe^;WG%*n+?t>`N+3|!`#=5rJcIlQSrk6eIYDvmbHO0wXO`} z+=lOG0c|7h>!%+?Weps1MsTHSh0E&l9Ywi+oFp4MqBtfcgRo zHGybmE4B0(eM;d$cI%Lt^fQt7qe_Kay_kLWMLQ+Pkz!+Ru?^t0d@fZkX;I|s#)xXey#-eBx z^PAPd=u9~922Wa|*7r#x4neJ^ju3&aFaVAL%{Cy!vz1isPvW4p1bal9MjUM7;OfsW zVh`kmbj=MNEjfX_K^#9yaNntbebH?E>mvC$;1@E)uA;3&^G>)zCKU%DiW0)FF`BZr zG1HVu`Ua;$7j=}KG%hM?81#F>woxXx zzw+I8#qCk~zY^Cci{Y&AFDuPVW8BT}F|oG3Nf z5XEI%J+u$NgsyC@-9!{jL@m@GiB~ST?(F%7vb~vmk~8VedbVRy&1AWnC)n=q9a%NC z3ri~oe3ZMm(QoHHJ`!~I4D?)mJb#8wtjp(oKJDHe{wVA8aC*Dt&)vQ8)A{iD5+RIF zR~0SzVJWt4y&>eO>@2=1GSw@`A9q(h8=r4SU&ev0FpKfS&8yF@xWmtfvyts;yiK3? z=aUc6iQEekI;{qAs3&|;j71)-_|Yh{dk8eZ5_{sl+MG^8Heyb+T1ap}2x0Bi7htw1 zvmw%<8Ya6Ij^+@&9w(wEUgU=x6zr*o?IvMDZs3uYiP-#-#4|DAUbW6l$o;@{PLln3 zgh+$8p}D#))3_=TXrcceggw5*@&0>RVoh<0g*R``R}XxY0;^Hkyu5*XN=!4UIoU}; z0m9Ka(ZE)0B!s`y%()E>eX~!C>y&703#EgKbd~K7xPhRu_x`jsrk2r(_q^~aj*U?x zrGH?d6}?@&D}lhK+$%JQR&6tyHCjV%-#1qDL-ypP%8<^nexI@N8$K zyi0>}59iqAQF_+o`p`W}8?fw!S93sZ;eFe4X?0Kukz6DHJkGa8e}7Hd?=k@ZhpGly zktL3Vq)S0+%)-}5rHur1n0O}CX@#YT=H(=XSu#VYzhEJ3#@ty1jRmjxKse64NGO5^ z;BbV3pOk9^7sSl~tEP!;>Xv*xrUE%`a9H6ymDIj9rsgscH#8(kG}L!ql>~dVdc5L3 zuCCQs1%6FfSPZ7>0;cN2R!@Pepc%x^=QS$I3VHD`BLHe}Ahx8tqg;<~BAbbdsH-E?S;_4?0*YDwj&MUy#rRSYT ztv{Sn{2snqUkUb|;^02dtzeE*KD{wRwMv{Is*2QrhuycKTIZ^Z!HhRCvU4DCFRMkX zqY-KIV#wy^2EIa9tHyP>SSeN)mHxq(3dM8D`6J zS@OJFr>*B=po#Od5r~arXOSzx6+EZy@|yc+2$yp;xXo(CCDn-Aa!Z-`oAe|u`SKe< z`ycVR9KLY>Hzx+mv(DqNJ5r&pUNtf@%F|jB{BO*NHnSB~>6c-4^UP=?$XJAxV0$}v zz=BG&Mj|9`Tg|<8tA(q$ zsUwR@+hJr7vW$|3>hPIM7&3@^3hDIh$%)}8*TDLC>o0urJkyU!b)2qlwNWDaMlcf$ zcrwZd!XIvR{N3AfOk~CC0-avDTP;hc4-YwC&3yMN(7=CrWdF}VeE)r9=VJRemib1l z3rn5vC_Z14Zne9-1Sp~2f7rJLY$3kE@8)=)RFEYQSZgg;IGarbN0(ySt3|chvM%i6 zcOGJH6>QQJsuuK;aXoyy`q#_Azp*WQAZa|{ zCA=E5;XNGNBykUj%v+#FZ6R?WEh2#})Dl*bc!n$cRxU)MNs8CrMRCejm_6#k3xAD7 zrwTud^(P)CF(n=wKo~?Gp&42jpAo8pMk^^1nzVdl9R#rv@3}YpwN<~FE1Q?u70eNc zwCoeYgE#$Yj9--{pVL&>48PeoNq8gr({8}?5uf_5#2}Rjct>Dj}oX){HtD)q-PKXU9 z2};mG>M=WDyf@9y1LM?27?|Yl>X4+{gD)_Md{&5&Hp!6Kns0X@Ly(B{X2Yp)&rp;o zI?G|`MAAbR5^bKZe?&L`@Qn4&DaoGvNt3v#xCfU4-PCUi;DEyN>a-f&H z%mGhHnjRk#mbz|8(1koK3<*N%48JrNKY_4=xcyg}0CEsJksf=YyTkLI>@oF8$H3RT zJKBB30_B1tttD(ZjB(rV^W$*B2IKb47vl$TaZwG%XtiSb`2+XU=L^-h9HxHtqqF0~ z{+T2tjWJGtYtYsGy<%WF?5f(Y!zbu|61|S{D^Q(NCviQTd7{Xfs{QZvvR%ve$L;Rx z9}ec*_v_aheLLefJKvrcV`XO-r@N0w(5Olyl5q($mno>6{y#TOsTs09afQP^IItNjo`kAVTBezVH#t^SZ@-_~ zC|^l2cLUu;7_GSAzL(32(dN!KJfittrxP(u$a-poy#Ahrki%Jdi3nqPkCF1^O@Rf9 zY?PGj2`Rt5I!GPN})hRSI6E@!7$B*q9puXE#bmKw?>64 zCpd;YkMkbU6ojlV=&&f+covaa;r*s|*CPb%`VN;;I^noQV}pr0vh(gO!mBr^DB%`W zKKhq*fuL4WZ~fGAe;}$FAQ~4O5YK`e1g1ltni(4 z4J=V<0ZN`_vjwr-X}wB~c3}%Yh^(SF9DDv6+B&M@$kqlKI2>o0;Gwsq#IJ|mus{ud z$8wew*dEqR7X64Yz>Q$t7s2Jlhl+ZKJ6SPZj9H200S1nhj43mbKAQ&FH%5tYu1wXa z70CSSuoaj~)z3_YrsTSTDA9OEfSKB+sWt(fXN`_NS8ypZ3Oj5q#uW9!5ACk^!@SK{ zxWwSKYXBfDJQVGV2|ra=spLxT#W6YS#vdr_sLp>ymQ7K(B7R}Bv5;vUIMaHHjUHr} z=jwP}|LIUuaWQSeheTka$Q0iD7%n{HkVX;R7wlnoJQov$sd>X`!=( zS`6p1ZkTWaL-Ztl7SsSiw0h12b;J*&%_X_p_Vu+(RY=QBQdDjRRKNIend)*n*9@|k z6?Ch#F{(PkY{8pi*CbQ-xlh7vt_nOh=NCD!uxK2-hWEH^y#*<;slkfr-x4x_dQB?X zBA3RUcg;79aB|G{7XjD!qpyR%9b!r_}1Xcy{KznJM>|i z+SLinh;w|HqHgr%M|G3yKdb5)^Ud|g6w>$TZAVthpT73Z(%q1LAHD?;>-$27@Eq&^ zMM&nNXgb_N5_k3u*9L-abK$kHm<2R%$u zX$HGID6+YynkGWlHPAf5Vs`r2D7_V~E-Xm0z*!o#jedX@ta8L&J@*^tWRBcTU^>G@ z4ty%d6fkJsiQDsi%ru`5kP~}{_0Tz?#&6|H0Pb;$Z#%H}4O$HX{kek$h4-17D>mQd9yBexj^E zIM$hfbwrE}+3rQv8bGtQt+Z?xoZ9)Op3bnZ+kiAt6G;9NFZ?P;_4 z!&Xo>aL|Pv21rTQ6Eavx)rKy|^Zy~6cNcJqz*!mXjKiUSP#Qr9DKZZ2yJapYk>fRW zKXrKqiM?P!F?iX60wZ@(C&Db*8bL@}*fc_Zw-?IrxfwdN<*}sjar-jD^n4BYpFTe> zHV-F|iTpgCZf;vtwmREBZkr8sUQTWw4u4I!UHSUDSMVYK+~6Hxb{K3Rk+DwJJuaE} zJ20~C=lgv2FNjX*8zP9tcGBq|3I^e&(N@F z19j!sS1(=qM|eoMQw*OY6I!1v|FJl$xrI5FpwI>dPS8w@Q50wj;4@ly%Ib>J3c_&M&4hJoigI7XtI?otkF&6*bAY((2;l zR!I~`IST}Y@;qHLSk-u;}g~fD$@^AvvC~-=R>8ljc)}9Jxk?^N|-#&$HI?dH>}Ux zg&La#51H2x^+^qy&7GjkK< zKwf&*sPbR~fmjKQx3rx~WJDA{@ndZ(0nzOA6 z$YPmX!^eKy^4}XSFbXc4iyt!MhGsQ)e)7I*a8p?q!)mADTTG?haIT4_aW(!eHyEsTII1}oaH#-az@$0 zW~FI9LT_c6P0ie8s9v0>%P z3#>+|H!VSVbg`p*FliP!QWqGFTNQ%iw&AhWyu*J=Dk+VyIdJ3?{XPbyM>)8*F&8$Y zn<a1nb(X1RE#9H(o(W#ywZt&%{acdkFbSHgiLoHn*&N$ap|?&9vXz!^Ur7@=a9g( zxl6l+%=fktUWq)_*=weW@{K#~VL*T1Ky|a+pQ7c&&WrT@=~i1gVZ<~3se1h`Ij3JM z;-xX{(+w8_mP6LKq~O_UB?c5zAKlR;+%(+y%@W|YXqHoHl~1Vdl-e<-T+Ot%aO}EP zJ(PL68#?Pasubw7x7nD>E4{Ag)|e%uscv?te6z~2)elh5A)xfcTsKcx5{NCAf`VA+ zFHu3oKYaGwH!Z)eC;aeJPgd6j`YtKfbQtNzMe^1T0IHJl^%JN7tTY58e*qj_iYKj= zV--Zz&TERmx)y77tU0yTJF;e+i44~BYYL@K>Y96;nDZt*bDK-seDKJqtxwe0t{Tr9GNXp`uCV{HDSdr=iQX zb6acAscbIorT$VP2G_~-W=4fZt8%_q!YKI$lv*6PDi_700mr(-Jw7g#0s&pg)h&H} za1P@ak%X7HFJk}HXtvV7ln4J2dXMwJE%BTj|CZQ45&6|9_-7=bRpcpYfWQ$z9xqyp z=<$;dFcO7w9cQFAlS8iKIuKF}c&#&G8xzj*N z8ZawGT1BFePbjS|+cdm3T&6{5o7fsXlpzx8|7mNSMp=U{Bs~@CpCla-WRIJY*R+4m za{ma|CG21(A?$=DA?&g&(&Q zQy^yQtwD#Wq^cG-t`VHC8&E#vFH9}Jjpw$#B2koVn)~9;#SuJ@1J8-&bkch3o4I zD0T>WJo~HT3(1o>$fb(e<@$h=q#QE21D+b-iY755+{efKOPY@PwE@KC5+g{1v`uvd zWb=E0#gOy0xT&1T6@Apx15o4fyskTN3~a7c{KE1gHp>Oh2L7 z5O6-3PZ5yAQi(72nloa<;2a zOH?r~V|Z7!T~;;aTjBB?`a{AiKAEer#4RI%jQaa;R{!N}zd~lLsrK{p^Z9BV9L?DE zbPhcRUu@%d^L2WDUjDIJOvT71ZNTpwAWu(-JK*d1>SyctdAr^Hty#YMv9ZH_5VlO@ z*AcPb^Y#_FD=x~&@7>zYWhkgtWeB?o5y@j%m;L5JZW9} zIC8_{^iDiFD|X&j-o3J&1jst}Fg2^{YPn^grHYD*kUO*(RR!PO(6718-G%4s9=;5Ur zBh)kskkvk zCMR=nUf&kjoUUzltJFPCRc}P;y7JbD&E_iq;07t&BhKLyeMR|Au1cs+pkPeR$rc#S z6p8luuhdX}{Yvhk{t0qj7;>LDQGD{hTy+0rZvI7EOU5>XV?n-v=lNO)#e=HZ@Scd~ zHM7th64Eoo;u>NYr#d$}GH#jP2R0cIn>h}cYw?t;#!zD~grB4!RMpt!j&>T1T6WNz zXWbtVQ;cIM|JH<_O1P$xRmR{1!{(NNqKFpwJ-5GQv~HA+#kh1xQuqhVsAHhNR(b%% z6DewPBCQg0KC&BEkx^lD-$IgV0QuM*Wrl~ZdXj57)r?g*EyN%AlOk8edzPS{K9Or* zOZ$?6cYuh=`w~!Nfe3-mD3~ef`p!zpr5&^WlaGno-_)z`SP)xqk0&3C!Z1kWC?Wqb zoCFn<(-2jRGa0^G<|?UoX6&ZWybXJuuYT&mkM-wNSVTI66vQ2BxHRG z{nG@mj>)PhaYH0RCk1=s@s2)J6LC+Qow#%xvCa}+sX>!x8b__TFy@O*-Ih>#8i{Vv zM{HlcM;xcX73LNGvF7Auz8N0`vUxIvt;zDJ9aS&R#->cr;25_3g09m-_Y{eo}xDoQxn=(xsO2?x) zqX!2j?`QRiL@7W^bT63^!^)Sx8NVtiU z3I_|mhOQFB#TT}R7cC}v8lv7C3qLTr#~QTA9LlN8hgqle$mXqZFkJMI)(Q6AUA^}U z{-9R(@z9aS4Y^YlWS!`-b`hiyHY&f@$uGJm+b%T>+_Iqd;U8lB1o0L32=pj0Kq3(w zknL4d=r*75;4j(k<|Q@yb|1@C=`b1mGsM&OZ}8Vku;oklxirBXHk7l#X=XwH!4217 zC?EN_^y;eDG=o0s_Z4_=gLuC5FYEVzgxvcd$9qn;e^b9Vv?gLz{;A)70qckL71ppM zh(c1NKvZT44`NJ@+Hg|A;84*I{-b9O@B`QV*k8HiMuIWJu36eM%8x2e@YE_!$V#EJ zLbk%kc_wiCB_tBpY{B?z8XIi)H)m>r;cQau64fb*f~QijVHI#iP-EOhav?}%loBC4 z?E;n?b58LYZQ#%nRU3181&?2aN9L;vF%j|P4gk;nkr=;R>uglz!CA_LiNSOnh4}Tw zBo?DZY*G{lUscy~G&?4-k5rA?V>Wmw9=DJ*nVbBGIx#TPp3$Im7K#A}V6&4i$ZE5r zM2rZb6l96ZgP?@NlWV-vYbe*mOMYx$01;*mZ7%^B4<$ZQC`6PLp5rY97$}{NvI}4* zhU`h%tO{F9+f6(llP^f`7fKPpg%wXL!>ETYqSF|fOKH>_E`eDX6w^8zsNritfzhm? zP&p^_yUtSU>}i)`NNH@CNX*XB^i=JXhSvJ41X2(vg|MNZ!+*0lx0e8(o5!zdEC*5V zFIfeuoGjAxNf9X_nGQfbt3dqyQXlY&eZXs;o>HyrDZ54RZja1mFgBzzXlMwDQFx-d z(3~KJ9-0z_?mZHO7rbPe-SYgU^P4Kwd35Z(& z8w#g$FMe|Fz__ft4U8hW~oS=|c0wCf z$St~$MK~DI`6?!Wu6j+5KJ5Xl?7Zl>sk-jeR#Uri|j*ciF-!z=!#a?xPf=-MCkcb|4@Oj^y zo>aW4K6I(h#%6e1E&|PbK2$$#?sF^`r-myrlji0m1k~#DiB)b>f)B{Ak~C!85|)ca zBR6d8B+|vJOdPpPStK9KgJwwJO>!XVW$OwTNhw5)^9Tw@g2jG`l5y?N$$8Pa*rqjx zL!J;yUTY%KcvxAOS8|M_udEP8P1jW>62F?tU|~E8TyO|DA`!Dcy7r55gej@z<>t6f z14ryZDdG;Ej`BtSD4aTa(bqM3K?7@<${e=@JqTMXe1c`5^+4AJ%%BODwn)VjrwqNQ z_`~LFEG+_Ekv}3n?{X);TE66W*{S@MAwRR^R3~S;$KMC|Au2v;?R9HUO@FzlN8m#d zPdCH_&F(m4Ch*XhY#r(6xFP9^_MdJjpia@bqHxWZLU_U_;vPaIoZU?)sH33-IUZzs zH-d9p#AfQt&MG#Y*G)zRFt{y5CWe#T9g|F9(q4f~x0!Eoj$7{c?$%)*0pUJIRDwMV zGXbm>gM{OqIhO7DT5dx2BGCCchn@(g2nL%^kNhYCU7#VD^J$a&OpdV^B-)CtXKnrfO$ulnS(9xrGa^O!h%Y`-J@DvXj(pDvaNW z)Aoy?4p{SyrE(nEtNm{B0TJ4{TKzRj_X-P}v+E#{OjHm==O47rs};9a9!%49nN;7j zMut55?$(w17SDh!6Xi`_c(yI^mH|%WEH{+a*;6`Bv0dHXeroDPOeUAQxjp#`px6{XN`nwqCa|ii;up%bDUU>^CX6kfJGKE z{qvr(!XKScHBE0@F1T`b#_2F{cwB;Qe#pFTKM4WT$*II@Hh9l!)g^TkeJm(DwhJ#R zEca49SjdAe6Fr*7m6vj>PN&s0BMT>F`t7#d=W7ae$*o-?XYC2Q0rxnQOQN%79LO?M z4_4D~?2jf8tD=j5$q@r>Qy|~SxZ44}TOUfggtvrT-3h<>Z{C27>MGh_mu@bQvdmfG zYtDkDD=CT-nS_4GB*Ya|^VpI_7wf5$T%C+R;5cMy=A z+R4_FhvXWb=kYc7o(UiUCVw=drl}99BQn253@s)q&`W8d@u;B+Htpch@dxddD4wDz zz=;J&nF`K4sm{@trzj38r#@0m{P=TdqnL%GlQS_F z=YNx-*qQ##Kq*<%!U?|xH7n=K815OHORAiCVV z^tC~MSPDA7C_YufvEj2MQjVe+l?6hqK;t~2xExi%Nk&+LIwzdas(@R8!gK)(O#sV% zW-3$uQ6qpxOGn5mFVTsUMQ9@U%96H`rL-C9Z&QAU-m!H6lF+)p)(KUkFi*Z5Q>1xt zg3w#ITMPgkGXYMW6)BfQ7SX?uqS_QJnshU!|EGu@xgm=J@wj`C zod$)BLMbS=G0eDm3{%8`CT%6T$5LPTfhJ>RYIp$THx^5deky6Xeyw>zgBFHd^papi zs4H&70`DmpJc4njTCv+wqClA79T;0GdAr_$P!z{&@S3kr?(JuWdBlov<*3IDFJeOH ziss|XmDfu9h*t&&$GVKXv;GAj1rl~5yNH@ddp@qL#N#}PfaL4!LI`^ATIZ==s(E$| zZ~_RiS}`RmNc=IgZIFT>=X;%C#r&g07^wmq5Df*woG2-X7)2C8bEeo!TfW$r= z&*?s@StmV+90kW<_CUcg)TQu)iybAB#G1ZD#Y8p9zR|p{Yg`dfT~;R2g&pYk#A+_k zZ1LqzkS)cuuVkqxT!CCr%2EszqKG(%U2JAz0|+Z(MSVXX=i6)N9#pB00(G~Fhi~F- z=vd-*)pwKg=cg02W?oaM?-~a)YcPqN zxXXi~0A6$S?Qz7GSwnp(SLV$DtL1NrLpdJ^pz>Fr`z3yn!3TH_pN%>nXUDh44+Dz> zxgu1P^5Fgnpg*PVyf`WE)#{hlleW?}xda@cJ{b)kFDpI*Ph)q~2hKMevMxFBb9wC1 zp_&ZT22Qji%{AWfL49hsX z7D3nZlF=rc*kJr5rd@(t<@YkbmIp<0?ovL0N!ICqC!6a(ne5KIn*w}X6QU+VVk}7b zps=qcFN|j3t}AI16h6~F4=D`98!t$1>J*m9Wh^CDYdFTXs-R%Gby{fN7Gvz&a7>*j zPJbUzidre(IPq>g~ zZh{!@!ko6B^;)2Ee+}PE+`)!*NDWquTo&oio#hVlg}iiE475cWllK?G8CyAF1`hM* zJ_TI*D2pJsBijVLBKzws-7y(Vp0=4j=$vsyPf@{E=n@5%9SzB`$_Po@NBp|n#(98m zRV^mW+7K*#n}@7*I*NZu6$*dVmSUo{jKkb40d+C^Me4bbWd)01vcK3pXr#hghppCy zSh3~V?D zL4X)bwQyFZw3TH_#;3pXtk=Dsb^B`k8@-|668HZY?zX5{Yn+kTyX@`#2wlSS~(=#nSir!Yt>dGp|6NHZ5CRi;SuZq%UR- z7Il6&LuvGBmaa48GaV09jm}H=in`zr)LumH+Ih1;TY zH6O5>Bm8s4tXO_1T$vj;n9trLLs# z(ACkVuBfK0ScSnt?^OLFZFc`vC*Twxd%Xdn|?>=_DpcJEGi!wOAKVjk9xEc*6tfFM1p6G z)&|R#--cjMGz1-c3s7A80pH@7^srWsfluiOejA6`BuDtp=Aa6-^M~cwrCEI!R#ayN zAe8Abo^*2GtI#*M4SjzTkr{S)AbvpKC@}R(-6@db(@w>%f7i~?O)<35&*-@ic*^tI z;(4c3dgMA|US{jb?bUGpMUVPXt3$3?UiqZl}=MdtN)0iUQGaWx*;qq)hefglEM7sv2QyTc2ZrOm1h#x(D&bay7q#*^7Xgk z`Vf0zFiUe!6W4--$ajXpdxo)LU9&^`W6JA<)e)3?X+u%hG94L* zaF-G7I&-yiBE2Ii&V4q*+@Os|g}~{e-gIUQya%BX!Wz-d*unPJ*%r*-h&lCh!}==$ zR{3_R%>vqB1erS50oM>Zfif&CuveZ_*8h#qe$(Rd*LKyDi0fXpsi2H83jIs3+h)u4 zr*?Rh3-VH^!QV;VkRR;)V4EH&-)GaQk&W8JXoOp+W|+I^>lvx0IvJhpm}E)#K>C_R zZR5zkaqxt=fA|bc8wUNMoE)x2L5Z>`)5CU#=vbL!8`$fz z0fkj@>f>|ypgebe<3&+5tKhP(R-3rLPgmr-CXK+DIJ0Jny)xIdXn?`J!o_}yR;Gj~ zTc|$GtwvS`&eTw=uuna)F(AI|DS8k_LYmPF(2(8>|Uwi52c}!^|hQ*o-wVGY2kcwBFgLS(`d-cpNhS)8x|s`u=F} z=Mea8F+;@RHs@JJ*&OmK=}7f>&D7uxd)5-;pB_L~Edk$hhvrq>-O*pAE4B(Zvcip0 z+w-*-Fkv;9zbf0Q8qS5Q#}YBewdfl?pq_ZI^*0vl`OCO61-rk*!6Vo?BHDB_Vj>po zd06Y7I43mS{pq!`F)jxAN9&XPPfPP5lMSF_`ga=rvG~YV&>xdH`1{%-O6rJ z3?=lh@*|md?#=Y{Rv{d<4K;B}AhD|UT6w;`zeL;Y0mRym?Z>P-r|aj+EQ!TA$)y|j zqx4m`$GyR|q%+-0AA3T5wiS=Z`S9r@nwW!8N(&S5gd#8bd2BU1gEkv;f?>I4bK|GJr$@dm9DxQORBPawA zJdpdM(mqJ$#GfO$O2iSJ$LEkR=H;gxz+Mv6y$ZNSEJFKJeUrq47MHw>!%JR-9uQX} zf{8CLPTMW8^lfY1@rr;Egbt3tRKgC$7l}*#LktKlm0 zG9fc<415G5(iG?I7hfWgzgApi=o44vaSoXsM2a{JfJ-~C*oujzhJg<>90!Px&qh%d zkaF>wkf}CC@{6ME5q&44~#Iez~tN+Kob>7`5h+%b7zH0ed6DNt>=gJraDG2 zMIl5Y{Yog#3Ww;Lr2GNUhQ)rMI#VBdf2KZ4Gjdo}S0CfQ* zQQ`_?4=*P^O}pC+a`*Oo6C@5{N`+Ys1BaO>LD*T;lz7{?r;BqZ-|EK8(ROSHW4k}& z$+F9~GxD{^QrB@eo|fCycGxdFU+RINAAjEgO%YTI1!n4$lsyEUobK+v&b~H7!;uQV z5i8K$)Br3}`GyBv@>PY2cFkI+wDSv*-UFxhKR3Rmi}<9=2ni?2IM$`h7(*djZMtmygt>fWe`3g~Q1%=M z!9Hz~U)*+_Bc%B28#pSbuw0;bg>=I>au=@?-PjpHp7yh-V(N9k83=|}0V zKFe`UA26VL0w6i}Pqo}x#2fO`Ja7>OAL@|0f=y9$hu(AH0GX`jt%JyQLA-k$u-PbJXUDbg{VG;I)@G^(=|8b z>G!j&$KtEiIl@bG*v>G4^QZ4a1SM78V>KhL%1nExzP3^SHHpO^a5k3)?u=;x`y}qX#|m@4ym6JXr|kGQ0ssUzwQNMb7as3 z+Q5_EYTwNub_-O!Euwy5;=0x^osNAtXVqT`wdreq^8$6KqlrrJ(@gRsu_Z~gmD4|A zcyl=`eZ(27Zp+TF3T}$bd$D9zDbRkwQef@0)H|QIhUoOL(0Fpj8$QkMG+NE#pXq4v zw8nnq*WU0#uFE`Q-fycu$HSNd-^9w2broj4Z@k`X##K#gk0jJO9?P|Uk`sK};VGl< z9quG9uuRrlzQfn9RlM`AWF-1fVE@!#5uH*mc)?XObb?QHF_`&4F6To3J&&L?<*2Yv zV`-BY`X_Ia(7mSVRmLXPWo_MW&VB#av8IAf#`uz-xoprltsus!wjlc_HM3KW{ix+M z{`bzQ|00rq=1O<{OY!ip_+#CHX7-in z%G?FQLVzljNWw2vp>zn$7b6Q*wTl6%i=P5!=X*GTW58EhN6L zeMhviJzTc5oDcrJFylUjFAB4!1;|^R=0?qTr59#enHy&?c4n%dh(}@w?`=o47(O+h zkN#ckphu2OJuc~PSej!+^5CS1cXps+N!%0|5Y3VO8(;9&BDM)Orj!_dY@8Z`Ag_s3 zP~19NVipw*%~Eh479%n~KQl~tjGV=q4Rs9Zn}nPzlW=5Uwjr@}e$W@NS`^w#mZ7jk zFzI_BVRM1N3B5A};~JfFqoT9MaX1~ffnq8+aUY~?Ji(G>@kdoqvWAvJ5LPOOd9&== zoKU@mc<{nF5_YsMtzFg}J^)kU(7y(YQBMEUC@W}WJFENix&eyJu(nOV#*eRy)2E|r zquV<|wdPeK9%#1yCR;La^6S^8?(#^8j9<^WIDd?U8vctKwRxHs zM}(uh<$Si-;P;^_rul$DST((%X0vd0DyCcE)ub%FD45EH=uuF8{g%`obskcpuHkuLi0&_-8Hz!&O<*CRR`cJ5( z6c}ND9+oAdE3#xCkN0Qj(YF}4Q`;KTTwZ$*6(wdjI%*)*GZM|r_1k{#4rsaBX-$_Q zH7ng-mAS@m69ZH4xCF+4JP1>8aJR9U{?}@-Aj3T}Y>gp99Uw}5sHHiV@R`|P;L$q} z>D?urR82!d!}+Mp}&*YF@f=?{x7=_err~1%4XdNvvzmFKihT zjLHo}dbA+Vh(YOgN}za1pj#-+8rWTqQ;O#x@5&WwgH`L#X6Omtv<99UP{O9p+VS*C zH2ZrD*2Afm2Q#PKn{0zj>NYutr&rb{AydmROiacIxKZ8GUP$@&l8MwCiD`r z1M-ifja~n=`~=hVjopTi?v`7Wxb;l0*R5!I-ipTQ+I#{#a$+fVG&cOv#C=CMsSvnI z*q6+)j#WS)wD)@f4Z4>1XPP09dE6FLjjj(4n!9#El?lLgA1q%)d?(PJ)1PKnqV8I=N1q;sL9)i0&U-R4hoU_mV z_K|zn{pYSV^{%I%da9^Yjo9IMqPjO< z=0!!m?V?`2s5U7&g)Y3mO*YzDFym+U)p-!!t+0B9Wa>EEji>{&oRsHD#X8^NPd0Tbq0De z;kq-2f~C17#Qh=VkBXh^pbuEhHF6-Qiwh||dNrr*Q*V^95G1o0k8U;Ore~VBSH_ck)qP=XvUvIBF zi7o}mjniwWhC;vOD}?P(P3qxYi0`UCTa~SF#Y>~>PH@gA#Qb#Kh5148=-0Cfp^34n zfN3?swCm9Mw(5H#1v88lT?G{TEy8AXCX?%YxC@H|g>xHr>XS4NW%DX78{rZm+u2BX=Uy zAdE^*{*&!}R$;y4xhg5cx1pt>&~I&wSeg-}(AOl!)Uro;T8@I|60+>r;-5neTror= zW^{y5xlCsk-^Vk2ux9Z^W7i!xGC`lwu@2^94kN4zvnf66>#wZgMm(IfDIG*?<}+y# zIxGEPZ40W_7@b|TD9x$i7}dcrKQKE)n$f}F>V6R?u3A-70rE{NjpFcQmd+X;^Di;8 zE}`$Xv7JOP<>Rq@h%~NsdDU8C@oe=2`pm3$B$ui6Rq*!^o4xd(6zQShZ?R{`vFkrp z2Kq?OAQ5Lq)&5)2i>m4A$%|@wFEW%n6)H~Za97(m*94<$NpuEE@Oa*say_e!Gv?-d zPl8odQ;q()6N+^*@NnVldlX6!QYF8?T~%gV5^8mSNO6scQQlu0uV$loxVp!TdFYR+ zO#%7(+*}{|Hs9X&O)UejI!s-}u(bmJ^z59vJ<42a^?smu@ZWw&HBq@DiI%FEV1~=j z&WzJr+9maVGf-95b&t1Fno9`!CMbKznRvdhIU_VxpGT@9d#2RXzfW2$A5dXv>B&?| zAp5B>c)Va-Pu?~@Wc=D8e4i7J1SFQjZCy=`9PRv4&Y7a9xz3p#N*{-pT((r|c-y4P zeC67GmSksHZ&NkFvd};!%kgC_xjH%j_q=hRSq}@5qieX)b6`UXY_vLo`PnxCD>?;p z8FsdfHCx;rH9^+MQ>yy%&Mfd6$dqbSHL)ev*fuw84r-U%5Vg*2+GOo{d$=cWmE4$b zWT~T*TuWEe6k~l}VmCKZOJ+0IUQ4$7n>%`r*Um(i_`e7Z;n3E~ zuc$PIiuv7lO=gz5s!cQzbSW?E&PNYY^H#VyQE5-*9jw)bsq;S+-MDs+>1)tMtcS^0 z$j6g?R4<&jD$?G*Utqa%-6?a|!ue1l&bBpwW{DQ@(}xuP_D6C&EdN8_%SKt0zaZ?PJAb+=j9t+}Mlm9pwCNO647zWGkk&zWoGifm$8R!%0n@N#V> z*R%>mfe=bH}eg=wtRzaJF79f`*5kPW8sFYa=G!a!UnA|y=2C6O=@Pv>?zi4vhu07 zr3{Lj9DB(>ldKKnEz7R9!FB@W?gL8==%tpi1>Dy)0b)9BdHirGXB|CKziI)^Gs#RP z-Q+TyrnAEXR>;i|eyH)Yg>;viir>d24ElzWc5kLw6y>GI%(@wh*6HjdX4M_{wNmK* zOb+Z*Em#`KnicC7d+Mlqmon7BEiD^Ld^PLF_fyjx_2rp{&Bv!ddw9y^{9ym=&@m)s|M{EirA1y0xPcxdRR%ZNb@Oq8y>Mqo#^|N0OEx#}BDkXmdKsuGy1iLM{b;sg0Aq41FQ0 z4rSNL@ji7a)}y3!`Sm3#D_WgEdG7U_#(@P_p+Zg;7J0GnlNleL+qpWYI5)}v4(R+W zpV}jntG%5ZWM-GLd7Yv0A~szh$vW*6yuF+emu5b%mDWPzSUq~!9 z&-io&o2@p?Sb(K6y};W`ZdE=#P@d6sU)^wAn_`UhR8inVUXcWTfCiT?%eqVI>_{1o zWqiag=Xe=6>|DB!rbE>><7>gv-k&AQ+HHd2JWhxPw?Sy@zD)_QqFIwKPo%@3)mMV# zQ-N|KE5f1%>hU1RjbnO8G1o8{?$Z{mRHsu<(NGEn`p=(=F0P$3tyKdB|$Nk3_dhTA)$uanDQj-r(Id<}BC{hySeJTq{ee zIap$FEs{11msM5WZ`R0)P0lY}!haarQ>x>x%Xg7y{Zu+h)qo!5#(v-lN9zOnO(GuE zhaqUlCG<(f0TT1{Ve{pDDCH#x{WTGV__FPTxy&PdCu=%zHORQ;Q z#w%vJ==?&L@p6OQv@wVM8k%>h)~!Ph9D9`#GOTk4oGE+BsgC7*FzLeV%M7c@8Kyj= zExTO)L9IrI3l{YU*4pvL@p&C*l5XfW3lY$9ch}(6eRB8rSR>;o#h16T#i-$)uUomU zb_vRJIU9VZ%A$iS*60YgW3$q1+H16bu9Nnsl`4^GN!yzwsM?wq_+9#$CF5wVHA8%= zWAR*R<#&bggAQ9r_EK$)EvmdMwBu4vH@P;Srl=`-U*~hH!p4xm#df|u1?=Dz%$^l? zzy-4xdc^Pe7hnU2j793&UZQq6Sqw+g$)FQ_60i1a^qp5~dsHv>!C!tK-)6Rurh7 zr|nfr)3~m&+Q^I_udS!fXOuORgb$+7ZdmETgg(|FmGN@~tm&ABs~1YYUb!w9hwT)X zDet5t7C7p2&&PO7txm$^Exezo##J9wKMu1ZlTlfOhU~4z%*T}4Vq?uajx&EMPkyfI z6bLF7GzbqT?{it5@g$U80u3@_CqM7tt>Z$7xpTC@;Fr+3!%Yuw^_mm8qWOmLc;J#x zZ5*W;LB4H`rtk1w@RukJpB|P(HjSQgqyl$xx+B~J|8 zVUI=A&BuNG(6IB&sT|*9^8r25fsaxZS^44h2k* ze@8YY1H)G+kV7Lc9nHv;8eY}QJ~LoaQr4giE6XQpkIHXYC-I)QwJ5o69sfL0h4t<0 zM{h~;s!b-;rMM7#DJp#Vg|YfP4*m0MMoJdhyP>4K45mD*zEWp+PKM^C7tOefR^xbT zzMZ9(gEt75##VbaIo)%@u)D!b$IpTJrzQGcxF9-VRLm;rdJ^)@Bsjd)%Zgp3}`4UYzq} zSkA>?uOE0tX2h-QY**(^I;BM}6)mj9k=kiq1TyqF)Ec)_Hk9p}wpqI( zpP5WF38y4c%tj0ZuU!ic%@)3MKz1)%h#3g+rKd=4fR%ej@2a~TDDAkW4)m)X*y-+& z_t`ORk&oMW3*2J$>WXR#=JFFw_Xdjt3LGh(Uls+O zqmJT<2XoeBzkZsh&!s8JE1nvE+M>SDD0+NwYO8K?j;tC{i|Ek}+u~fP#kX;?Iddv9dtVRO&%vmA(yLrojc=<$usmqAbfgLlun!Nee1D$lwm?HBU zPg;Dw2+owl%Pk4Q_)#_!1J=28lA$E&V_9t4nmHTm`&!kv!ZG!2?XyBd@Lb(OI$hZs zTZk`(0Snw?idw29;{Nludk|i(nP=+ z&hT8+j9lIH+-F~NUk~SwWUEbh5Gh&WK)j%rlJSLlRkat8el8qc|L3~)bG59rb=0V} ztXy^V<~y?U8oLXVf6P!cg;4SrBTg4l4$d2gQJYFLRy4FCHG_1e#jZ^5)`_8|X;N(X zce8JUU#)CMN0)J!F-Uft!EImEnMU}`LxweQr}S+0E^BMub-zu6?sbK|tVtSbI_GAW zZTFb!xIebuE%A3P@^`vCX`e){1*Pi7Su>y^tBJ*ZGxlEn2m}z){%*#Rl$nd=}*bExW(e3#}#(t+;9>=^6BFxuU+fS!`ML zgR$j%kmRjD=bP8c(;vzA6vGl-d*OUiaGb2-7+{Ki+3b`r?o-e zz)4Q6znz0%Ekf4xQDE*x>-niERtArtG_}rZ5$0a}pMfy!3c8+~8e{d3;P}>u6MEtA z>v=@qjB1_%JiH&le@{)R9cnJ!9!@cYenDWmCOPrBS|6OdU|VYQxLNPkTx#<=Sxq@u zY`Z>4F>LcaDg?E8oLx+nFZ$f<|G9X$1SKvtyFct3vbDNh3HjXF``&hg_CRfdz~;?Y z>qnp(ucPCI@duHw*dkxmd>el$gRDe={UmmF{<6iu&ILY*%fom#Um2yNZ$S@kSNnI7 zORd-EolQL;!{)nn_Hm!PZ8N2ASGl{hrn;pKh|Jw!n!N)#g`rpYgU`+R-i_|f!tVil zgO)39>vHYE(i-LJd;7Zdxi8Aq*OrUsUo&AxTQNHuW+H62+FR$AE;l8o=1IN>oy9fB-FaByv3(J9Agpvb;Oo==3COf9@w{S8M5C;sHNK4Xn5}i<`2a&> zg7Efn;j_6r+{Tfe!Y?H$OH^l?_J+QCn6utq7oSM@hD7>rt83U6Tkj7S(l!{cPJY+% z`QZ6p4e}h2`(Ca*oG|%aZ_=k^+}_^}OqI9Zub8}k*!i=A8S||n#&PkUhlP})3v=o) z|L%5sPehcB?cV(UK4Wk919bB4?UP|-maEdhB`97Sn87DE2QHLn)>lr0mo$%$iqdBb zsaxS8$#0B$T#GpzAn$qSx>v4hW!Gu%CFe*oXvg2P+6t{gW6i3hI?+alx*3%X+{uaON0$3obmNkq&JFt%% zsq4iqw|a);4>#R8{-A&tg|HLqFP~ui2mvgG+v6dKkk;f7^+K2$?iZJngdK>#9N4A^ zAt{DH9*I%%OFjyu6_1q+ikF?vsA8!}#>*|EP9K&3EJ;l489f%dvPM1ve2fY&noj4$ z7K329RFP~r@hOC#t$kk1`|ZW>x{pG7FY~bX_MY&c&TBFl)&^tiLZSa<*PJ(27-q{O zoPUHdf3c@?-n1`~M)ng#>iu0PuG@*KZ=A4Mv-#4QmI+g78W8sXg0zpY3<0LXl5@<< znlqNok&Xn(){)3JdCwc~k{RET@eUs*3E@W$wD#W?f0Hv7&N7b%$)1$}W`GiZ8kQvT ztEB5QT_~rSN>=V$K3S84UKC3D0;033A5BkiIz= zZa;&IL4?&=B?Kg6C7&mMoSM7 zh4AA>Q!?^Fnzw@(AxE5ne|j7#zJ_ra3<{mDe<^(TUJuq7(tbw>sY!i>pk5llrm9}N z90}nf_`AvB`2GW(3=;L?GUpP;O$=7dl%aEhpW{sQp{ z(zMSeexGCXll7dnB3{pCxp`*tvAmTDC(v> zMvgR8zo`0o7?OaUiz8uDj4z;eXYb&_NH6R5JMSk_)!Kv_^N*k zD=C?bw1{IH4gn5K80@b-9FPw9{=ZKZWW*!Lj3MG>`tKPkI+P8kSx~}Gk zDI~p(*#4QCa2P;4dqEk5`1;Oy!lz@A&DM0pGNkgoj?Max??EHIh zUXsRzC&YPkU-Xg5wixV)mYXlQE``DZpP;}6aQwE(9G;v-D_cMgo*==ZkhP1#pOQ&9_jqB! z$u319uD_7LR{=iQNx51CV7^2%?4;k?1mJM1qv*d{HV&NH<>g}&PsPxnVg%a`vB1sG z-MAWPQj~y#^%Pt73_Vvz8iBxEchM&HzJFDMgZTns{O?*V=CFAqAHj&g4g^y@^f@-% zMGp(i_d3XHl+;$d)dGW@%8>JuBAp>8($`qF)E54$oJQ2O$Zb~AtTDB5Q?0DAn|+8s zfJ&9Y?G6uoQIOI5H~371Zbmhio%JD+z!#qnXHkb4Y7ux07Uqw(Xt zgw$yKsN#c)afSCE#UwzhHGa(TK^Kl9AY|(@5FMs!;3jx9aO?z${2H+|31?|(oTScI zATXb?19s9RitVF$X%C!UBy=~509<44CA>(vAq=+`+JuijdAeaphKu#_9v=5J3^Sh* zYZ>rk`aIp&x#ZoCD@|!M$`6U#E`AK^=;)Un?9T!5#-2?(sOoy>x#6$va$GO=;x?tj z?vi_cfSj%WCxZ!|ssGQRul@+*IV{H>6$~Ki=P+v5N90MxNW?>)v|3sc;P+QdGoIDm z(L9YH>&JfIK_RE~e2pYQNLoiIs=D(Ny|<(jQ|+M6PeCt7LbgYKE2)z`G4v`?f^B17 z8gHT?8x3T_B=Xg1S6Q=B9O)QKsj*#=)xR)-E%C!&DnL8*!sUu(x{Sr}d#{7!DF33r zyFf9%zj{=@#8ih8KPvs)pyaGc5}R4h%|Xr@#QTy%h*`nixj{^Fe&Dl5*FOz?MaG^ni)nz*hmB-X%?j zyM;Vehraqd{}m2IJ{rDDU!0_5ZSTJfb`pyTHr(w)Y3S?C-h)9$OxC{HR6_z4e2I^; znHNogqUu=fpoMY*Y+iIYCAYdbt+9yav;=ecA`kXJ@o#4aVB{v1c0X(7i|)3kIP(S>ng$9SV_(N)MMEwa4JU6GjYiIb|`cg4%BurMNXxr zh=f_WPnetm*@cnH8TWIq(K||10A}291`c0(%|~@xJa%{s7xMK?fgX7XNA;r2FuMPV5h;pT1~-!LXA` zh`jw_teiQF!-L-EsBH0q7t8UBrn8&$5R+L5`l^aL$6Dm8aq_>L55?4moVxf|IWw?c z(4dB7t3@s2aWESR(p5)FRG`=BexbUA8KAkW{1nUPHN)ErYPBIz)#WE zL$lwM|0Ij_xHU$DdTqby1*xL}N!7hXdO<$Q0==NK24~^2eq&PLj+@d*p(2L`ykxah zcM2=!fLbAXjlwpuG|h`5Fg`gWJ~jqUinQfzG#Dbr-(!Hg2RkWqz%~bmY!%>D{sx%U zJ$r!>JT?s~xS0m+@~h_$WQ6=X2nCqmSV@Ff2kKcU_?J0c?39ZU;`oe*l4@RF%ZhxE zYy`vVSBPD6j!>}|trn$%Rc$?jON7Rp+bv7O8hB`?B- zX~f}rfmTP)+u0t@nB_b`9bqMD#JP^o63O3OxyVIR60)Fgg${8BW=-Cp=qa8vA1mH=5Zraet@N3C*x%5? zGxZE4L&#F_Z*6JVF;NvR{9IlqCw};c2^Me%h06(>xa7?Gc*;YLiQG&u)S>*~KJ(=o z;F=Ii&}I5xtN|AsE6EGdzy}x_L?1<0$d4_)&-~Zp5il$9`k4+W+1o+R{pP=}I0H4p zw;N7wzb@e{(tGZ=(_IYoAhR}PiYR^TyF+vcklzoD#r68s7LvXkIV+U$uS_IVFymhZ zpmH<*Hz5>y8%36L>_nbZnJFM)CgK_<=M|$!WDqP|)zgW{qHzXXxl)6h_nnCFFMiY3 z6gJaY1~fIjAcGs`e$0YX8}g1=#j%lE0D6pSoi$V> z9hT$ZXQc#J9sU|X%Kx2gw5vJN>;E>z!h_2kOF~0wG<@2QZM}5SzqHqIq}Q1p!f6}{ z8oJ{6fG_Ax2g+eO1eD{gIZ%$TKp`JxsDSJ^7?53c{C^>9X^50;9T{lST0?DV1K?r9 z4Ygs{VN7^`vS@1l^7mBJij{ z0{1cPQUZE13A*y{fsd(yais&}G2y?7o*ul|CW~rddX&lm37c@>jvF2%_8jMT+=16$ zQ`<7m5!AoL%V(jeRla=ewWELm_cnh<48MR=7fQ^q%QJL(OK12FF2j{`eEYA0Gr<3E z0y>~W&l!t%!~_iPfx=&-Hw&MmU6F8A+Y;~^-a#GFgmPM$E7-Xu4Ynf$f$JXjSk`_f zg23mri(qxQVQDPSZlcC*h#Xba?dicYZ(sdGAOP_HCU66~|0nsu=GK2 ztCdj-3j^ZM`);?``1#5^{FjG4Mf~oPe{mVGkaaW|G4U>s61~9 z3SxY|lE=s|frT*qbA9Zcqp*UW{ndYS zivhW3qvVXuz7*wE31$ofL|qQRv$tESXt6TKw6EYrn0J(8+o0Q+I7mAzIGC}kMiqZ` z7K*}tMeQ`}+Y8DbzZY+PnQ=~WEJ;XxMQ!RB>a{|70#GyaRE9w|`>||d+^)SKsx*PM z$k;)$W69oijK9gSD;xezW*hCb^5YUXnj^iUwsBmG{5}}R*1;|P7ts;t2juB@`%lq1 zB93LQy<``2XxldylHNb)Zk(p(uDTl+Mb%sAd@pPMJT=_S#XtKmESE7cFc+d_EQJpL z-LPl^=r0pD`&EKYRUiQ>VP%e;B(uj1JkV!ILa1x1!sPNoc!~}U#cBZL0z8pT3{f-2 z&1yf&BOm3sfZXO$uJ|Yqw-R!!b^Jc{8Ytu@C7$T>6dMt>ZW?qbFdvwiD?|3GsME*2 zG`4Sgb=bY=qPQH{Vrx2YZ$m-^a3nKSjQ=9Q26`FEEg!3M`)y@}G_gtyB&YFn$!Z+p zW96sBsSA$z&F102%}nuQII}Q{B)EV|wE_lhI3A1=#sEBP%LC(fGO(mlN^#=NGH-op zi|Ab9_8eQU5+CWyc7at`D2y_Itv2UE4IP-av`$AXZukI69on)tz4xWFt(Ft zcrXk{9R!nu=mRrw=WMG-0vnD6%)P|{0v#Z@g8qS+=_Llw^z#2-fvbH}Lj7@?$tn*S zPTx6d&Le0W%!)p1@<3&sX^dUILWZ0X=v6vXwV&Vue@3_x1K|jY_`??C*_<9J8@!+| zUhI+A;;mmKp}nB0m-tu41liPvzo;Q=k=j8KK%7b(+XrmM<8Ed#$<{Aa08RA21?oVK zxfq zZnYriJ(fKA5yzH_6zw%C_;D?A-Jj-PND}B#k0t-fq&#x}D-(JD*JDNVvmUOnjcz}Q~e?;n~TfvBB>mZ{v3=(+P`qI_U3w!^`74`hnV@W^|8OsFB%eix!>--oY zYpAvo*0F5W*ncvB1x{*13mP5ZnnYOuCkH521OBFP?+$h}0Or2TEPU?WFW~65wq1*Q_8be!$9{kXc&_EmdUQTr@&YAuFvpy+yN!54{TscW z?{9WZw1}+GNID157Wp=&;#aLm4 zYQEq4x5W6e!k0~Er_l8`&8d(F%yplS)@o_&KX1M%tfJ_BFKsL!mRV|uOuXAdj#StRZP9R<18V{px`V!*SdH0O=@ zCoCcaBtpM56E5%-qm#MH+jbLr4u{P}{R^Yka`CxdXxwx7tdid2puyl=@IYv4;M;o3 zhDXIA-Be^STZ4h1SKry{ihLOe)6k*C?J|C+yE8?Pjl6ZuA1n5Y(r z8Xy2PGaZ0f7f5A>3M97y^7)%6imws3PQ1|DBCkgaIXf4UB{2eKP(j#yjraPIUjn-xjl`PQc8CP=Mp=qxbU zYjHS233QRaibs@6{L1dq=$OSaj{IqhPFA})HyLXbS!;`qU%NRQBFrFlsoDv0u$K8Q zIZzvdpzCBK{=6n;8eQC%<+_F{GXlG{`Y?FmP1jV8Hi<_$;fg$}Vc4LGL8Ou``bv$( z#N>#+VN3{{+hhlbnoDA;acjoszBcKujqTxRm}h~td~?hG;cn`tkWJ)fU@Ft=cz-L> z-uHgx!uNWI;^BUf;#+G(Ft1PVxTZ++*PXMiw8HX7H%%!QBEA>o343oEd}tGd6b*bf zrQbB<_nm$2`%}?(_J&W$4Zdc^-eOltFqUQg*rtzi=PE zm6{sdoT(c{ct4T3q+)MpF_o)LHh}o^k{8$9MW~W5o-Em>>rley7w&5KQ0Da>E(yhn z#71}${bU;bhj^EWcS@zbQ$KO{&ci!;1V`O+jwM>WsUL=LuVnALN*4s#&C?p)&gCjQ zS2JYyo3$)_Azc@!3;O94W$&hgV(fnJiN`rX@S{09vCVkJFr`;ldZkF)!)U}ltCm^%XHJBE7{wzixcsD&p&6TpRAj38;)SPj2*nB-beNj2idnt3I#O5()7{|%X4i{R zQ4$R?r?@1W4#16rs;gEMDl1^5O0RHjblhdPn*ijHrEcrD}^Dh`JemD4NO^_-sKig@u=g!Oxz4NxdwDXg;1dhGquT85E`2=xpeKQdGgwD3% zt}8_l@8ge>bSFk(O(lN(%;GBWZ^u8o+I50Addzdl%a)TA$GGKJ^4dDRgk+X^G!afg z$e{3C5euM8LaxnWok8pIPHa-@WyamYVC`BqSQ7&Q&(8 z4*R?xJ4*Q0LrhAbck?-sB4zG_Nwv@CvY63Bb`K$`w4TjF!a=g!a+7MO&vwzHz3d*m zA-oH*`6K~O;t_UXBj{HRRDwLT8zIy4v0q6L9L06)!urwq8mMSP`#>Nq7iNLv8A|MM zlH-r!gmy9==zci7eylvYk$ICPl348x$H@(fEoDP=(_-# zL1_Etn|e~j$j)VZ!e8itRYu>r(7uJVkG!cTLS*V(HX&3%3k)>+&W5%a98QSG{@Pfj zD=SPCJCGVt5-sAN39+O33o~Ziz>mvO#8ssmL(g{_IG&pF^Ru+<3%KkSK#bC)I@^sDnGMp>f!GxhM6*Ns%P5e~0XqMPW0Wj^#fMLjudrMqY z7+?7MweD)EoXfL5Z8Oz(4jO%V6VauNBH3*4>pglYqglo(wXbBc>FO?F{plL()o%@x zu3*13%~}~xf`7~bMsFS~LRS&FdOAEN@n3#tL0caO2!Kk>NGa)pf`-k%XZgPGo1Ndk!&?c?qI)jtH;`QxuQ zzL|oom%N!uvi%|)1Xc!|(inn|f3yodF?{M(Gx*-uJK%iocEXkeybJRBt$;VXX=;BW zQ(;)5=fwKaejTfQ88q*mjf5rlBwGjz0g*}{%i$$e5Y{RRRWVk)KGh`tm@yS2frBv> zEdh=(6%Y1XOR89c9BZmN0vtQ4MS@%7c4h(_vv##fQz^rbCmz*;wV_%urOODvuH`8& zehkiuzgB{IFXT~#Iq8z8+0ZK_|9LW$Mn1>9gPf*$>Ek)6#Cw1GJ@ws4%cZmZ3kRHD z*_O;L|Kd!m3X};tm6Uh-2+qj=e*^(F%NnRUHSZy)Z)=u;S$55PWz^N`Wd+p6>i2S} z@zu-Hs8-eQB~itym&H(ttKYvvJ+4|7LhY}5FMyg;wakm^QT3i1RkdoFL;kT@(nj38 zNDlw6TIc`OT>qWG4wOP8X1D0d`d1*aUNYRX?Z;bo86!QspJtDu#BoVJ{l_FS*amnj z!9JogAc-x5#Qoq#iI?&c8HT;GLGcn{GC@8PBTGTgl}6^sZKQS>JW?n-!-+#i=uqCK zzt(?wody{4N3@=%;e&^dTH1_KZ>Qt_kjPs7*80&*C9($n)Ma7{3J6~AvaGCLY{6ev z_tS){Ia56~rC8+7c(RW2h3S2nUjxEAEFQxmI$|BlxRnK61Hz(_$cUFhbS;H9j;RW3w|HAuzsELl!B@=^d9?l+%a|Qk11NpKabV7;z3P`==?- z*wY#;Fe-Mn5ZBmKd_1rywy6k!oIzk$Y&=l_!HL18*j-`($|n<*#&eS3GS0$&_7Nm0 zBs-Cict#78&hen-jSYBK^fP&WI^IYrVm1k?UsOTYS%-(u20l_v(>*qGR)(#UOUH7b zN0RVwH!gk_W|o7_J6LIY`UEb`e-~=XW}D(Ub>M*UPQr;*qTF0Z|F zHfi&dZtwF?4U?;UCBqTSsa7c^g~65=AqLCZrS4}1ZU|X?Jdbl!<^)ERA_Q1W*7Yth zim9t0&$4}2fMr3e4c~u-h$5Sa)ER$;fMxKpzDhJm(76LS#4DWVHN`VB7UdYIO8(eY zrl~MET;8xx#{9nM6CjfHXSdGh*CYV28AyX#e_diXgfE0^25^d zi@H|Jqlvtqi^6AHY;E_KcXdmjOdbx4_k~a4&2v3u-tHE)f}ZrQnH_cwmvaLqox^0U z7ZBoa(BVmS`m7URowGCQ@c?i{q=4 zXz9uSlp>+2d1y7?cbIaEYB!Kqr*NY(H(yV8+!$JDGJ3gII>s35+TVR#u4(E<`MST> z532C;1+AGgBPCO$vwwibP-5J>erv`buPOAO2J2`&RsK5lPFp|?D=*wl^m#-xbNduK z2U8_vEf-NPm_!zAnq+~O4?*}$@YI++R!yqP=(InU!2^pc(VgI^jwDQ$$l6^WvEK|$ z^=Ad(#-RBRdm!0PCF@M3D|w)Fbu$LJ>YDRmFH0S*>WQE|bGvUPDT^ zwXT;NCE;;M9mpX#vEyICkm#7D2-a-XU__+a6jD`ID_ZLe)KR-L`JLY%G`%!f$vm0W3ID^L; z-D4g-{5zC2$yP96;`ONOfm9}VCO5%~`+lWxysl0EmY3gJznY&SBZ|tL(BSjXVq4EK z&94xbm%qLbq3!${*q*Bvesf*_jb*!_)c_^#WwePk>_b~S5aEgphE9a`NGuN6T; z+P2lv_pz~5%(~tvK5-r!nrfGfEthGSGIh0&&Ef$yo@8%1YH+FJ2k|rx4=QtM+XfM> zvWz+(Mr8T~z}L4=eWub5g3mWj!T0=3mq+Tl-hNio=<=dgw*WmH}eOYUDT87`ggS95Z`2q-vx~~)(kXU z>!%uT3s2T-Q(3n}H>-bd&E|)I(5t4D(tD>_Tq|F*4d9At1kG!R^}rX5-)6S(0lo#1 zq}}y~%dS{TQqMPjBG2-ga657*?0y*gAXN9YT6=he<46d1nWNgA_U_npt!n*NgPsPx z0;0bl2sbYETGAsda5IP*MIid7QFo@QMO+lw86+~fRGx~gse$Yz+|rieye*XqlNVpq z0o;@R$q3Mu&y3q&IXf?BEoPz13v?r9rb6#Z6P=0uxw7}|(Jm~P$^?6gXo<H`CJC8qbiGxHn zmkMn$V!|>^oUR&TK{z2<12LbjinJ;k<*f(o_+NGeaE@wKG*oX6f~)3!`Uu#1 zm*&xe?q=Ijh)nRD6lmnJf9Dc7oi+kFY{xAK4>8w5Q@hzNy=`iLl*2F-}h{~nMEi5b0CL#Q8Eyz zqu{Hf)I?t(!diHDGH!IjxtihyCV3E#Ro1(edl!rwgj=^kyRufw=Rmx+Wj53Xcx`94 zd*}NvyX$}Dwf%qWu6h1rizBZ8$(hTp_JMUe58AyZ+D&D9V-?FxeD?F%yDS=Q&Dm%l zLKD3dUECFM$GWIn^4sqgj!DUqz@`O@)cg69K&zWi`cF%d%&eRy>>(l&!X z(zYfh!8QE?#uO3h-`%^|T{7T^W$28f9NS~;C!Ps^7n*luW`q!xP$duiK;rT3nI@pY;SfWQ)tm14JQ0&jTwwUP>LMCIzA7Pf}V+8%DksEzyw- zA8X9hmPKYq?8c(BY?NY^y(Z#v=re|Y06C~u8siZZTd+!U`_(uc27txD@^2zEFbvLr z&_G{QvgkAy4c-Mq0C8qt=K5H9w06v&?+>5XGE^{=!** zB?$PQ!=BuMohH?1E$J`F)5$1{W;S#b|6vPvsE`%?KhiHPE6#rF9tV1;44E za1H=h8cPt-QvlvYPu8^A^JW;{pS@4X(L}Q}A7ki$Vt}Y3fE=%bu$Skfs3m~BtP0CW zq*6@hs;s8P&(MC7p$*%iZJxq>f=to8j<8BlX`E$OS@~jnv%+|yQpIspQM8=!wS4UM zGi_?iBDxhdFd9p?#cO983M

    kn*E=Q0=CkKN^mt;RC1}=UmXInLkO-G_~2Y!GnrH zhTN)Er^Uy`!8m3hu4idMIQib^{L|&y?@Uee9bY@)eT{M+--B;@`UZOCtqXbw+;i}> zy+8ZM*QP&@hoH#2%w8MyY+nY? zP3M!KK3fVl)A^RY-`9Ka^~g_};VblqC`0(}Ci0VWN$z!SnJnc!uk= zXU{sAfI72rtUa94WyoCFe(0e={3O}hj@&0lg?U{;sQSSsf3?$DpFe&zVQ>F<_>7`S zfRa0FEER!6JDzLe;^t}R9ahmyQ|NjC$8=x1NlcW94X3LGt0m%`6t8hi#?NW)Zg#E| z!9Z_;u7;i=6PvVtnZe*!-`fk`I>aaj7Jat;fy4Gi?t4+tD;!Q5ocC(TEpj7TbUzAk zQWaFbw$~Ot->euQoGZZj&gCq{0nn;#0zRK@)sPj-d4Ij1gNT)D_8^cM10aDl8h3iM z0LSC2Fu~>%f!UI5!?k;xD&dqs#kcL{2fKbNi*;@fGwq!>hMjlaM300iYkV-W449{%B|_ImX%A1qeWg{8@K2`lu>}@&Td>iM}PcmhY*BGajqIC zIHmIS=U{_8WebnM1X16|wD=oUZ4aWWtXYUwZS<|fx$F_}*ZFOur9UC3nwr$(C zZL?!L>9AubXZ3sbwfFw*{xN4&jl!C1U31l_aaWCTn|mdRlF+kDmZlfd;@H=x zI^htS%!ftFZkZob!isG!vc!&vYcTGYxOnFQTC6&ie7*{>j+4c-8v(?;Iu-kM&~ZgXbEPOU%B>|3k+ zhX8_=F#a9TVx!7L^YD|1fAVk?7oLUT?0lYEdfSna775;VsQ9rU@o(oa=?{1s#A{mh zsJ2CV4fp+-fMI|LIL$uF`d@8+!L=Z#dN|LT|1EcSoM2m#&_7H~y8lNt;JqkGe8tR` z0lW!pkCB^%B1Z9M`b;WeFd1^u1~BlTuPxc2Qi9-NN1e>2< z=;USSmhY|O;_uoAOiBNC^ja;l_TmS+Q5&sWtxEK$?+o&CpXmBPGdgJhNCwLOPh8>u zR{wA^|7Ub57N&n=E_A8O+Tw{KebCU^`-866#9mdT6iCqQ`k@FVVc84d;Th6OkEGC& z^!Julcv`v~Xw14HUR;{I{^(Zw!P|VGLY?GLp~4BQ0!ikN2FYe12$=wt2`XE3mPb}I zQ_h9%A{VUE&$aZq#3Nr!DulpERTr4hbYGU?WJk(|0-3Sywt#Z@DbYY|&j#GMoCw*8wjLt_=Xw?CzA=qJ?}aN)(55D$G^vGBF^Wd061F zXFXgu%QHe)T`NlR59O-4^7d;MS#dflf8qe38hDiBiw^Rbbl!sad|i`+pg3;o5Dz{} zXrW69xaJsE;z#?YpJSRzTqi+bhhwZ>DIqe0%Gk{CC1+!-tD1&mtT1fiC5n!*Y|&Eq z>0-^tSj_M@a?EKVKW9No@_7lNaQ$A2c#ysAk0StL=GOxHNPh_g*0<~Q=k3_L!ku)-Yg1d>r=#2B!^5nj zdd69e+Yi_*fEfyy4*}g)PzeT5(=6Sg^#tQBvDQKN+Cu8+7xBRcmdk9;UbE0qH3>7 z?)Tu@qNs+w7_r`<2E3{BcNkOWX^lgy6-%ViXqWvbPs1XDRvUk;>zTGF*da6EBa?5U zrj+Xvdl{4)jv57H@}RrTU!Mj&!5DH?hq`cUC2sdda`<_s?=iFE6Jbwtkio zmfDUILK#4W0`uf2-9#=In(M;kv?npAj}HQ6DZ$9kGcthBXiLGelnn~-6{BSrY;+}g zZ7Yp%=y0$s4?oZQ8c>BPH}TLNnU#D2Vl^v_2vIVqyW(j@A-1ZgU*pBljWo#=tr{+> zhSd+3RUsLXq4LOY#_%^8K`mY*a7<{W^xghB%`tmdV6*(v{-pf zj>s>`U5~abw;sp+-nup(@%O)x{uGG~D*w?m_$Qv`e>*jE{Ab`lPC(pKIU*)T#{aMA zrx!_+HY(x(@~Gk>s|4_@tB!k7Aqnzv5O*OYc0>OLtUvt?hf^Yj;b_}@zDwz_F@f>G zWUw{L(WmM*mUt(P0!ui@2!o{1QIQ1366q4WyDB<BZA76f72_X{x}6@Uc%^G9Lla#sI1XV_IMnqP|VGOeb~lV zaEv&!EX?AH!e886N7+l9Y?9G%Knjd-&4Vt3q%uK|6;0-nh5;5$VEudUbUs+O>i}6RTp32_F7c(_UI4)Qqb@bH z#%)d&D20UTC?^LZ^d=xhOZE+JF)#v=nRB_3FGz*S(L zqB0U|MLSN$;IwNWGpnZYNLric7p-vN6VcAM>s)E63c=OFiw~Cfcq>7W+j1NlXva8z zFX$*(N!r4ER%A!ED6Pd(3p)&c8K#>b$wX%A_lVOYV}M}`d_kfY0O^t^(OelD6wb8k zOdZAoD+AlR2~WNx^KwV7p8G=X zm)0LcHy_E6TbIvCj+Fz~EFV0z4SN<{yuwLh&5&US=a-M!S%NN}x4pvjoNA6zWp|%1OOt%!9hstloBWUc#^S9Bn6k%jWt%N_4egth$@W|{^q#`=2o+GVlH zaq%5me4BfM0?+x{%yU((+8OckfD6Hz>&@uJg~vTKM8PwyBv*dK^?X_)V#oFA-V!|3 z7fih2(xK72qoc2m@hOxHqV4U2h;K9d)6!}%>jE}mdXkAEGu24$@r!P>OT)3jo$J`x zvB8#c4b$?At`BJ9Mq&3K|Hc2r*7|S%#hm{|fWY?e*w!yJ=54e%;6BiSJ=gr;OGM%m z{0aoPt+3{5XqFMY@ivF0L5(H%I{IFpNQ&olYc|g+ye}gMEhBl*oGA%LWr7g!P(?8l zKgmw=aV~f;*%Dy$an^!WqW2jqPy-|VEZi_j%8~JaEB#|CxWz}+F(*%o>3^nz$weJD zsL{^QmsW|{lX)#VE{kW8q_XIm(o8xdKI&K5NqimZsMj8% ze$@rWYzi=R$K<4}A;spjG^P@lP~;_58O!6s>>qQsMG;^x0^}&2ZOOR77P2Do0#>xK z1ZcVN>bnMaBQ*tS*Wk_}kVz`gA66?M!^xH~Bk>am5Ty+i6Vap@)t~x_u*RiTFThED zBiE-EJ_?e&5vAl%dO9&Jy6Kt)ZmV7zm92UshV@E$L{VB~=K-s8Tnf2VCI zz5M$8zF>Y`_F{PF@b>EQBfwvI2!D&KS2WkAb%1n7?F57lAV|xU2H0?b{<68S zO+)N8qUuMVlQy2j01G{QC)X<8_bF%KV-|yO+xPwnGqm`6!F@0KiGL*c>D%-E+Rl!M z`h_{R*UbecH}bqkMP;+WedXD!SLe=WIYa8(JNtq z<8EyGA4R2V*9Z-jqt~^yt`_fJ&Av~)X3G^!K0d53xN^wVz9ilTJ}3bjCYh?*E#o-+ zE%898C_o8pJ{RI3QDg!QBeAkReNkW%%g>9h^#2l+lJo0}fsh(;JTwK>gXtTwvAqDZ zp!h|PEh;090MhowL&zx!Aoc_$27Y}~U`jUCWArLxBQiENt)HYoxHRRs(59etG{#lN zX7qpiC0}@6{2hmEXFL`gx^F~^kAxpEPE_*dzs6x!b@27>R|ko!Psn`t!-f_Zk-9p9 zj3NWVUg4~D_@V}0mIP{GKWwO|A&dtyzD(2iPLl%(>$F{w*RcPHbGC=6b~Fw7rh!Ot zQR{c3KzhE9mN_}45VbrQ?s0JgNQcX*!kAgm3LosGcpu#;#m@JgT#shqhRt}hX0%@p z^0A^TUalr`u_NZ8GG?`33iH!&`=M0pm~m(vli{iUHmsV;w&KJ!(;zl70hs12X!Gjn z?V|*UqO7XNB}5Zb3L-`(GatXBLcamJyg`bkV@H@((assg{uM}fcKqKK(0@O?z`lBy z`l(jfeoH~bau7vr>48KTxf&m~8qx95)4pz-wu^e9v= zIJp91H0~RDqml$wy7HZLI2}hwoP+r`3W~^}zARpuJKJ3XE==W*Of?~tx}mnSo`m^G z2Nfn`Nn&y7B+}5$Ck7;knUq?z=CMCc_7IG^#LX6wOSfoN$cDjyct|N&wW^HDgOwBg z^9mIXj2yKNP*@SFl+xC^M`hJz$sj|jOA{8&4XWIMs%koL<1mv<5CBr$7%CujbFQDw zPAnFJeo7gwV11N7^EEfSCKORKi}9)-tE8nWLyEPOSZgt=NGpL$(IPPVtEy6#{7{2H zR=bAL;-`d~i`=QuXyJo}^B21&3`WaR92nEoP#I`bxc52@G-c(myJZkCgt-L8cgyw25{i9lL{kDEJlA- z0>i{b99jJ)H+PdtFR%V}DcrJJ@JHWtoLmu2*amW)*$imS2Ms*gtR3wL$>!WiGPors zFvnDj!eXhV2BNy8da&%$0;o#1fV&Q^NsUNk%i@SUK2l;4j%XLjl=jHkN>BT(f_zTuT z;ZtWXN9xMW_(A#TBHP7$q5Fic>6+1l+wUJSG^>SfPT4tXS*9n*xkj;)maF51v1Rnr zjhz;bS4{5kotA8aNxZqnt$FlpLEs?K^m9=k`UbKE^m8)G;eB4|+Ii`oG8%(dAk)ky z3@jJlJ+$-MAF;HjYUb$`!jxUIOkMqj&X}4)w!mS2onK%r5O>0&y*iI{{S}x@%-mhn zeD4#z>9yggW!TQPq(6GIj^zh9Hm~IS9dJ6nRCz>(x;$UQ~T1Wj`E?r=3N4>$e8X3Gj7@9{*$UQ;fnuF$?Cg{F&9c)Ryvm>#7fJiMwk8_&VL#vw z&fTeN|H`?yb^`2DPE3zP2_P1Vg$`f~?+~#6P7ZZEc?dH6$(i2(`2G>UI^&LWAus+V zV4DSX@!!8+FMK%x26W+Bi)5&A)UNHM~7ob--Tfwe&Cy0YhDv3qS@Fj?=jL}zo zUpeV-I01GSR?<*e)yjc9jFxP}J6TONKXc_@2$&4($}i_2tw~Qgyp;JBGW23Dxjnat zi?Sjked}^;F1-KVL~3ic&2gAv9=<)}RYZJJO6DPa=L1)jo^pCQC9cB3PdEQ#@{OtP zr)Gg=a%`0Un)$eA`3;DF0c_GnVZlI#doaC14i9zAH~f@waO(Qes&utekYrYq+}ywE zrqS|LT?=q^<;*BP&80}o;PW;(?xO=Es6$r zn`mO#PT`~;;C(RbbV*xkf;qoVI^C31zufKFc%?&JAHwLROu5^o)twN z)Yl%2PQG`Je7=4DT3Y*sn5nkmY1^a`_>T29`nJJw?Yg0Ge|NVvoLq6-`c&I$is(A4 z`-dY?JPfL=Qm*)sWIe){8PsQ=af$+!Cg9!m@x0wFM@e=yEqv3vgd z_a|X$H&dKF(Sg8-e-tP-qyv8f<`=`&XAExpN)u!abO;mm!dIo^d5h?y*Eb$G>=7Rd zr31zV^SXL`?2Y)pH)Om&>hgg{^^CDR`iH>gSngPMC~)RMCH@%@7BQv5?s3uk6O=VY z_0y~^>Cdcbm>eBUw-Px8sK>wbor6Z=HSp4h|8@J7KEH~;l7DFV{2xU{f{vP)Ghb|} zTH)W$@EnUb@s|ZSh5s-f2hxkhsU){MIkP5gOWEKSLq3C{7{-1g4bYU5S+V z-JM^&jSt)8wvDKI3DVT*XEkTBTkbT;(qV$s%4JJm$8m7DadFZP3c}%M0>X+eb08*6 zL`-pRNkx6xBwUPakYsjuLx$rX1UGXR0z$IGg7D3j;SkdwW#H)i6--U1;%vcU1m^j& zI!!5YhAvA|AwwpW?Q-+Zl~{(DEe=W+HQH=NJce2l#iJIii$-k0BD|`N`2>|Eg1oA- zfsvld*D}tIDb$h`yp_#Fm-)hoF%$4a9OWQe#N~5I*i+`Pc5Mylfy9H#2-o z0epIhv4b(rzOb@%MrAyFx>zv)IPWqO27u$snh9F5vL*9kRjZFt>N|zVl|72QEx`#5 zZp~chqRP0|$UCfbCB!`znli#(dQE9!WV1>#gOTjUIP0CN@BRv|dNPF%F^Rk=Ozs#` z0Gyv>BfuRjS>WSF>6>Rrf+;)9QH_Cc$)<-0yo$$>i-4Guu{^#ryq03YG4iAQKHm>^ z_}q;~(@@Ob$dCHc*N4>)@FwupV+JB%V@O{-UF;GckLM%1hu4=ovUH3?P7n}%ze4>j z`DG+(J90bKy{Z9?Emze8CdjODCf+Q3E#kKsivUD1)sZi#EaszMZ*GU7*9pq?Q><+##3(xiB;NsLmmr`J6NgktPa5&~L9u^}zL4 zoeN}(1f}Tl9AO}a*+}R^E%H{#+0v+n5cLAQ$iM-6#Ml_4@T{hv_uO* zVZ#IG!qnOa!(?vu(7M_In5m~jkR&vNzlmL6kYP9#zg=P zC@3Pf4~=}8|DCvickwYi>XA`w$ZmQSy5s{7n>&7mA+t$vsKPiKsa}xm3Kdp6m4~<> zEkGBujo!?{zdPUx{W&i@HmJ&+x4%s=NWB8}8&6S-{8XC09O^fS%t=0`Z${cCc#-$W z$k;~dNb@;ksNdEbeQs<~<_WYn5_WN(8mq2PqVcMvDO;DEMicLd>&cMrg46|ypyLIT zpz8z5-iA7+0?s`!oC76@Zi6U^mZ22|t=>d7A*0^>&}(iYlkE!|3q;#GG${D~D97?Y zms8-1gh9)O{UKr|Hk3K9^h^xP*3#S35)mVG<0aoFqQ9Jfxa*Z1N?TSt9vzCjK|lF= zGV~hZ@?z_Ghqya>3+=7laekZ)ojm{A{;t|C|JVLMA{M$69m<9KUYjmyW? z@yqSb?(PwdZuHb5vA6f*H56d>zfN-GPTj9nI`DLNygc3PZ*5(E7?j!mVi;NZJ~hV} zm#Z*w3w}E9r7QJ0Q2b|Jh5%wgSt{SiiHm{EdrxV7UIq_hUs-D2$mu%+na`S1O78tn z@-w&iCW@qi7p$!rskMoF_u_YkJ|GMEld^xBmO1{3N%Y?vE&mCJGqEuKn`!w%ea8}y z0|@|adYk8uo3k!R1R%9F1w(Yklw+Y?tJmFS1d$wBcY?X|6H!+;Z zSEj!lhq5NnQq|RqDg7A{|G<@@H)0wpBEpG~6J(B27i34+PZ+{+`A%lcnanWbmWx&w zt`R((Nh$~o=h;kKXH}hnk=CLFM=Fe#q{pnFgjP*FYQcu0fFX*Y8?G4+r@|Ax9d}ZI z?B}2g7DF^a*pD1RfsCib6SfW<1;P<8|sqt1!KnV=R87Gt&K4koU#=l~*4k0%+` z*kdM=r_z&*M+F%YQy>OSkxgPU35K@l;3A-56lfU}sM$Z~UfRJ06dcgE*EkYFh#BBu zY!^nO+DB}`pk*iW4&+Z_A;$vZG#>uV&P>q1FJE}RE^Z-D0!}LxT{KTYfjMe{azcPI z)_B39QIkAr105k~*y;x;O(0j2ErA3}4i(m;X1~ntAH_8&Ji*FjXuN`RB2-QhOf9iz zTE#Uy&H_~$qhNcPGuVJ*5pZ`MJ8*yDNqDdrZ7-MIF>NHy21;Cz`;hin1`Y?RtW)6c(!Roy~@si8qlC*Mhq;29Yl+@v#AtXbtcX3UF zUi#nkr?Cgx1*V0JKpNqQ1dwl1sCEp6bfb87sMCMWWt$pkZv-A#8GyiV44z10Y>@+dr8Hv5xWT>G9Ju7K4c&XcZe_Z>}TdR`JY+TC7h5s^)uwHJ?(=?|zc?Kovh^LQH0WEl3qdFf zBCb@y47NFb9CSYEhWV&HrNgd*&`FdnK9sW1P4**+HyIv1+JoPQ^7JL&%tMdbjBxkW z!%04FcDaYY7ZMydm^FG)b%4jPb?iQ#E7bBJ_ua36yT@1UNCC2&bn+y|Co-o(6uzIo zEo(-!YYCGa&i%4cq|Suu4-_s0h3D18;fps}9)g=(DPPmd*UizSCLj@t&o`->5Q?bs zowB*3ui<{zg~st6Rj_P+XWT*pXM}G3%7Bv4(0A?>tz~a_i=rZ;NcFK6QZt zL<;%!beRZvgla8iReHu(iJZ7UHsYo8;Kz#6dXATE^l0(R!2HB$YOYT1E12EeLtvw^ z>%NdGpf$(uZMpCtw6Uhexeo_xtFs|DR%fQgGd(pl%rVK!TUTF|BNwhxH(!;fzqmhU_rJd2-|mIJ7_i>N0eh3l&31YJ zI2r#FGvmLVj5+@E*a$oGzo8_%NZGMa5rhlXBV*8ay)`ArrVKtyR=61mSXs(R5n7vQ z20*>JCoG? zt0_Q`h1pc4FFG>L375*>Z$lqPru-#AN3tOw$wLBS;tzDHhhpt4rv zf6z6UL`$Ycf(0Q2qOnFsiO3{=AB%*zQqW8Y^gzagA%j9lBxO~H%ZBKm*FwhYc0|GB zjo8IPk+h4Nh}ioR5mgyimjfp5hK#4&fKcSmj@cg%9>6Fe8^I_!-H6a1w$GgSz04G! zSwQiysK8tphnj^&6lf_&W6xi6jj`RrS!nMx<=UXm$!r}hM$sq?R^g)q4G!KI_6OCwm{5YSyy!u@izxTGo#pCl`yFZ2_^x*u1E+X&B zv=HX)=0o&De`^P(CE&xbUyfbEaafKc?BE5QcT3={@viqsuQy|vu~z@QH>P==X7#nO zmE8zjtN*i6e+LW;h(EOKA0bkX|3fYnC0 zi_6bmBCy4hxdc||dSQY9!ldVQEWCCo^mX4I7RTn5g&(v(=rD>;`)@V2cpZ-|Lpvw< z8t&-dDCy%rV`GFA;i+jQh1ooq5L8MED&E9wreZL30>7c7qo95e&nfpfBOqAcbxWKuw@2aWHY}u>!BBS6*v5RPa7Ep4M%C-*)XtI8@mnqOD z%@kH(lM*RhUo~K_H64Pus}?pEeRnwEPEhN+gDGH%rTl|$kEE*uY$OI8iaOUa2W9bREtC?-kYp9>+;MNe@HRUulw-s*jW9AHfuX zno?F)aMWxLO-E*kBjf>>1gwk_=nWZN<}C{nU9?1j6^Hf%zC2N7iFqbNy%%YLbd8#F zj#9GSjF(DPu&GsLc~xJ4dVPPbaXfRpaxK@jmx02xcbd=jSEWg--BI~anY@&;<=&el@uQFRt&tMZP$)r9b(wxv}4_&E?-( z^{=-9zW=0~jtM=d2H`6n9e&j>53T&&KDVD2o#PvyY#OaS-6L&b5VPd`u8q>G9}w54 zJ3B^Q$Tn32$BpxY(`42*2u4?FJcf72Mg;ZZBjT3paNnW~ac~K%&Cw*GoTB{SZ;cSz za?I9%${;;vf!pc^9^@6P?=YhUi)+|bcLWOe_gg?Vqn6l=7NfdxqZEx4jCKQ>KzbAt zw>#7p*Ge~R^h>+eGo?VM+b>*UrSCK{AQyPzj{S!q7IG-p#iZa_XUp8hrW@-fS+t9! zzeHMMr=04$+B3X$5jr+iUI$(4XEw{QTUXUxY~iM*A3fPy;)K^5>&duNfX;n_6 z77?wL@F`|e)x91>-4B*`cA4Jw&1l`7Sf=Ri(Dh8&5Sp@vFmsZ4cRj=@-1fz2<$Fyp z7=;WN*pc5OB!LPU;H-AxOK~|+n%j*$Ash+|g@b0c2#=4#i+VYRs2zO6N0Gu`b>>cT z>QYz?+^oFa!E!B^t#9HRcJn+QVjoV}X{?v6PkXeoQl<^r!Yz(Arb4vMdgNDV{wA_P z8k(eVC7sqHMftmJ3oCSddXpOo5sC~UG1G)Q>Di9b7qGe0)RY(gkE;29$>IfVF4n00EZ^U7avMg4^Do8E(dgQ{ZxG4RBA_=Raf($bKv`YAKgyRe;Mfugk0uuj-ggh0+lnUrGLNsw& zD(NsK$!5x+z`O!YW8C5*7cvu-N}yp$YE7&G1%(H+LJYlRX?lS&hc)mY3}sLYa0R49 zNWT#h9LS<;L`H*&MiNzBpcL7Z?fknfh%pwo!=u&R<5t7i5(*-`o5^EX%#QT`1SE(t z`xz~+3m_ZNqQ@I8toP;V?6Cz7F`@o&Uem_AD&<24xh%pT<$-99=EPNI5{!%fBiXI8eF>jojUw{^?2-a|Lfj| zDccrf5ZalQ}x9e$U!|w+R*E5&G)t=s$&(u~1 zAO5#JMOj8A%s(Dg2LOm4-H7Zy5voY(eA@ zJ4f4evigwSk#qjV3X!5JPa50!@G#n~Y<)6a=O|t1U5Blv^e)y2mEfD*V#!QHaDOTHzHkfeBe#F;E ztn9>Am`yPP=TLy#x}njgkFZ4|^UCBcvziD}u;_M^wW}MSqIn2Pfv-_i|sGrX!1(;U?Ul)(D0{v%uEwI z`go+k^KR#0qz8h6?0gd{br4;Y;HE@lDt{oVF@YeE!SecpK*kg>CW+GoD(Fd;q(;^Z znQ%`SSt6D>uZM-4F2X&Kx}S@_Ko1nKNpQp`(8k-6hJG6FIHGVAaK;KEd0uC6;;wmP z4i_84D?`~jL^b?r$Ot8JkU3Ob*jw|Bl|;stOH-HgSH#dQIou5*VG@^!AQdHQOy@Gh zXqmzbAL^aL8&+oT@(3Syh(_y(0gcnco&F+jS;M;LnL(y&;2{E)` zj8*V7lQ@LvRD9wLL=B7vxZQkS<9?)clz`$-QjJ7Sq1b_b6Mv!cFd7&Ic_so4RE?ZQHm)Ub4m%%N5Ja*K?>Zu{VCR(pG_b7Q%`EYA^W1vI2 z^Sk6Az2O8a%i7YRZpRiZA7gBuy^=#k% z+GKKB6Wib0I^z2=vajPfIQoY{#qwVLQ?VH79%`>P(*qhU$J8_x=Y7nU zgQ+$6C1}9qi}qDtD7X&#eJHrKXHTluYj?n%gOP12kf@l=Yv77J{b#kqHCDsQTq>=I zS}h>LJ%2RR&=L)#AU{$DXWV+#IED`%s)Y6O;j8~{lmGL26%+a=vL3$shIz6hKT_J? zCQN8P{N#VrN6;JPM^0K-dwEa~yrmeC4gB!e=nxC>Z@%hCmf{2Ve)`t6VWHspFd(W5 z-GG;)YiFd3r!9HOU#XDsr+NJ{<-H}^yD%d9Es#0^wSwMnDWua`h&7K6UwDtQxTj^8 zxBk4YE8ns>$jxOq1dd;xWo{kyaMtbWTJ%XNur^aYRdkrIbeQCPgvz{nf?Rsh?#QX3 z@2c&-JsGzEPoMG=8p;!zTyZd=2QTmsowiv8_(MWq2%z$s5U78g&Hq>aU;oYjm>J+- z@IT@lQ-A%x{vHJb@i0dmjzA%k5_v!}c$5j-deEr2ZD#(~hrWz^1ldGan2Ym_^D zxB{!MhxBYOq~PO=FJPr%5>PPf`Lz=&@U?`YwWC-!0loAYd4H`>9Wq-)( zQh|Yl!(p^8605r>d7X;1ND?N)Vf2H9xukN~E&Gd67Qi%;YQ z6|eao*as}vEOA%k2#rTZ3F%)*bY9n|80bN4x5&;>SjJgSR#&kX5t#(1rpQ;+mKSV% z({B#xv|DFCkJ@F3LmD90vi93l{-zA0856{<}UcRzo#sn@|oK zX1`+d1Xn;I#$bI)9j|m<6Df8v$U_BQcmQ9fmVWv-S_DIpZ7iw-3|^GJ4n=(fX)}$m zD@awf0+SIdnxNr5(zD?H_;I`4u{FD0q)70$pvWuQ5u3gcCA@)MCG^xj#d?0dZq1K| z@4R1jZ`U7ZPo0%-m6?;dwhZi*zd9SAYW4W>csJf%dwY61x-`6d+uL_Gc@gwlt*^Ig zD^U+^A24==-##A~$gFtScB*G)cJyp}V0vEGwXGOo)3 zi6*Q;UU~aiffXsa{m+-RwO|5P8%%ZMdN=PdC*pcX@p#ofmoLH63?-xa>5;xIQk*DC zco`74kD}oEs(&MW80UfETAUxq;}y>PN4t0yY6+X&$&}oHhiqb$7CG&ho>nK zHj3gzqzgoMr>yAwsY!UuHrBWtrFaiqtjt zrl`Uu{A%y}Qu8*SjP|v)!D8-@tH=F8)6x=-OBYz`mp_ZA#h%pl^#ix_R?aqW=l$3y zu9pvtmonWdwyr<1TIzIv;W8fb3Y_)*VT~)HN$nBmfxq|&bK|hv(p4(CK-+M6bz!0H zpV?f<+CT}V=2`vKNT~W6v2<`x$F5n>FwKJ^CP8Dp}c>1+3VDAIm2UA29cXQ z`h)HvCVk+Sz!iStB!T{Q+LSz~k?q%oE9lB)YitgoC;S|tEW#|kZ6kLVB+uDzu1tj4 zQjr=uAdPP(d}KqhN{41GMj7(qv~(?9B1c|Qhs+gIqlFH90uC1S#o*Mxy!;M%DtN|z zeF0)Lc(DThhfBhyaTMo6^-4Xkb?1 zKQh7vZ*Xe(Eh6wBs`q?IjCVxJ+b2%44HG;X-o<=-InbMr$(d6}<#j(O^huP|?G3c| zPYQz|qUwjBL>fM)7N_KYiD9ZD)r zFj!!j&oF+FaUwS$?<Jp2fjYY5MvGW-$G}ZeoGdJiGht&+Nk+jXHUk2zDCCl)d(cqv2ib>zekR|sK=^YalaD*C`feaNUpwXGZA-X2D(WC-M zl2(aiqyTVNItp&T33PotX{bKT z5cm7B2(*G5O?RRC8NxtH&^`(A8s z2JP$g9F05dm>ZExFY@QVjxOBpt_JT;4q|5~iRzA1*DR@gva-iu!_#^=xj4|65^YVd zF$n2Xcl~x0mm2(oj)%pIplr3-?jvkZI?6UBPYEV^#&+Jfh^ET zU!#{^etO!sJh#z_`_3kca+=gZ-Hggg-n@yrGA;)0)dZ>|z79g3m^dxRt>KYVM-yEg=ua@jUEnB4$yGMX^5C2(R3cHFz2My&~pxpkq)e z1uEo?yTJ2Wu1yEuM)|%h#;LX3xj1nEOWunDURcd$>AtMS>27%hI4zIA4u|o(sc0hS zaqqSH$<{XN#T~@dhj^JoObLI6fAW2lKa9-5NLyv$+f*xB~`6S;^LznU|GI zo!I@?pOF(-TZCRQ?sDZD+KdU!6IoxPbZ zBDB~}+)N&>fBZh)xA3gjeONzx4lVE}jFJ_bK~iYib39jWLDcz#^<$;ubav@j6{Cob zh&kP*J$Z@Q;ajt7T`4w%D|zlOpTVuGCS+uv%(6)Jr=hmP>F`(rX*#vz>F{^~!9e%H z=~%bf)pGLT=~%ZF5CxYNUSZQVo1b@cYW5|%Z?36*>q1L&5IfMU_g zO;Dfz4nR^c0=ifJQLp^(Tm%1WkCC1I-+1^IY4qFtU3;Sgw>JrBMHY!j3Ly1Kf})va zKuAUqM0{Hv!d($Cgw%S9>t&#VH*qpu&MBvVyo-6h7rB|g=Ny)p=|nTKlX>O+PNdL> zz)LNGAgmCFZ=j>BT^76A8RyIt_=^xMZw?K-0jU85nod&CiK`yOMYIJu4$n==VkTg{ zVjoC7m;4$?5)=hW3V@?6it40}37W|V212n3HH{W{H6Yq4RZBifC=SB_A9^&AdZH<` zYdlhFJWMdnHD1Nf8%PV13l{UiBQPz6oyG~gG?0c#>6Bty$TtpRS%}z=m3a+wL;sj= zP>;#ah`fkDr;5B#wD1t+e972B!55UZGZ3`aSvXuNb$~y#6hTG{O7C_>tNUh$<}FG| zsU{MWMw7s+6dA|s0Jx<7b&WX;(g|JRSOk&M68U{YA}vP^qL2HT>JJufC)ghxM;$?L zCJ1eanMN%|Pg*ANC_yLmIK~EI603WAqTU z6^H6fU`k0kQR<5GFh4|e6>0!|wc{`1ErW{GZA!n{2iYPkDn#m2n3hv=DJm+aB-Fkn z4y4W=`Ea?75|>o~1vE)4T(ZsYM?^YOYH?#&Ol|9F;v+ZqNOU?RD98byvGsor;M=DyheojN&qHK~^@X6UHH zaFSKc&%debz3JW7y%A?Ms1#TPJQc8gwe7neId!tq8d`h%G5WDXc(^gSW%_add%84| z`+L^u>g+v(qS{CDS9SwIP0yz8miErP|GZ$~n9*6+{GPVXc9k3++q_~SrSO>{dk3SM zT1%z07^c?CGn4aA1l=;5UY+dpVC6zTCg)?^8As&CW?iIbVwwmq?JTODg++qP}n=ET;-&fNZ;i*x??*8SG{*6x10 zs=B&%?cLqQ=XqX2_rTz4^xX(xI)P<{89_(9!LcO#a#W^0c^a2;ByXFo&JGq_3 zN>p7Wvi+wZxvKs-r%7?{!GE1|sv3PRLSE1;W~(B7)C`Q-`4Gr6dP?`7B4}4-iJgWp zIi|k1I=*57P3MxXG$YD{9h*`*UY^=C2WCwwAN}7s;Fr4rl!7cW>0Tdevi`@J4RZ&r zvk(?F)RvgrN>qZ*LgSSKsobDiXQ>TeqNCX$UG~)^RThvI*B}DR_iHNtORrdPUhf+I zYp+^j_iH--bFaq~)0@!X581WLtg&)XirhP0WuEUAAno^Z-M%*L|9l|h^Jx#H?Otx% z*M=L!8KqCSbBCWu@EN*~wx_TLWEB)$g9W+f{S$B=k>U>3NhAat9Cy z@7e=U`LoTz`S@9{+3ya&C%m)6|NZ3}IjZs{<}L8XS5ObLcMe_^CcNB+=L*zo~x-Ocb8ubdc45hTYR4wp(2V2rqG3{1Xjn=ijKQ zeGfJ|fH8jSPzXPH8*l?`b0p!7&haKXwW1ug_e|f*PHYpasNH_HG8AonqI^`m6!*k+ z!h%)(bG5-wPE1uE#eJU?$iWAwA)gES-)7y|9Cx}s9-@e{Qzt(kL-b}ExAOsfuORaL z{fkVcL8mTrmOT#Kr>w*Sd~esN9tvxGN%eZuwNBXj_FwIM-uI@@hJIhSZhj6ALG}Xt z+kRbKm!@|q$i4zP+tRq7PR{iL-i{vcJK)pIA!*1N?n$=C2XUE!V0qu1N=YtEL zhBYiF@7#^AQjP$dJXsPia03v;xB!E`G-Gqh#RYhWa|BANVav)PH^~-}76Da-9P;?= z?8{3G#JT_zk{yL(+x%H5S==;cMpK902AC9oqw*gq8|(~ONW(;izvxp=js7uDnNO^m zj4?J<>n8S7wrf&9{RHOG#HmYJ5bQ_OPXqp2z63nWE*nq?tY=L90QMI<39 zkzg=18_Y9-bAi-lS}HbFb6{;SwCC#QQgf?nLTW^s(SeeasF|>Z88rm~EiDmH zVv!M0@nX$va@J_$Dh=GV1^s|(3q~y!);24Zj3inM!-nt61=pDttOsoK7TIB-Mkn!k zGl^fNWvc90!CDfPffXzBS|A{fz;1VeLr99UKjdx~wz@wm&P zHH2|B=@KX=6Uh#+1QJ#VQgg;6(;G1n>TnxK*oG-Z;SylkaCm5lgy|&n29sH0L7AlU zWyhK$Y51oR-|?oIWi;D0la;~5E0wjv>T7rX8-_7dg^F}1Q9JKqHoG?y@?5lD=SsQ~}LYVa$|8+JJrm zce@*)r9;_rD5^nfMpXdrz8wLsH`7l)VqDKF;=(inECMGNp;7dPU=_2#y+$1Rx+5^C zD{C;@{7=*GyS}g?J`_KGe9L6E-YNNDBH#*m<6#+-tdi`Gr#Fv zyxWXsYr*mKp}UXtQTZNUxYNkqTm?bsM01gL;pU$)k}zZS9$#>--!JI)4XJs1?96`g zCy64XGrVQi_&!(lp40lw@5J!j54*Jj0NsH5+vCT?%-)@AJAo_vn;V-)`^?F!lXt(T z%u0pLRu1f&XO2#Pf#K_f`9j(l0Q;m|q>kD?_Xjuec#zu`#Pc z;StVoZDEplhi|j)mlMn+a`muZUwQ1eUr`DTR`{&=Pp@Vw+ymkT#8?*eLUq*M% zL%{n?`R04V@bpf=#DhvqsrR6d4!A21V5919f@FWYA>r@dyy2fLwF7(g==qfC{e1m8 z=rrcXyE9$Kb0_Zcd;1twR~O(-d+*)Q2KQw5n)gF#Z(h49T9gKQ2xtAlmffu4V%_Y< zb6ceRZfO3x(X`~TqI_Xc{ZQJOtwxLd>Y;qDbN#n=$rm@1?b7XBs`!}ou+XynQKz^f zcMG}d4sn#}l}6^^r`sD{^e18@Y|5`Mr_qDdPR)YchJ)t`u7cim#*wgJ*q_As{0Sz; zW2MnJv1Fm+;m&*~b|ZVodn;FeEQ^si6rvZEU$crA9PSz2d5)Tt!!pAznwFea{t1+C z<|j%Q1M@QfNbc!g@`Jum9{Q0W>7aE|p$()*YRWc>#q3d%uXKkE+3F`SfPFbai=$f15I-@>Ig za8M^z3Kbg#t}B&`_5U;lFTq0$wqk-g%;t#5Q%tV2+ESSyVWK@;_i=(uM}68GRtrk@ zkP?^WZ>u8D%bS2C$}e*Ykigh>ou63ShvQXlqULQ1Ch<5mW(<-rj2>9Po~-;+HdFAY z%m^Yj;|JcaY!+#f#Y*a4{0Bupfm7AEbIg**#FhI6j#^=5Av79w!ude@ge7X41 zkgfY{E3sE@{dJA?Y2%k`7)enWBH4 z<%{B?4}Sh?+LFF5qi}T=FSO!(U|4&{C)Dbro7JTul+9prH`~RWb%nL93sgm zV-r@N)VLrNE&=RpK!&uFryFMdijXt!&UA_3kjnts$v4yh~flH^>{Q4ym~q)KF*Ckjq5>q1c& zJBbn!JBccu>_}=}Ng9(Jdss-&EglmiH4v#@#wsxc{9G}GSlQpTD{vQ%nnXQG!X@JF z@KD3k$!+Uaa;k^L2--hc)CnY52NmX<7yX-&x>+5%J_ z{`v5U(E`+D1+btZA18RJR}I#LyLtrxfTvb#x$Hj!+6~a`kUEZv$42CwsBv7Ob#w1kA7eXl88bFB$OG_Lso=^n& zOS}ft93@)0GsrX{PE|cYXvRvtNU30>9zGB6R9A3W(30#s8*LSUyqZiB@0S%cqjZ?^ zy!m`c8D1JhIlUQng1Y{^qzWxsbd}peV!nr1ffAKUoCV`@89Bn8BA@V;T1`EKr8=Bd zD#38xY(w6LB|!wMv#kWuCH1PW$z7mTZGEte=9LMpq<5MWD<>@)qS<3Oy_X8PwJ=`u zH%*MZsRZGnJfiQC>87M3c|b3xl4UTEQiwVH*&p1V+dg_xZu1 zx3}w!SM&Fs$4>0sded4GRKBsd@#g}0w8F)$v*xRlZf+otDb9GDbn6wC>H-WkW|7H- z4uOf0>-uhgMTrSYkN?Ux+s_#DOYu0@Ajf=q6mcsPmiJ`LHSs8UJ)7N~XJ@ISkAaF` z6D)c=>TLP^y?YqnyeFnK-wtTR%h?{gKEljVkWalTJ$?cyR=<(vt5dfF6$;3)hU~gI zZ9nPqsDB!p^EPpw?gzmQ7gu99F#y%;IvanG+`Sf^yFhQ$fa1eoc`z$o!9PD9q5Hw7Ff4j#@CyqsU z8%K#lzybV54_gMWA~JaN4+kRL@TkBe!M`KbarP}hpkJ2U5Rdzu=>lk=yjI_?=D`_( zG9&gh54K7|tZ@iw1_ZU~59a9I+6)7SXL;o3Y!f-(MU%HA@Y+v~E^EZ*7!5 zMAmXVcq9CiPAkyX&uP{?M0GySe6ms!y+W zW!~PC_UTBIx_JB(@aE&`-Fyt+bv*g}n+n-6m(BKG2$ElA!_Bufg8>`Ar{S0m`qs8P zw`5xY?06RX^)IV+&7m%(X9%JcbHXXILdDls)AaTYeootQ00Tm(@0J0{TKnL3egE$D zk4wCRIrmfEKS-T}hP!+u#9M=QXq;n~lKgF9LX_bSKtY3uQt^UE>4F-=`$9K3IO`q{ zKc+*54Vtej6^=~#zt@tnGOmrVA@AiS_UTsca|?4m*z!Iq72B}#uS9G6`y5h__CQ3$ zG3mzDzicM|BWlzC5Nu;+`*$NEAR^)){4^c-!vYZ+qB$oqL?8$pTAtX?RUm#^5hDQD zPJ&7>t6*Au0d^c%={7qPmhB96o$7Pk#z$lK;*t^}?5m{E)=WMTLJI;dvJfH354r+v zbS5CfZ^=PW9%u>1>x#j$4Ba3-&?WWjVGBso(lA65d9mXR7O~^x3D9~a?)YL5d#c>hhC1_3G*1|PWZHmfZ z*qO_rY)ni;VSfD#e$a^KBNIqb74c8Pjptd=fd#c}7{WbK5#fz1k-?!()sdt=TWRG4 zRa1au5A-6bLuFM3!;haV*Ibhv6QZ|kOOjUmVPis$0K+~+8;EEKfX7!pD!65*mgZEc zr3N=$?i1png^@VRrv7%&&3pj4pu;)_PQy}v+fM^m%#P77j!*%LuapkUuB-xqpAPR- z7wVz)rzwGnNDg24X;$0D7JP8S%R^!UT(kQN$W6L=b$Mw|5v#VyEhd3j@d+#X_W zIP&R^9{rHZFoF|Ncd&XlkPEnJk)>GyMWQoCU`YXBHlo^hfy%I`Vu%pdmz~32kC3_cCw_Xn2PRMLynx28ZlBMN z+mILq${X{@3;Uhyu9u_B&g`wy#^uXGycZ1%bq@E7%p>7fU#R}nBmIl<_3cX|{%);V z^-%qd@!HDF$LamI(xW4vJ73qYv;051oBIkC{E9naXI21bwoVQ8`JD&21G(;gklvkD zQSRS%kmax)pMh->swiQ-`g0sYzZq~c4Gy6)R+-r2+?-{t8*NIDRH!?YN^{E&?z z_kTOTEpF`M6B7@)H|PLzw%y*+FysUXivE83xc%A}((#o^;7RcCP&}G_v~qhMol%~l zHvN1v^KI6dMy9^M$*>1$26?>nN{?);;IM@T#*12CHEm5<~o zU|gol;h?iUejqGIsNXAw=qRw+=h8ES_8lwB=Lpu66JKFXh?)d67#;n|y)Olgse zf`BiC{|tLRqf=VYbW3eZEn_DLyzC3NF0LOH?ph;NG9B(5Jzv>aU#Z|fIo{Odo=AG2 z!GHSUvjVs!dj%LDOU20?!=N8s4Uiu2KeLxZ z91DeuYtqVhw1x@Umh2DY296eTMI#Jaqz}wzkL5+a_3iyNR2&Tz4vc z^kX!Z-*FGIBi=bPyrzOGxq<44hQ8&)OY6bkWhX2WU}XfXzS!{dmeqng*X=m0+E-P= zBK%jCSDuln2pXI3T0CrB&9~Tr(8&_tGM^>IO+4~;FBUua{T9ey58!;~Xc$@yaT?vY zt>7Uo;Nybc+%s?wu^`|e-FkP`|FUuYk7#wA|LyLAh3ntI&49Ez`=9^N>gXPeli_~9 zt}(!pLIy0sNux?FLt~JN$l}4G9d;8$OZgBR^wfG!V%pm~h5o0IZ4x>Y1n6qRtVAD&ruXfC_?isLDGaom(_>}5t~Ka+LO zqJ{>A4?~b14gO0830QtA9wfo=NDd4E1unjDd20u0xKbr6$r6StPq1AMP|r1QVrR<@PlS(SC%ZP0h&W#z=ep7ER*% z!N@06p(jO8t)8S?<}8(mkROv9NEQ)Izakc1{|zYP&fdJNG>Qxk4}H+L6v{3P7n2Z$ z2#F0K6iD)ELIa_t&k$4zfo@P03eYiW7-Niy8ihtSQ@G{y-c#=9^nP}F+DCin8CYB& zcXEBS`*M5yldsuRQ5?fjy#PAX`@ox>&G%&!-pqpY#usYHID~P-USv1ci6~MU(-U-! z*B(?uc0K2~;D-HvRW8FW?dpvmy|Df<{XUF*nX6NqlKbcH?fy;c%d^i{zc0Y${%ha4 zO~Z>bhc8oK|Lf)OwOc^m@6GBI`~K*|HTv&H0evlhO^scR{UBRvX{{pkyw$2`v4gMT zt^$yaJVz`Xu#B4Z#uP7zm@@Ol`iVfCnt~g$7b%$GVzy= zUNy>RYi8u|<@(*_wEEyl^q+{6pzPU% zKxUBlKlTruQ?L4JvR}Z*asiUVCo} z?_>it$iQs@45^mF?~j6`0DJ9I9*hkI=8ZSK92ewx4gmW zWxM@W9vgz2yN&nIJ3EmxfXE%u;BO95cYLQ(v+KOh2DdraKS+dBR~HhQtmEuTPf{k* zh>|-!MK&vaD|4MZ!wzyyTo2G8+Pz;$spih5L0hdleqrn;OfL>%g%>w->))e%jA?i5 zyMPag?%R#jSwp2!ty*J09Z zfdk>aj4v49-YzMIw&~{gzBapK##~S2WLv%>?{CyF8S6iL{$%ohkL9g*wgz9aP~XQX zj`>1AU+SOsK4k{~0*TWma9&~mvW5T8=!gHk$HN44F7f{b6b}K1&)OV7^(+V=ptySM zXw)fy#6R#*aY!zjTMStYl{y9;NAgNg75VEqTQ@=hiFzGMFW!AYQfKDUVVvR$&&lQY za$KT}kU(Q)QSNYvkw9Ic3F@-aG~Cc|UY-Xw1q}?nqlzGXSOrN(76Q3?IHt*H4>fg6 zBQv&}4kAfinJ6li637})`NUK|BryVNTp3JKpf98}y`rMqjNmv5TB#NJrEt{5Bxbm3 z#Bd0Pi`}DOK`$wE+Y%>d7us5eVkAiXteQ2(?gDMgR;-mD(NA<2>#mI7$TsM079; ziG|4zVowVUW(dTm(7gWh1YXuOL`@(CTSO%qjJ4=I3PtCk9|iPRe)wl!XhI~0jW`tZ zv7%0tNw}`;Z$-!nc5rVRTo&cXb|8(rJ_{C4Og&2x1Y-_`bxkLbwV|EdVu>9bPjxjN z1`iC3!~%xhgd*wts|gGbdmJg)0%3SEB$@~(!cb^~%>0s(BaLTfzaUV6iw0T*tf=$n ztTe5X`7Up<(G)CR7J^VA@ak1saOY5r<>%t8!gZfgSW1p|Z9_qDSyJesoqq)-jq8{Z z@C`(n&#Kk_Z4x=(w!ex4`)KBN|E?jpyF7e;HF+}YZSWgHwO=`A@jO&P_k}%1DOH$;!vk?d6r?+>i6vtHxJ8XBSgeJZv^CFSB}6k^87)`Bd@3rlR?7a%T7+ znT)e#F5Gz0p<>Y`05c&icHb1GnC&v+=(pmi0bN_&bID8%d-qjhj?~WbUa75T4yori zZ9II#tANLN!RzYgx5a>qr>r&g!nFj)Y}M!ue5u`hywS4W?UuxH;}#Q-oY~(Jm-(i$ z&EfvSoskuNaXCJshFH_!FweUb) z=Gdf_+EDQtyi#`xd&T)kpLy-BiRW!}uFF0@7*OxNu0afYsmopx241d@JD-cB)B2bC z!9AmU;87pdS(*Tuf+%NCqP=(9E@p9haBE@DQ>_%ZNn(+l+LTd}8RjfgI@QR%&8s6` zX{uBS%u!#QXs#&-3|Ka0U<0elOQ6MVnX`O$Y=~D~0=dcbzEU@UzTtQ_-MD3+V|e+i z9r}0_%sX}ZOmx=|2p<+zG%EU+E#iMfnB!pof4knyT>oa>bx30pNXSO{NCtJ1LV_*T zzXw48$G8+R5M%ld$psTCB$M<_5DPJs>-1)Ev5_UIxuD1d{Z<&u-K(+ifPh*#dMs|Kvs#N0;wR<&;&Bem^4Jo7z`QqWJJw~g(@y5 zdM@Vkssd6cm>!eKDr(GWX@5zIFs(l3%7}@F#CmeE^|VHj6rkr2U#l~lWgAgCOI@Z-7hg*qdxaev=rW6C zh?Gs?St8N!L`gcY$y2Tnv?NQl!i_o=g-@n~h-3`9vD6=rr9?k!c+~L(eq+a&++#}0 zT4N%giLilu6q!qd%u-|w_L^XQtyFLHtkNb6qwk!f}_3E2dH!;pQ7hvC7?qv_M5 z=NtBQHEjl{+_6vb%Q;(}-8_olG_k4o@FF+sFETT)l*4Z{1*D3{%Pc zDW9vU?Xjy-;~06aMBA$Q+L(&zrJqT;kDPY8dZ7|{2LPJeJK8@?U3; zyVMeeG8~e@7psl~W+yV4Y^DD47~fbeUw&Bb3T(v~5fcXcut;wz;l$p#VT=|w5Eiq) z-7_M9iqmbKUdx~D^`Cz{rCVbF^vSB+K8x7k+VAH*c-{cEqwe&P(&6hT^rS7tnYA41 z*pZEL(Y(zm`DD$;)Gr>-^4ZZGn}T)$ccOXr0e?IU5JU{3I>Aqx4Uxj~Q{t(OjsF^K z8(Fy=(B7duPO8R>%4wEac_hpSN7Khd-|0n`TEV32?EBYJ|R<1v1v8DMKl&V`=;iFT7t^aq8hNhhS-LtMs zqdK*dV&QdHkucu_J;!xbP}enD*za@I1q49=5T;}42{ZbG-+Rjj>;0}h0)u`8L6vYVs^ zX-5?rGq{PPtKR@xV&@A!x^KMWv_>aEj%-uj%^Tj(CA3s>jT<}MOtIA^04<8sg-|C< zD$U}ryHH0Pw(A>Hc*P1RUvaJ!HPa)zCFiB-}!ym2FHuYL`0t-wVG2rmDBDd@`ipK;v&y8&il`ZxTIe;Q!mkn|H1 zY`ZB^i`@JLED(e_K@63HZ687xM?7yW!f>e`OcnCH_a=SsD0#P1y>deDY!~UoGgL%5 z9Sql+8IrxIx1Y0=1Wz4<{D1~#Y(xSQ(warEDYOm7A=Jh3A#@y;1U(CkJ)ateQW*n9 zE($I|>N^x!)H*rHNFcN%V+tnc&nz%|I69J_31I9qT4=#}wkR^NN}E;Gq^O+G6C<80 zu8`C+hwFF}^6}siXe1w8Ek<1EaaJZTyWiCemLM5YSbRh)VfYKYf|OF5{Bik}F@giz zrXqF=J;eSfHHAa+ODheHY!*@D+_1)?ML(;tDf-r?z@IBj$k7NY+mE0XVL@=^m5t#O zYoG=&)xz)_DI>l}s-gOrl!5k3P>TJD7y5?cgTb(~n@}a%N0MZNN<&SGts))T^^-Gkn~Pd5kffKAI&SIFwcfs@^dXe9xM`LSg7z9lukkIP()ZP^`kK|vDCr+pZJ1r zKyM*lFJpMgVXpGG-Qy6RH%IzPN==XzL5QaV|}qN6i^GiiW)0wCam<0 zYSCzMtgPrh5Y;G4oT9g(4qX04)wrm$M^-3 z4|#!!nMeLRaCjww-xLLf;FrEl2Fdo<`SNM&{Q9Be)2h+K`-h$5v%~wvr5QJOrr*ci z8(YyqM`86VBXEv{CVuiFSVTNyFBh)r#`MPd>Ll>lzuAf$`AX)yBm1{&WcGcWUL0(FEHLsAg6baX)iRDKQ1 zr_CVgS_kPi(O`a&9bIZyL-Mx6EVdQA=lg)phH$5`;VIT}o9ABJZ=nv|*`i2hVo{yz zSlDAw=L;Kw9SoWtp_|iid*or!cx?ycmJ+%U@N7JhIEZ1{F@dR^=sl zGlruEG~M02vdi5T6`!!vk8ozl+|ccvs^Q&xm^Rs0=CaM!yOXCrgfYXqe9=ldB!Aid z>IUiU@NT2hB4?GNr(Em?*G(VN}3GY-u)8&omUP@J zbNo&^Z=1_VfcBe+CD?6yJcv$E;?%Juv65(?XloJf*-?`I)~$YrnrKx(u;T;zIk4tF zR>ebWuV-dXC3I_%sl9XrptblsdcYqtA-)#mt+&UIFmC#r{t18h;B8bT_3v)U4&oFU zW{!GWZ6ou1oHsw^^OkdO$xZAi;CnLS@1Xa(0Y2n$#4g=SjtGjsb8WFfGEVL@U+*7( zQ4K(t_i-Km<>%-x z1q)^S>A?#M1|}Omb(9<)(cXnc{%gD|z$5{pa-6X?Z2d6t5RzteieE57Dq=0$u!MwE ztOSmH45y^;cuaHzes@d_+F`A)>qSJK$;n{#r3e%$*9La?&-gw_42dSVc0ryedmtI- z3M7Tt|n^1%l_?P-GY;8rYhG`~YW)1V=;NWS&Z}|wDHua(u z>RRQfJn3frhFo=6B{WooGP@4p0^|-J$u9kSd-9UIeRd?MoPi2xoCU_Z%468m9YrCO z^3XD4q{(sPaMkDxS%u9C7hQ8Bb&wcw>>&QZvT%}h2AIk;P8phF1CmCF!{b9zCdr{g z>1IME)a2uEg)R#Yach29cFbD!kZV!%>{3c38&P2p5|UtV*e+OaV6>a{m?D%0%-|6?!H6*;gBzKK z$98qbnw*Oo$fgM-P0eM6VFzxpNW=&-583EmL2kKi`d=|{LE8L{Uyi3w?@vwc82{E2 zd^Wdf{+_D&o2F1XKfeF5Ige^K`Wh$W$o}?ONhS*NO_4s24T!|~ELHdOeRXx2s5H@X z^@=8ZCHKY6R_NJQV@UE5(55H)^Ip@@J2YE5?oPy)vm=i_4ua0Sf3s#Dwl)Ng8YD*r zwV)e%Yvk$7)~>Tc_cL2MGUJi)K$pd~MWOQdnPW}tVyDY;d~f%$ zwN5l|{0=Giwl(eC!hEQq-?c+}u0a>mPnOF(d@~#x-F~aBP81BVDmJnjKJ)d$;h zTBWA#6EcT(yLRori&XtxTh>Oi`#P*|DXYNHxT z_EoSP@sY-E?S1^#=dDiOMennfVCT2athjyUdV|(Oa_ExbDr{f36XHvK)=#^tMXsZs z4lEadQzH#_C6d1tXbS}o2k6O{_eIlLHqi+`|2up!!Pf+((&bBKi<`n1-brgnMtT~T zcgO>jS+o7I28%Z3jN>i7hb4+VLo{t_5<$}O(Jr8ja?uKOud1V`U7s>uK|e^F_`6V$ z11|Ct=rB8q>r#kUi{-(Yi_-QE$6WF6vOlbs1l?8}Bq`z&!=ba%K_Kx-;9=5Z0TB?9^abmEL;1uXPbIpmp6XmcRk8hXH$z^Kz~hcA2#6WlfDgf zr@Y>_b!0HmqP}=?X?_=&DH%iV`rhSM&bT~VyU;fhQ4h=Cw2PPnO?T5UeY$Ej-NIT& z=&KREt%sKj=eBnAsoJH3^??{-@;Na0L#`(k(vKzIrx>QQu$U(GeN(DJoIydaYnfr> zwnG@Etwa{<)&2(Jai+{S+m~Xyb}82UE&1&h!?xZ&GC+H&MR4Qy&wTCDK$D$xyb}f= zSLDpV+;=syFi6h>x!Yhjv5SR;&<{-}wpki**F}{{udO;Aj!nC?{2+XrG!)PIR=?Lm zlOE1l;_Hg}lY^a^n3#?qNou++jpuN`({$B}+33S(!m`6Zu6ju}=7J;}0-C%7RS=6d z(2J}|9zi4pK%9nC%>?2qZcRVW|N2NS3QmB=xq+A*Oy)g-m#ZgGBl$0ZlVp;^c`3xv z^{~!{e&8wcD4(T$wsjA`y&X}vy*(lX(g)H6R)@Ut%l>t@hz(u|uj~aC^w7DS*k2-Yd;F@bj;Rkjjd7+6IFIyu?4oQ=AOTVIWu>ird|Mi>cxXZo0kepO|xcg`oY z0p%pvlVlICO$q>)dJj-pdU5K@CYc72+}5DUMSSfA&P?#uPcHvu|M#CU0J;9((hxh- zztJ>5)KvOET1s#KFv}CrC_u$PTIuTSbhTO6pA<1dGIdyxYY08)>%QLO7idn_ngPG; z&j$63LL~siVoKi>u)C>@gOTJwoGFJ9M=_-?^?RLSQRi`23{j_m|g2Bv&+|~@iMsi>Zf*=D=@-K8Ct4KnCP^Qs{58Qy<3n#50Cm1*T zg|KlM5m$&fL8l0#cN-`RD&=_uidI$%=8v)b6I3jfFM1`$Pu}Eo z`@C$PJr}N0=r0{V7DLfSmKJhMkD9Gd&1NPhB1p9aJ%9@tL61v_^h}=wlua5cL9Cx= zX0(;V_Q2^tYDX`YgkGgUv9skTI2{>nEzG5i*jf!d7M|n0%gL1yDHoHt|0L^7ZDHMH z_eG~#Ig6`i_xYI^JEPV{q}py&9FEDgvR4n(Di`~`GW0{PBi~aU?UOr*gkUPqZCnPb zolxqm1~ooRNH#mR9=d=p3T95IB!Hwm=rDjp1Y6o0r=jQ*bPPyg3JVybPk{j!dP8cE zP)q6VLUz*OrUa0m*6_f@aqJa!blSExj)!U9gIq0|^`LD51?PNd1`uDx)wM~N^3VGzE2g_BbhHt}+MqjtN zTZ&d)ul>77`_lyT6~h#xP42+eMI&eG&2FA;iV8g-tF`@+{LTS*ChUuLY)7`P?B51iJsMa0TPF1XM|1gGxwIfrg`w7?bSr?&XvWu-fK(gz>JUl1nElfCHu3#2bBenbXyw< zSDoVsYt5|1tzR2Qr;lQdzG}QMuv_2@?s2RrCLE3q;6f!x3&`M7tGTaM+I7SWgrP3~ z^#`u0=6v?Qj2E@D9Uc^n+m1j-06**0czU@*?|bqevh)07G4>CCL{R*c?_YLd{}HDT zD2^>_YG>|ZLBhh!{BNdkUE13AI<2T*bkL_V?{g6>UDF@|pv8!DOO^y{^lv0M0ciF* zm=PvpNQ!^okr;NelGQ4=ha$T)b`p4Z;+)C2PBf%rWHgEnn%VrAgjY}cv-kCTHGX?OY{Eo0K z6B}j*0$eAQavpnV8(VT@I&bJk%vLtvjhHJ~+nk<`m9F*vGV<(0JU4x~&7o)ptsfb}|;XQ(KIwFB%R}nf)zP2AT{K z5l1P@JQHfSq|~HLOj=A4UA$!q*K9RrzlyE+@v`G^<#iKDbHl{>=FL!YOYSlo=BE4l z@i~6F85yMP^^~}2IlKxPvulO11Y-+p7VNQl>#;57(hXJ{%0 z(D|Kgb7+U)JwlMKkrfkKr(~kgG3zH&Bw7MLiFTR;454xmAU<6iCtU_`JG_1$nRPiE zN&ICU$r>4QbhLhWsMYU>e*W-b zi+#P2qP|G+JQPi8P$oJMJz^}bnn#ZfCz`B>reWhWzl$~#T50QDx z`W9r*S*W&d3(<`7&)GqnhEdFwKea~~Q6~p;8y!=#Y69Vv;D=#d6o*Zd?{9Zu7t_R? zKCbt-*NLB>o5zE(Pr!~VSNkHhb=AJJ4_o}{>?t+Y{BKX^n}_TDb#ALYhxyJ{_g8-@ z8JSfM!=C#1=f&}H;g8F!39`geg5mq^qwS;T?8|rd$Ii-U;#xa7&i*?10ovcXeWZw>6axG;@?mbM)L^fOqTbAH$=Z7(=S3oe{t+ zr8wC(U5GpJ=iBkyx!L`>Ku?sPVCI^!AH<4rjxyH*)KQUlFZ~LeR05cdy{3VG z;(QZ{6C-0U!(wIkG8yc5eBXXJ<=PX{k9>Nd`9_mzb<)Ik3)cUnaibkRW;uQ^(-s>6 zh4sbqksVlRx6|hk*d}~(%Z;_}w06Dp(jr!>$WbgmDP-O?8hxsK2-o0}zVxN>Ob+b+ zM5TG8rWqaDex){Ro2xHZ1BhC@P8Cl_-+xP061(VZjgrC&5TcIKlx2-B`#-?@8|ALS=vRctxnp^8D#7HP=2S8$&0jQ!6yP ztqHpf;QSotPRdP{@q&kHW02nB$9*ta1)rtEcImUCXWi=!i*Iu;19W#dJkS&{0Uvcc;T+ss-JcEedaDU`VfPt|^&_9iu5bHb` zeCk7#0t{~v1gz?vI1fVHQT^9@|78O2pAEjG+XwTzZh#N zHcxApoZ<(yw`GToD;N3R&8O%}b0QWM$l6EH9hKvfSqUkNt5;>-rBMc` z+O^6TesNxSIaT>raL^ z3lW@coH7KNDmyb-N^$~q1|BSTa^m#qW_BP`lJbkH_#n=ZEXrCn2I4J~U}ogP1oH^~ zntk65uo%f=_t(rprr^v>^s}aciTzPo*_cz3Cz}1d$b4GDAS57A;UKUi;28dJBp?Qq zjOb~wQxIlgECK0#vi}vuokB2!VhKv`pE59GWJv=?k754jVRmT%iI1(PXw^>?0Q`;k z=a=bGOJhfLgcRHrP;3g?)NNF9!7?T%P&j^L<^ zP%n#UEd^$QWYYL=!wV(COS>IX*kB~wL>cThK!A1}$9Nx{PF2NW*bS>CJ*OOKhwhST zPzZ5Pp~2pN3i}neOKa(|kqLi2Q z_(4k_3DpEf0>D z#t|BT`9G-^Ou~`z(u!eBAZ8HcWXX)muw+q6^GX;l2>!TCKmoe~l%lAstauVdA!Up> zVA>`ZtwO{=qPWcoDln)P5ttkR5eEt<2#Ml99#t?3y11w^mT$-i(QgG*c|h@p@ppH3 zpbF$cB}l8w&HrDdy=8RWytXbJW@?z3nQ?_1W`>5DnVFiTVNM!m=A>a}PQ%R1)G*!E zea=1S{&>f?$JpPnWl6RqTV6|&H8r1SvKtvN;vb-haSVVA5fj4ZNrxMuizMZm1bx63 zlQiSb$J8f)UIi)oqs6&>o0XT@w4~u*aOJ~lHVXnn<(7?mQ-ZKhbuTPEaT+eYa-M#pH>{nH4bJ4g|TauNH zqWoMo)e^>XVL7c3pIpLwMmWUJTk7pX4BQ(_vW`xu_p7KdTm{8eriD6|kk3dBA;~M5 zo3B60UOO?fFotk?t!qzq3^MThcL>`bBW2xLm<5Ty%x^&G+rbGeqx;m-%q9KTZ*4H- z9#3j8#1%~nY&`I3kP~2LpbfybRB52jOqYfMY)Fu70wrI3)X36q3@dl%bO)iE zBBq@%^!I25`qX?ZE1r7PZ!oHM_q5O*5CeDuaU2U_yI9aHKCeh0zp?k*!fguex6CCF zHsYQloW8%dpa5e-_Ar$_Pv!VY8P0#Z` zcClDES^f>aVP9+OUjjW1h(D&_O`53p!C(;}^4htY?Ua&i=OiP+89G5W)w(T=zds(y ziuQDk4jA=Py;mdq6XqY4&<7J$qX?7Ku{%{@-j?Xlz~F_oQZ>Wjh%*#%tjT14WCnBw zsZ(kXCn!O=E@~y9Xn~n30~G|47B=hmlQ|X}z9LYXc9&MVYK4&(ou?*2ZiB$|fU!EU zqpQSFXeY$QlTn|^rG)oO5aRtH1ChY^L6%fE&6+dl-)RBCWjg&egP9j$+*OHZ7 z3lfT3U<^UCpKJuOa++5G)yW3}*?E+JYMVrJDw>P;bbNz>E)5>WXuD- zmQ+8u<0>E!9Suf!cZKwP$(>T^8*p|d1CN9g9cfrHIL55U@&D|(8X>`t;SJ+MoZ7~G zr#!YDQC95ws_^5!)#-QsZ8b5~0smhuG}xcPl*hpbngQG*6TG|O>7HeAKKtdOq_;X_ zz&bD?OAYiUk$;hxoe}X4n zt0A#qYYGXO2}lT8v6iFP{dpgYEI2K1N&mf9prm=AF!_0L7pAd6oUUcXv zQ1&r@fS>qcE>66ikd6PxD1iyW9qFTxaw_IX-|3l?mLIb+BxXi5sS9o;`$=9gG%7nC zl6;6dT4@p?aDkgLyd>ZcZYg{9>{D3!>+i;}2nI5UaP zUK0Hro9VJeWxfUyXdDrvYPkBAB1J!vq3GmR7eXRBWr{6~;yn8H?!UA(o?WK}dyGc? z!n-@Av>)zh3pr+CD$8$-6r0NQJUZ~6#=EPU}&Vy&WW53-uOW~?QU?Gf~?aLa|tmxi=)|Hze&rd`)U49OYtD&6U+9VnON=QU+%RT zel(*pHSs^w-@}8jv*B5q!f&Y(7j_WkgWLqh%Z{WqqjGVIr$Z!g_A=pI&bGTJXT zP9GlcUD>>wfgq1=SE6RWr;Zk@LoSGdZJ|_uLJqDoxq>Ll!GeNkNr(fd;m!q%&k>T5 zrLbHTo(IE6VH)$IaaQtq(WxyLf$ac^Eeb@Wg6X6A!gHP%6aMVGC6Q@eGX#+|!cE1M7DbyM2thkUu z>o2LzA(Qq%##nPRLs2Apl5RM^UB{!n`80I4Yw6g2Tk_eMl>4vwBUDfJU!#(*(m{@mZ|}6xX9t0nXm%e zAj9E|ZT7)5>90p96oPGSBuK*IHc&_DHiyFYjT+(bM6S=CQ8zEWJm&eQ*+k`B3r|eO zd#ay-88Ga=(@Xk;O$9^i%kvNZ-4|N>ccP@aV8;d=4pCgLVd1+D zK()-vX))X!0pJNzKm4p1&aV%cu1V2dE$w>lUyvuk>#qe($Kma(g^Tg{XigLgpd`gv1kC6w)9aX+QylST0V`ShS!+BVmnZrlO1}IacR`<#*>lVrM<7;*Cw(3xmH^ z14fiGa4~^43~Z@+JtZIZM-N-4`VI#lE)O%V^!*<1GVHl(Tx?*2KfLZvhs2)BpMheY zleu93wuzkG?LF_Diue8tqO~m|f%Zdz#%7VF@i$*f0nMxfRUa%bBsbabOt&?L4m2`9 zJLVWTjVlJ_G{$TAW$NH4??-tUf9oK&S8sZLRI`g)My*=6wQ{%#nkx9UxZ*xvJiLc( zkUa>VH!ZdEM=iBytSKN*cC>YEUJ3YG6$+B93O@St+iYHb__e$^csn{;LQ(t$ z7`|JbW}C;gE$slZ)7*rNhqV`&9TZ{Qha~J>p0Bw-T=MBpl&rT2paFpn|L-5#pns zVh+NiVfWoQw9ikgA7LtV7pv`fme5;&52qUqJ5!zQEKb5+?#BBf*g7VTh@$6USacWj z?cXeqHaDcb#TAW9VAz6i+0e})ILxSLq8g2BOzUQmI@^;UqH>3hhk!wxr$dzDVmdFNVZq zw;I#>*~>6CEz8FsO5;Cwzyc8t{uVd}Ag}d7AL~q+k#1jN1zzs|S=k7D5OKk27^?}z z>@V|ac{*VWntmREa~`=&XPZ`U{<-=BznfhNat7e5w3p1+1c~gft_Zt5{>T#QE@W(M zxO&%bT!u|Ia2=l$2*mOrC*0-U-Sm0>e)fken>+A2Mp z=l6_&^6_a_dOp)LAk_ExOG#{fo7(-4Bfx(|e!=y>^A}dOe?v*UuK^^#0IEv=3%ysD z(qBV@f?b;khPc}#ex46aMvd;h2ct$Vsuu8P>v8fthWsn)1tA=fSM6J)J>k}Me7lUJ zT(4`4bp#{kbB@l;=Za?pSj1f>WG&ePSUJ2@_5KuO%I>-DANl~DC^aF6c++)!-P`=J z(IQE(`f)wA!Gls-x7O0~wp}qbUXA?H2noLYgjhzH9*Hk%KdRmGX-5}I%g?`p1Z20% znHhT@^ky0qFY5ze9UZGzPbt)8nE5Xyb`J zMiRh!MT^5aJiog-8N)f+Yy5 zdkaoIRCSIU&5f`+QuSc?79@m0zb#n%YnBS}>LZ{~Q^N^qDY=kXsFQ$-iQz~(!(NA{ zvzZWM^pe#RpZCUfka~=mK?h0DMr_A-PH^>+Nr+v?W$BwLiOkHSm{SXX0l>*jQ6p6n zkb5A|Wo?t-A;EBE$?hDCkJcnco@|XXosGJFy83eFY%o#QybQMK%lYX~E;bClPmj0z zIn}j&IC!;v+cf(;Fwj7rTl+XCgVW_c39UJLA3i7_CJ2XDP(QMtr{7wdTX>n=MT%}w zm9OXI^7GHbLPGj{2&GH7Sa06}BbA~8!Wv~9N(#jvgrP@%c+~;a=hATKj#8WV}fS#&9tdK;DXU$JdA-zK1^i! zq=7K4$bN3VSTrNXmY1O9OHgywRMVJ^J1CwSLypz6DlbaV*2Ac0_ZOly7pu8exm36mL%!29 zJvth%;o|1td6xO1KpMkRBtxYc_PC}pcYr@!IL!?KB=8tt6XfErztFTllgy~LB^X_d zw;`Y*%n6IKf`%YOf-&CtundlD7V#=%)-+^~BUCb>qmME$f8#^g!l> zOT@Um3~eR6hIfedHU2jcm4={-a5Kpx6MWr^d25A>fbYHu{NG>EpEA`rS2 z(4S1)j>>B`tY%`StaeJ?7m?e)kKz?{TvmyF4F);NAVrHTvH8iPcM(&w5QU za*n*hU!7(Gf?lD2>_Y!Dii`i%Y3BHMaKCj;TYI#>G;4G>MajgQSt}Z_VyunIO0(?J z7S92Su5taEaPs@OWpA%j6Pwu=d|SF;;kkZNX8f-cuTxXDvq;J6QhXJa^0M5fCtRc* zPK&Hxk|YdBX>;(=q#zCYtT8fC#Kk7P0j0+{2U6mj#>q21yd7k;bv9SYb;m#9#<-Qv z$&{2HC`>y{ErsdO*dyUXsi(t1#=b_$=CMk#k;cXBL++qkpoCD-!jV!F&&Y~F5;ui> zRW*SqgFu%kP%_{k3kO|fBh3d3>-+Wf$7{UH(}1!h0D@UIJ_ZF+m^a@rmh>b%=5fX> zJpPelHXh+ju8{0UDmv;sDTP-40IhOJC<4_O9R!0au{1y-zLZ#1IUHY5G*HL{K8h2r zDt=2SZ|@05ju)S|STbx+5*HYPrHF9xK%qammai=o?I_HoLCE%JD?c7J8 z9DhYPkrbw`rkq|yNKOP@H0!AE(ZfXxkLZgY%g3OR(z3>uIjNIpOXXa%p$Vv0hK7ox zj+d2c`Vvnw8_$~JLQad4ALN9qh1YtpjFXS5l#lhxiTGm{6MB~^xdr?tHG~&khUNyH zJw+nV8CwhQw)I*h9*K&Vnq~?QNOyotA1ksOB-vC*1~&Y2_96i@!lkgMN%6!}!1XK7 z!HRG`KxwzNs2>_fxCEK}0i9VIQ>J+LHp#CTK`D@d*twH}4amhdc{e(LYUGxGe%`3f z;P-NWKAMv%I6b9RhOzh@gfA_kH5`!)Kd zo-Bx*jY>iFC9uz6kQ{pfTeF(Q@kys&Pw$82*;&>4U7g5}rteo~ew{j%W-A9jE>fEp z+PyxqIcWj2p3jwj{teY9Q~5-fQ85IKoyg1XJ)|}&Ra{J^QR;YBDH6ol9A{Lw{lYhQ z>(b6he=Oic5`*rnbl-iImzrx*GLX#+i!e;2>*z}kevN3 zAGk!Z)*#(~w@eQqwUKo+aK6e54VP43Pp!HD^5`7!7M;Ymt?$s%Keli0{c{CJe*Z@E zd^tZny;F~3vb@BMib7Sf(D>aHJ0#V}aJ($BCdJMP3Nk`Ang?^;!$wh(i4DWw$f9DQ z8v`q%-<cfm9 zDk3Do>oNNeM(2dEuC2tI$U*z7*R~DvwkLwi%~Gth(}({4brDAV=gx1Ee%#a_Ss`l$cQR0Zan+Ie1 z&ZZ74&5dd)*t$YmfJjm{T=7Nf+u;&G^ljE{hgC4` ze|D1y=JhX!5w(%(*hy}PVzlUG2FUBOxA?R9qDp9ElPEw%(-^v@gTC59uy6E#4{uIh zeUbHFWI$>)LkC&uQ+Co!-^g=#>h!? zduQw0W=zX0eb$SvxmN>R-qm#dcR!yMk=-fxVl&tHK2Bh4r7fROj9zYZ<-n$i;iW2OHPFA-kK_B4nc$`10Xs|O$M~yKBf{$SE0gCbC8B#f^ z0m2Q$KwcRA2L?(K_o1?gVp1gR4CwP4BacC&yQ5-~l*FWxro<#kT>K%*l%%s{E&iC= z85j`zEhL^!hF)t zuN5gQtu7&rv?2~0CS3A8pTyyqgiN*2lx7w`a4=5JVT^EhBtmK5m6D_&x6}uV;7c4y zmbo-0q)Yx!HZX}GOi0D}sb*5AeL2!nG_fuZix`7~NZuUu$gsn3N>J5=ByMl=;b1wQ zQz`(&osb%u38OYU9*0;VwRdS+8nZuDOsi&Rep&&9TK;s`Or1GrAy1!ydNL$58qO)Z zQzOoNyjs%mcXkO{bzn0*C;NfMTu0_frI`;QCh)BQEgzqP29DDTz-?IPI0K3{s-7i& zW5A>N+9xrdH&4D#tzG_gOnvf;N=!ZvsuqEC{}EE{?R#7mt&2Tk9!z8BfRlx^0`9^6 z*&tJ7!@<$3LdGD`S;pk##{KkTYQ^Bn%WnV8jq%yDS?gv;XS>dZt?IG_X=LQW*8O0G z%hychRiMzM5<2+R)zNCQ!J~#LDW}uJzY4quC!2{eg~(20Yy0~3_95{-nP)DURD6qlwKI@ba!>8W{}(BvwpXUA)aG5^hyiUoO#M zT|CF5Q{X={P#j`~#WLv|z1MT1R9CIgW6Jstuc$2H&v|a`*jY=UXIG0d1kOu=kiUWo zG^8F3sC=fHEU(@68ILz73*y+_67mUPBA-$*bNX7r{%(LcjfoJw+4cjw&kp;Ix@`_S zk4I>969+h22dm%&=>%H#LC)DN$1g1(q>{;UuB#cvUt{fY%!c`>7RmV?CQxnyUM zcFAjq{EhEV4T~mT$$Lpuo_}=jDq)Aj^t&~}{KNL& zLXC5qpogD#D6du#GtE5hX~f4YLEaDsg)Y!63u#3C*Mu+7dO3A~zAb(pr%rcvUQ?I@ zUbX(T;+kk7;!=%y=>>_OU(4Q}Kahf{Cs#`9A3OE`h;W4ae<ZeZ5$VbMp3-6|Ir|U{dw{CHE@Tz92R7-yg>zDPe^LFYu7BRlmCmIw zi??y9C!#Qv9K)7?S_3On%P!4d~eiJ0UVwvb5T;6Ebx}1EZ!%ES2Tt7HWY*vE=XB?CQe=)1us7# z9)Sm4AikUUi+awmxoB(T1<@;~|0Ks>uxe}a(ioy<)Z zv1i--t)0*nb3aGMWvWXMc6JFX_HMRiZvk8Iy45f0aI|{9C$LJXIP5JP{m~+?&?Cn z1ltpKULjRK>vQ0)Wx&XbxRLuj+m*}R4$79O|BP2Jnr6=q`n8>!X5+@UyExo(jUZaH_zmw0%q+ zu4-BiUBCN|{qjexDZ#6^ZZB-4eccy_w&BqaT+%FdLtQS@s_ULv;S|Wcy?6JLjkZ6v zJh#6eiMF@0I={Nd#@mXjuocv|@G<1yio5*grIRe+B;U#r(AdW_^GjJJ(dg3>&3YI_ zR>AOG(2kU|3&;F1hpWyNZi2i0v*$;ZiowvzJC(de7ML9{|0XW@TlpWABcax2q4qwW zynBR+^}ffPzX4g~Z(II{A&Xy`U7M~1okh;jGkMqkUUG$#&mwr4&iu^_>G)qoSgO60 zIoGbkgI75qAxOWML*Hqvy+7E$JGXE7J)3V^Caky#Y^zW{>(+N|{9TUmS@B!e^#hzg zQ0go@J^MV~_;s^YE`?ouD5k7&xAjb}ZTR26NPTSAyq5$sf4ccMtm#~Ab@?Y{OZ<_q zHc!;oIl|H-?f9i~7HqbC*wG|$HOAS<@3%2Bc4zDDWZ_B4C z2M>TxmOi0*d|FEodubNge%|KI;UPPIO1~q|L~K~iUBz92`ko|bP#8HDJ6V)Uj8B+EBDiO{&6h&&$w6q*Tx|;=f6QX zo@>fQu1NwH6cD{P>)Og+;6)5X7!4_HBtthuM|!0IhQt%}LxJbrTN(?DT4>|AU_A7Z zOb>~AOWrl@GWC^1Kl)K{sbFokXiQ$G^2FX5Nlkj#`zDiM#$b2u58`IXrkF6=5DS zj@J!xAVwFgb(H-Sg)~DlTBul=<||9`m{4($){qG;2H~hGEx<`5P~wF4np#(EX-E|p zfNKqz5+5o|hnr$Pq76zDQHdc3He-MR8;*D&1kkhvJ*DM{b4i985Mng|hX|8{tyfD1 zC2Xn$106GgA#ADzBcol$C-#`H28$KfQW8T(7;5Ta$w!7D!sloh21xp_rItbXTb%ZSaNeF-|vk#Gw(w4cVRvQlN$qpy=n74r;T$oMdOr#hr zHt2M*;~rR56{9ei3Z;!R9ZE2OI*mLO*S;TwPAFqi2`C_en!Uut%q{L(hRf8@mlbbp z4cN|01PuxcZ({)zmS*&jt(3z8l$A^aB{2D*V|5UE3p8m1B@9KcsaH?{5qjRVOvxOP zJ^e4zr~s=udRW5A2dv2O(5ju4h6T0mq_AtZdvOvRpXN5dkM$K7gJ+49+F~-gsV>~e z8FP6FrY=vL*g{3K6!6L`a*gL;Wj;UA2MefI^m7UMa z>ztV_<@ zTzN33K%KN;nL?$#t}O4?r`v_FixARsAh#sa!<3T;>s0>}zJJ5VlPjCHTCwGb)NEXhSCvBKLdg4{Se)0|WKBLDgovZI?KrKLH9y2YujF3?0c^kB>58eKUPK0O2ZW}v*KUREt<#(CCn8~1 zn_n_+s$auXEWZ)Wp)1U#zAwaOx1U~x`j9W3$h~mke(3nW7jL~w9H+h$>)m51*|q14 z?IXsGq-OH9*`PX1!oP+hPk|FLuWkLXAKAF6+5)_>nQ*^`L@J0}Xq!2as?_O`b6 z!Ubt@2Tcm0uVDDsvlVx`*Xwi&F5mY#33M_h7D_03vV0nYVIg6*8ZK$|& z3PSWDJ$CiFb?i47e$FlgL^X-;ztN1v+m-w!q7_BW)z|Geh3ojHwIG@a`5MSkGaj&* zFvtBQpkLe^gLdR1=N2yROZ5HT&)e^&G0ib$2?6bt4QAIqj&)vl52uOfUHYBA<~cQX zOf_~~O!`4rcqkp+-pyK>_UdPH$lEENjP)%oFTPL1Y`!r#>bh^WT`TMBdj78Np1-(t z^*bD6Ot(~_6dW&U2!~Yg_`kQ7IG`v{AY_+M0Sw`gc4?xsSJze6+Ns!-bpZmig$G{F zcjKo=jPAFqk9J>Q77?%a!ZiBMu;%)>>17p|1~}KT79Zlj1L!9dnCN-w5!SF6Ukec@)u^I@AF=smbZoT67D z7d&9Hh_3-~OG>8-EW?r5!@F=p#mx!;v%brDbJJIhTgZcrsmZTU<8OtfJ0K;9gX7EW ziLRXWG|eUbFD9CO57*WqR|*tmIqQhmF5(^@gZk?TAHS-0M{b&F{VEUYh=%>}UvKnJ zhLv_c3mgoPGN0KIyR85|y>!Bao{v1=sx$;wa?b8goAs$uZrr28j)qp;Fm>PdZt%JLWhFm>$)&RQHpSgSmh{tW-MJ~8Ktc0WqoVQB=woQ zqLjt9BdLZMT90`K?FvQQN;P`KrE=GpRsQXM)or21uK~L2yMiw%>d4= zN_PV=+e$U+&io}s`cBuHJXNn8+6RX#fX0PSt^&rd@OJ~3q?luG`~`XY>KJuijT)9V zu~UxFg}5@-%Fu^aD-Km%Q_X15u2>RCt2$9FY8xli5lTS6qYBE#@T(OL^GC(9*$7_qh}HGAZqP#9 zFDs6u4k%z9^uWb;79w$CmRb$y+&I}z2i-Wx$O;tr*-~jH!z11&!XtIVu9g^+HexU> z4Y$h0)J4lB++^u_fz<$zRj|mOE>whZnIiB_Y5RFS^%{6Q-P}CSEp2taKcqfx0XpbJ z&*^E5QkQDvrr4M7JpS-sO&&1;?bJT4 zdCkJFMeD(h)0@4SM99G+!ftqdDztuU`ud=WlVl&jgxYzD_&X9&(WE)K_Zf_XC=ZY*KbjbataS^1-aolGA~YRfd#$kWf|0LR zjgfVqfxsGGwI3dUkpr%s$HyTFJ+_asQ{e&EJ=1YOPjPB|qdpjFUS;Jm24|ebXNaX2 z_F{4WPt?uyAFNj2Kdc7_VEDY(Y)1!R$gA%Y3BW8Ck8DTgQBZ_mj}Gisgu!ph&iRIa z9~6XSIz&NHHGWIHWfKoZTcx7=n~2+I`{*rdrY9KrEi;D&)#Exa=Z;Mr3_0}9srfuN z@J96^%Q!8?_)my2Irq*+dJ-^A=Cyzpji51k&kndCum}jkj@O^SBEgVX-esS0$O6L} z|CLwxJ`+-rm>M6_IswEary(p@Sk`Djk&Mmzy-}e zb>r`y@)m(_m-xg^ZNhj8G~rTx5O9c3d~&J#U(o`ryENyxBIwX*WBK0L*?+y;d>`6Z zBxl{Lek;BmY`8d=X4-w05)i4!nMltG8X;V0zbRw+z+vudXF_K3OHY`_JZ!3o{ItgjmtBa z+Kc<|$79P&X0JT#?{D*V2ly1fpQV!9a@kI!Ap?`F3WRzSdbWrxAZ2Em6IK^CZmjSl z^Xo`iwl{c#z5M-rOt#6t{l}jCKcYSW(%b(x^#Kbz2haaEdtDNcR}?5`eZv52B@g?0 zj+Fs{0FIO(iZaY%L&XClUfX0k7(j*- z=ZEM8Qn_X0Wv)@*I)SP*%!cDZ$TEWl3wsqc?2oJ(?O<;AE~`?$(#iBtixJs;RsU+? zzFCfROV#Qa*@F9DlkDlRI0;`@c&$pw!A_?wNg*6fN&>3bxcHE*HB}nKCkDTeqmoOc zmA07wqDg2n$SKE4od$-b7Gm(IPWgnL^+xl~K(gd74!K6GvkiWn~l zZ88F0*z;quk&I=a8fp+|+}*;cz|92ryd>8ESBF5WL93@T*Ak|^nj?OYueynWal6d| zH=9Sac;|xM1b5Zl?&iQU)dCm@DOMVd9}KFHP_%v3;V-cIwjEk+y>dWVEt5%p6f+P< zB~pg1yu`5ww&Hr>0RgzRvPGq?wnm0$*D%II_^M&dPF`)6_ocGhZ1PKfjI1%Q44?Rp zpPdcv`t(RX>H2iPHYr#Py%}k?AjTYut1e5lk;Loq%pe*b!|jZp<4r|$k`7>FL&yL1 zuHov_<9TE7u8d31$Io_td1pLQqn(SWhEOo(fIB6%Aw{sg^VR*auI5o}m580M0Xk=W z!{4LZ+q*k8h(yg?IVIM#WXs^g`SGUV^W)%fV__0hpU}tFj^F;UAJZr8$fq`|21y|8 zZpTf4z=!*&;KIlBdgj^Z^?)_yPHVhd7HKRg(@EUvoEE0cQ!=pm%4Y)MG*hAJE}Eq8 zyGiPWkFl~tw$1OqK9D!FG~?Mo7OwYic4KCkY3&bFr|{zaW1P`I7B+Td%T2$do-~~F zt)|-dsX&&mjdAA}?nqHmdEZU!yPbg<8OXg~v%rm&OS7h%^#!1U|LXzzT`uo4_oII9 zcuKB4?C-O%0orY?H-S^%&~VSGW_}(|S-9~`dvB-PG;~Jiu8Yx8V`a_hqctX?;NJVn z1AU5;=im>4PZOs%d-gFmDWK^;I~6~Qb5aJPGdLLm|1aWUCdK#+(*r302#2q$==Vy6fR zJ3O&qyKot`Thf}mT-KEPgbDEX1a~a|v@W``Ml)DHmF^UXmx*)HW)Z_9A)4b(v1eLx z&!J5XVU8IfntRXf$SQD00xV$73nD2plSx`GE29)8x#@N)LmE)0#(toPR$~8%bD-Rj z?faSO0Chtg#s+K7>hd=dx)a=w6Z;v$Mi@kusq`nR4=0Qb$sB*`DWsuScn28$&IV`h z(zmhuU7Px3KN2pfVq_7rpG4y?Jc8F8jCcd!HJE;vb=Kvy}t&}&nUV&3jv3AA!=wZ)4a;FgEl?8;qlaWyjAFC6cFTfMwHvYZ2F`% zE3I2EoS0N!r@hILfs7v~B7VN>Q-Op`Nrs(KXQsS*4>EL{#{f!GF(BboSu2YBZSa>gkEN z8F)??My0ut50#{jGWaIBk!zlNiPTxx zw~yJvKz|u1DZ@~WFs{5uzy~OV2nw4Qx{kwyAxsDF6~(#N3JoKbAoeI-GRGl|p7;Xj zkP^DC#fKpr3fwltg(1u`uE{IlN$PA4Z^82t8+qHVlouFDgqlomG_WI zl^gs!+)xIODl>?V=y#S94pr=6ZBfWC4Iyx$8Lp*0qG51=RmH$z$>u)eLiiwwHVi_t zjj^&Amzh=G*{Tl?V6wIt7rHKJtGa&W16;l~fBG&_);Q!UWS8VqzK{UsAF+f4*~aN# zyyZU$J_aidMDV+Kbp(9hp1vC6$~PJ%UC!0ZopEj~o^a((<~+Cgfl<)?Ag>V6;H^_J%1)E%R*(f&668|NqAlAeQnxWQCT-wlD_20#3xQw5%>xmZ+ zm}YY`(P!$ZpJTx*=r>qw>Xn*mOLl8=Pu4C*f7e^Jkvt*X;I*A0?T{A4>9+KL@OdjK z5&gAAP_A($pevaB_HsIh)h*EO>F?_twZVjprLiU8&qJt3P-7Px+{5&eH?nj4a`tCC z=OfPg>izojVt8*j1DQ#nyIVkKU9XF8iOGDetS6GqTj1mhm*05pXYy-hme%`Ej662J zEPSl#%b4fq(You%pE>w4?6z|q@_VY;@<>_oNbfuQPnN^FWSC?hat}=DE!J;JyWT0@ zf)9S@@5`@s*1#jj1I{PGLpyMVqqlPNpM;29%>NN+j{AS-^UOT|R*2a9-zro(3BjFe zx*H3+1`u$gBm+`WMdZ+X{i}Mgf$>3_qPLHpx(&KcM&3(tGR)31T`OgedXE*Wge;2{ zUWDNf_yf5-=|8Km%pXfT|5%D8o%%jGqsPF=p&&iyJrbXk}m zjr?{x8R@9jv5blqYjwqv`|-j3LrMxHz8jvi)<(KxP3U1`K-Z+-zx#&ne~{c0kBb z;bI_jgRN{fETD}If{@bK_etePSp9rRFHS|DfpI&lq728GX0i){4-O%7xmYN)wh|q3 zY@ZM(ptiTXtAMnu>C}B^1`7%xzASXjtkBWZzmC{T>*>ebS7YTc19Gp$Mz6D?0hb=5 zlMPsTtM_bsKfB!UbFSOkyz+1HZD`*x9w1t3Yh8cm>Gm**t#fObyn5t%%~(6jx!gSG zRJdZ&&&sO8%~^b6a$lm?h;|)X@~eE;e62HUeK5WlPCqEWp_qC)y*}W6vuFQU?%K@K zzi@vn6D&TsUE5gLcxS}W@lW#U>R`$H<8!wMZ^wU6$;pW3-Y1sVFXjgeyiHB_=krVr z)|O2+!<7cq)rxC5yt#8->w1@0`)d@CD8%W4@zUNNM31qYx2Vgzl-^YfDh|pj(2F=D z;+}ty6C;NR{rzG(&w(|BavSy*f>rouu6!<9BW!WK>;Y@?xGJMc>)$}?MeKLFg>`Lp39GBO-WpRx|5= zp!3fQ0_s8&3A#68wyJZw-uv`Vi5~9s);@H(v(LkzN0xDYub=e#o<{awD`dNEx4Q6h z#s!Iyy->a0p1sV?{efR5)Cm3mM8D3({GXt`SeStjum3xPWnuj{GuQ#mUf>KC0caVc zvoXwsB0hxKoc&`bX47$V-5j+qa8!z|$RY1C-q1wE|8r*h&T!4wDi%PlB ztytX@PPGEg@m00_Fz2U{Wv*hvc~7_scybGz5E6M&pd{_6aDFDP!Pvr{X!3txYH+aDXTae#zLAHY?XrmZeAljZ@A?)#_lb?>SzykHWRqrF5F@ax2y zQNM(LW3!&4074;=gy$dNOQ~D_*t4Anu1~G>(+ASwR!up}ht0tDM6_=~AyTyV zV4X80!Lr&mW4SqHzP{Rk?*DN17O-(O+m>+5%*<@J?UBaMKIUQZ>1J+Z|OVv~&# znLGndLC-6)gNyr>o%g%FmDU~G?ccCu_P#E$n66LmF8)smvQ?70_O$ZiKtDev-qLJ6C`{s6I<~pT)F36n6D81pU{3$!Wu(opz+-JpQe#vF+l~BH9DZsi4YngYqWzjU)?{=<&Vu z#p52dq7}H|_bb}W;E+W?@$k8LqG!@8ro_wtq=BgsdCv6qt$#d}8jpou)qACwW-`jV zc4MJogPw$Iq|m%_WD2Ns+ww8JX_1PP(ab_qM_iGUnmL^P+dI9;sa$&4l2@*&ZgJ3r zeF{T9l`F0oEr)LTdjS7h`8%ztecDr9)=T4rlMj#43WmG?Vowfn`@^%Jr^($&6O+Lm zXtY%$MMHH+xZ-e)x}$(DMhWZagu3B4K^rDAb0q6)ZJ@R2I_Etj?02gT*V>DI867GC zqa%z5&rRa9!iS5yL!)0iZTwMl!>R&A6~yYQDW3zOjkt-c5f@n#JK54#xF%G14PKAC zp@zS)9&Wz;I8(vbK#uiD@T{$;ByM#PFk+%Pe<}0h>kitW@dP3p;iCg{dX1~b zio$b_)CU3a$s5Di*y z@3yyg$T>1%sJS z7ah{9PP0YKc13XC^b+)`E>NY3Oq&$UFWHqyKc=x3*RFJxSKE1nE;rHhTx)vD*V{9z z&9ltrY@5fTU%r1)SjsauK@ZN1-O$9c<^*G1O%?kJ-Ppe^3RmW0S4U@?oUe!jjp?wI zk{^Inflhf;QZ(ECBE!PRW%PUgb0qf~^U9pcHC(yi3W;f3#m0KEU^^la0$=bc>b0U^ zbBuZ;>zH$K`az+{Dgz?2;!|cAaGi8%477*o3X$*mOi5+D!r;$AbD>yeKhT(IO=a^d zgRGr`%x|f36dRrH)u*V(ctxkE86~YKm!RVbont`hfXUP#<57n1!P;ihF_laL5Zq#+ z#yM!AF-wPm4eCVHk>WSQd*6X)}Mavx3`B= zmsj6^J!b7(IXt#{a0&XqICguv1U!T8&jyZ%{5^U{XT7OmI5+6h zV#9v6olrx6Ck|n%k1PDPw|w8XzBBoEe=;On#MK<5d%EE+QrJB|7g*gNp6^(LJMJWc ztqwEyB$az=YItRPJQa%w*lJ#R6$;8wm2~)JbTc&-w8B%2LBX_Z+gA=BoA31oWi3p& zXS-l2PZz>nxe-SgPQLv9K%YF$2LZ*oPBOQR#{sjNg=^ik+ht&jLyK&@qeT3Nzt%^s zc-agC`o7R!k(q(Uz6`6P8g;pLEd8~RT5e&~X9q+w6Z-(U@--{{_j*_!z%?ttsSo4& z4#+(b>FLMbbJ5F}21k63$Zy3mK43%gzZ<9da4;2?Nfk>6^S{IKXv)2r+xz?nn2Q|? zSA_jVc3T!kw7H+#>ee%W(boW)z5DB@lwZxh4i1vl;oSlxD~^0__w8{by*wMw7n|MP zL?=}vmDI_VeBsasTX^q+`wBa8=@Xa-frISDu&re34A)T>jY#r92?a$ltU=FFe0o2)o?gg<&|?NtyviGo_sQ$)JPAY!oIV> z@B;h^F}ap7u6@bl{u#ZcE(?Na8}rX^Ld%>J6B2uz1dA*P1{|m$3)iRYZJ|n%lE8jx z`dsw^d`-Gk=>qJ5y}s!{mua&LiH>M|O}^do^$Ng{ilqWxJ)pb7CarEF14d0ql#bhu83x0%O zb^WUkOrN5o;-X|6G8+IqjT9h~7OWMTUzV0lWY(BOuf*f*L^wGfSFS2{A3#yE&RblcH~W*JTA@_3f)Zxta=6(z4Blq8i!T)z-wj_>`b@;Af!QYY;ALn~(tKWMQ7PRID3-UWV54BBX- zgWLp_(|W6okr#^=0aAt)U`v=n4o{%d3X9wgMWRGXAcuT5Uc<~6Q%wNZ^dp3r4Bs?R zoYwRwnw!IbQTk7$bU4FjCuMKdcs!Q=HIP zyTzd}#D5wLJc{V@ceG+@L9x8lftjogBDztTWoXi*70?+1b7kWRHWd|(2T%pPvVBxB z>+%hZ`Clhn9dJmriG3bl_qayB8fkd8aDQBHbygEmpYOK4_n*f3+PX>3ju(Jx}{8P2oU*f|*^ujKi?x6>WaE=Mnz>0y1m zS!KOE88T)`vIyuy%m@bxLWw)MY*TzF5RfjddQ#9Yr0VOgL_VnFANonwd_O$=b-$CP zcbVF~i4wfY$ZUkN(}F?LYOuPzZ4CkJUPpy)4t$YP;UTo@U$B8t@))Jz_MKi=^yZ<& z2V`3frRmpMDk{@XQ&!-7#kVEIG)G@Tz($hcd&aYd2n41Dh}o6FOxRt%2-~xLU$yGb zr9O9D?6EC9=Ijc7|6!pW(Uo)C=l`3HL2Nr!K@b&afu>f=OihO}?y#F7cE6VjlO;#X zR%A;(^yiog z1>43XSL#!a`^Af%ZQZQo0l%}h0gKJcGpcslkdmleRP$2>M^x(WAI$rl*P<#ZzfjG4 zSApEHw@|w0rwbvpa+|8f3j;B;19!p93$n0{R}7JvbiN|#?||HK(#eeYy#hKNJGyut z&fUw^d0u|*<;Ao@hmH70gBHm$PW5ofE!bN^pHqVL$OcJfgx|S~xmLfLXj+M!tOqLv z_^#GYX5;*4^r0W>ERF&NF3(rLS5-b%gCVDKA|r=Xl3X(OUP@rUx|-n1JrbrxD4t`Z z-I~nj3pQNv^6Or{bDWe3D6GCKy*3E=wxsa1)h>_BYu!-wsV|X6Ux(~Z{bRiAo0(<) zFVo_GqJv>!=Kk;6^epVG|HgTD@^gb0>mPMvYJ)VkW9Qi%3LM!MK#P!t~&#h`lDV}zn`kl>=EGkuN54QMqs_63O+|qiLgUe+^_g4JU^R4OR&ZG+Giij0ydDf(nWBE(pi#k(;)+s7WN(Az)t$BEDOHzHDGRspg&D|Qgb*nS9 zfynaJ;u%^yqL@mx}ahzIBty4BRGqmj(ZN(2tF3mZTr1lh%;wsk+ z?;ULmrO9zxa;T;GYVC$qOEa~igd?_Ml@rE1f=ugpYsaD!k~0!6$m9FgZ8q8o(le8A z#{I<`D>K3^Z)e9Aot-!~Je9p~Y^_sX)Kn_BQst|Wbl~vAtJggdI&rbybXo9$ggi{ zdEVc92fj9X^k(-8Y!T{p`QAR&JK2t8U<^c0sSv2LOgDP$e7v3aIgAL}=6 z%UR0j;ot7!_qyG^l zrgV3BbKF$bWcuiT>#3G+Z{;}+AaTh#?A|Z&2F_aFNu5>b1#!c=&CMa}> z>8Y=BE_jd|O-`VpRfMLq$wq6b|3db#x~w2`7hE%~aTh#`A|iNv=)aomVsNtm@GM~J z*kJNYvJ87H^Z+uu}?3UACo=)Yvg1*%5?Qlnr z*KliHzi#7w8RiPY3MRm9*$*BlTLDD_+tjvRH|FoUO(20J{D+9c@^>3B*RH<$fq%SNaR(>G{zi%P+Z`l*Qdx9Po)Qqey-E}P=-UGzb zCAwp$+6!}QiD0MsHrl_Qd!%weXtPDoL#x(ut;W?F-14YDPRJodZjZ>ZKnpOP6Mg;; z;gfT3MO3}>%4#mfI#5#x0d&6h69^U@*WHpF;{7J5H+I7s9wS^#ld0pGVAXho1c>_g zGd;HxWcrscrQ<6T`Wx3v9yRa%bF?0n@9w_Jxp)gixE`=IEf8CAf7YIdc4$a0Z>nzX zNS-hylUhO%kC{zMxRYFWpHf|4%RpF$U$Qi24F2UD@So^UKIee{A?dI&|GPOrYs3DZ zidIu+YBZ=-8M{KqhjujEgz4u)2@pxm6G_2RN5Lt0RAIr7o#}$0Vt8=C)+iVuYs`Jv&7Ihx9nxzQBH+GF{K;lq`L9;GW&dcx)6>@j1da zC4mJi`wt~n$|13DqR_6qqDqq`s9z0pyDUpJE1*0Z?^!$>TZB9t$wVO>7_qQOySzRJ z#CF9CZB=ali%q{mH>e~*L|5%BU;{l zcV>FBx@A3B&Rie27|?;N{8>3OOjn%_QXee6AL;(lwYJyK1vjFq_Iz|*skKCEQG@1J z2Nx}m$wN1n=Kjs+?OJQBHWzS^&ja{<7v!Ui-~z&JfXw*5ie~|5h;bhO9e+@fjGrIE zd>PUROm}E~W$K=jVz}FI`uiiCb)FLupMql`y|zx?v^>V?_hg>MO32JDMequ;Ax4 z5?pn3g~S5I@h4<8&2$|s_*Vzg(wL81(;>e4%jZW7WRBOP709W)IVCV5$Ci11j{Lkg z45E;utoZD)m-1s)frWbXQP~y+%xBmy4RviDo&ef{g*sth;QGaRHn8)hBsYlL-Nrth ze?ME_9-C5LU0uJavd*`+w~oSS>ax|*!K}^vUaz_RsPm9EfPTSCA4V*(YP>Tmh?n6i zV8syUkU}4lQ1vb-3&Sr8^Bs|XU_7uPS@@PTcs62Y4GZ6?2e~GmM+1ukOjgAr$ES?s zq>RK*8Weq0EL1oVltK>$smhl4-;U3ruFU8I$9n{SZ)M)ARkyt(@+a#TKPwvRfl_)Z zuq2OueRFMWt@Y;-_+~F(T5rO4Vc#MC=(vO=VP>`{_^FIKlO<-KWM2%TR#yLPgh;4j z(9)y0S@}{_ME*<(M+&!cjm{9$lJ8w(dj(YLt%xtoipzGwdcz3!GX}l#?-u!^UM*~{ zibdue0w+#^Tn}NaQ(6q~qIvNZ^)T4EfQpnw4$eg9AB*pw#Ra(>0(|~uF8)vCHlHxX z|JWU}vi+Owrb*4u>VlG=Fa^ZjJTdwdPBWV_iLE%TY4)Wfp6GB7s5@J9vR1Ps5;r9A;Xo~A@n739K1#2w1RDh z?PByrct$66`i|@id*_5jgE3@VJ1~v)s6F_sP~<|bg9J?m_YiNS?){>by8sB|VpAzO z#t>*M@OmREZR=HX^ML{c9x9GdW1ymZdzuy)r7M|L4`p;dz$yuW3ReibaHUA9fXupt zl6gGyTcoTA8x_6}8`Q9=ru$qlv^kTRLYcXv5@RLa5G4d94n`Rat^MTKmp|m5Q$fW_ zLeOP7;KkGP6~_0eP2|>%LYk6`>%nQ3Wf$N~bAW|x;GqUE16)1TvrK`|2ZNkR#0qry33JF1Np=FV_2aZl1z1VRNyf30QMAqQmG7n6R( zn2}#VjqZp^u*$HL15wW?Pf>+_D%~mN3{jR~!yCJ6y;M_2M4Dr#6>?z=i7`Z~Dn!)) zqrbWkr353o)nJvGqhfH>#l*(6f>Gj2#HLc>dqGICi^v0{*;@)^K8j;ySQC>O*I2Pt zTG33IsBJQNxXZH`lbr*R5KY%C<{dc93dK1wOdqkRXc;gP7(`+6ZV~o~Yy*N+A%1XV z!hXTjfksD4c9*I^baU75O7G6?*7fapZOhH6#;Lsj-g=eRYO_W>17?0S5fFwj^VH6s z>Gd#j>h0&}bAPt=Dd7N~zTF&%`A%kSdV27_inr9_{A$(sbb38qbFkQ%+FtBTvhIF8 ztT4|%Ts!x5@@jmee%Zm{A~~xaQjU-BlS%hnK2{R5pn36a?g7<#wr(3%ZUd}sLKEJM z5Ku?H_(PILJu(03KTv1nY$y5(yl?5X-ll9_+D_YAPusT^ba4LWIY_~8&$Aj6TslD5 z)uHq0PW;^UzBNqj`PhrxWZOpuacuhMmv7$DMLAF1cxTlQ8siksD&=r2`N30vXp?X( z1#;=W2d7<8%E(=PruC>9sL%ym(wHw1k6#$i-OAz6>?k8!s7@x-ZXcpdn~&ni=QIH5 z9O4~@whksK2)7#)n+{%`BuD}2!IDWdyWg=~pG)}*`}VQ}UVM0b-rr|RX=u7_=NU5= zfZ;%EuUGr$HiEGC*`~O?IkBh_C(4bE!2yxgaK4?T`P2-NuO(eb~Y{x1%wRH z_|jIC4y3ZW;f6^c_7E!&(4HsUU?k$3M)RTw9Y#taHHZ@hT4V~50a}s5!U1CI>ageM zSC|rC5=XwY!8jTjP-cn@w$;zKs2fVs?@7@2U&crTbg2&t(*uZi9c|vtG3SlWJ}izJ zUsy`1X3-{?=b&YSF^!HAQ7y@pKO2%w5DH?}>4N7G?f?uawA7Y>iF8agyt@5DfBC|T zDFfalu&gxrQIpk4f+~`s{vT6jn4O8$gpY7bm)~rN-JgEK zC&57VSyrJ3h-VJ8a-AO^2P6Mtm0z_D*wLK3w(9@;Nw1r!g(3PTp7{aK{N*O@LhxVa z(*Hz7#KOYz-|Jdd9=3l2`JQL##S?wr)Q|Bm-Jc6vS>+ue!{5RP?6Dh=aU1%$J&t>B z3H@~tCJ>*=6yNI3@*%s?Ck|uaQrux#SH@dB5p!&JoQ|wb9J~7Cg(_7dL_EhvRhQkJ zj^VIL$;)XT=jV`kud))h25)h6{4zi%C`6*2s%;a{8?vZqtXXh}`MU{pSDr|3Zxt?sCFWwFHZti^_Oc+83|O+7 z#_#8y3Jps*OQ*M6>qu;+HmDI4#SvWjRY^#%m{T^M}es7Qe7lV zaZbJoPK%1=Vw^#JY&GPoeSIEME8SXwt9_a2$!1#{VJ0_~nyjl?T9;>ii<4TQh87AY zy*Kkj?4osA7(u1)9M`?LQ_5FAk^2buWf^s!q1ARLX>l2f$|0E(jEXVJdxnZB_8N<5 zI$2H<{Bl`$1$-;nhxK;*F=5^n4OS0(O=r0rg0yUrqmomE9v2O1Ulr~1ie&20F-5Q9 zEXb)XW0e8u1-W!Roz8WgDc)XC74hs}yZcmmvQ+gB8&kDx_mNn2^^P-BfwU6C*yW`K zU0YY6lvpU!(QX z`hHor>jJpqCwlvMyyBO&qT#*8^+J90vM}G`zQtACa@8^J%++G>IJGr+cHcO^Qloz^ zwt=^z!dO-Orr$ z8hlE%KM~noQK9}Xv>NdSUC+CZ0iailkEaI|i!U25wP!&F+aO<;`&tjd?YF1H@ZN4e zFTeYzt%WQ5cz>VUy)F5jmhD{_GF^dg(2Sw4Ix)9_3{UK}CBl!a5T|51P?Sk3Sds!E zoBz6rdbvpQpfPvq!CYq+i9z=h$UJLZ)FG=`M+pkFkd3;~4);jPzs@>R!W2+dn`ym0 z@`Yp?EA`LvgOIcV(;QR&>?W?zVB_kc{OYo6VNUX zOS9=idO3Cf6y3@ckdY}ruqmvNzVWT2y|*I6{dPL%FL0V5@pk&x)~6Zq^(JJ1ebAP` z?wop?D^Ujq@1(bHOuUoX4eXUWd6&hrm`E|1>bKo$AO;)Z#AtbMlcq_ zo)fOIPQ1C_Dt9u^ICtKC;DkH41mA(BXO*?RPiM*DD8ER&)2MS&n-arvb$dJfJ>Cyr zwQ&V1@4ZkeCbE7R^!B`t@G|Lg)>`CCtmS!6JE#WUD1yo4xhjHnCFBX&?;OV7Ox}|E z9C{@XHMEVHaE-vjGz412;M-vFi-VhmGSkJ36GDB5-hOH31B9{yV4CxrYBwNq?K2$R z0+aH|7YT_*@!%Q&i-cx7PH_*ke!mxf?wXlSX9LUtrV67mX||y@g@*u7PwC$%;QpxK z^!gz;Qv4wR+kt(Oa7+%z`WyRSJtkJYIggw2*8pLw#2Er@AB>HX<|xKl6fHdD37=svnf_e(&iS$-2DoX4H?i9s_jDO?s*splc8OX3 z6N8q99G_R1KulSZl0(5Xdu28(pI$UMEEmZJuZ8`q-JmK341v;MM7abei*W^-c+8}Y zSYk6hKS1K05GsDvNDPL;8oz#EbGFC`ilPMnf%&G|2ybCb1)vV-Omsk^$6Zs1l!+3+ z^Z|5exYl~b;bVGQ%9m?k=FlZ-&9y0?0Ui_!I*b{L6_js&4BMe(U_o|qWKSJybFdbw z^N=*NPxs^(Co9QvIdFRnxK7Yo93@&{qA0P}Cq-fzDBZ;D(Jz%lht>jGHQR?iQ?9ee zyLHgsrMG=2jYuL75k~}q%TFocWw)o$0VYEK-M zzvC3dpqhQY@$3C{C2N7z5{uv$!A2{HQJjL?rh97ma}uNv*$wNBW{s5=rZ3YDfRUlS zZ1CFunUW+Nd#g?-jQ5jZk=v{o9@BGwSRMXgln4A4d^FID*fjoYE>@hO93kRoPN&8gsL44j1vJBm4n_^jqJ3LT=cEw75y~T6E?%Fr783ORR!& zYnQ?-kAx;5-Fk`c)+!>Rzu{A+Iq!BEt@GEo6eC)v)5Oc_mV*QH!7)8`2ML9#k<17M zU=kp19iL++EOgm1UK+9rrJ{T+!d1Bg+@*dmjmBZbI*UCa_72HPcFCcUV>*$8jSJIa z3Mcf70pvpdE2vTyk>^K}j`!%MO#+MR9iuhkJVOg4Y-37%wG8F5db4vRx#b}H#ExY> zrOEG#(LhwOc?RW(P{g1l{?U-pgN!5L;X}B1sVCX~tRxoenDx&;VV`JcWL<{q^uAcg zR9hC8mv@jy7w1?J09Gcj;GBR&ieo28QY6|tDD5Z;7D}I5w^FbVfyVPXeI@x2d)W?p z!tnObh%QP%H)^69`!g)2ea z%DsgH2b9p3bgfaIrZFowji@%=Q69FIQHOBw1jr1fF z7_$ZLURclAv_+-Z3hC@##{&=F0dHEKmplT0P9I4@WI!7{s{;FBgL2@g){a;rXKwBZZTTDAzb+9Z!tB!hPwC8 zUg9|XEz9R$UcWB;`*rLb+zOFor{5CwRnqj-LUGL>O4U?ppu$PZ_(uy$%k5Q^e=b)R!MZ+x&;WUF`KDRF$ z7{f#Y@8aS9Oqw3w;?a5GHNsZgIk~^Z2)xr6(RsT&S=6T?W(9o^jehj=B_yXz_^4CP za8Zl|dpOTLEJ=`a-ju$})N{2v+@=Kbfb{>~!KEj9qK~2R_a$&|Y}+rpNXZqg5Bl{4 zlr{w%Z4~{|gJrcWuu1VA?2(-JGcbA}nT2KH@M51A-StcF^oLl_BEq|4ZWM900B+vH zCM3tCk48lD(v0!&P+VN5f7XS4Ag3RW>AvCN@h|{WWlB6X^MQ5U@je}px{Px5@SI&B z2E{vox1+e!gJAt!Dqr=j1^KQ|Zr+ynba0N2lMj2^!+-HUP76Fic6eO&mCg~lkl20f z82K=tynbc6dS?`TxlWz!cS3K+_)7tL3_czybZL~w18NW^~k%<|FV_)Remd-GB#XId*V4klUDjE zMQdo{IE??tz`+eIZB<+y+7VAXN)<0pZ}Av$2Bl%o=5~ zIlf3jdxMl1x=|Hz&I=!esGF%ous)WB1@jM)nOS;7Xo^vG7t{3SlxC$x_2pE$v}Uti zbS5J6-6Saev9fAQLQgxlVI!b-7#bvWm@(dUSB@wZ>VPGbvu4{lq_eJP3IZXnH4~Uk zbb|!vEU0F~cH7M=M(OGmxbd$}Yla5ZwS zz}~ zKz1K3d{6A+T_DzXrC0r#X>5lbre5LKjlK7go7eM0W3NX4n<5-^UNSHDj<)ufhY_53 zf4}#w5Fxw|1e7P&S0{m2Kez6lp7xjL2V)*Sy`1i?OTTXYs^yAQu48G~#zx?d-aw)6 z9=}6GON^JmRz+yNHde1;W;=#Rtkv+oLUJ|y5_+r6*e9COquZt9p~|BX%7NPl{ZU6I zmPvk|$Xsic|1xp)5`8dZGLKjx;21%-9_CWYg`fa9p;_+cqZ0=^G-u+ z zYQ$r9DJ;7<4xfe@>f_olP9o~vk4axmbLzi(-td_w+1=H~VeQ3ScQoCF`!Zyko9Bx;fPOwB5dZ5AWbCJKOEeOGnxw_-fSDZE48G;cP_` zlc#7rgp{xznD=FB$HP60uv(p7HY$gk;f?&4-ZbHK?1AHjZCsz`{xMU!Dz4bRh~du| z*`S8&J{A#-DgY)1x+_2nBZ}05)JtMpY>qTclbj;6A0dhzkFC_yd1(~d&o~6SFwZ#3 z;?P!}EE3tR%2?zQb7*<GckyrgO_BHRHz)806uEb`D2j{;mp`yaPzjuA%XrZ4ccT#Vw?@AW@t=N zT-(qsf#kp(Phq16 zq7Z}OFfqam!KWsf(I@*8vqUsDCoQc#Pl2`>T*Dus)bP|OS%rO`BP!l1SEK_-^S8ws=&z)0j(A2KJwj zLap;ZyaH1{#a|NIM5<-|(U9#234;ws6_@h~erU&tQ>3#PMWzD2+!@PA{h1cYCMxF# zO+Lb1ThR*$+HZlow`0+3XOFj6UGIJmAd|<(G2ru?^Yd|H(xAj1HBIA0^i_cW%OBt^ zi92co$f4-($?3lH&B)%?%@%N|R^#Y)Y;14n?0)WOV$GO#VP0Dx%IU@!^xfw=j}Qt_ z9OEK)2BO9$SFfD6Xw$-%#&crxL$DWVWLB%(+qEy5mAZ+@y4G>T$O=`g4w`Xf<4WS* zqu#8}GF3B_?YM9sNIEfozPvb}YKR)Q8derSVtp!25sK@CtT9SoW@{gwa2Sq`OA$qP zv`IAs#Pn916=^x5ZTz4YEUGu^BmrpJjDEC=^0 z>LM@^)T4>7offPnk7n3{lbpBf_3ns2nXOq`+pt<##!^zQ&0Ub{!&#;J;{msN0lu(~ zAcGi8G$y>}x^MF}W4^^h&^d~1J5i}R_%Fp$*mGQrHBAT!4=l@8q>`S6zKwO`%4v;x zI4(!Eo*+i96G|qbpz`C#>6aH`9edlvwWvCvz*??811PuqYOeWR;Ez9K@1(^MCie}7 zzphaSR*k^(eSP1bmqHz!2hpwk8zH_bl<>fZAHILjmvjC?{0ch=w&40Z=(7@StK*b^ zj?qgTw}vc47^B5)YA zO?;Qj94Yep-ysihxpzl*R!H8wP?@8DaksK=CDbFk*s$(YIn>sn$r0O({8_}E>Psi& z8wa?>O=MjMHhY!u0RCqX#bQ_eub>@Q28>XSs~xURUuN>u>FmM34es%GHGrYd7yRK^ zjItgU_I?+hL)7HJ4fa6Mc6I)6ex9eNBeKcVu))hWd&swyAmuaV`|E6Dio>>-gebM3 z?w!{WH*v?GsBPvx)>&`n2U`NNHZsm2>&*Prwze4T2CZ6B$Jp z9x7m>W0gRq1s5h8vvQ&@!qK9xgMu)o<_;qhUA7fQgmRz2VtMGJVhpo|b`7h75#j8! z{T3|_5@$e`C^35AXn05nj`~X2plPb@itc}~gQ*i_8=IXTVH+D{N-AL{iOG)tFC1;#LN?>Gzk0CO@Cf2*T`!k1)Tm8H4VNC_b^H;LW@b~mKqqtu5&8| zzJ@OnX3HQ|ABkZCfgfngfC_7BGiMInk{Xpusv~*6NBQR8UzKpX^E=-5ncX`fL7SmtSy`XC7O*Cp)gow zCe?3~1D=E>ldWA#rDQ6=WJsZ)Z3<{6jT_oXxR(ItOef%)lRT~PtzjQ{?utJ2X>CI! z?C=8X|2A-Z?XqRS)NjE?VA3h#rx)RMf$G2xnZ_X&sxMpMNozGtN3yuY@x z!89>;;@qOy)83xG^VO@A&`Xdf>qEn!D~|$3jQ!chsk`g*x#0f6_#2OYwx8#Hl$)Gv z@7(F*n9e10)y?7Q>#v>er~SL|F==vVB=qY8Ix4+CCkM6u_7MhD)P?_rP-#&c_G-$M4iB4`avgnarK93sGsW z&bvtL;|Q@U@i(W#Hp8B1^h{wso~6Jdy5_*i(9R_jZRDPUK?m$7h0wEm!m7n&hQ2=) z;Vqs}o#A-4YJ1TAAr54dE$?pE;{v2|RWg%8>6ZksY?*uckwf&IKIuvtR1oj43ZXto zjk(MvP4w(2uox9dfrmb&{Q{w5`p@&(lbSS)YR-T$Zu`^z6;tu4QEZnPVaM7Y#Tkc25mGK%VRY( zTPoW=oM*zT`f`52Zi|KJLG1-;J3igmZN_Gr#-a18JD28kKTv65c~0Fhzp{$W?eMp> zSE~s-9qTQM=tby|KsX~Vc{^|pQ2XY0ZF?1kU>B2l7}7=HVB+`46NGYpt#?o#mM+ob zFAI{Rg$bZ<{$ApAeC<(MYjiufKXFEmM*k`Xwpor+e>jD17UjXv@+k>$!^Q9w{+wk| za+`I2X*jCuAg4`zw8_@8hAvvz$2i zJMD3pk@sg2D-?*RBe;$+V3+3UsUPx->?o6Qe~?vt%Z5q=JHb~Wc+_gm*d3w3U2sc% z?OfR%>mmF|ORawu2EJAnUgW$Oe7f=!F%a1Q%gp_s>9YSXIM4e8=Z^i+VnG;+% zC&!k3hks%?et&dFN((W6AqC(AzQ>FTO$Q|jUqPA$N5V%fbJO6X#k3kT7F^FEI1e91 zb;0rLD>BzxiD5K8MVP|U%Y-#NMXVK72rrw)1pS6(lQ4rGVvlTlBP0GnI#?E>%!6)Y zh!p1en}Z{6l?pD4n;!)nL-J2@o0J@Do>=axPT{XrrLroFjY!QU&mXoaW@hA0x|=ix zOPXJUi)iXKYYH=9LfA!_EvvbwM`t7TkP#T9Te#z40Y(izVmeFYq7}oR_>@s5Ho7|4L#i{!@V0diL+S6e zd5TXr92fxjrZRF;+ZT3ZQ7p&d(0MJyZl`*&K4#pNF5!c?aS@_X&xj>WR^lAyU|U}) zV?>5W!bpSz2}&CGL_U_364TM@^oCV4W&QOCBj$WuCg#j9q=X5$FYp)x<1sp9c{nE1 znSUz2wmLgf5)x(#)reS#R7ksRKATBnj#fK#bGvW8M!5aNE#b}dv{Q6Tl)n=uH~+=L z&2rc`sJWDGKuK?%{Z~qB(N}9+bxA}^UyT93K|VGOpPfVBW_FR4>30Qo6`95oIPQ%) zJZb`2_|4PxdF$Hl-tAE7{kdniX7#U}UyL&=U8u++={a9NE+FD>0&h3{8U;13R<34d z{JXjJrja#h9CG=M2xh#9X5!ieG13+?(;5re6bi9C`Lk-5uXY%=YbuW`J3_oFstqM- zTYtt|&LqAbLPNbiWG=Z8zjs=Wm<`*9^n^v8U5w6h_`syziUt8k%9_9H$L zP&eM#xfGP=v=NDlS?ATSy{tc5i2Q*^xOGpres+F+mbsLGYHL)G@_VfaZzmS!!S}~P zpV^pm+UMpR2@l>|?eoRY2=DVH&KQ~UZK1feXGZY@X<_6gK3rml19^SDonE|>*ET1J zZl&6HE7P(f2bXh#n@N-rcsl^>#6lh3w z(Y5w*E)TR`KY4$gUr2n@AaVcYv*VZBYita5O2t5-dVuLAF07;~7L`=6sramv7rPYw zXQdkNZCj0nyY!{zjL!k9oGRXW3(4;E=#Ol(mcQO{LlJ;hIrns=f~50$|DQ(JVn|drd97uV zh3XnEChcR{W}!35+gGPZ`6>1nVj5^*jX>P`K5oeij1o`2v&en$=}0*VSH}0sdY#y< z3X)3ys+W3|dePH{1YVj^bu@s8xJrHSAu_v1UOU7ViO?eqtcn|q9@(Fug0hH`I}RE{ z4h6;BJbq!X{sZECo_ehnujQ0%x16)}2Ct}6s;%!*j~L2=MgCInv#OU>%LCQ||0n3{ z#eaVD>$Y+lJ07Sr4wgkzg+1yU^=P)W}V z0Kquh_4H`$^Kw#(8T`>yniIM0aLWx5RN*0(fy4zwCK=hRk#=Xot${;7AnI>eh9KA|ZI0DpQyhWyt(%G=E+<)#uvQh1dX3hl zmOCOf4GYY|sQpG8AT%^&%oh0_ zNmeCp%mQJPXaT!Ws(X`3R#i-CT$d_d3pZ{vP_vK22rD;^qaKK-I9R9MeQ%4D>q!7* z%)mRdj2it&ZZ2S$;Ud&;yaa9(%UwR)uU%$bht1QgDe_~F2|M>YdRA~Vr7`ky!x6us z;4>kVcWCnvH6a-FbTC4cHKyi^>7d(JNml8>26BRQge*#eX(7HA%xJI5(c6X*R_H1R zqu8&V`$n-5NEFzl%h8m|b=hpIMX2J4%LSACecDMGBjm-Hnt0~%tk|<>D~WAD*-to0v48d_E`}<74}7QFYfbbkU6Fpf zf&YauyX$p{%2a9D|Cu#fzM9QSRC+F^V7L71lG{vwv)p7cvLj%j=K9&%&#(Qdt)~`4 z3*}Vo6f^1P16u#Lpn%WIfeF$6u~&jQ)FkYs`BS+RP}~w)rXsq>7Ny)EZ^SLME7YSV z9rZn#1hd#n09p;|#0uVDlaa9w8#Vglfw<7XPGIe#e(ujh;TrWu{kf(mTqFUp@yLhvt;J9LxT+sf}BSYb>jO&dSLt$-cm5_kVHr7BG=) zUAr*u?(RQzalsw?TN zy=$#UqGeAg4nYrl7DqRAtAFDCt1IOp=TM#86T2Tjqtl{QgIhlD=pkJ45(N3t9CE>N&8AKIm8%E{iimS zo<-V~k#4Qd-41W9g&40x1AW33+qQcsR~-fhBbzEymG)=OdvP^-p^Ah}Xdks**p?56 zYlZJkOSGPDX)X9X)#pLxy+MrYkF6aZ#yQ9(wvy76(s$%RYZ*xrOSJD%jEag0-1=t> zMA9qYqT(Htzh-HKh321Zjq4=@Dt`p^2WTsX!*d*hZU^^aoe}tTu8G^kSf|c6O{Q0_ z#01+BvWo&=RC*3GhTh$*Jr%q-nVDmD!Dq) zCU;VCt?kVHayk6NBW{&xy_s&6v11fa$giFC6v~E6xPhekB-PWn)q0g067-j?Ta@f4 z-rpwUf5-CwV)p;9S`#zZKh|@$ZSmNUK2!lWASlyo`!}nk%Euv4a3Jr)GQn!~^9NSU8)2qD4TE9ndC_m@iYKgE&z>WG2ZRdaj z3yivW9>U-QaN^jQXkxP@!Xo7-v{8237AQ(eYi{-J{La3@?n9eCcjaZEQxkAXc3Y6=~ zwhUs3!&}jAHE%|OX*W$_IiLVq%vSo=e) zFr@8>9VPUbA}J2Sp_xm7o22^cq34mmgIR(IDxF9}sXzmP6pql|(a4Q~-CziGkLyAM zP=_?<%Yh3}SB-1j_VEzHIAneyZs9b@On0G%;RHeo%@_w@j?qx;%ObJjonqa_L6gza zyXMA*kQm#bs7FShBS|-v?Nfk$G0B3d;@EW31&|FW;=kWKr1c-8Jc-_IPFoC1|eelB!>q*M6=_9>gL?}(%4Br zEvM|a`DK~ma;(acI*<4Ef*`fG%*Owd5(|My_*PxeDlLEG`+1^3K$Q@=5~T1KiGKFUE&D;IrqwO()CiWQ!q|VR($O?6ymF9*H%ZFDC}S&P!6xnVnG{AVAC^R(e6$gH-nm|``u4AL!}@-OLcf=L!}_-% z|6T~%cW`8Max`3+VEG}@gZnl@9c-GB;N?nWmDRI4jiT)9$RfPNsnn27T|vBLZ`hjT z7P2&14gg&>z8P@a!h+vNXh25l)yQXF=wB{a8!k(*`fw6uL9!LuE^SWx`iY;X`FgeMwS4mBJ4*Em*5UjJQofD05tY zUV%SiU)34fyvHxAKw}#tM<)kkeQWry$kxCDo`dP%$qM{mG$tmlf6$ehQu}GCB?kAQ z3gKanI6fnufejs?FXaj4;w#5}NqoPvSi=N{I|Y&P+2b)k| zL2=l((}u#S*`xsK$QB;xrzsw1Wp4>LFih4QIqc^Q6T2oXBH-ZH0m`1M?5u{D_w5$0 z630~5z98}YXoXcIB^UWuk#f1W8OJ5SzNxQ@k)n_jeDBNzN4&s3tqexvBLh`fHdI}t z1*Q(H*b*ol7-+h-2q+DXD6ha2faS+yn4gE=7(`|%%VS7Q<1tb&N5iR7xMZOoCc4j> zuD@uOH7p!Wf&639OmImUu7aB4EF)}LJ&H?Dk{$!SI<{wG6-CKt!ZCqB-5X$>L!t4|wg_B08=zbk=OoM8h~DURs)_ATke@ zO#_M_ef$ils9?b#4=U>$8v6LOMV3)M*fD+l9_r`Obt7Y zo_{hly5@1&W}yKrzI>swCVAOAB?QNU+0>PEVK|*|bwR{1kD0l|5RVzMqc97p(%dqG z1xQB^ex?uvu3}L^foVS7q}m2UsPqUdMjo0(l`-3ae zXP4Kf{>$gf)!B=UA%d?WBH=ZkmCAAEWN0+4lY#D0S4Z1N?dNYn9SZ`I%3cB+jxQ0b z-VI&t+8F}+t;@KIY&1mie!-%m#0pRab8H22X9Z(^8hT+E?(#0#h=Y@&B&cVo9il%k z`i`&HhkTh352U8ttkVYEtfiM|RWp8z{Lb+Ezb*tSBLzp_gk0tr_`1x8 zp|sS4uJrO6ezWh_{SX@$Gu^n_HeAAG1;EEW2Vk_Qy@9!G_DpKn7UY-2b{yXuZuZ<| zB)+p;)D4!tN$G#ni5SvueAZhJLlWQL=xY6B{ z^!_@1KhF&MCn(g}v&H=3SMQ6=PcEsfyoJj6#9PzCoc?<#=OWYv!g2!8r_TMgn2(#6 zUlP1a`!T%m_f3VN{=C;G_2;@AK$i^H)uWp(+3#+?4>$DeE}lDqAl{i34BT8inCAskWWO1KFLF_1pM86sNso7;r@g+Z*(96g`E!v~m~PvH z9bLmd@~yIo`95-j8zW25Q0*3mv;i%tuvaF6#(Yvif%=ckEm$5?{VFy{-RgJJN)HbZ z=7iGHrHeAh(2Q))0fCn0oQ<|9q~x2BO%K7RF#{r4LSeETY`F85l98bEEDKt`08Y~ z)M4qWDx{+rfeoSovGKxan?(R1QaPX$Ry9^K3U;YH0E}8g#J8|?awT?CN-mT_s<>95 zivW@$abf>1k;PVHQ6(>c#P+XCLUp%Vt3u#K8kJrpg3o!_|qH z!qt^dg=^D%9ebWYkk=i)eWzpeug1xRQma)NV@9O!p?Nb5~#CHp#%iowUd zO(E$7s?LIN5s)oyhl)Fk=ukteau|sKNjSldVm^jDjsj6d$fA;a*0fZQA~Ojp!mGa! zmf9+Ei6bfx6ehFPVZp-YCOo8dhn49`Xiak-*PQ4$pflbq>ze71a3}3Z(m*Vbaz12{ zI8#In9~7?an(kn&qKg$gqdJtojm#M$GVvez9wENPgUR_o7M>y^wiR%65l4#SPLj|X z^D-D3xzD50?9sxRU!a{uUrYa`T6`Lq`qNh}OTMrr1@|XCwQI)kab)Srd2i}9;r9xl ze4w36ARl!)hAnMvA4nYE3uBsqIozmqis*e+7L}0l%SAT>>(OZ!gYUq(U77YsjN#@m zyzU%{;y_bGjXg%ooAiyQlMlB)uk71(eEwJh9l*r(k|JT`{jRRPZmqQ*x9T#)6abcV z1!KmBy6orgjsGIf#AXiPAx?5s?zd(xX$+In)yAsY)~?V7-+zq0Y=+F=o<9s**mwBc zywI{fq8dW116DFARWU0o;pyPTd~OULWZixH2tP{wH;bK5*8h+mi_R>>4<{+$?sM8w z72CW!kw0gb^sJSEIUqH@`|^K4tzZ8z21F90KU3CpR4Z?xFg*1 z`Tp@OOfrPJx?VVPRFj$gA>S{?`#G5wUDkiQb-O>gJe#om*2VzZZ%kjG%=Jt9#Ssm* z0mWIz;MfzsHbTfRh#9v?w0kT*`+MdwukpufCRjEFOqh8b)E(JG4F=Kr5U6+(Jl-lq zIHzm@9CV*mA_9?o1ddjq@Gp@7D@mq3Itwhta(%NT-5}7mRF9BVpe9J56p&C+Yf&Dc zI}6xG6=hXVVYtt6*YBzIVOFC#?Gh7j*^1R2zuB% z`d78aLd)FKi1OFv4DM_bF7(Q)kNUHe@B}AcoRg9Q+=nb1R%J{RXX~Y5b!}DWclu2) zE-=JbR945R{z@>h{8z=5mF*w(_1FK8 zzJ3=Ol4WOQXGLc}mJv!={T`H*1VIqArDZV`-Z;QA?2~s%nWcDbEnHO6s+RCAZz=t> zBdg=yT2LG-+tvS*QcMJ>0|`AIln~q)QeNJE4pLxg7!cMa6%PiUDvrzt;vqY9b9{Y5pzS0l9I0JGgdzMM3b zokjv~$Kd{jojFNZU!0x-8fr~uQOS@9vs`gOlD0d7zdBKws57YxQw__)C674?VRTG;+Xu(WnxQ)~zFQsxp{jqn z3}oE?3+9Z!psMa5t)y>~hK7;DrBmhz^hSxXiqJB?qGuO|qq(OpiX#^R7YS3>8ns7P z--@E_*R6a?C4Es%k!)$gKycQYD`*$aqCA+*`D#h}bQ z^tiit@pA6oP2uC$%BuOe(&edujL)p4_tDk0uBl=0?cvg;i&-wM&HZY8hHg&mZB7n5 zj_BKOY!y-2#xQ7#g5>~YQfs$sdVoLIZ!cdTbuSUC;?#jnT)dwzV;KnEJ#IInM40sQ zr4!!F{BP%1if*p#2NLGq^Q&`M@%!B-5c-FF0mJBal3wt`?EfnC+vtzJfYkJxb-c2V*aK@e8sIy8k?b2kR}dA^wv z-*j<9rtQXdSnI9;urfR(w0%sa%^bUN>D z%QPz2kBdW__z{Q9@GqS^+))Knu!>w%C|9Vg=2QiJ?3fTT=-QIzt9Bi)hNXkb=x*b; z%20;E!5nKmmsV_bxu0d5wDl8(h4&Vw?$)i@*@q8q?K&TGKJ+V-uD&s4-I_h>_&Qc9 zmZ}I{yu8}l8&#_GH+T0Q6PD_8*<0Z4fJZ2}hjY!&q_vl2dOhw+gHF0~t7SF~xl8$n zkbpG+Xl8#59>cUr=Yn$sFdTMi99%vza}qqd(Dz)IT*_85Yj|RnxG7vY;uKQsD-F|pncvNv2YDtJEP_-> zn{e`%psGbVHUBpd3va_0Vy%yL9+NEg%ifbSrb%o>?593A6xJ{+ zJFf>qp*YY$Z;qy!lTEzi)Xq@wvtJ8>*6rSB7A@bUIm2>~{k-sgQnwdf|Kj$kR2EV4 zSHJo*NKr2U?J_)x4o^P-?P~X2>?Yf>?Wc_(tJ;~q0Ye@uC6FV?2ZBG#d(O6PkBgbu zx{JWt^fS7x<}FRBcI0DgDNh5wqxZtp}3& zA_Ys7p4x+8ApAv=$2%fY zY($;n0bb$?qE-e5bec{^r(ZR0s%01~G)s|GWGN5wkqZB!MZl)B@>o$4KM`YPZ;(Q= zRglJ<1}ETwymAW)z*uR@3~a(!lf*_D9aH5d^940klS(0$U@)zniw_=ES7XqfIkJ}e z{RKl8qkdmIAAX-s-R^e3nOswCRP@HaKRk^N3PH=6p257nN~zVcK2W60MNIU)t9x;@ zZ!PxY20vs3-)6v6ZGGa<^((FA+vV3c1?#-_U~mo9PlfYd>#>u?an&`H{Zew|Kz3%RNxIF6L_;B^=FsR1JcER_m>+n^j(68Kh)N6d&`8Idy zPVVvUu07w@+Pn!8zO{5{M?U5f)TA`7RNlFEo_$WQTd(`e8f-A>$izuf4}LqkY_ou+ zL;&D@j!h&pzIU{}s(ogbf38wrWEFUq7G0Fs)40g&`YF1Tl(OSO!f__^b}H_PGww6i zoldEqub&UUIu~lt=2y`{-`uRz1rQP!@rdp)fqa)qYdtClU%Q6n+GS0b)jo398Ngw`-+&ZxrdDd2BKFb`v~RQnt#42vHJ8@SWNN=`Y{Fg z2H5Fu!`{|;(>p=2Lve^}x8p6d+UA0%z~hXG@_s=`9>?dk|T)7r9LlN|cg$yd!QZo(fY>`RMSoyRG`)tt>XTQ2wwFLZOTq8n@ zOa(=~r-DBranOIBIr~1$d-;Rx*}pcS`&a6n}4I@NO%&5CZ=iz~RkfQ z5#zu?8KgbJH{87KeotA(IjGVh;VY5fUVe}j(b}#UkDmgnQ`ODvC<$uXq{qM@1 znf)KZBbtAyl7IZAO8)&zm0TgokHoJNUTwvSF2Tx^>LrfVCXUz+Vi0`UmoH+G%f*Ci z#(3s70ZEMT`G$gkAT$mt%T?f`P^t{1-9XTRSR{E>1d7HO6SiClPdGWjRgS-SXO{JV zVYmo|lO!>SxZ+Tlo-O)7tx7c<-!!pd(kLa8wxoY6IZGLZ&x=vM%u8imt9rPgfTj#n zt2(U{P>1#7hgu)53IPx;?IftM@?-)MOhz)mYB6U*mw8`(H9>U`fQVAI2@pQZ33C{k zTO9Iuq<{f5uA#S}EULvrf-i-VOpw#&31fQC;z^Vgh#4mi2>(3m2tpT9Xi3nD!tg3j zzQwVRR{*BAr=~A}__-BX=B`huMFv9ygNqIdm3bJ~U1!hgS7*=6roSJ8-U0`K)RHCr zW7VM?xSos&;|Fx;&QN`kffLd!5Ro>TfCyUsSJfMMu`4VMj|eM8WJ;3w0g`!-$XmSX zO4$6X&8CdTzKpWW3}l&Fha><23Z*$mp?7LPb(G!&@Cc)62($9LU^EkJFv2cWN$ig- zGuFQWE7TXkCdL917FGsDo67=o2ounT)E_j!2#S{bo@g?FHkDSlL`(7|c5X?^41;S5 zJ_b6af+0U*FhphLqN#Q=9tfNec2snb6JU(r7$$KSDRNciE~+COsfH7zphiP<=mh@Y~7f<}$Xo=g;Mz&T-H0a2|g?1Q+35dAPW~cV^(@g?(_#|M7S? z=6W`?Z|};|eRJ^U&BUXZnX$yvQ}QM3(};}2iUs6$Q1hxfe+FYo1> z@?u5^=i4AmpF8Fb2JAu$T9enVNzZ||doIYEYc^vPgQjE%)Y}pO=@nbDDbhvX*N!+?=k}hm?@z zEX!()7VWT(+Uj5F?62K5?yVqaE^VN3F2Hu&dcW8g^}(Fywe(nKOWPEUyH3jQK&b3H zRkvXWt(drbPi2jBb+mP+C|!JTAKbDPujE4CEh57ymslQ^5uoB*rFis^UI3W?bX9iF-XYluAmts?hNk~rzyT^0v=TZJk6|c&10T; z=E!0e7jk#J<)cRwCvv6U3dix^T*}OC1G7Rlp{|(6?7iWHOyLYyf&Cg_`#OP|@ENW7 z-r?FWrnviFXO2S`QAa!sPX7RTT9M=F%BFEI+UG9rukv5XT{}V#+FR)z7sL73VnT)f zpt|bdcZRLcRj-huuD!;tZ>%q}r<7=el`v?m3;}fS8<_mJN%r5-NB_I@WoG7L{s)Af#<~sOIQ)Au$XfzXr%Js| z6$l2zh>V|A)dfyVA`c)+&ng-nJi-Xhi0I?hc;)ckxWiQAhHMKnTnOW*eteabEF!XJ zWfRHsb<#e-Em;dAfP}DdXd+o5UEVYyl zIDJRnY8s$^Pp(Q{#Ii9-X>d`pi__iqLiIahpE@q<%KO%#2^)nh}1=@J5 za~L&+kwXyCYLSaR5wddQR8Hh*X5wRFNelRM=01t=yMw~Vi3D21z_6w0WVVhl`Jozi z5=m3EJ@I)Q8B+riWW$oUDfOS?0@4>1`z1 z=S$|y$Q!3@66{9H%^Ybls+H-3;V9OidZZV`IbPJ71cK#AST-KYei|qdcNF}fAxmsl zU|vpYo>#3>oWmJLi(Hnp4J~kvsAkZpU0o|kK6)xK>%3YK!R-u|Ym%@x-;2@dpt1aF z4QHmRCyi4)iZszUb6p5i8ralObtfoL>$f5p?H*9OMv9(C091a|z@@e)zJh`7!yv zbhYR3`S{*w8cWzy&K;vt%b?>Tb-Slr8c)PMU1@n(JeB?DXz%jz{qib&4&26-?YHS4 z-2C3ok4-|~mycRopWdj4h4+u`^>zC`)65vFC^o!I3#c2W1?ZsDll0T7;TJwMnPv4% z>Xnl}@+=R(V_8@Zw`Zh{2hHMNaJ1bPrBUAor=$mM4NA0~=*14g5lDYsd=->!_;{M? zpE;)rAqY_Z5WWCUl|tYNtS$<5lg|)P$jpQe551eWcK^EUWe&{r2rgqf;1-5$>x|E; zRbe=9E)qMA@ZqXk=*uy=C}~x#s^3JoU^R3F?KFQRTnA!V=!ADr3z$59Qq_HV6IqO# zmxiDIEP2+Qh}XrDLX-h&U7ezStS(!St}U^%@Z)Cd10b$0dg_fQ9S;hVf6Ee;THJ1F z&F%c;+tQYh969y4tJ~y53)E82^~gn4L*FhfT`o?FY4Ww`Y|5qfo!_P^b2*AU)|V}@ zMN?Wo?9POy@kz|Bl~lwrKKE^4&84V*h$Qv zOzdc{=iIp6I)*pB-r4}qx9CQX-BlO*lbFVZuDkR zly;+)Rz3=6 zI?%Lec-zis9h=@mp!hN-AM<0=yQlk-hpLoSs$4=;h(yhEoV6S;M!kaSIkGrhhQ}+} zip%S)RR%TNO-=lVDQdwy&bOUpO=HSouyA61t)@5)uaw>X-+FdIP|$e5^5DXRJG;BP z{kwf%11VWZ34s8E00R#L4+0ND9$R?n7!AECC~JU5jDqZ7%S{~ndDDqupUrb<=R+>S z$7_2R-BbNCRz&*LTyY`6vxB{b$-KZaBx4#Iln#3;p??Nj&snV62bvXt{fA12$KuCm zl?-9?ynH2>MKf%o{Z*Zj8umyqHZ6m|F!`VYteZDftcc~W`3cs&^Uv6S8dTL|HZ=;XR=g^V^PI0BT7x=w|xHW93ykm;1V zVHDX756}%P8{lO|mqAf+U>jf?0vn$_QO3UML3qz5CYy_8cIk#OYIga+{e%$O_O9i! z5MHij0YQKX3R#HW9mQfooiS>0J+4WRW4c`l>VpbSCor}c9kQE!U1E!2tI2FRB;zc( zcw$nMpHom09$A2M0?LjEJT-sAJ6H0HCuMEh&!u9`nWQ#5nc|PFaW4w#bTt0`2v`U0 zK}!A~z6B%bvP{mwxj@bDbA+mR?`W$2kFj+}10T@Z^8h+7&%G)W}tBX??}*1ZEk9SfxaOhTB%`ZkYgkJqOGcnBP4{P5iu2g z{XM}DKp_1C`vi7~?2tI2{yGc={%eSJDz>Q3Q8ub<+vO01))?MXLx!Lc6N6MyjaV2} ziH=B`R2hxX_pO3sb;58H8FCoH8bzuBc;KoaR2DS-L#3H%#um@#qY!TLD>f=BzI)(( zGuV{+Eg}oyWM!6W7oQVx_aQ z@{Pv5lff4gzR8Sfl6O+ZWz8J>CmlE4KK3`1?RcQrHhlm4OYaCJ2)-ya<@6g*9(!9` zis@bA>o-H+wHt+Ep|o~J(O#K2s^8~9jHnQNyl{Jamiyt`vuGY~5Hu>1?`jhP3px?= z(l|$qh!t}_o_bEs1)ubBk9QnGEdH}Ex<83jDIADNuz(_s<6826%+;y-!~H2k!8H{q*3;5U zF1U$Pk{qGLBWr;O)L_IS5z#kYJ!G;Cm^L&MoFhfeCTYz3cC7?QQj%9-=B~s6=sj8D z70*i>gffsWQxk~dA_bF&RWXFQ#Z^NNkfti|z>PvR0<%vNR0(62oiG{a1d1lsKu-Kh z4m0iwbJ)iMEEwHkOtD|cukLX_Ql&bo=l!fIDrln_y*;`=7lK_PvvXfa-TAQuHz|RA z^rtNYf`^1ZSuEonkSdtrQKflIVfChqV`Skujwcdl=ngXpXaEb&aSdeDGAIR65%Fd0 zCM>0U2mw;51lgpwa&ZWJV=(rUY*nVPv|BUQuq?4ef!l91r-BjRVD#cx0`d%Jiztw6 z=hV@MHD`oCZc+k9h{#D{-C6(VbTCk5Vd;=8W(H^k_fmQ??I0CxPD+DCY^g=E37P`p z!-Oy+s`?H)tJQ>%{Q!MA0?OyZsZg?$3vuV}|p=CC&CiDlx327_nJxQ^YOlxYwODcO`}9b zf5bVSRq1{r>;Ong8@1Y7FigBVJbPSt+qameRjxg)S1pi0?E^WqhKz6(dT&V6Wk~Us z)@8~M#HI*7rb#;IAC{1l%lCq$`4P~74$})NIaRKd9aG+6Tf9eC%X1+di=;EtTV+Fd zm8-++ewv$AnmPR5Ji@4Zw4KeNcZ~mq<7$oHwr;{jbj7_Kajc{4`3`)=v<@m^S!^EV z+XTlinN9!luK(=rqiW`dkFkb8PR~G4(_76|-7PR{k5k%Gv(sjU`!4TP^)B4g7L=$0 ztD6~K#}d83sJ*Eh1{1>#UuwfTl)bvK)nVe-(JeH`wU;9$ZRJgIf81vbGoMc&F6e$Q;XUuZVubLNEz@vQ= z!|^mIx1bE3SsRg|Rlcm4T}$qh38<(>IpNM5%92{T+^smjbHBm);G=j?jge5u=qL!9 zVfM4zNkikVBwGU=C9~I9$yr>Zn3d(DC~nYgb3?;FCrM(=d{!i=&~w{Vu={4tiQY&r zQtp(7Sh~A-Na^enL2z`$1RcV`4o-wo=%zvBJSbZUDzprXg7d%9dKluLW0%jH4#AuGNSoci~@0vnifA9=>Id`c0 zaT(Og)cKB+nt!FvLzwr&3RZ61b8%~JD}Ic3R^6%;y^0OV2}37CiP2&j3?F2{9K3K@ zd6sKpp(sH9oblvRffc&a8UDAc9{l5B{=yv7u&t~M&`nw5LfrcLE3SQ%tQa;Dd(FmC zcXeiFYF+t7i*;*sYt{Rab+2(Zc#kuDW}=;@<1dKqj*#kfPRNWkKC;AZf)DlSEuVB> ztt{V!7cAb!=UaoDQ~h>E`!=2F)Jjzcrw(0g7*ih4);}7xxu<=)lk3TOpO1FkY1;JL z-eV#?6Wi29M24X;?`$rk;-~S#*Rb4e^C#0d*f9CEzXVLR9!DRH$W~sRQ_w_dehm)~ zuFyJ|hK;u!%%ETyxE>kH3e}lHU60~*_aqj1rBMH*zarrM*fhaXj09STtDj~h+;f={ zHPst!YnRBEfZyck?xMaLoQe(F7iG5*+NWTydE4*k{sLD&%+qUX){E?xvVn6J?I2M9 z5rSzG+okbE1)w(IN=U{!hcW~!ui!6QQ#ZQFwUYDkjb1NV2hYf^bUID8&apo`^lVQd z?D+)ma-Ycr-`(n0(>D&LHkV{Qd)b{^Ofv(Jj$KqFDsTjrNzfjxFmF}PexLiYbfq_FPI4=j)Ti=j(1Ja;s>yL9#xD5(GU1Ttg?(kG_^%! z@S20Lz%MZdfhjsu_+P_$MMpU8LDDtxbO(Dl?ID6S@&;6EY?3f3T7`0T7dXK}(KY_g z&6VizUmqUBR9d7k?OIk#?YbuL?>+2d zOgvIQ^8~8JDfL9CuhfULoMF+IfAD0aaGck0BqnbP25VfJmq+{%&xy_e_C&D&uDZf@ z2}E%QX`$BFMHvqBCaFL`)w=_Z1F>ffg`=9w2O{Z*7yR)n2UPG!8)r~P(oAqhi!g9Y zfnIB5n?z$JdD-DX5Lk1TH#S6>Fh@$B$|8APv(eo94>=b5pD2q}!$$^6G}&WI;$MNh=sTsVkTRpGst}5LLM5>yKpTrfy@OH6 zIwCEp^qq#c%Ju_O%JBDe#*O#Naq&t!O0zf9SMP;O}c5dw*uWG;)AdJGMTCw?(C zzb2^TJis-|LAS*1rX$FR-^I5W=rLNvLZl#+S}t*~hITPY3#7KS>>H-6Jlb0%=DKQi zRUXFR`K9}yxWS-p3npKe7Z=n6)UGvdl=W<>qEKpRd#}~cnvagpJA2Ri*Lyby&$}C& z*Y~R{`=`41hux!_qpSU&Pb;05YlFj`rY|h->&3p6@!ik+XYW@Bpi^L5Lm$VdSA*|^ z2pr!b5Nd27ix7P)URpO zmk20m;^!0g#~X8lxn>NK zTQrd+*mprQ|5UDYm6WIKabkLS`dSBLJLlv~$kr+cYrAtM8^ePxs2oHm&}ITkwU$z7 zO2xY~+vUY>1c%z==gsGsz1Ysm^G#5GJ}sA(&!gY&Y|bN|>EFh)=#IqZ;8J;WQ-^u* z(^iIge7Rz?l7`>19vgEp*i6puu!y;Icf&ix|=jv!`OYwVr1 zNF*z@2(;7>&vuRswPMZeGoQYHM(yNE#USem#B<)7TU|Ey!AdXW?(Qs{v;4K z=c~Qxdn2LkII;i0&;vs2Jum;;O!hB%&P@NwWGpQI1R(fA&}e=+(FUrp8X2W13KMK9Zcs=sF>TRYM^R}kGUduA7f#N0jj1ngdb~V z34-Z@))8RLLK6lBi#Tw#i&zC3jS65pxwi%il=u#ULjsR7CO6-6K8K1G4X?v5(JMUr-sOj;nJ!bOsPkWBh5QH`sBLu)lC99A=_tYuaNS|3&y zjkXRZ(MxbvoVrop|9OE{5yiA2Ln~ou%u+^TOMYHB5ve`FJ3$sRi8FF9Ul@s<5WS%e zyt27&2wK2VPd`#6u)a=YUJrn1FrGTh<)1Qw@;w+uUk0$u_VC;(E*2($Q$A0}>>p+9Jv3m(EhQC~_8E{N{XJ_#f!`s=Lav2yU508ahWDq$p0H5KbWgrl2gMF{|bOp z-LOniog&{}iAoDiO>~QVKa0V}Dhty2PDRf?@e43%dDhUTg~X)OtMj4s1Y=o~k{Tg@ z^KiR2oq0=;u1^s@^}%??es3!FPfEJIWuBf(?p=)inu`(UxHm(dxafoBAc{ zpu=mE%5g5c-dH*sdVKhFethZC_-1(5=I!P4=lO8Z!@a)U{d4K9@)?uubGyjn{prlc z+l4_Nj?U`Yy{E@_t?Do-1yb8qduYfQ*|95G?6xR~nPXEDXb=BFV zdFbpy&m|IctP9llOSU$GN7R52uQj%zV+)uGFQhBKXRAi;MlG+sJAAa(Y{tgjpUSvIa+wwh3%4rgi|k2*}+LkmH;iCy?e|JnSMn zCCBNrq`p=AIzxY0;UcpNv0nG@gF#=%$TICfYmkB+J>G%I%;2kV7oBdQk_2zIt)X@sOu zdNfx7pN-s~E>vTIlt;-J3;y*yi(Ch{`1KJIt47wZ%+3hy{E)jQU=)1fd0PTv5c!S8 z^JYsgGhwsiLRVE=4tI?tF3SC`2t0W+DvpgFpG_YSm)Di0vCprUcaY{>p9=yus+rdA z#OQQgD-g}RZo{w+fNu5P*eZ_OY*8Jlt!M zwKTnI=y+FLc>daAu)nswa29FI+lu6doZMb7k7M)rPpHD+jnZye*!R&vdU2OCip|4B zr*+?OnaR7-)J{HE@^uyF)sQ@5yre4QmLpKjJO7sFb@k6>Xb_HZ%~2?xbHYqti;rsW zP}Xz83}35{`sYz~-|aV{JH%&#x;51*6VPur?m-pcqp&(5ZEHixaB*t5`q ze~H=^0e)QkZKnQrBUgj}Cdkfzdj>UFx*#(W@jDB`Y5P@Key-;KIQ7N#-b%~Gb&CXeM zCCh(Yj)xyasdfW}WW^K^KVWu2BMFnBl0=|MEBO*HVmOOjHgASRgX&mNJ!Nw zZAfUS+M0tg$J8=Qn@Hx(i^FaEc*)5j7JO39Lo9{jbuT|4yp)2i_$Pr==BPN4v7?1C0fpdlUzgs)#3Cr5M8>9*eDOmC zEjNl35&fdg)JelxDib$S8Si4(rF|J-%|4TnU;#K~jso~!mT-k1`p^Z?B$*)9rcgj- zfx1)O@C%=dOrk^+@I_7JE&6lQOJ$t==K@s0MI(vg?d=&&-Y3kQ1$i*1lsTAt*>q~k zTX8^fUj;QV;>{7y;L@KY>G>H6B+zHw5ECTs0TqyBR~QP7dTI6vkU4&c5`O70yb#VK zW)gSj*mRodnWjy6L+QutjXFd;Pw6NSoMK8HWno5TLrOz>P}vzG7>zV!LXw_43CPqq z9Dq?OpLRGj@i&x0^nhNsdbMS?!3;vo?PMGEtXcvazR#nj#$5VdHLWqKv6Eps$VA~T zEv@RUjaoj?*SoV1>*vqQ!RZ%$PnQt_Up>TYf^D0xuGo@ECFq96&u|RY^WODP(`T-i zth>F`>CMNh-N)C_%!fTM#|Kd_zP7~$sa}eq7+=hZ?i50Cm>=l{cOB`YBnvdy|q5;?Aq$iB9;dI@m}BM^Ws4WRMnP^ zdyNMOO-TBpM4%LDRwcnN)^4vr*G5y$7hX!W3nKWX4IO z(SElLG+fQGk*6Ujq8uLxXW0d)+U|mlAW-gQWrcCe=#^6Mc};>E(7|R42D27}IMZaC z75R;#r#t{HF$0IDi#sY1|0s{6LDvd}8K2%Lob=$SO81jdEPCbyu3sL_9SG++47yI@ zSr7+~r3IWbwHg;Rx*u_I#g}Gn};S&HCrWqSjIH={$?_1W4WWuxCu%+I3)N+);>6>^>jg>;SaAo9-Y0uXn&RC zutm~J$$2eelWQmAjN9iWc^$azYSZl2+YB7@^^>i;vl?-^1s`v9EP^Y$Pm_u_b24q3J9*BnaU1yt68h`rtp1He{_ANH~5_wb}dij zZr&zGGhI;~Rj2p!-LLW9^V>J8EATxz8bY&NolaK=S9zPeMRJ1f?UvRwNMf|vjs|v< zK^(BwTdOe~Ah%;LaS7`b$Hfg_C4(%zrx!p~7|{32i&W6JPj{_GAFF9zFw$aF$YZpd zEACSgod%RFlxrk}`VI4o>MNIN@-ZjUD$5@vPab~NDd&G5O;GK%~;@1mQz&+Rz}{DK?LZ;)^G+GxL7_+L`*|W{C=LxMH)K!sIr=aOL#-xo&veR z(qW$AbTXOOaOZqL-cE(6azQ1IGO=nVnC@yb6@a{*f+dW+v&3Ss%PR>9#>_hb3byA? z*@#A55G^~Rm-w8DM^KT|E)fS#z-SJ~L{@%`hO9ioCK$)E0m4P*EX2PE8e33C1S_Q7 zn85~q+Zd%e1fsdX0$z}9VhER~;;2|Z&)gtH?sa1q&l^uch`{)EunGvYLam|i z#Gr^IF6#xPAu=hW?|>vR#-y*RF7JgI_SOsN}LW#m`<=-DV$ia+9Vx!8Jdm3!VKJE9$I*=K6ROBXjI3rPm9w{pUBBu zPN#_nvYf}j8IR3-j9E-!3qnm8oi)p@Wkw&0$q>S*+@+|N|X>D#|tNs3d;b7vVePY6<-V6B_-xvUWQnOj*eT*~b zE2mPeTiScsCZ=C=seo&2Yv{&*xt+uF&~f3Ndt@J|19y@s;IXW?^7fX}_?-Bi5uY*X zRG6Y3tws&l4(LpQSvYuE{x7?PMdE{L;saO9U(Uqq`mt}B0;x=)4iLQSW z_cSa0cvdg@RP~wIG_$o*46@zpn*&{WU5DmY*xQfE46;JSnY(o*W5s%6to6b=a_W{s zFz%ZP9>cu2dViC=?D2GXzDKal2AHu#DLJ-SN;%PK!<1q?PMkl))SnKWxwCU|oK1D_ zux?GUbhc8h9Y2~#Ir%_*zYX?(HpPhu9-hX1csKEWdFZ)_U`Ugt&(h=kCY#w^Y)4OqT~9JMI4vYeQTnuo7)8+}yY?S7-_mEU zb-KVmm+MZYc&vJiQ6G2nyn318d{zZmLA;%Ot^c=O>VIc@&Gt_#2)6$;H9kv~0eFc) z4E}`i(^(ZF^d5(6<=5jkhh>&Y2dj<1`{PPr#cpRbI2Y)=`|>RIb+l|WMq0;wURl9hdPMl=0(G1ytz^|$VsZw=Pvxy)s!A~1 z{8`Cb1zdTQvXX{Lm=Hn7ks&LC?=z8wxeLW3^l{3GZPHrdrO_s64!ATLrSoaarOWrN zxxcju%OSt>XiPdOnIpqh)Gn=CZ+2n_amB&fV7YgbBF@oh5@q;ZcFnA~54n<;q7{@X3ZCp zn$jnqWIohWsz(v^TeNbA394fS2$pp={h~!kb!Q2g5cb&LZh1$zWA~ua9wa6~IU-}{ zct=X;`2?e1zje>sXh3(Z5lg3(-_ETJEx6|-c5ISa4@^WUxh@4ZSPCvPd~<0hwPLVe zojk=pQDYU#dTjj}jz8=c*fB+;yvv`Abi>=Ml;_7i%XteR;{Fg0>ttAHtbllV%_O?= z?VFwo`4tHHJRALH*hzCL*VcsF|B5u!*X(R%wkj0= zCiE4yf5MJh>_fBN-yf%K4^QstBSih@M%O?XetSz-7LBP7pwm7$JDHmvoS6JzJ05() zh={lyc)OZAv+#~uiFe9et=6!Ne(e2Z%*iUjKWWiYWHXBCnh!F6h1XoT+M9>li0I$D zgVRjfE4cf$lRbHC=N^m_eu;5t>0z|mMcr`!)}sVC2KI7gd1cSZwovDCJ2^eQeLg<0 zzmWXwN~ck!LnqUCik_V_%gF2GtMxnQa(eZt?j56HKYsm;Xr|sws{HD-?cQXyeY(bK z4JZL2d>-GV@l5LzS5ddH3)Q2PZREo;zANzs`TXZ5IEwY9_Vq>7J=!{E^!_w&N}9;I zhdN!oy2eobh|_xXl|{yB_s+3YI|P|W-b>%(?fLyd8DEsMhf6!eKJ6tlLuWXGk9l|A zs(bWDXS&=b_vigaZ|`AJ!KZJF{$l%cDPD9$%xzJ8ZXL(9YZACg)9VKScw_0ZC}EZ4 zI9T?5L;fUbhK5&H>yGN=+V*>}62Z<8?94ExZ6q4sTOihGehLUcH-`#@8S*!54P{Rm zP&o)DQY4fp7?D3xZ>XLyJ>g$0NC95gB@L6m(W?h>J9r6c@P*`qhOuXdq~ z2qjd>{|iA(kBkW&2__0c1j7X<|e5zwngj|qsDp4Dvg zI~YjeU@oBNw*}q&MmIo(D&WTi?<1!WRIeDqEHzFoi?Xx3z@w;U zQT?J|&URtt2yY^G1`Z1l@P@GsVOGY^P-4lXF0Kq<7R1?W#eBOlD~!C2Ure&Ijuaa- zGNQJPw6mURW>S*7#GQdV9h2rtu@S!I1E^Rx*AW{2+i~^3vp{G6ryUC$%YV}R(Xjp> z?`to{pc~f5nkppr5sl>|_Yx=)B+lEV z1}8E~&+4LaCxB-{l1d1MK=Fr18J>&JQP;T>2brmL6Ny7w`6_}GMra}C<{K1)`x>B4 zB8F9@7WuJ8o(`AqgHV9%sR!Nem+RwbA^OJKjqvqgqNhX9rVFVAEJgAgA`-VBOK6It zR7@i;v&9ILg251qH;sD`G7y5nSl`iz=fPjC=LR-~BY_}dseuoO8-{8THPqYDn%@TZ zf|$cnPCE6)ib;Q;WEMsfkZ*}-O|B@?;~-5UP~}PBCoW!)q=CXoW%d_EO-L3mP7~Ho z5+%*5$=w5!GYT~jP@53dU>wEP0aKySkI3@}(^n9!B8|rg`f0xSZ7o+kF^BXY0j-DGJ3yLT%}V5{8ZjCmk2wW8mhJhdH1@#s3z>@Z``-{d_VYx)6MaIezU*t z?8&M<>HTu>wt}z97en_QFG2!?Nui>~zXMy>1iy}3vujFL7W2D)lzFgTI&f;&V1rm6 zn7ZL^Eq&hLe2C%ZuR8uWC01mS1CIc)2+sg9sg6QVk)%2iBnk@UD8ZnmfdCnulDqti z?KGL}YAb>EUaD}lk#cUav+dR|*_Ycv@H*j6l+nT)gZs*@bD$4`Fb)7!-wH@Yp;01% zvQ_CCA$olv6Kk^HhWc&TC?R^Iz^P8HATGq?gUR4qgB2ltKu&f@J|f!MxQ*EUVW9M< zCs2ptsclu!8u+mFwf)h0M>1zba~F#Xn{0If%?xrgD=WRH>5#bG8@)^!?%IjkytL@0 zy)=+zBUP0CRg@Z4lr~lVvuYG|xZHngsDq)Sc7%5DBi?<7@T5A(iX=qitWD|Xqqn3 zgMEPurL>zT$i#Sbkr^_gfcFq@mzO-V|sjUnciXkKqI-VI`E)ZWH^SLx^~ z7L_U&4f%P$IH9b36)j^2HYQ}=YQdaLKV4|sFi3X1H>GeMPFZ*T(%~3ATOFOarzeGi<3g~}^1A$K1H9_E@j9xYzH(*B+DVj4Mldm# zt^zo0?R`DHq&irP)GKxfJ3g)ds%#qH-$BKUU1@daBvT4J;qkk}O7hk+CN6 zvO(F`@;T=)f0x)9y2VhXW;k3&1P%F9?WJxkF=VHuPJl6FN3U<@(04fzx237mpYEuB zh#L>CxcD*0mRgxoASEoW2wQ^ZJ=6xXM6b}OrIKo6z+ZBWj1vpsx#RWG=P_BpDoJ3A zQ}rw2UV545i}zq8erNT|pu#hDhZB)jfa&1l&dZl3nOPT-GSzYq7yMy!?iRN2c=hwLVoi(yq z-pfopefp|Y2K!0WspNv~?RB{ z^-aTKg!1S2o8y%PjF%DOaYjz^@B!wDy{%2)1ul?x#&^Ls-4gt%JJ|a@zg2@RbkOEd zYrlq0(`K8j4N05MdF%~tKWR*RkR%D-0z#R?Oh8*v7wE`58uv}Mo0#lvNGCmLP77Js zkiW210F{B~ObLF*Ksv|;HHZ9lQWhA1=ut(grk$ka8T^1-*GYm`4jaGaIej5$fy=6< z9Vr-J%Vpl|wbX%E0)HP>zzVa2`2GjbB_*Y-@ZS!n|E011KhN5k8UDl0-m{bUFb0L6C8jGR1H4hJpH?hLi|nm;@rIAtl`uf);_{-tmjOIjz6Env~N2XUW; z+3Hq<{%d0LurOr!vapIyIB8G^sY>ON2)bQ{qtn%hv5Oj9dn)){H?{AepCW1U2LE_@ zzF(^90n@%UTp}fj{POUzXnu`?d^JG#Q^}7UHq(VO)o2u?Z;%3Z*ffxuz={DyOkYYT z3{q7w+FW{2GXhjvh2VrX9woJ(!0+znCn8u~9SQU18e9OsQj;)9LNGCWt00N2f?l)~ zGV7ht@CXbJG8JmmwtQX<__+Ksgct<5)jks{YkrCRGQeB9Rir>(4TCAU)eM4cJWA77 z$avq^LTG{`cr_UyiHBYjmTNFA`F2{&;T2*rh+IrVKZPvE@1ddUDwGWD8yRPa%cnCs`wJ4({0p<;G*}cH!+h`;Nm;&iKvnXuhP^5 z(Y|hIa0YQAej==x)Ux3|;u@u3eWI(}V10ueLf@q^6;u_Lzb6mmP1RMrVNjbr5g&h_ zZTStAZngqcV>Ro*DYpPOEs6*?OL zTpPa82bH7d_D3I+PuiOWA!_^t$H)h}kQ8GKwf_4TVLqjm_2MCaE}OR-M=p|8F<7@w zEmlhG%0q_-1_VKDAS-ciYyFYF)-R2 z_@NW-Lyg(yzz8D zFk^y&J=l2=Y4NonWbqeMPg>vioE=D<4-A0ouL~is=by4&BYzxTx>dl|;|jrUCQN8>&?Yi z%_h@$=oBm(Bztl4bcTLYM#15asa%#(Q-8{+WOH=@S6%SZcJzD!}oF#%ldAhk>a1RDr zGr7kWGpQUdCd*CGs6Aiy(|H95qx$XM5 zXkLu}9VWm(%~ctg8UHhyS2(~Y-FuQB0L@F&tGvvHKG=zt_*ZSzd2Gl4*b0>`I%~UV z^0(*L%+juLajtSKKYZkx-tvO%GKaFV@**)q+=NSM(`&4@Z8Z5KL#1EZ+nx%Xl&Xe9 z!Dv6D2OgkUcLNC5BqYPNFs{4Bx};=LgJ{EU7@QVeNsMCC3fu*k`|5aqJtq_1i2@-PrN6iBWD@QR(pzy{#J#GLcB*)MltfV<94M7)SeY8 z3o=OXE|{zs(So;Dumqf@tY;i@Ly$q9Xibb1-2#;{5c}8!nsuliU6Ojf5XiViQYJso?3_K79y4@P-8k88KDsoaJ@J-aWFBWIUJrvCJFcai^V;nMSLCb zJmHaY3CVd@m(xh>V8m$L#DNgYFo&{G@V(7cYb? zgpT(QW1np=e($S|3$mRr_MF6gPc{gQc9YRCIFkAS>PpKPr{^y*A5#a;?>kdSG1c7q z3^Csc3X4_oUEV_m+4CKf;_b^ZeNv9@U(W9#Cbz6@oHNc34fbuyx$uP@5fYOjGZA`IY z48tOmsjzLeFe8kKK0AJQ)|OWtnXQI4IbR7Cm}mk=Y2x-r-|5UuIU`ihSaw*aQcl z_vO0S?KZ-`#JE!P-sPzEQCeQfX#OMH2S~NfHS&!66RNt&#Okyt@Lb$=wg;DieTohy z9Q*R8IoYsl=3$}V*FO@raP0GiUg?!4&YHCPgT;%pLn}j^c(WlHL^9;?f}0IMo=Xx+ zb#}qUi!TZFTU8Iu;q|BGV;Ra_G~PP5pR_BV;;&LAy2GL&?;-c?6DuB=&hH~tx;a*x zTQ+RkEtiF#XOo`W6-EWci18sEkEr>uk9KY(ESdsUr}wvFJtCke)}rZa)3FrRAu z(imeo0@zJsP2fg%-yv*%ZO;vF>jHMvm@Tns18#AQ*6GdUTmhQSu6`t>^E|(O?i18If{{1!gkj zo-=7Z;SqX-7B<2t>d%B&wAeE^(QR`e zL2WA=Rca>j{6X2V5o=S*JR^EbC@xT~T>1;q6 zU)J=1s8}V34=8bR*V&;5kd5unexc;)8LJ~!mPwRy_IwS;w!~i!!?q+_3Dfv)S_2C~ zBMe^R4qV0us1A1V1b`IbQLoBn#fHVw3ee(0;@v+Us;BcUcQym z0%=rPAp(ucLaT<{V#FN&H=KHTUmf3Y8J}7cQfnQ|cy=)e;v7*TvmK9pD>l4>I%3_o zYRzy4i4u?S0fBuf_&yIeYuSQ6Oad98 zjx3NC3Lx$;Pu9*&Pmd2aTifOKYb#&P}Z??!kQh zIXk*UZ)s;4WL%{P(a)RSFSL9Dh)F0k78R!H5-EapUC$pWw-`R-KCz(R)N~PUaNKTk z-I+6KJ~zD~AMQ6S77n)GF7}k0kE<@17(N?Zx3WBA{oy~%OmEK(>b>f_l1Ya9Gqr}t z%6z(iP-EcHaVIie%`cNJkbPTJM7V1hlN&{-+p16xBJEXpY5Ez0{IO=9p$xLpLM5ne=yWPZ=srUkSnO_2xZIbL9&f(v(Bg{7 zFt1?L=1T}e$a6tS`qH;(`5-`isXy*=jY(<21vCq_kcZC2vaBT$A`P_`IL&jv?}xj{ zN*(yQ;pPFARUB}9bGh^8T=a%lRfNaQp=R;p7ya}YC*_vti}Us`Uf9#4P?3ZM^uW|b-4G-Pvfo^;(+46aAq2dax} zjJs1dQa3ZT@z{7;yWAO2x|!!sOo&l?nMGu$h^{^@)i%wV48$knHLKUjo?fS(-^;F= zO-OA8YaiJRxO=|gBrNR-u?>Ejwj*o|n{)7P2{`Gtt%TS_IYqucH6}aV9qOLC1-?w5 zH_~}s?1}5TbDh~0K00x~UTvCrTbn-Fe%LO1VdIT!1Xm+me(}KS<~`6~GG@KAb5YlL zSs_DMGw)_+;LF^=4NdpG8~;t6Eclvm1eW8_nHf%f&1r{U+0m zuJO()_2%lm(mWi+iX(DwFtQLE+yJQl~HO!XHgZmu)qJ{R~6d5uZ@lYX6dc2Vk( zB|97jkD8*nle7SWDq^pH8Y9FEgA@c27P` z)68+2iXw#YcwQItZFoA}-Yi^Rdbf8!KRnnz(s{exzMM}SHQQd=UfO)PKOTNetS$L? zKhB*`jJ4bPJl)NO6!U$aezHAum)F{Qd)@DyN8}8Jk$Ew0cshKZTzo_{^F>L?QSSAu zuRmYLDB?hV#IUN~E=+W?R|n4>pJvc?Yo_$;=OlxV@qXrAhMcS|eO^s{zFc>E-Nvx_ za3q;m?BBBZxOt_gjI6vJ9^R^UZ+5#qKIG_l-?j3(&**M_d5*ccdoFLk--_TXjOgAU zThi(hPoZmD&!*FLZ0*d?Rh&gZRYE4Z^zAumHP5|)6O~(^>%ex zj7hb-+iL6cdH--&KJ{^MD#zz__S;3uR;^91|`G|Ec(cIDKwm`Ie$iId~ zBirn*UFoH+`0a&Rb5MhptMmOl1)vPTl%rb3T|H~xf0Ch0S`nC3`&&29holAu9UDB# zUsR+ZUty-iOo^Ei8!amQ4?8n$X2#5njSU|aEGkrx_lI?4=ETO0iWU_sD43b~4Pal? z3uNa4lWG`~Ya-Fqz{?F-sF{(mp`!vt1&Q+Frp3*O|6(IWMTrXHW`@m-m>IFLq5!Or z85DfpH|D_LX+&(nKTLr>b7b%gJ+^4S8Rj1bB#NJC8tPx7f?S1Zax+wBn7^tk@Q3|h z)%{!T|5tVYR{MXc?q9Wsu*(j;70x8v3fLm4$2Xy2+{^yigm-qHo<eHrbIIvB#uF-9qRb4s3Nt_U^JY9s;D9m!d$YD z0t>!Cpd?g24)D1Kra>`*V_VS*hlV4f-3jdH*uur{*viLBfHqjYBk-E99xYM+6fnl#XKr2V5ags2OMTH^L&W3_x%Q z?nBiEA&<3$Iv^bpPKsnku(R3O?5_W64Rb&_BAx6kX8I>1lbP|qQ~xH&F5Xz3|K4pA zky(7&Gz^VE%AI=UZO}!0C}mJZa;RaDO?qf<5KVUIO2~_R9T(ax99XpM^Al2-ZHh3+ zG_KDvq2dZtrD>?UUw>@LPXdn%HYO`bY_U(sX6IY;m9Lg7 zKP|Tw23{2`!eJ2PZJ&_WPF@!fG>lrFFB*(^zArHhdx0+#40)liAPiKIuioDSAWxXk z65mi5*HYgU7_&0pA{e!D-$oerO5eG^2SArFE!DoSFeNperJcKRz@r9%-k|+ZoK)#nu?;DCj5}>;fn1 zjs@)Vo#x;zY;Vh_%D)K1tx<0{Ko9z}(?6(L;|I+@68;bj4>GR|fNt&|-!gnR%iH3W zX1^_bcca%?F`yCs(p;-E%>>)nf&&$65?*yvO>E5}U3MXbJ*u`N&@`lY^=96Z}ikm>n)GhZ~*#MOnavNFU%nD>&ENGvn3J*gT16t>GG_3R)Q;m zb!oCDoN32KMG0xLRc5h*(lB;_;h>k9b#56j7C?N{6YTjD?-=$C0R{tzJX66b>M#=t z=3a|r;e=!vjW4tIvB?4$z->^|BEGaBjtmvy3pIa@1>zC}3HpF>fgsCIGdM_8FhB{a z3=16_3x1Y7)l?18TiqyYF4%24&EQf`_1=8_6TtPsAgcJ*fCQouROmB66qXW*fpHKH zBjwfuWx>P3+k-9B^w=QnhZ2bSo`D##Q8N!CZFd1Z{*~nWL(MFf$p7o#l8HdSVr>rt zi9xX2|EpL46b@S;8p<9FiiN+VU(j$0=@-G&S}o5JJ^)wj`TdI9{)^`~Jrv*xC^J!h zK@enQ`9$A*FfdXAdf-mz-!l9GAl|4~1-?AsXB68iz|AnJsy!VbI_Ot*zTM!zXbGHw z&tc7U`C&l6W6BTuGD0{qfuQpa@ejd*?bQjJ>pf1#3;e%`eL29!zx|8U(Bs~N zy)B$-s=!BDGFHM@+J9-V*K!Z28LWlG)%t4(>lb+$UyJ_{-h!o)Aq%8J2_h=^h6V>g zNZ^z(Q!|UNh81RsgN88!6~Yhz-XlQ}Pzy*8i!+c}thcWr@K+pk>_XZe4WLE973K)! z82qh(FLoAU5AX)!tq4c@O#PA5mc=J#zOK4X?YKRC1%SR~``&;hlI3y(A3($8`1ck6 z#gezcz*PBzRORkdkw3$X4*1*G=FU@-v%rqd`!lli+ewFIP^7_*I)NZ#B)))2(~_gW zq-x0X6b)P((i+OCeMQmj=LF{6nli zCXfBSxuR*|Xtf(d{7dRz*$~P4OW+DLcn6lL-D8ghiXg-Ah~4S!tajD@Olr(*v-G#B z4_Zjbhj>z!cvYj@IlL%_w3){D?ANcYTBE?6@QNn;aWvUOO_xq%{dSOpr7&q3sP(ZT zKGFcc9b?yFS4L5yX}#c{?|?Rt+k58UpE`=IsBVZEtvbHphjiE(hIS$SkH|T!?T^(t8{x~joCW9UgP%87_z;6vc~I9iQ(VkI~*E< zBd0g(%>@r2flHF@73W+x~ijhAUBdxj8dCYuj$ zJ8C|j<3}e0w{2OcT{f?-8@!PHZ8l4fOXrOWkDUs*Z0^~1kWr$mI8mQfqLwQ-G^)($ zRTg)>=S!B@QB>IHt2ov}QQ4-$3Izx@-S~jq!eUXZ*pV%9L!Q)K?^;pAJ z5R4gq<0b_4!bW&tjGLpbRC|@uf)m7h(lC>NGz#{FLnc`F!)vmH7p4rqKtALTaVrGH zttm@2FR5wQ2*aY;5qUku5L5D+(jBIIpQ4Yc`7hWSR^s)gOHB9fX?=e0sleQOOOMfM z;i5vF@b|1No|_g=Ux=y?sHP0zmYd{1O`ke;ZWnorsKe$z(_Z*2@j)+AUicJ0O;0|h z-*ZYo0i_;#1-jwu|C9f7mp^&`SEm0bA&?PhY^UETk**E@%N6$pl%9=Vd+#m8RK^m> zVL9!sNa{1;xG%{qOPY%jcp{^2Q=07#90AkT3>LphS1*(AI9JE+D-S3u{4(yXHT6X<3BA4Fi+3-VaHh|HOt7g_HTmz|BzU#S#{#QR7KLe zPWt&Y`d#TEY?N1Fg^co5*m$dkQl)=&Fqh>2UeW!vg!^ABx__+W{#w+f(yi0!8d?;T zhGfgOU2wl?UIW-KQfiu)u=SW9lwD(}Z_1u26yg<6w2HB60&3u@xai&SY)^uUL7AXF zxI@2zpUY}`_zl4I(QLo}%0N|qQ@O^`9fu)gq27&u?$boMUKH+N!IGnV?HA(aCEYBA z>ps5?ZzslnhETNA{uZ-4Iu7K7Hm@wNst`;(8ViPlMk4Ls4f8=MSuA{uCL=rwIJd;Y!jsk9)uO@?94xLU3vmv~bNj`^S?4JKiF7YYshF<}XoV=7E-#;|` zMns{Dd=z7dn>;4wSc|o_Q*3=lfvd=K%~9hKt*u6N{;LV8?8P5M8>jyse@&tsoF=F9V7SLUuU6IZm{_RD9z$0PF0&vi z9OK!AwAg=p9dZ;&~Qi1KF3*Xt+45=~(fb)mPsRT*~i+-%Ygf;U;#JKS3sA1cR6 zXQzHqJ;uM3cPB}w`qxdDzwg0_1%OD0S_GGv@Ip<#@3gUfwnBOFc@W62Iw7<>r zAC9ecFptgc%)^Y=g>w4)0Q5XF5J{x zJjZKvMs4z0R(x?)h(Ry*vBdPE=QWcX(ajzMpTDdNNk}cssw|wzsb-W_)(}IJtZdtmW8j zZRX^Af4AxCd|!Kb92)cM-t27kxqZ0L*?M>MxV^bp!(Y66yvj!RD7SWf9!SO?a$E;& z3hEy@tT|r<;9MTz;D0G@CA)Cnuzurl*}&Uq9NL;%S6sWK_(^s_5vPW1PKKm7ukB<} z;Z7Ju5-&yAT9HxiW;BZW(ck0QTATuly9#=rhO%FP5>4z#92Y};6DRB~YLG^73cAAH znoMRQ^66n3gg42{RWbljaN4*wI@=FeOGUU7X zT>VV^=|$t)ul)&xe2SFf4)-swilvt>d`k#ik*h^Y4P=8=o_g2TAVE2ZF$mOMG6Zxh zDi~z3d{#{h0nY_whcS5L_&h8=4HNi}j~>+s+&&!>_;PY^S-dqZO#X`tN;yoHjVpHI*jphFy4V z&!cFbNE}07kCh(rK>&q+8fO06(}DjEY>l1c9}q>XtpDkBK+W3nE5PeC73lM<;BH2o z=q<>%AF{CU&Wb3-`$A9$$huz@hfJfX0O+F{6Jr+}=NlJ`+YdtB%d0rYZp2Z{TvVWO z^5(`*-O7`p{FE9^p25JD*kJS_kbAA!;U_OAi&H;7#ZC9fg20#=Xg19)S#_s2<{@T&ngaS-?c zTJMI;`T^`O(K3z>!1FPQph%b@pVwGs2QTRqMpDQV(BB*X_DV}gF>W927!bcyjN$kY5(EE5zmbt6{%Uy*FH5Yi^&=*T$5W1=;LjGBtIQNva;@i+{W z7TM}x6NKhS@DUw`QBdK9-0H&Uiof6_at9z~3H{LUUFRso;B=4-7-6YNms;V6IT`lc zNhIC$sz*p*XdUbY5LjFMPOKYCd+-T+#ILHPSZBuA6t2*Qb;{YD0#k)Y!4W@sPcJwzOUb+^N~hBt5wr= zz)dyqY-@X=eL%Re$&RTIu5xL~x+w5&ZP{9{xX8}ta|@F@ymohUY4iknIWWljz|*3K zRNbzb&?n-ya>Sg%W95v5JPh>_`^s(L3>MGH72sw%UXs{GQA@k)n_Nxr}W zbC`0l8%`C}Su3ZxqE>$owr0r{u@+;ZiREnV66I21=Yi!?;nL%hJ>iU4gEB|^G+DnA z2G-+vV_~mzontc%kSa1~>QM*TCK6-UP6Pdwkxm#2+|!TUg}^#7^Eko7~0Jppiw-H*IY z*v28Lse(Ua?}Ery_%2idcMJ|tVhsUGEKOCv3NN88`f1r zjA6fU*X5(*S7sf3{|5rgn8c>mHC9W@Godd{&Qlp0`4eX%1|B)VbR$)1ov6^|M&-iF zquzO2*u<~FuXU0^oFW1klEfn)f zJ(-n(7IEr1$_Tdwe}z)+yCo4=O#wU3EmsOaV0rQtN>y?yB@MU8FCh)rN`iO~4F8)` z*l`UoYzTT|!89is5jHfQ4c3^IW{#OINtT?p!?}6SBFkQdoLtlx+gq(_=!#cGu_YC4 z?D=J{}GJxE7fH0`6dw)tXBCJQrpHWi711_OJ zwl=VASVPt~0p0Swy+-#v!R!Jv?uVvlO@LK<;A>jPza97fOK3i}e~fz!{|PAMOiepN zlMV5m%D<}tp_1hV4+^-Lrs0egeg)nO%Iim+OG?mr8zx4&&sG7J_CZrutz`lPhT!(0 zT6#4TQB)y`Z2{XN;s*jL81PIYA;Hcmn6VHCH02;ilIdPJIkBZ@e629)GWBp8qSIoA zxB(qFiuzE#gdZ45!o21vsn~ULIEC!ca^hF2(Z!mC73tuJU-8%NoMqEEgkvB(e)%x` zjUt)_E)@kVJ=@?abQK`-Uv%=RFrgTYVf`adnzwNh)X3#!i7i+_CBo2M$7Gk6o){w{ z{QY)O`;11)AZ#lbdOGVRQ=sLa>zJbF8P3=ZaN&o&kzg@@=ydue->MsITWLXYhD(aj z;1?*>BHtP9(6kaGZX%zOcNkNUs=HEUgY{{~amNO+P4F>(Nu3vD-IT-|MkkUg5oFCK zW=#C$I*lmqtI(m}Q_uv$lPfCP`$XWz{|r>n2S$!Y=+9OOuFvyavI(`BUjb?Fgd30P zaFtv)EZBd<3S*n*gt{4C0cL+SC>!~WA-g^n(oc^9hT0dq%@D;R9H#cBsa`xLNQa0Dlr04mtfx>x*Z?ZF zjMZLjfS~JG-5EuX=FBM3i9GW#kZna^E)u(B*)_ZtYJ8YVdDsS)C6()qk=?b1OF9eP znkeXt({=twO=BJa*NLX8+vBBSY?W3j#Hh9OrNy13@2roX#1 zKWnwM5PWP>5FTA(V6$}J>RPup`8MAhy0FkwGEUiwL+Lc>@QChd+8j~mrtkaV<{U+( zTg4=4+FViRX74xP=3IHD>+y+5Y-WNCQ%#oARcV6St<~eAbTM+V|Jzkeq-QTq4z)w8iNPhpeGTUyw6Hxa~Oko#u zR;OJu7!Hm`z2aIBa`;b$74w z*6qYiZWfQc-coyiwrbI~g%CmqeNEt+q5Yix0-3}oy1|R{YIXK$d`1UQjWlz!ulcv# z-G7H~_b&?aO#ewWa{iBX)L+y5{aKJo79o2benfs}4~ujN0E7n!KB^`|B&P~N3IEIG z*f&#i9F5NOMS0qrBlURvk+g5EIP}7fo8TW(U(ke8>V5Y?VhKL@AO!{l$oS1=SCDOs#>~vj z%#ImjikX?I&1}a^F*7rB%xt%rVy2jxnK|azex14R-ML>H-I3<2rPHO;N7bjRkJjF` ztJYfOI)@gR64h;DAhWZaGHX0MY~}GkSzi{?ndo%QW1=gDY^jdvqp*<6MBb|nAmOvB zw9iwwdu;@ua5XT)vk|Gx6^!5l5{zdS_CJXvYP0uOfoF?}-c+fl!34@+h#6=ZNV$9uK|6=*XpD^lVoDw zvi#Rl49*WordPM~%cQ7;%7X}Mw%7T98cVrQiP5=$GIBsV3c zi2*X$wyl@>;*(w`2{w@$$upW3${vm~Vylgec-3B1NGD>>pgiy4T^?A$rd!>fNtg!) zNBp_J0(?-S3+Ma7pn3fU>=di)HKv|86}6F8NcyydOuG#85NTiIJ#G>_t8OCbwu~nX zG&7iN20AI&Yz7im+Rw6!w3Ib7s&8+6o}F*k_V3TH1`R7mNygU}F5V__odOy+;Nwd9pKkUfc`t$Vq z{0P$jK;+tK;qKg!-hSS;zE-MPn8u>l!*fWC|s8K9e6xpcKS^QQDy}9@Hyg;=JK|&c`NypW3XVBXG`#$Pvq zxdkjIL`hOEkH{zyY7UjhX$ev{;UH$G)%j+hNW#}SMT&%7o{<|Jj!MM~zpW@| z(R3ZK#}ykpN|8U@cjDXT5Y!&Rf>mdJ8&eYcV-hTN-gS{52#&EVJI)vg5T`VD8oaC$ zOp>0tkLkQ3T9sBc_kQXC*!6-DIQBvl)`7u%>s>LjS~UcF_50d2(xrXrNxZtYpWow` zfYNLhLw;BbG?S-DeX|v8cbj`B7t|^<+?nhVJlPtm)OuxP1(c^r5_LRmlp5Vr#wHJU z*$GM(qm(vk{?b8?{JkR{tM&_YO03w(6!AWw`cRA<$5foAk6 z41w|RU!wbesCxI$WcKrC-v51z=Y*E>|23-qo6J&8O5yi8ZQCF)NzXZsI-v|J`=rU^ zOC|NUPQN}9Sry;lU#--Wk+Gaj%~~G5a?DC~Ap3kWjoCBg2*-jHQxjpFicEAWe@6gx z;=J1WR6!JSekv!om==}TB!vYJQj@H6g`LHg3&yATib1EAESG4RfT(RX9_mb#RW*uE z!JAY*ByVdM#L=J|=K5_{NU}}TZMWdI=DfNo6-`@!6-Hc>?6KgO*)~Xt>=L0cyOk{R z+peW@6Ara>I2PW%8E#y@RuS5x84dBWH@5ko-x}uM?>z~i`1W$D$r>zZ3E5ebEhknx z^l}b*Bd!kHcpzf zC`I>v_sw@M#+p#7puDixg*w8Tud&&DKUYq6-w$66r~G`}Z~9wuas?SbwAa;9{BOf+ zQ9f$SuhLp7*Iu)PiEBbvPHu1K%&!ivx2*l#y0TGT|NB*{@A*eQNdoD53!hpiM(X%#Go z(@MIg2Q+rC+3p2wHYN#NacHdR%9$=&7Vza;``tF}wS6PI_@ zKfJjejrn^#P5Sb>JzHPAY@NrbI%sHs<#m!&G&at*nOf-&gIH*%X`+we{ISRIz*QmS-S6y`SBYj7yMKtz5zk(qr7Ey7A!12c2-~S%$>D+Mp(OrKRMtiT5 zhb~8Z&mvWxI{{c5YJ#C#sAOzoDoN_j{TA^86c@fOiMSeQ>jLP!I`Jd!6<~V~N%v&bk%s>;?CN{&& z{;YjeB^8XPy)s3;kB=CmqQ`k#{G^OhgZogSq6-_DOhc=vlv|fnTA;BEM(jcigM>A^ z%p{kcB~r48GQw}Cd}6X`Q>pshxVHMorw!ya&sQPpl<%uMq@N#`MFZceiXs|~#}<+n z(at4_nqN@9#i#qt&WJyUS-elEb+dAT%=M(g!r|Unv&ycMYH~H?gzH|A&_JeZe^`1y zSq!=`{7bz4|1GJ<@$aO6I<@|v^v|ss#G+2JRM#g7Fm8rAa&2r5yq5ynP#YI%2!Mk5 zKjfw(5}hNa-0XG#PkdGLRH1vH=FI4ZZ5It68*kIq6aq1`rRtpE9#Rc}R3*ntkG4VsZx6CzKE=NZ`OS zcz0-K1YzHj`6x8As4bhoS!d{I9hE1OW+J}mIlTx>65%s&&EjEg9rYuSAQpR657e5UHz4GO`9)O1(`}4%L}x`0@gmuo`S@1Q z37&VC&qFf{SnEDRkMNJ0<2HYZ#lE{Y^BQbo6YDnrqz%E>?X8pR6UF`;XZ(iOrQ?Y4Bc_cNtkN&lX+)J8KE5MXxR1$dWT`cdMo8nRb?mr-jZcJ0>FH*_=9FnLjaL1#$; z4jj)xSSUOndFzr?RLAk#)vwGd=x*2=r&YTwq{iKuuX&!lm(XfdtrusuYpCkgmKO<< zC^=N()51H-uy3lle@;ZaB4552fblJ)#i^;w|C#?m0<%HHn z*6ScciMEVMpk|7xNNs8rM()ikN(r;K7;uV+GYR^ISAf$c-EfJ3+{EZ|LAKKb!0+y) z@;kfhl7j)zD7c~jlzLo!TtWhxY8+yJJ~*T9V!(M!Xfunpj-Tl4bjJbz;0QE)xeQb_ z=5*^-dBo%ph&in5(AD2!_Tv-cX@a@)SYTmx4&6|Bx7Yg~!(iSxWlqzvV)dEC-feZl z!YHbn3B0A%uAo@NX;rFlaxT(km8qlB%>6N?ZS(!US~kVxDb|!x<9Y6T4t|F(-zy2z zK!28t+mi3eQJT+~x<~oJUe^1q+X}<})LQKZwndsyZTo?Yv(loxabw)_7sVU5xXykG zCQHN2b@s6%oaa8bmygx0hzH`Cb|>DN%vy(Y0=eAanAJtQI1oNL}xkMH&5vq;Sa zh-=pafsV_I1j5aEO|;9Qb!4jrab>3zw@4wO6++JKWXpqAS=Aw-nF?#)R)5T0&X*IE z+Gn>roer&7OL^hY0P~)vTlj)C!s-~Nep5J9YZG{scj>4S46G4TJlkcDC5uD>y9Ylg zdOxYq)Uy4{5b>X>!~GA{RdycM|2?Je{Qnvfh@Xn)({9^CXi$y^Qj3v9nmC>%F@8zK z427xs@WU*p;BPcJLX0s~u#9Ml!=$4}X8m42bOTE0MIniu@t~)ps;QuKf^6 zB0;Q@n2Gu;Y#BN=#$#TY?*8r!>bQyg)p@;DA^8V4U*ApXqvyy zcvLRZvXw7tmALo8jYc?wQ)!K_+-XY<18zmV_WC5q0!A%E#P?tI<*2f z>KO*5Ok&)mJy<9LL!X*Xi8Zdro%xBBT3SkIdSpBJ zo8Au%lOd2YoIF|Eh|CMZ=whIn^ER%NQzXjBqRA}iwmIsvRhco=hZY->(xG(eH8ecq zrb-fRV_F#vyE1cprezur^_e(+2>tq1!qj?e>c-ab=j8ru$cCVne_&jsx)bpNwbr52 zF+5GbwljNo*z>44E@coyXe>omFC)h=OJzgd=;p-j>DL-bSjT-GeyZ-S8|GBw+~CIj zqi|l=)7x&?uzJo$?Yqu;WZ;(8?%CVc*~;s} zL}CKDicOvh;$BKtCrDF%kcPDp?w1;ki<*UJYhRbo%&omdn_~FL2cK9qJ_ES^gy7T6rZHvg& zu}XDc?QQb-Ui}&sfsH7-uQiQvy03og{&OIs%*QR6m5|_W7 zwOXUu<4Wd|u}`Ob)AiVL4@RjZhW_D;GO?S=#zJ$-si!YxH2$>}z8@a8>@SX22wm&b z-}ilKnh8_QB6l`Ndvi^>EE-Y53ZXmWuUYqb7C!C7xh+vFQB(bYB6qU>%$`nh_bd#Ly?EE}9}%>dYu|M_cW&45U5sst9cQg9m)hKO z%Jw$rt}k|;+?q}g3=G;awx76W_)mKSO#7`g0bSSQ1b*<@TyyUBe`;!uLgqrf!WN*o z;S{n?^^bdyiVJ9aLS7Rb&Sy zgP7d62|K7l7kBK+nB}Y^3S2!1_#I<6(8bQKu`3W1tt0+wh%Md2HT_G_{m(SS{s-uC zaQ<5jF~h%-oFDYyw+&!6Oc9t=V4%F5;Q!|3N|-@wdh4IG(Q%3E{9cud71{W0Vj>Mv(q@FWr^-hM7IKb8VWy@e9>;9%=i3-Ls|?I9Mx~o% zPa2SV?*`U1=%iuZ*1}*79=9vRJU@eY$OGPvTj8#TfQKd+2`pYV-m^{o(?J^}As$#M+MpkJt z!$#_Xv`)0%Le$1CRZP4gRZIy3SySJq=s_Ia?Bp24WqLAp%9O09PEY;GgJZa?Bh_Pd z(6Ym|^1heD_YX+$enwkjqZw)mV|p8gKHB(ZWwnvj!u>#=6I6BFGzdye zxNNi$1<{{;XdLt3^}uLncIHB*51cKZG3j(U(z}8)7s5{20HOG2QgFkK$Z~IN>&PrpKC)qiW0TxL~Yl9?>XG^u(KWis$ z?jNQO_TP4`JzYP496S_e5wiROIbaK3z3V8{f>QIO{!8-}rlHX&ID>ieS74!AyOx3e zFCmtchahYrhlO^F-#1`)EBk+j!I-#K>rxiEbXsCk2@Fe0T2mIeb(X*IS64SHMlGmK zOVR(b$gAmqaNw-k82tVrRBg{$-89^!a7OTD(ur3c<6PmgcqmVDz24i6M)Y&od~m$G z6V1yIiRK1g(tYRUfH|@T#;n4n(jE%MWwBsKlHTWGO^jXUOUkt;%o zsbM(cxI0(6W`Kvdkeb1q#hw0M7Ps8g8n`hMMtm>^-Em#6P>x8N9lSSgH2EgeBxa~OwZCo8udk>Gg^0R#<0C>C|_v*~qow>R&hF5l`< zotiDjtMsk(S7i`luv=zU*DWugSFO6JEsI4AlMiC+cMo60+qzTwPraSh=3Rh+&T-|7 zM$M#``*Ol_BDhY}mn(Q%JqNv-2$nP!FF;t z5*8|+2EVY!Texw~!M7R=z2H=Q{m2lqOkXa@H*vUxYUF;sOBo8~Fq!wHrQaK zX0#0rL?Z7e}9DJW_oujbV8y2YEdfr#^bv(GsQsiSJ zkOUcEMnQhPyq}ehZNI#HTeuyEbFcDu(8`!0e@N@N9c++%dn~;LIS&)~M39?#@mxqO zDSFRvXV}5VY;w<2OO1$Syb&Gz*?5EWbb#Yx9td4kTY+!vzPhB^=UO|QS8AMJwZk6Z zk{mXdwFNfShG2?`H7!(rjRJjwk(IN2cvLr|uqRrk`;O5@jVcm^xUxYMi z(4cFjiwJI4V#f>X2T_fw^D1`{xcOv#p6j)Ta503d;owu$@KS#409s1bt~en5mqFq` z(;E99LE;RnfYgC{_;0SBqY-QSCA;w)@3AmU_I}%%O?GD^k12Io;cA;MjTOI z!M*HHrB2^n2>~ZU2m%{IKkt4SND7q*%WU@)bTJLjQB;N$Br3x)OQcsdI*U$KV!W#q zlRcMa^e#A7gEAv>I+je_WOR&19qF(6hGn+s>bY7;fhxZMy4A25=Po77`x7aFij`pS z!L}7ZC@I2n^j~^vOv@A+oUF8(_Vj6AWUvnk5zucu46kTJD6|fK>KaiQ3l>{52B7)I zknNQ69Q@4kpfc8X=G5@}p~O<5r$P<723baw;>eoO8$hPUf<>z+FgfGa8Q3ADf)@#Tt=0s7jrpm6zI( zNGzI8-9bE5n}PzGZUL%bu}igCDN=J`o5=%ogQ!$HOpB{%Y9Z8d2wK=N(L3^qiPx#E z_+t7_W1P^TEmfL7uTwd3q=H(ih9K=s+Y;dbYWC3DHY)MZirx5PVqS}gU{y=EjIJSw zi-Zb8prQnQCJMlbGr@S8#DadJM<@+Q!6K(1(}5$Ob0U!Eox`P z>>p|zQ5APOJD~7u_7~3IcKb^YFdD4wJ-don^z*j;Twga79dbb%H{OhO9WIyrnS=xp zpDO!A8gzMtT2N;(c`R?iVu=Ehej(Ag@yv?GOFN1#DN;D@Pk;syaVHpCG#iq}{f!5l zCKp8ku8+H=^UAGzbA5wR$X@LM#aG^Oo)|;PUq}i1n53nF&RzSp%oZ1*>Z7*ks_iAG z0fHRDd<__J;2H{4Wt$Tm&i z2}bT6ETO+SPn)7gEE8ldIL#y66gzm>6jG_8hy9(nC3?2d4sUdCL1V1=As5wgaDvvN zJQ17O+BzOvlW^=9`8wcYuc2mq7H!3ItvT#$?!NSVq14b{dkyC8?cQR3v8C!q!$gat z-WrZ`E~LfU*}+_)^`%w@>f70aG<&+GXHdlWMO!2Pk=6eCMfOpG%W5vSF3ObW(K^ym zEi~BeE1e5PiTCsE`^)&plyqKC4U?efn2b($5A<6?2~BCETPq?7+(R<*5zjaBaeJE8 zgc`HchCk_yB_gS}MGf8Kp%e{w4>v0{e5d59Li#ktkuR)quTc|a)ed|}t-`J|K-3?d zOb4po)1YBFUUz&^#Zf`%lMkE=GaaFDTnCL)HTMAa&*IXs7Q;(+@S}CbxUB~TU4X~z z++Iu9=Z2B%8-L1)HDP^dgc}Y7VHTXFFF_t2!*VPb^`#kYT0Wq^uGX*B$GK1O@Y$`c zy#^P@=FRiEmq?(XpD!%ssczQT`~B}>KX$;KvX{bIQZ6)1!t>tq#)-E_$zI5Kicf&i_lm1J(YC>IU{G^JUu;aRw2+qgVsHJj96uQ^HM2A_Y#w6@ko@& z*@Y}38sK16gBQOmqVqzm$nJx(^02;q9C+dwOv*^=07ufy!Q?Rve^Jzm0iK!E_|GQvt#TRC9;5gU2yDE2 zIXLj$+eC0siv6#&n2_rPG?-GJODKlcb0%s465s0%($jj(5ZV4`4&Pan%$YL5HMh^j~|A8MKA(}Xf;XhU(SHK;(k6RG*z>;%%X;WGh%$p}|yURZ(_QXPiY+-6JVCz^pKb|?w8C^YU~SCSw3h+%~5gK1M5R2w@+*e(IqHVmEk460V}L&l@nYSNpt|N z+XMPWw3Nz;ROK;ax_m4_)Jojyk?>a9Z)WyJo+P9A7(7AE<=eayiO`)h`Ne%r8M6Ll z_ye=FmxLx6Y+GVz0$~#x45k63DPJYb84`;|Y}*)R0lHmCSGYo2}HK+cgZ76z3??O{JP3=hFsUxz|GW zMFM?=T2Qr>Uzu8pwPQ@lZK*DndPUz-G3!qf^$IeMCoz~F8O|^AfnLTCl3tXo`@w~KDou%F`}fr~wERfOt+0Db-wu#H+WX<3%_7GuMnn4=mSXKQyJrE9exlAj zlp{g~_^>48y}q5|eveI}@++wT$=TR1LtV`?7sv(vIV>$_YmbQcPjPW)Rs}qN66k8& zZ>!s>k00CxYv4}tNnsFM>mi`$Ik$g-&4s==FMV%^F3#lm)(d)93fgkLuC)0A@+T~X zF0&C|+rHxA{Gw0M4*J~s`>Momr1w}=iZaOorKC`Wn0)rw>Ax2Qp0W%Heg9ztonky7 zluS{BrvfzZJG^H!;)eZ@cEr01`>||@0IVP$FQOg{XdzbsSuSpT z?uNU6{$cznh5sdU{#9du&Yi>omf&dexj!pP(L1@F0bOHEfWz$mBpF}z7mWd=inb^C zv5sf+?_`E<5_)x}9NQwbt$IG|lYMIy++9ssnyqbNtCN5R`aDs2{JL)nnobxTPX$4S z2lDmQgJgbK+{Qt|Zr7D+bPJS(yPD2X1Qlzd; zG9{Un37`Hx9WIvFb5?#T<>qD56g8s7DwFkndHhf6725iffB$Ef@dewzPlaY!ls)J< z$RzkdtoYWsTNR1y-6T_?Zc$mg6R&0UzB0=djl@4Ma~GEYXhMvCN zIkk8>b@+AgdJjig9rNpU3$1r{tk@%7KWeU361hK>W<^b&`n=xCyR$27xu0FsObkH^ z1V8LA-I5S6dpkv&9WnD;D&IE5*Pek-zW8(-r4ElB%o_+eY0oXazE$2DppJdsyM5{w zNMf048K!qMChj0*S~`5$)id-&GAvfY11GtY>05wCzJWN3E_bhBnBW_M~99~R``UsjDuq7^R z-Tb%5k`cU1o=u{*(ueJ+H@EvIDP=|cN$4`TZ1;eVA~DQ(y=rjwkC?zn1S*6I=C21y zQQF`Q?%P!4FfcLWw0vcey~d;>*XVe#Yo)B^#Eku2yhDgz*qcg$Nms_srPt&Zq*xy5)%D>YZpA+|17)K!ShaMd2BQYR5&$9l~=nQoN z)=R~HCy$coU7?r44L_nnJbs){dZ~ql($ldxh?@ z{@jk&{9*)17f1Arl1Ri~#)2i~yq2M`ENZpR?U?_bjT8myI2eCurQzJzM0#QsW|LNH zp7k8QN}xcqR-?41u6h}Bv&#nBRFU1u~r~T>jX}NWI?4Wl$o_uw5F5LdX76OVTYzY4ICN_Gv-+sR6_zFY8*_Zv}FOK6BohoipTorT=Ts;3xTPjn;8$X%os&M zVeiH>d5*XN7~%-;7u-pTdbhSiv}-};bV*XTHX}U_fXnw1-eu>m9z%b81tEv9m`au| zzdvqIJ}r2Jw?|!-Z7e+gXpAOn_vWvM56-O{x4-=GG;#V3=ZeiWpQE2zI%=;!oLOKs{_GaBQ%7ESf3IHWT@jKC3zQQGtCVDTrum{ zQ7UgyOWJ>I0=2gE`UPCO4-Sl3ZRCChEalt}XBk&O1l6ie&UGP+)oF*;382_6`5e5! zhfe>s>`ciuXSb&httcp0$m=Sw&jC7bYW!Lo^VH=<(dgJ@Nag6V@|yeo<3xTg>xZJC zA?Do|HkAK&D9EMGT$=6-O@(1X(IGysLQ4kR7@fND7u9^o0;}9=i!EImUMT{e@kJFI zFCV@yU{?RI#2VJWT(6$nl)3GsVLSI^7+q+c-%BlDR1r-hh(XY2#^=Us;7#|Bd@fD4 zuO3aF?KGUZ+S3VD>(FcPdnV?F*&AZUiH;Iv)*-p>6Dst*zwAtJ*VAo;L|9L{Neexx zZhV_(?P`d5J=yAZ1?JJZp`Xm?8ozFsa2pWE73IY&3GNwlDa38@&Dj#Z;W*?lo8arR zrFtIJDO4p*KD^w$%(=M{>r9cHCn=FUX4}@z26eUP+0clG5_7M#C6^6z3Zt#!0q^7+ zELun5 zsy-QO88*j~%bqSKaQ1$${R1Yb%r5rN_0ijx~p0u?GD! zaQa9-M>a^h4!^JZ0vsJFiDP*QA;W@@&vth5`@Ps0=UbGvMVA8sd_wP%Ac|2Q9WmO! z1n>WhTFd=UgD*DTf3upf|KH#ZawHj*p}dEJ1Ot+Mi7Ku^>li7Zjg%K~Luz%aTLuUD zW)gsK@@AmJWkk;_{H==Y`AHn9TzVUS#S`aRSC1TO2FgTYRGBuifr3qqaG|V>Z%80n()>`_m^+0al-JpC;;JsdPsrQbx;tx_Z8{AT*PB&fz_+2tO~vu z!NyqIzb8}GRIj)RWi(6ZEVwpN*U#T>eDcJbVi#v;6@ zFLaa2`|AY50tvWg>n^-ufY`!+e&M2%gYt3y;r9bUG0gwv1lQKr#n-jt1sN>tqUpJv zQ{rl~%3z0xv2xA{ssvY4p;igH_S)99+tdBCkHqFrBpj4KzK^x}JzXy^VM!J}xxRPL zS~Tu!JzU&|G79G>#i^p%RFdufx$zijsjA+5vnui0&N7d27|sA=-VATPd6jKmXI6`c zcLB1nw4~2JP@}PPKF}__Iy$=7JGU|}9Jruw%H&1zw}hQTkFQ9URay?jfFA7|vrqovp8~ zF?HcRW3yNJ1xny}J*{}V`Y~EC&5^1=Cq{#_OJFoEh;ns=M;oF4HY`OI^qQy=9rVeG z>?6GL7O;z!R$!Q@1+68$6gSsy$<~w}fCa=&DfR>?D=)dVQ$()JADBz+z6wn$P+eKa zVdEgO6iZUqM^VSuCKyrzS@N;ffg~S-7GdbLOqNe;`%ci$~ST;pSAq$6sNJ&=Su)9A*E(*i)VL%0Ch#ECm z`sqKfLcbhOVw&R{?5f3P#!n-%ueh@h+KnjiK&A8`Y3VN<5+c5d`L=`>BDMm&B7;wa z3^I%H41w7Nl=!1rMiLMoV%Ra5a+LZ})YKBAlsJ%PN$dzFNkKJONsaloNZ$3}x)ZzH z-l~N)33OGkvx`v~_}NSbr0h=0nmdd2q5^{Dbir3q`@Ln*RNB?l<+N39fw+5_q_QEn zo8;2Z)>6u*)!w5KQ94b@YDfqx75Ib)jMh+!)Y?qfLoy;U?L2gVzD7W~I^2*h6{N^> zrfbNk$I*foG$5kjI}M2|miTj~y5nM?U>+1-?TF}_p`W>3iA%7~K z28;IltaLMEF(w}ee8RW#J7OgrbTtV0tOZJ_6ZE4UCQC%(O%vUa7TT`8y6K?NA z5K^U#U3=T%&e+3{4(EdeeFQcDT5@_O=6n%}N{Cspaojd3BnALRr#b>uJR~$i8D`;F zK(=T$o66=iRzNa$b~b8q3o2Mj)h7pK)9+KL|7c*qU#Awo60TAMsv%-EM;O~AJWM&3 zqHv<W_7nt1El%D2Ju2H!p4`12L%urV2vj4QBV( zj;w{@uCeZ=ptx$c%K*POxo2mm`wc0sZz~=ui*DNPGT0^d4VH* zihj0cASvg&689C6D7MHe3Ky^ex8lWbRaCj3)ZjaT#YZ*n8|=bM-eHSV8Gx-q?}1%? z5U}Vd#c=f5-3nBE*GC|mlmV66A23deT}tO@e3+Snc^Gnpc2*RMF{ZKXxSEE4=jw*4+MP!=fh3RF+Yy~iM4t<^i$I?%CwWpoRdFm; z1h^dQh1{P48y>558q)s9_ui?iQzQaWu;t}(;l;c|m%yUlv2%jL&aas0khWBE%*3{5 z^}^7hrY{ff_c}u9#m8rD9{cw#%lMC&HwaV7ObWr>wApO?=PB+}LvX}TlV~K+{}L4c zGo~i@KYi>zbA0}HQ+{0=y}v$oft^j$fRco-IIscL1eVg7xWiN^eaT5r18l7}?kitj zulO?)hTMD}peM0q3>LR$W_(;0EMxT@k7GDv-=Q(kAvi#|hzw5Q^;Kl$yK8hL*c7b} z1l?!nAzcw80W|gfn5jUiAegU~qM*$ut3PS+eg`)65#nKC5ETLBDSt#}M@XQiV=h1J zj{-#c0KdKb+me&i*awC3@q$W~IyQ+m? zmL?IiVBmC;-LNcFbS^#tRiQuos^EfuqJhbJHqcfk(+<}|5Fk2%iBaN0eht(fNxUI8 z<0K%-)FK;^fc%AyiS=tiC0>0NJ7AE|D(OoAg_;?Yf5#`#-H>~|_B zg%a9OL24C}&b2qgMe@<^r*!b~rDxG+}J396BnVJ2%gh(t{(n_!L1Uou>@75du zTO;pRfRBJn&yLe7-i$5}O%|-vt^00aHmV%7rQO|*qgvvRhr`zurw;hD#XW>m>C~O9 zm76+3KYzAZZU+|4JcESQ*U-*3JfY~jI6&a2VwJ!Q5{To9ZP_}>_^wPvkZd^b3mUAc0dnUj2VBSnwDWHExBq1T4aB)5p z`AbHqvj^Y7E_A&*a5h0xxO2@UujMpP{liu%II^&?DFj1h3xSSqEV4Z(zPixPZLy=| z0*Su%8GI7Qvir+za|FgW77qy#G8*-Hg#&lM`PZW2DBuW`LHwFFaF;bF|g#K1bM zXDGE}GN{OJSW_=l8+srUe1c%TVaGps*kk14MskwF@P1_(L@pf4Z{XJF4Nm&s6;&ElpB0Z2sb#H&zJR3(}Q+ zqN@E3hLln>?_aT2FH#ZPt)hFa#Ks}bIw0u zoAYQi**9V5LCf6+?3c4J_rk>Sm-nRE$0Ew!N{qUX*8VZAuC)(K$BP$le`orN-Lstk z60`q9{^dVs`s|XR0QeS_pQWw6j5;e)3w1brv6-#v`Gan9Fqh*_@ zs0g1f75TtLpMc6#rebyT6G~geX2vo@#qA5EH9Yddry)H8@W`yQY}T?L`7pJ{+R&o2 zK(l~ofblI}QAQ$DK&mQ6Nhre#yKZ{Eg&6IvcGZqVLyB$D@pNM-7Wy12JU^t`I{Lr_ z7e0|m9ji92E$j+e!45W?4KeiX0?yBTGlN_SQ$ z-bW@*M1C|iGDI54_$n?JZwtkVNT~~FUYNw!L`cepgtI&v9RkHhAo?xXz^O!1?eQz8 z8P1q6rx`&aS$e8@C!b5iV`d2N-d^{lXAl#peT{4KBT0*Yckmu4@tAZ zZ2W6twH65gM!8-{3Zq<$q*&--NYw3f(7nh5e9(PBVK%`T1XZcUkIWUTmJ00w0H@g+ zt2QrtuPu`?W>OP$c_#0v$tc%RepmniX4JYdGm*&)BFWZ{QyXNu3OQ1&-iQ>|4ktVwc)HpbVoO|t+l%=5j5NIOR95l|2WsQ@OS98UrJNSkE-qT_3h~DT(i@!*?P>{cz?SHQHpQ& z4ynAm=y`jUC3-S-@50$PykZ7c&TTxWyPlyl{kdF@t8Kpd4aIEZ|B1`f?LBRn0nFWZ}LRW(`H;y=> zl!~#dDosgzrT_lJ&?nusYt7KmzWZ|WzVU6E>xRLM(a*>5&xCvY1bXbtOCL_`^Wn0u z3*Tu}5R2e$hrK(#E+#z?)pOBmyg&1$pL0?+54i5{N!tu>p7W1}V!ZZCQwsAbW>p}^ z**_X6qs0vz8O*2R)qw(0ayYKLaO|Gi?Dqy66#*I|nIbRtAa{S8SaRQ}QDj7Uty!CkVuD}Ast|ga z&{93Ni44;$lP8tvU+KEVfu{ssU#tCEnkw-RC|I)YcLS}4{=kVP z@z*;u6XKq!#=P{>83DP8JIijCx0BaPM~^9D)Zq(0UvKxDiw_p5CB2UA`4*GU*ugNP zf>l^JzP}jSh{!&YUF;l)ty9?IrtiW>R}k1RghNjsB}Zk30T{DU2Sl*L z+s#~SkezucrAG?Jjd>pO9x&N>98}sXi8OYV1zH%WphbS2rf;xkr9UxY(MkcolTs8@ zhD#2rrz+Y`t{=imTx4X&3zq}N1E?*jF%ru6Nu+t(cgYg(0U5F;5sy@X4fs)e;Z1Dq z%Q3N1$TVJNm3vbB7L88iR%hQ$!<*d85V)<##dfHRsvr(^%mmj;1c95M(>kGym^~39 z+V+{e4ij6{E)rWX$NUm;k49zQ(!FL<#C-#0|+)HWk!kz5Xii?TB8jRBl_q$jG`BtILEu ze1RHl`7u?c96EB5F*8^~WdvY&B_Bar39>Ha+n6yJH##NJ*F)$7LUwL++kk~c=YR|J zekhi2~aUHGc6(@Uuf;0d`4g||a>I^?rhob%$Ts^WBW zD}9Au{RLCH)&)4 zitY3qdpen0n1QkXq|;T6^u4Tc0mR|0|D%;tv-$RNo-xvHkc#~3x8iEYmfe!Ymp-ltdrME_1|E|tfo%&bc)Bhputz+YO*0gOiGc$9{ zOffSvGcz;B%*+&X?3kIE$IKW*%#1P9H~H;q_xYBE!s_Lii`+7Pa z`tjmwQTvk3%4LA*pOb-l-;u{TqqsgsnUVX`nxt6&9kbxe;(89SMw+a}N>Os$yIH`W zH0f+b4Jp}VSby{6_6)g&MVc)4ZugiV4QmdJEjc+%W+w;R+nntRVyxxkwMiE}eE3tNjD~A>34~M(FOM_& z3(I+~5iC)VmnP5B#s(1DM<0k;`7oC!#Dcv7p8_uHhO;A26-_1XCJ05uc%THAdD=1g z@V9&GUjg?Xp3mNQ)?BxT!@3ptF)>9KzU1$(-28>P$c@ z(hV_!KsHUt!34>{f;!yQztBgKz|2-VilO`1Y>`Yorx4ug4b%sy3Zmhhg^y5oBUgE zUg%GzHb{*S1CLXH_TU9$Di2$~2f-32DG!rqgw7OUcsP!6Rr&%B_VsAVp$Dd!l@!O$ zjW;()hpBvc{p1(fqK_~GCvRR(kWSVU!m>iERh`t)hBt^Kh;j=Ocy5Zad+`<4JyaPfHX|@^5Y)-?QG{o{p4PHoJO%`FqQw4~=CS zE%fu73Lb_=WTLwWpF)~CE95lJQ{=v~T)jJ?<&)Lft@3pneor>VF%h-q)MfgKx z_N-VbWXf25cW-n}RQrS!I|`P9DlrdijrJRW+c)R=Y*>nubBl)hrs6)-?t^A_VqIDr zMLRb&?dxIy-PY-Cebhb>+kIlefjJb;S9*MiEtdTgMCKDsI=8S$2qWUC^~@qjaz znU5CU1RHs*<_sFe+i7q;Sn^5~t1Iwpg`olzdP^WOtIlJwyIobpT!(Gd=v+r{E0Vj3 zAnsbxy(am&H1u36b1-5q9#6)I-1xXR2Q%%L&7n5`hQDqhf)Qnce>q+KhZart|Cz2> z{~dJ&h!C{ZlLU%0!2PX>lk<`!vTz&R0}D9*8xB=?qPuJ$NSu=Jt-B z-LxLM*As`o&gPQhCCI1b$FrD+68ED&cn_w zR;aE*;XGufWJPZKCaxilu1@h3T2UZF4=__N3@^c}pa)0S5C>sbpW72oStc}ACTwP6 zb5<8WR=i-z>Y_V`s3--n($N(Hm?T4X;~JxnDR#K?p{@6y0|r zn?!ONIj}6u=+@u|jV?@1nym^*T7E4C%4BmpE5~77woxU~c)(b8Uj>U41&{UD#8eZ7 z;ethetFI_ULYn~t1gttli4Yng^wh%;#;`iAgwd^xb)q*CSdLhZ1WRG(DFj3u6T5NE zFf=i(A~xy6x{$tKw&-v%9OYwTN(f4=*ez8|8V(*>V4LhwJ=XXriz(`?5Fp+$R<3u4 z&zJaUzer0XIQj$umgUNMZP1hbQQcVi79)NUTSzwUIU4q0{K&Vc#SS$1?8zqd*Ym?U zw5&A7z4mSzt&M0rJ0P)CGw9McsA8;{4(t*jw5ZJ(z4~14*lL)5#kpfJrPO6euOzNf zh^S0E-*n9+L=KdjnfWv9@p2J(VRknWb62^>CBjXgKlc5f&qt@(=Z`A}|L=~?Hv9f~ zpYN8_eq90gEL5&_hC>C*&X@Q2@%(X(waw_x?(QE4p3pM^0x#oKMho>keEi7otX6~9 zPC!1$tqqPqPq)^)4+-t_(L!~?G1vkMBMxDyHFIkhXQpmDPv(+<LIVDgasXmT!3X{b1*Nn*ugQ&9JijqKDWx|L|xo zK@hoHD&ZWX!k=cTcz%UH+;e+F`pzmj%gIOSUt7=H&1V>N9a^0`6kPK5d7}WRd~}`M z`qb^fYN!GB+8J4ye(oGkv8&HgvL=J~lFIrxt)aT~C;RgHlq;`c4dXNxv4(9nzhYbZ z0^n)H`ji-LPsfUH#iu67qsHAn(WCl4WxcnXYQJZm)9~)bR~A3tFS3ZC(Qj)qg=mP0 zL`RWWVdcDXixU)H_Q3gW9fhBy!_t?3L6j0 zzlnNl12N=(quyYFz@@*nMM)9_sgpZ6gR`n?=e{V5IeIN&h%a#M4;)L$<1~0Se3>>l zp~L)~zb{Sk-xVdXtMqv*j^HH*<~bLFID!WbFKvfw~R z&vG-;%Z=z7CbDgn26x>=!I=!IW%A&}SD?znR3yoj6rom0kE8XK^g$Map25qH1!_wU z2YAqmDjR785x`@uxJb>J1nmZz5n{5z#)Mf>>d+(XqUhA3K>paZp$I9Mll~Q1UTjdH zIR*v5+M@YM&gdPT%qPj44N>u%V!4ZoKZ>I^iMoD7L2?)mI?RN@YCc5^zFsV8NXLzr zZrMbMX4ytax{7kz+w6<0d7;@vaNjPIEGG;-h7?#H(=4ut3WsB4RYiTD3>E@UZNpq5 zHN6rXIW4%>UKOhlBO(Wa3VvdlGzo4*Pr39aHyGhPSO{yKGvg{)i1QX*ESPLND~0M9 zb0 zcrZAqmxHIn&}~U+F>L3YgS-oc0a*xM`rPzcDI!WZkUo^M5@_0fiP0GZ8Ok?e4L?yJ z$H#qcOdb}_OJ2_|_iQ}2KHIZ_rgJ8X(RY(2CQHW*uF9O@d!S71{oKs^_U<+}?+_K1BO%vd0^uaVvhnV6(>^d%G;@q^yqEsxnF70y;eGi z-l}efLFn@pAc%uH8lW8~9404@Y(=GAH#*@mEaHn~A~F1o8n_p#;Z zCrZO!Dx^aiF!A59z#N%Ey}x!sLA4SOKKgsox|4j&0(rIU0fN~J4r}iZ9amS|TU7#G zNhZ4Fww0SV0cN9{@27_jH&cK)7Q(3o_ue*o*t7H(&pqV28|+_IjoMM1U+fQEbJ95P zNbPPMV?uNnTa;d!0oJ-d;a_L|+&6T7lIYcbJgpvNp*@AHYE_M|;B{(xX#2Rl7{|J^ z90L0u@sJFzenKzTJwMG$u|Tirm%Z4&57o7-5|A%2w>f2gI;`VC@dVY zRyA$?`&Zx6qDE^*SyiA7^@Ak*laDn(vr_y)zioLIM6)(6X*5MX67vo-y58((O!Ox6 zI%nswax3mv?$JrSmf>-sbfFW#j7tUmkbm-ZqxsE?wQetz_hmlm{gbcZO@Z^ARO<-8 z>^yGuvPO!$IV9ri-JR;zPJA_EUx|}|{ZCVPL?l85TV_%X?DG;Qoe)@4>d>!F+>6sV z<%kwCssn{Bhyzj~JR|qGe5}{a2jBd8S+_fIKMN*;lN`oc87CGPwO4q_GCamx7$=_d z8mdFw>cl+^48KQM&oOfJsw!q`JX-7I_ZY;v_%GABSL;KRGY(1rZt_5th+hyDR6Tlp zJ&l)N3Ztz}dF}-Zcap#x6ctddADxnQp#jv!Q>*h zQ<3N`t-YshBNa5#?5ct5m6%W{`e-pIrIm&ojS{oCgk5r;Jy#T1xoe7Kt{|ZjBeKXN z40*9;DJq_3p%f*CF{9aLI}~vfdob!`HZf%iW}ee*t}HbZd-z=_ZGeToxf-<_8Y`kC zT?3w1;kPVtb9sUcMH9}pKc~VtG^8dmR_3>Q0)afrEpfb3_=)5b6_WQ#lz(mu~^dL60!q`48_uzV7wBdzr*9_n1do? zB{XIUij&-sT#$u4Y(}iI+&G*QcrcTOBgv9)6tY1HxeCd(AumOo=v?qc&@4eld{3bF zC%rEeLXG@DFv(+Rrnw;nWT;Sa7_{}xoA%Ys*e~V^6Ny4lcE2iWXyV3U1iy}3CRe>0 z1-sKpV3iurQlo=aL{f>>5{-qLEjer6yxt0>=f%Ppy)%udoUuF zs`2^uGQx06;C1hG6!2=Cv4+@rbro(U-j&_uulLRo==GfZwaYZ7HX*QW$pB3;oU5M{2bh&RMdTRxx>E<|Mq|Y( z0r%sfyE$AD7%=?juFF0J&9m2y=cDG+fZ4SnU32#OKh6`G(w}c7F>3BC#7l_sTtrLF z@+*W3@;^_h_zU zr`V2Uz4Q{l=hzcl3aMtXG$k00Xt*86C=xC=SC&>WEF~CMv>f3jkH=V>E7IUOB*(tr z06l>L1Fvqlq-+kul9w;i0q6Fu^CstZ10S$o#g=6LGT35%s>k;4Hwc~WSM4v~CfUC%}fpWGM z-sBDC{Mdz*52B78f5KbU5g&j0@&g~~SYcvC_QZew%=zg7SD6+&=l$}1_5NO;8gvy) zfsV9I*==1lzD{&BFGt}upioJq&h%KwcU<{=w*KhH0#OHq`R%^4Tix30GzQ;e^PaA| zOCG8<0^xQ=iYM{7z9GKbj{{u;em|$d&k+#iEHoZJ{;A)!G0%u8d)IFscr`tff=oYJ z3F@V1sz3ai+x+zy5Dr;3FE}0++tIe7%0a9{`L@XN(-Y zO}ujl^+cPk+p z2~&fz(B?)`kLw$5ftEvs;Yf+!D_cOs-UL}7-ym@d$teGG4ZeV4^z!72Z8 z9REN2E;-o#jjs7zYs2BMY!4GG0BA}fym?>#wynB#Z@=O+L zaBJa@B^aosoC?n5QMhhgNpX^Dzi5WZbDT?kFjBQRFL2#NRal6t8Yqnyx}$0BP%*iJ zKow;iEmI=&mcxEn!a{-zssL$E>8nb>YmRr}E+m z$&9s;U_r0ULh#U<9Sch~3hpv3q#ol-Um(|?BPbyk%LH~%-2lL2u{1P> z9~NHi2#<^!z;fknkcdhJ3u8DSlwDY>=qrXvV6aTte>%90%3L+(49WCSA(t53vSf<_ zWK(FfG|GtCBhVd4Z86L65P#L-=Q6^sjdrW@?)Gx0or1OL(WYHC^XP>4Ak{M z1$}}8p&Xmq_2eLce%gcx&;>1rc;bJ`Q?H1mjI_Mb{7Hs*eFyPD zr@oJdad@qoMi&nH!91;~UV$U4lsjx#8g2beP+_N5rIh#gJUy_P3;3HgSl|-zvo(ld zjpmVNjw}GmhekadVnNBEx~0-EzjlS|s>XxZurb&b zw0ujEM^|zbX`{8>J`?l9E zU3*U+Eh5kT7|)H$yxhh7nwgcDg^P_xZ`Iy?Y~tjBkLXq8v-j0s$aVfp)8kVXXL>%H z%$==p!z(Rb8@qSrZM6s5y>#Y%7k7ieLvsYuZRX|J*%ac0DKD9!bpq7XLDGm^0$H*0SEAY`E=b zJc+H_eVyL(mgrbXep~KX$uy$8{R6VWv}dtNC}0v>hiONH=~tp7A3D*9U;RDUChE4i zcYjuySHR#;RbRnChtFV}VsEd-bgp9G$n}|pEuZ7+g+8D2>bpLl)9MJ{Gtif_`WnHF z6{?o?Ap`rf^5ScDyXfVUXWEl%8T{L4c${?FdBw*D`S-5bi0qp$Y2o|i!#*}j7mVjf(mJBh}tI& zrXr2mOdWrg0FmrMl2L`cho!;F>O+%C z>O;FIYLI2{q_AeDLnLM>I2OvF=W$AsYdIQ?w>te)k!K0gbcR9Q=1f^;9+P-Xb**|! zz<_!~ES)2RzA=JmZ^#frF*>298bG>euH0P?r6#Kk(aK4rwF^rx2u#jkVIMc)`|| z)A$|b_3y^?#~cMPLk>q8K~}t6B^GPT6U^>V~Z;P@5p-$v{Bw%ZXQoD74~|YZtLG%^>jY>?Kf`J_6P>v z+#ReG$1I=yNnvt$MiL9kC?@UzaH!^sA&<5Ra4X&ZYL-rnjV3#p4zK4eI7M93#e6G- z#Z%MsZ#6p#Xq}Qjq{b8-`QH5;uC9Q`y^WYV4}s*`SZIE3RbDUqg}Z>cj#?4xdVf#9 zH5S)75)P1f(%1D>;8I1-klBB(0h_^>1#i8yeVLnP_6>A#mO5hUQdV8u~e>Ux(sBFO#*bdoyHt}J5Zqk}F z^A4`tCydox>1@sm;fyH!Qr&KC`cBB}9=Et<#BU3$y;!Bf2lN$HZW> zaJvu-c=*jGtGIIMGc1th@8beibHLl1|0&R}YfNG~_R7L9AUb*xV_QJ`I;SUhm}0o? zwmJBEvfJt^prAR{H+C9eR+bVm{3qaHY7Ib}8+&FyD(RYE2hhvMHw=w)+_lJ=lBip= z0-|g=*C(+D)1O)(+J^1mDc`}lo<0xx9DjY-GFKT}opBO2G~NrK`|349&oAe_L+;Zj zM9qp6gzVGLM6^jD5$Y<~{|?pBh4D(vHF0^v7bC)j$guC&*8@}%znv5=S4Ho z(J^bw738%v-jYQuDo$$J%5HMvs633ysC-dCW@?HZ5rC2tm}!bDVb`Ul`4n#An~{F8 z1fU<1Om_%Oy;{~5&7D|Jyr}_!jTS12JLz!pT1vwrTvDM$2efh^ct!-Tl|=Vmn6v>= z9SMcBl9DlY87G8{5vo`Tt-zV)n2;W$$Xl6S%RMQ$L1lZ%@nj}ApJQfcP^lh(2>wnF;Jph6sw_i_7ruTX>0{c6OhQ1+R<=Uu7fzC$u&jz zA|p5(5;z=ReK~Wu0N0lpYqISi>v*3in~&V-4WV}@V1FW&=CKDE?QcQ}>*Y5&58`gqx<6UGE5$rbU*DKxICX+TpZ4_fI|1t8i z1+A*l(iC5wUow#?$0e6crx{A8p z9@~8O6VvV0kI&Wi+!kjG%gaZ_E%h7o#=8Es)~z8r$g9&EUlj0TAn?Vf$`aJK(_22s zEnl^&B!88@U@edTUYC(dIsP*d|AfkW^2@Dbz|{eWe&*Hx-5xue#I^vd|65xJmOo74 z7;eTo6e&MPLDTDp2AF)&0_Jzg%Yw9Nx+sDinSE7?q|V73@T>{)d2#(i8sg>)R!O%> z&h%>gDoOj!P)QymKF3~LyU`*hxtGeZ(E5=X(@k$Y=%Q?Fxkszood1NAIzQjNcOyXH(Vo$rS6By@ zdh_rD-3Wx~HP7;2u515859I$6J~;lJbo^Xf`7d%7xbX?332u-jqtOCsf~|j3LFiTy zzAz(%Y_wT_V+0^zYkj_ZrMfwrtn~~o3tS8rDv}ARyot?YM+zk0A^mZ5BF#a`!w4b- z$)rF6%St7XVyET%!zy)72-VoX!@MCNA$gD6z7rxHO>&^^HisRhk1!nFmWddbV#w}K z=1}M%Jmb0W9UJpbdrATA*v^ zHh5sTh9KI*cFM;gC`EI+Q5`mj=gD z3<@niK^f+==GArW%7@p_y9STo>+ zytyP|S|=Lj@%I{hw!b|cC((6r^8jCUKbm;F8+(4~0riW1{yn@ry1fCmm1WI~u~bzg zh*Z-p%jULUJ(d@ic|Di!mOIXCzW6$>sOknTbCTG=Xku^k`+vOG*7j6yQ~=-^YznRU zdjvlHxDHn;d%0o~GO#tNftvz3OC5nQF*=xMSzt>Gv7I=z8IFQALrV1qI zFB^>9?m0g~DwA2%Mg`_8q39b7Hl z1CoyeZP>`?`iq>Z3EBQU1~;xMlJ&MwlAvBNWEoE;FCRW42COiV=_;hm+|-?;I}vptx3H@0{6yj-65qx;m2VOLhV z#<;aJ=KEqBUBO+htksIWraf%H|1CthceX*H|H>zETa#UN%kKWj8CGR*5ic$;^a?OU z$o+hhW2xbMu%CF*8Xlh6nS*hDgzN6m?$Kq~`m&+_(w|YApm|lh@&)_P>+e@jp{$6j zoC6j7RMevzukq_y+^+W^-bU7=0zsdl(3R4QZ|J7Cx=R4#WEt;lDeu;19h z1*_AK){&R+*Bj=oaE!9k-uE1?nJ%mNdGQJ7=2rG@itN6n9?F)bLc%j}mO~I1sl-C=D?&BeK?G*Zj zOYHOGvWbaNn`nzcag4R~Wv0|`uj(P_SB2nJx?}FFK%(yZ5pU|W4Ka5Q%E7b}Z=wIr zlSJy-H{MEr5-t~4ORD@?f$%_93~-ge&`BHOvAAFJ&zP)*z>5_!@3^~1UCV>3<#dbv zGm_Ncb|jd0R-YH13jH|YPI=t#Ylh}z6#f6f_aJ5;{ReQk)?v9toW1*b4P~?pZkuW<_z)2uDLuo-1J-68}#p zX(W+Zr)V=rsWFfk@IKygFzpP$7NEc)JOn)29fRUTNpep37LPqeNCOtDIhO-KZ$qiZ zrTpb*M-(0xm+~HWThJqteoZ0-TWe-q};9bLW8FA<(h0=a>W+_p;`a^E+lewAGN-CP>KzusQn+maV3 z^i9D&%LX{v+xcf$E=Ic*O_GNzE%^ z_)yWl5Gg>oh+S45F6z6+#;@(@P@9p@#ivthTY89<0LS6^*oA#cv$UWlea{&@pF6Mo z(ya!ca9oB9Jg#w-`K1OhsUwlUc!vXz;fHGR^H-(g+(m{K$B!!JHVS^R0uL$&+(k1B zFRNz>3;e;vniIg(bNEqEo?1S*F5gF8TJ)F#{X2F1pS?PtFE&qSAS;`NI=yRh7wFT%%4_dNPfzx{zW2%5UTfu9#Qk^@f1uvBgV~MX zSG{Viva=XPj$^}9NJEI0QAb~dmV-8i7<&yy-HmJi#%)KQ_E+SL&-hsscQsY__Rd2M z4&9n{#tqCa@))K*HTrv-IfoCzwQPS*Gv4oI+$rL_tz#y)>1>WXcPTs*8*gHw03}W} z1o=_x*F>TNfXe%OeDw)+c2hHqDzF$+&1$;v`}J0&(QO~!5I!%in)I|LjQ+;Qr7=bmb0;N{hJZ`f0FGEaS#&J)cYy8-1QZ4zyI>^}c!^)6 zKwv_PE4zah7VhgyMStUoF4#fAEF46GOd?mpAgl-e7bvTz>JQPCw`F3eXOM8DcFUH) z=vC0rw8`zdNO)+Ywu9}u#@CqLkWgzb>BLM(>hCMUbd0wIW8V%?6vC=BpdDH1pk(NLmMO(`#pj7i39~lhBpa2SR$6_Su6=68Gc_qu3A}x zqXkP@b4}+~okUkw96*;Jn5I4r6|4pcrYWA7OWM?cvVz*in26zWVvIScM=W*9M9e=@ zP?=?{rbR$i+hxa4nvRBG#~{mLVq~k0*eFkrCvK%)uFOoS5l2?noQ>f^>Z8;!$t;Ue z=mbcv(FoTjA;!J`wn_y_3pTU^QwoEURQNLSOp!_^v|mB3!qFm;&1PoHQh}$t;B(~0 zyokPwMqTYi(UN|^N_M9N+cCZ@qc*l&fzA4mZG%RfN$s#J`|=c~n&ZReYp zcfA2W@$!Z-8~I1rIsBSv;(LCJVW3VoaXPWqY#OyeZvdZP+d4x0(`(5sfC(k2w#Kt| z9evW@TWszh&|P?de(wEaLNC1m(^ePj^aDnPoiD7UnxtA6DKmx~WBfTl5)YtxC4e-N zKotjxm{iEUM?Atp7rUm!!tOKf7(|-6*Tmn_@|ElkeM*sC(0O|1Vfmp-?GBJb=;+b4 zcEddg>(OHGfRmIbfA9K|CeAt^7P&hBk^Uq$L;d{NA7O-l6e6RnmVCWWvVv;ajxf*6m{zHa=Yhwbr z5(t#@#jnB+#cw{kI_#SAE2f_Y1mB~VH#RJzI{;HzRJmI><-~df&UUbG9nZIBrTa@Q zSL^`vhkPE}vRJIU6M|PXt+==6kbfZSv7g1%_5?mZ9jzE%9j((gniFqHhC2y{6NfMH zU{k(^@Ud@MT?epRR!*I^rWil{d2o4sl?|}21vFK{;BF?IKc6l<+}gZPofIEES!pwc z-?vlPHP6j-P zWy8;oF8buJk*@QjI8JW5?`~4%K$lOCuj4B^Yb*yKqf7#dreHIX#~5D)qh9KtD$>Gk zGEIliOz%A_-J;JLHE7rB_FO%K;$b7~R&UJ72z-v6ss>+I^|@_4$j`0?P{2^5-; zuIf9T7DF(r2VbKK5s6>=qnCewb;G{AH+l!AWz+F>J^Gg;^M7bj{eO^EJpU&7!(Sll z|01i#eqY1GfYI0^2W_%P>i<#tQ!vzE+?NI~44ECcJ&McN9fg(LQob3(G>^{1=GZfq zmj*B3Wg0igm4gEbp^CAS(p_Mtrx4sgdIfXSj%2FAHQuTXWKz0lY)d@4QY2)~!kWTo z4I+NYnyOCu(L;$zai>OM%qKA-tHxNSxS%fS2s&Wkk6icvBk%A3EGqm!XUityY770OXm z+7TLYAW4;5&#EJ5Vg|?gW596Mc!NUdl3>BKkbJL))OC{qZJ}8+hUSdRBwTI4>_jOx z84d>5y@BihL1~5^+p1s;fnY-lY7vI}PUUXel;^2JPvu7X6WIzwu__USgXDI^HlG#j z+MpvZ$@eNoq^_QwAAOaZ7T5zUQP$uIN@NkaxwMhJj|xl+jeH-Go%EnssFegPj6v}V z1zZW1ekqEb_B2}YF-<$(7j6-a#Z|EJeiILKL;hW6UG`Zui7)-g72y=M9n!e$kfk=U^B2~e;OH83#{U+Ca*uL zNUM1QEmztid_cnmmqlpZucB?^XFiQX=pEbCtEqiJU(BXI3 zotKXawHLeesVFWE=T|D89dM|ay~$PzZdcV_wc2()8UJ?5mRx`SV*kCEpjQRUv~$Vh z(Ze9HiM ziQQ+u{DT=ud4cC%+SB4;`)z&^{(Z1f3-)zG$S#)u%hm^LA{E$S2Q{qNoce@%VfLe4<~ks8_q?YBW35%&Ik#U0`;w=u3#)|fymyIt51ms~-_ zZu!EcmFeG#-OYPqm8#8Hbjd~oaqQX18G$EC8^uN5qEyJtD>f)a z`FKHZmL4uSE`$feDm_#M|NBSW+_BbAX8e2_2`F$uh_qpxVJHLxjO=3P=v`*~s^f13 z_2b3@OHRnsVfGG12}@=}MPki3x>2O!HdLVyR&A7FB?(zkHX7uNJQP&LG#JTE&lnnw zIO1~$U)CZgjNeTLt8JoDzaUAl|AGT0U9WPZL|y-(hLAbC>K2D$$|fz(qL+sy!?H^U z3XkW^VACSLBtK|_PUb$%LdoVnXoAY7IwmwHw}=SI%0~Rqk||AUD#6CHZ^tL5yx2rf zI)x`+Y_VJbFls{yF~Mi~mfd1nf-h~ae6LwxhE6tS)1XZA)tJ@9h@zTM9y!$@u=)!WAl^V0XC9E8YC)$Rn2 zYvVN8M6IzG>DWQ5mS8_8v4@vNS0rhjBcKSXKk1^B#y=p*+$gtFZW13ZvWP*jMX_mn z$#l}C(Kk1CC?2O;l}M|m%k8xhj``w^C_u@(a zo7erv-@#I`)`0dKuexudEpNc}{rlhrapyMi`P_(j9=z#bM9_v}=eEFwVabz4;A36y zwxOWQ%q7xjVSE8m*gD88sEX$R<;VUd_s%G6fYY~MdADeRZk~A8M-y*!BZS{N(W|d3 zi99`VuNNSCxrI&?x`wWPM!WaTpuc?&+nGkJx;_TxorM z&oFc#{_yMld{q{&vouq1)pGPOBytek_P@Iv#`nAF*gC(ev9I@dyf^{`_5nUi76i)j zuA3IDyZqKq*bRc&Zt`HSV>fW6P6yKK;qj+o@H<)e4OW%Y(Kg&kk>PwH+*4O;5ZbXl z=)b(}cO*iGeGPtL5^Vq5rk?SplW||zIH4f^NgsC%IlXP@szazaIv)Lv5q2LMp8lp2 zZuZzH2sU6waNHF{)Rs&qMOmFfbNcccHKO+2eVJ>RRKCbpH!E$5W*SI>&Q}bcAfpk6GXiUzsW*|qL zF|~MrNR*JW<%=lornR(I%9+Sd@DEsHNX>A(&V}T<;4_gh(9h7i;4h-`f3Nqu7w&!w z6w&IZEId4HdZ0t70ZWU2wloOqzpK6d`=osfb>n}Z6nth(=dkX3*oAdy^+N(QtMlj& zMQ<6QH^-x?q}w~r55bMq2dI|r6aA<0pT!@}cY+QPPq+1=UHgjHzCPANq`be&AM+V&WEXRs4e(dnFlIAZYV zIjLNsAIr@yc_klh&&URyjN8<-15{)`dp)c5XnuaCA6sAVx<5-uqqe9izE9a6$V7Q{ zRz|RU-FNtvmB8pO|CsN#q77!SRV5S?%4lc_d@EJ4Xz=JGbb2wZ=6e ztX@fPj@*<@CtGd6x+4M0iZq(gDYQ{^I<*vHI6-q=+Ui0~QU| zTGbbAp+9SOJg9`=uvY@wAnVZv1`MJwoLW&I@x6XCR`iw@P~De|E}?lPAPWZOQ2sQE zgcI7!jeOz>4=%b9HUoUa)aFEqiLHWFGTTScN%9V%U}c6NGsV_6vm%R%l>^gMVqg0a z{KVviY7t&FRdyi z&^NNf18fh~huMgLV2+(D{LLmDN(62z_Gr z@IIWG<4?2Xw&g^r$yHqslaVdfXl?d>9Tr)HkWBONQjno;41y9cLQ%A1`Rh{kNZJr+ zLn9-t^>A)UJ$t&uw%)G9dag)HsL?HvH5O-iQ5wEWI<&g;%(f5_&3U8-F1Vt;ts;gk ze&3jO=4%VlTd*}gaYxW_^M%B|BzC(?wuGEl+x7!Hpeu{Y%$rFUdcc|h{OxOz ztgcl{0R-|PJy+-U!N|SXj@l3*LjUX&ofSEFHLzmZuLH0 z?7j|rUo<(~zlEb;z;|hN^%{Pz{pt>wLdZ+|lD%oXI;U5|)w(j3AwQ?T{M5SDwR+>c zR^MqSu-#0(^}VH1zSE%=>Y@Ch4*h~=g=F1)>MWg9?Mt85UMn|zcv!p>TL!Do) zGar^wgE}SsQfW6 zca=xqM=lvc>z5OXMc(M*UtQkq>svgmx*A4p1u!W)8?GNsy?whK5ZxxzEjm$6-$+b) z2k*{8ydRQZ$^lMIUBBs9p7jNObA9vkx>v2prhF|uf4Nj0hqj+Q4*htr(Dg#Snpf%D zN%L&xhi6ep^qd9HCQyE*9W_~0skxEYp4{4yYQ4u~yNvl?KQpyK?SQxw$15w3DxaTUXI2Fhe#X z$J8YZx+Ze|lGd+O8B%R4@r`y+(WKM&Yvd_`PowZ;SU)ae)*mODDyRZ{D3I1a`)X9| z8Uzr!RBbb`-6Ed<6n%7TSLZ2%-GH@;bjWxz$@3h2d>rZ`=tk5nb0|baTyyu3lVzei zS>MZZdosVabH}9hKz72V6`JW*QJsDO&S>Dz%jKps`dE_0^<%K4G15;(^Mx%0^qXd- z;@g&sl@(3r_zT_uPS7a>4k{kb@jUGp`x!3Cp{9{X;Z9!8;m{sO*vY@^w6YB`dX1m^ z$_atj=ODmmZ1ag_-FwDqYhzaXR_du%oj)Ybhq%_a;=c^^|Ik+YKNuEvw!clutk@8M zl#Gc`-Q+0VFDmo%hyyiFV9Y86#=MWD-)fo$zW2n9)qOm;P@QFW0W2=BC6R{kJ&NyDlR2yyhE6Ow&hIAUKj0?ga z;PB-;0JIIOV(`f#vbr7jv=TN-gQOPuwf8JI40LAs;j#&l8W`WF)uQC((UoQ;;L9u$ zG4A3khS1dHSepMA1d zns+`aDsVbqk@!(yW122eVk07<+K3ieWYZ5TuG8uJsm>Ty1Glz%FSDO0S*NHjP?0Il|Z+jm8 zkpQ{TVr8NM7gp(G87nOj^#}1B2r;24>i=3wrv77D;hV|uy^q}0bX-SvdE*{arZvey zATK^i2A@<%=F`-V@yPjr5uh?@8E7vf6vj$A@r_HBbK+|XmhjGJ5L55iO;(B8w6=cjSsVJlOn{`-mj&S!#~294y9 z$(6;`E=l1j!$swn&{X)JC6mZWSOK!V%4iYuv@K9yv+UOAQ!&d0viQ{SpQV!sb60I! zul+|({GY{(A8nUh{VN=bE1#=RwPauhIV%e2m@KZ&e_ojR88+~4M}pos>utz{$|vp- zvtl{7!+Hzu3^zoh=5a05xjfxO`H>m&7e>?fA+Fsl#SFb|)Z)-+$&8OqAr2WUtyj7i zVY6fkF#4hl4^G3!X3cE3Vwwa`mnPs&eFu|#zuKQJQ!c42 zCK;y(K$Lu#H{fU~R067k3Qr)ctTu{^%N{LjsBJvhISrt!4}N=K-tv`8^v+^YGgnMR zT7pxf0NA5ODJ^1QCjNP|6E?-FIIO!eZSFyM?ud8UQ7nU6tE)Y#{5`*JhI@i3=zaaE z!d$E{mQdzLo}VtQ9R;>Ybss5?mh;Heyqh8woDP>w2DtC+(0LN?c`%WH4vK{5Kr|ll zt8Zt{&l9WyeCYzWk&hoV*W&8Is-)i^mrWdS9rLI=$bF zbu%hnSe=KkTxefhyoNY>0lW;~2$(SI1To)w)Rx|G=_t)N`0Z&z=m8Nhh>~o!EZO;MezE(%S%;+uk;_DW_%nyw{Cfem9UyCdTCDlH>KY zhX3)}@G5Cm^3>CE-`e5k;M9&69^Vk@G{DE%(m%lUG7Tk7Wb>uq=8q57iY{vNFF$zx znGcrpe{hwoY=6hB(b}*j2PM2T5kR;~cU#>$hCZhnkc2+jKs4SNxiFz~lpL`jy~Zy0 z^@2{8=z=nJEi+)<8QD|1>rN`0{5{sJJr)edmf&3yJ^!mw9oSn02{o=`JPC`ykrsy$ zq_`~!jHD|RA>1{T^k5G}F^oLSPqd)$1=#PDCMXGVpnC{8F!KpYifO3@C6uULIY3@q z$8douy?qe&(0(R%mRf<5BzJ;UfBjGFe6(1n{ueN9GV_3bd$3xarFtHjvH1Mxy2cF5 zPl^a|pCNZLDFWh*XOy$Jt3$$zCu|W2ilGq$?Y*X`>sX00MJAbGw4ru_lBrpA;S2KZ z7S56i(OWbn{W7exi<*KpvlSC2(H$j)hcHk_28$y-vSQja%LA1i zB}$;j4HK3e7IHQqxq#P>73w$@ii6+@(az6$s756>P^a1fZH+_#}mqRoPzw8kFb12K53L zL583WPBS70raOB4xu!AFB+#8{pWdbie?vkY-Um-;{*$OaR@UUETi#c>51E8R5=aE1 z4H97VQ_Km7q8-hm3ftt_vsRTN0z(=aSt(RJci2xk+%w7o*6TFnA#F9MZV-k5+N(5) z*gmBRJu&vNQIxoRj~fe)YS_LsLyL>Y&2K=UiRYgrm*K0|)!k`_&z{ie;thTlAKj(N z{hQz2;`aHOuEk?2`&;L`H~&jboBjr)qhDM1v4v&%XiQAE0pPvjz`dDs6htQ0b1yf( z@*J1!QH-xn*F)M1{&fR|@;QAq|ryC(qJzr}Xwu9q$h^5)Fe~N53{YxT# zU^?ToMugzH+ge}f%3bz_r>T8hu!O|UkODUH$;!(f=b!r%mPyXFYZ*$r+L>-%!In(J z-wv5;GBvu^oW$oE%i{-YAV57=i=;iWxkm;xBN0}1+Y`H^1bB7j+wZ3KEcE zRb_7$0?GRs-i})&L$wQ~bx_>j?lg z;`**C>-)ScM^$;+uZ8AMkXL~$guEF^Otl44m28*sy zfG2{2KU_C!tqly>y`k_1nU;8sY)wdF@`1CwJ(S8oC$rC;eX1 zK^gmd6X}L68OTJc33WXqa=|CamWE0I?Vw}TdW)Bq;7wil$e2DC%hTI3_4Y6?Rqvuu z*W{kd{1A(MFj{d7!Vya?yD<+MRXF{|M#%eWCH3)L1SKJ|Sz3uh;0Q0(2r`$Q6b_mP z9R|V^oD?1osty~1(#v?j%0vZ`?GIb5t}ISorcHuUls>F*7+%(SAUG$Y14ZgLt$;9w zn{w2)HX3uV9Zt}aTt&dKg-O)6HW>tIqx}tnZ=Ch)bqXZ9WOM38Wdc(c#W<%-AcO#*Tr&#B{QsWR;LQERGz|@8QTF84I9o%CM zg9VJ3sx&&QDbFwI0K}u{b>o#xU`ONaFqnCXi!gEIK1``oL*qkAgp?pyf#sp6;QaV$ zC-MWGYBV*j-_SV&Z;uatca>TI^H4Sorh4bJrb3B?Nv;hKk&AuIASgm63Be=kr+Elo zFxdnf)s$|C@=yos2ZOxLhL1xa4NRWu5D0IURhj$Ta|BzWyA8w}!Hu`Hg(a7pTu{N9 z^k^q3Z|qrMww{CB3DxPD;6SuxBuNq|6;vMD?lSFYvVGSrX!`ZTlXDA7S&qc-i@51*3=8 z@z1^O9eelf${9CJ-RIV$+1W24Em?FK+ahT7Ly5cLz$dTz4g;U%l2b<=?MGz>`babIPD`^JE`VYSVbcGK^5HP~L&{$8{C`zZ_EHe!q&6n6k^ zEgSR~8XFmz86ol9Yp&&Vz?yy?e|Lc|yxEUyJne};9SYZc{zT|(ckkaTJDWs}{0Uda zge@(GKF9lu8IhD7P0tt2YjP_+%{@Mg=HYEo!nYt`=1nNoZnwuxYi_gmS#BO> zGcecZp*~5s<07|xSvNEwx*tt)591+3VXwprazlLj6XTv$kjEG3q^*DECUfQ*_;1P} zIq|0vg1F$>8~}p8sZ%9`IWIiUXp4tarFD8Q7QyIKbAU%GVOI$-*T?SA(E>zLQgvvu z-CIR+EheoxI91s5mby?lQ^24Ko;?mCJXFAlAyh~|wez(kOThp&PJ4Lq2|erR3=_TF zk8@;HDci5NN*0OB*0)mX!IjUFnJiqxD*Fea8Hk{C_!_W~U6ruX?D(ixbOc*O>vD%f zI4HkiiNYZE5*2yAvJ_iNc#&Gq6c=4bRhJ2N#<*_E&UW~Hu7Kc*Hu~ZK^ZG&5nqV}m zmh_hW`!!cpa_N@2&0}2=)FC|gQ(BYfNMT4BeX z?v$lT7j8{t$|#pw;sX{$2$xf9#`{DT(>tR%riX0MEV}^lr^a8+vN`^lQT0Fb_^f|B z%htCw{TF2yG|O(!LLSGahX;jhARD6mh(Ll$NBVzq(+=+)F#H> zudoEN^40cCy_V+=u$ z;QJx-@>8Hjg?VK~{izej*MPp%$~vIgbFCg;7rSfj;zCrJ?{Ig9>61^x+UP3DN(}MzQQ2keB zh>YuR7yQ4j9yHAObFgugFkqaK$&6y>3s9_;N52M;TJOcDkZ8#&YZx=|YKSHj#(q$q z@a6Ijvzh(j07v^p9$cd5XDr5uhzG0DwtBU40cg~`T*j9gkRqGwo$2GUD^thGK*W;HP&Ta!g{`N!SPi3!t5xTz=SUWN@H>%%tRy+NX1G96lE?zg%frEF>^?GY> zuKsJ|6APNzM1q~iZ@{sOq~>za%zD}Nq=^f#3AB+qZ=L@WinV>#!wwx)8a&i&unr$2 zN0RHyFPhBmNNn$i^M*0BA?&g%2+h_6fJ{SBoH@Oro6r~%Df@-qNeR}{s!WsMfW}AH z#Y`;*(u=C*IX89AN!Ine<&npZ+glMMWU|LxT|KgmrKlAX>BN4*0q0t+&u@=YZpg&R z>4K9^MNKUxdwG2zLN0Rp-s^FCeY~nW%VhJ~aavHL*66_mw8a5>yRK#PkCn=X^cVo$ z*?zr+nLimmfiiyXZ47VEUf;G0XLql#+IeMC^#*|R;Y0y9D){QHwlFixY8GSfQY>|t zBjRpf^3<=f*ZgL|xt?}o-+T6zWG=j8G$Nylb4F}A_tL6KG^Nm@?*!Cu{ozO zVDpCD(#AF{inmn!E^i`%C~{2+D4ez}SEs(lnISz0C<2UFjUsK6TZ*VVvYlbgkIKVO zC(zBDXJdIl?7bvXO;x_k3$&MdHdNL*WLGGtYaDdeu?;;tY5Pf2-v|*=2Jy*JawAbk zF^!M5e=VR-&>1_wjJ(kmS9Y8;i8#J$=y9YDCLxrVO2K+eEUww@(~>9(=5wX;#aTRQ zxa48*k)8$XZb){fc2{YN|K8SgHPsTYqxRW@>Z%&foO4fM#Ns=vg%x5HFHO2Buj)aI zlEFk>)~;OUj>yg){RyaxxA3#5^+QZ7RcLVcAAF%E9CE%Vkb z^TQX3^Fb9rs*{lL{(j#&Ky0IvP!KW)vYgj9YXd(ZEzOS3F7X1T+3NhxN%p)+`tec2 z=5fRJ5mVqks4=zuYD~{t4Ed&C^Ch^i#h^HN(Ryn%4Qq93z>T|!t+XVR!vPOdNU|%w z1OOvr4jsR4S<(bGf@rx~n_22Y5L_1|?Lsim9c|K=YC7rE1(3=6)00u{LeQAj#J%4j zRHYZ^WvBC~_y^GPq0#U!vVsbD%9%S@x>}Jiv$Fn;s|ZAQ`~wttqk{(lTEZvv z?x9F?T7se4!%OwAm1LB+O&2#uBuYS~JSQejwMVbwc2#Q(b|>3eH^xr&02DBpREylP z-KQy-9?3rfH@_+=yP^c;?M|ipfIOc{hXmsDFeC&aQ=V#VLX7dpPyp_gDt9FqxCDkv zN*WCkTnuJ%C2ErtH}>zwEREFO2?*aK?6C44`+yqaebB1twp}b3%o^)jCdYQ{iI;Nn z0{P73wMkl@@m9MN8vQ!aWl2NEmI&MI00Yl>Y^w#8FVqGgTy8v35dq^TJVz{?J1&<4 zawGLolA0DO6hfAA9V}Y{#P96L#|l`s>mK!5@OCyP3~ErdSPbZBSOaPrx#+gT`69JR zKZI+OnCUQVxmRd;XW&o{AvHpjW+vhCd+f@GQK3}hpM^|6(4c(UgG8}f_&`{j1ujL1 zdcysc;G-u$G!1+J9^}`5A6hKPgdy;1UnPj*<5v@gxF5M%vb{N4vinHi8!IHiuBCzH z$kYpUSOQ_HtGRLF0TzhyrcAcZ5(pOZ2+Vbw)blaZBs`RA?4JUJ<&~_NmE4Em=jxzo z^exWdNow~QGWsuiJr>lg;5Vf&y*)Fvo_2SRxs{N<0n0|5mYML;xY$j+Fb2` z!nE?A+czMD@0wG$-b4ADvnW5pu_vHS%fSC(HdM1a)4x0OxNq(FqW=skpc-P8KW6j5 z&&jjC1Iy7WC>R>cgJ=|J()f5&aB(5HR`LEk_1?a|nHK<`J?PfaVz{x&;LpX+Q#+8CT&pyV|^E-5{**zUhjfAi9x z?B_=je0b<)`QCL>eXh}|H&l0N?N*-z_Oy3imz`O~DBw7+uwiur3cOKuKPs`y@4T=4 zT9Z7V@GP-awC>(D+iKGN9vn#1|FDfM7eaQnZ=UN)^l@!~AvE&(;$r;Ku0l(|xz8wL zOr&Au(0(dReO4rbXpw@hOrDoe<UVcady0>zwoiUAD@ZwQ4qw)`|o<;?RXh* zy~8qn@Pn{M|I1kY&m5rtk-27K|GTeDHAfwE|3z?IYlslrMaTvL9HrY}@<4T$b0Tu! z|EKP%mwA+g={LU4_%*Nt7a3pwk5G_W=rh*^r7nJD4I& zOi>C=j7nq0z>F;d$ha%|Uva|pMAPY;b7U#8^JHmUciGN@~1X8DXihp>W|Tq?w*g6QGD;fd?_Q(*?+D%WGzMY4EMY0p{t&Zu)i zm!sH_!e}GXV3fwG^~l1y=ucU(&Y_h;2&keqgWVIDHTIC%HnAW7{PdBvPQ!$nXsTSe z1W_3Wu`xtAD?`)ZR+{!hn1uC%5X51n5HvM&EQRQ*M(%zkHVk{VE2d2^ZDixS3{<01 z5Y#uFT@n-<c@Es zeksXH<1j^KREm_CTVvV4mrw{twZw{r+&ja<#S6228pCCxb!1*IWk}nx)xhNG$w9G{ ziy_H9%918UVv#+76~6`H-MgkpQ{iaq8&+Uug2MTm6FK3_(2?2sR!s=XNP;Vio4iMLllo^cVKzQPdSrZ^yGy%SJP9c^dyCOa8XL>li2g zUAB2>efYsaERl?aiC69FN!crc8o97HdG90u+!;PKR?sWk5;EC~XAQCB{iu;3;pt-W z`Rkj&OaDoy@Md39VjOzCM{Vo#?@8~O3&RZ}er~x#Y2ToWS4kp%Q$1wBPr=R5Xcw=x zuT~yykDKH_1KTSFt?}FJ`K;61>;VtLZ|~Y>VsFpP9t6&R-Zn zRC&XeA$h{5b1?n*xJtIQsv$J2L$Z82n#_bpDjj1Wo2aC67}F_4 z!Z85!LA4)h?Y3?IP4*k_zF3W;)HgZP@p3Y}H+Na^J|H-nn8roL?#TxU^}5Y!J~*0S zphVU~UQxXZte*ZsuWy{fcgqD zl%YmOtfB19Iw1p6r2u*uZkSz@Z(vX8`KP7^6p7>G*SqFa;Sn^WP%Q7gq5&2A`F5m} zY1C$^5&4OAW8M8Eqp8Vh8J82+G)b1J#SUEcI*j@ws}yvf2~(jn(49|kBtD}ce9#UU zB22u?qX;2|y@is(i{fBPAS8PUjQRmd=^z*@qyaBH*J8hlwYBGW$&1CFbdXHZ(eZJ; ztb|)*RN5oc653PQrT0teW!n(bcRY7wVV-ZRsAA02f#x{yX2?IV4UEt!kC^LFENTff zStCDvT>lazmeMZC5dq=;En^9m6c>!TBh~l_(J^8Q{&P3Zr&#+>Omd&b1Sl2r2A~Qz z;mjIigOl0$R}_uRsH4-6BSU9M#}GzCTDXa1Lt8qtDqwEtF4cI%tU8kOgTxSIL&GMu zVok$;+0=q^UYn+9>;~D2g~9X}tZ<*x)w0rZO;f~|f?#iCeIFdIPPR>+_O33@ws$NV z^(!y@Jv%b7u+!5OFE%dTyjWlB`F*w4si5=C4;e7-G;!z+`b;$o zFV!-fy_;boN?-5FeH&HKzq^g|W;bo?ZrkGN$e8D&?oiRndzmM7qRrsU$;*XbhtCbD zVEs!fBQd8x12N!OwK7x(op*jx-mhxtA=z>so0!P4`xHh(|4YetYpZVIQB%{tqs@?3 z>O|<;+R@o@fLrtLjz`O^2Vsbw&?X7v=$u*(dV4Ksi{yT-(m=@h%Zux zQHXO>gUNTworqmn(_sRBjR31d)zB^-OcRD{(PtZVDMa7*K=oWNB-I#o<;w5u;@e8! zb96BAnbCMnAm+=oT*wDVeH&>_LJ_C}H(>%L>a}q; z3}u#}!&Sg0pT>fYj-R`p=T%hwMz$h(Ior^&=Q&i~ONiA-+A5G#zGv|?_{7HVt7re- zv76f7R~SuxxWl6>V6Pc-Ld>Wzak=m)Jv4so6{;(8MMY6Bpe@bYlJ**RQzABGbzF6 zg9t+{YG(Cv2703jS(mI?JP7GUL2Jgx1*4;sUInt=6C>QTUIo>jW~hjZDb07!V=^nK zbX9z>jX`IUr3{{#P=PAbnofn9Cofs@6?8j|_};}J!Vm{L4VPX{B*0-yt+srK5;6d% ztyX(Hc#3N&cW1zY9an^Z$@mb|z!upt3XR53pErYXtNE4-YUsg4p%e0e_9r7fG{Ob# zB_A+_NB?-pe_)Sdp9vG|`E9J&5S)WFI0ch+-leMh}!)K@x)-!mWV#hnGD zz=S_6!cKjvGW`X6jRMh2X+Q^tHjIgp^ZJk}Yml`jHfOMoE%?+gz}x0B5u3rCtOH3w zdZ1tP4x~{hVf>{R*QO*QKHR?-pLMRV%48ZKC3tb#_@= zniPz>ViaeAOqB#$D{Yy1tm7@E=DV`ak5pRwy1^E;d9#nxBk5Qp)R>IJt#DNEgi*(xTc_2$i>8F9*4bo6Dwtw6y1bOl;#qyt*Ja4rba90Ppf%AU~h9tskIIuG{3ZP zxr!iYHirQ(b70xoj&3eR*VWql3iG>aQQP+t1$NL5X$w|>G~LcxE{3iJp>?Aw8w@=g z#4a{#xW=d@1@eBcRe0~bCJJ&!2JaRjb}bzpXK5ALQO2V*4Luo#s7~3|LSRn8>Wm$y zinX;I;|t)!LJ)UDl!+7fKvEH-s+IMy5#0<2-{;D@o^6(9ww07IdwVoJ2hjn5mv$wM z!0YYt6N~9W)Vi7MzO2k?$6Q{vx>aj+}~HW7Fh$AU|r?&^q+H!ivtAY4__2w7s=Q29iv)` zk^=-BPYycJ%(^Lu8DHmS`-BWBZyV8naR>SnAv=P=A(ePMrg0M@F7(yN523`}d_)`m z?l+gCJRpwJzkm|7Ph)xUv`my#tVik9`I#~ zDN^x|5B_<=?hAfq#PA?J11p@Xuf2%{K=I+696TU%ULXl(6Pvn+bRabyRt=nY#X<-1 z=XuR7t>IqtEd2l+xf>1z^%5z+Y74qqQlA*fuIFdBeNN~#iYXyFa<`;*lBaFIZ3lIT z{i{Qk`n7-C{m31gIv+bCd+Op<(>2=2%C5B8SlWdiJCflpn%*<|B)`@d$CS>Tog_UY;wiswj?@0!gLl_u1>?>r$$#>8eE*$@?7BV;l@(_00MT)pB z0w<IhE0i}hnm1byTt_*Vq(K!UzchgV_9twfESa)Ce78b|kWu!NnIdcTdM$^}}DFPpnS zM34}vF&Vo+#FJ>(1Aqj*pQNBM<(3F~2}?TdSkCIW14*ZLlE-6DlpznrXk8FQ)5+M# zU%1oYIV2C|kzCA(?a#r11l8SI1F0*k*PN+-vHo3}WyG(H><-_n=^y;vy9M<+J^de_ z9)D*d28{YYob3okU!0%EM4#QbKX4*1q`r4~dv|zwzK<(b9$u_uN6KyPMu8-pp8eJq ziu(6`Z8}Hsk9WA1mL^QhDL8VgDGP!WZWsYDVF3^@co2wzP{KjEy;DY3Ol&xK2vL9p z!Hn!-8*VMzd;ZVfAAc%5H`Hp<+@+}=vlpKRfGI)bF(~xbiwGf*3&AyLTZ+jartCSo z5`sjb#nXD5bE}J0t=0Mw&~QSiR<>u~*-Obu4q;p|c+PZ#WizzmB|ZisdFf5>EPMS1 zD>jl>`7;QqfTjtl8p`Pp5QM?eB9hI2Ui7ZQ?Q1YK2ex7^^NYYtTD9e3kH1uUYOT{5=NaRuU<@1vQBGn7r7L^ClX!sk|bmBO(pVZa-!7H)|hQ4>IjV(pYl8Vz?b!WTtf8dGF3X zv=(2eD{eSub=|hkZ4j|DAMdInxUn23obj{^B)a$x)Msb&mwRy73#4D!0X>qKV}6Hv z5(q}{-!6%E(BWRlI9!a#Vw&(AB7=&ndSKPd+5;pf-wZ)%^JIo&p}+jR{b#P(|47BK za&!G1>P0`|Pb!8k&=-_8FMOJU{`NH;3$IRIq@Dt~5QECwy*<_|$SD`}XNhkK+F=)6$m(JtL8ebbik5%FIMlrx2C9SM0y!NFfk^>Ps*yk_wuc?sg*W6_V1pV& zR)U2}&~Jk}?NovlM^LX*vy+E4Ej}MMOfeq@1U>rA=cU#`k6z4ql=eJ4Sp7@dAK%W^ zDQblhrA2I83wA|hDTnn&DKW8ic(kE(1h`wK&_=GC%@f2c3lsYD_CRZjL^}C#F^1!d z+7j4#IS>iULL7?42!z7Y&c!*H&KJU^CRL}#3YH0G(Hyvhkbo8_Vb!LZWQPie2&`sK z_!K*Uv*aC+U(mDpA(j>b`p_kqx(4feA)Zi4{^?iWQl&Yra#m$Jamji%Q3OmG!*4qE zwGuGwu1LZpG!OxWaL}${>;_2?u|ksCBy^ETgHns!p3hoFctDWb+HhQusDNNiyq3$L^kM5 zd1RnYE{Dn-3woyV)RJ08`0qM%BGM%@_s12Hyv5N!uyJf-F*3|l_`}?lD};ZXvX}+e zjh9sM$ud^R^TJ)>ti#0jlrZr|x7EyO5)4LRq>3{b6Phc_(vIaNQAAiyT2%YNE0@ti z4}NwKW6RsHbR2ZZe>S~$09^Im91NK63@~!ya^lnClaIFx_oCeTNX*9YK6CZ6zoxY7 zMbJGFacXzKw&|7Ie-cd+{T`w+iJne-QSuXGQ7yGiFCxS=*%otebx%h;ztXjV;1kZz zEGjnjRb@m~JynX0ogd<&Q=o0(p#7zZUBn- z(1wi>%V8T$@L4|$H>%!re9E94Ee<059p4Qm*Q*^CI7d&i9Y2QxpN_YKWSZgEGb6^m zvK+^E)_h>mZyMN<(Qi7~W03ca2mNmP`TSc+nVM9@3iTIPI_mbz@5bl1cb1QbYP8)$ zGTma3Z6_9HJ62{@S8I+?kxEA)cT8ez`NxS6wUM$A`@ysJ&vaJW0-XzMk&)>DwU3w9 zT;T5}T2-O*Us}x_^~J^0EIePwW2&=%KcBC>b~P>Atyis#gTL(X{br+QETo|zT6K;e zm*<)oU+K5G8Z0+{{QYL}dT_FpeV0^kHnGWN`57yFk+XU9Vq(%@6WF1LJUXgV(=YRW zo(bb^Y1BRLN4DzNut9vrxqN~AGvE;k!lUQ(tL!>|^182q55d0XOe9ja*Xz^k7q@o2 z-F|xT_=AN6w=PThVw^$mfq9@;txk8sVY02K0Dmwr*j3}@wE&3#;O_x&<#=~>>C8CN z$S9gzA#<%~H9gVwpP8VnS48*jAzlRk9UUSzhk!GD z^O52CU=f3Uv^%IUh$MH-@Xb|)XZo~HjOXZTCJv>h0hyB6#og#-;AVm|i>hnIZo__n zXmMS(Y{`D!aJ?`z0Nu!q>TdiDnXp;#Mq~Wg35QT zn>TjNfQjh9`1)K35Z>#Wkq+(--4FzK<22E=P6+yWGjxZp+abukW5{TzKjV_jSOUKs zGNkI_rSyT{Dv(6l$V5hWghz%Suq_6pd>faHr$3OgKsQ+hQ4$IGq6HVji2orC07$Tm4*@wo(T&P*bxv52?-8_ z2Se{nfQS*Ol;e%rCRq&K6(}<=8 zfwD%cN^;F@wI{&2=@sjghfaM`G>n)&3TfsN*kRz{=CS0!hA9}CNWs;^N-GdBSV~~| zPdnL|C8#6OnW_9gsSh=|F-fTc{;gRzGYhaotgNbIPe2!$T!{Sz4H6zI88ju4=gY7N zN9SQJ;duhPdKRc7bYMc<6-kS`;D3&mj=Ia^PKnJiaj$rIQ*f+{O=u)igtT=VAr@!a z5p$ulQ=#&Ss+-CPSO)tfR;F$0?~6{UciAwO>acWZ!?B7g8L$w9S6sVUB#TA42Sw4{ zB5)h8cchNn4%h4_19^A&#wW1K(?I~K_v6KgYRiK?nhQlwFTcK*FtE=S7Z(fu3=SJz z<{{bII~(oYob{j*Iu@;MPe6w+E1qFP(fUotbAOX2X{j5Xd(L&$$jZU1GyORFp z?OgC^|KQ5`FWthEs-|0)Sw+P@+-UxUQq`5U^#>tm~?=n`ZrhYofc$t|AbKFuO} zMp<0QowPkU!u`z^LfqDmna%xz-el#I54&SEfql|Xe9?CP*5`b4;H^->z_K`GL;yV6 z_N(vE^>qO_<0Xk#k?^=BJ?#Hf5AKW_qdk3ixXS6wo2%N+2SXAyc`o7;`j$cw7b3am%`VzfUbO?Y zG?t~r3srUB5sPTd&Q`s#cN}-*_Dh;LZ3Mfk?Cq9gJKzE&s%)%5>){IQEhW9(f$w*s_YMh} z(xm?Br1zP%Z*=U^d>mnFq@~h2y;#r2%6t`Z^{dZr7KCr`P^%Zy(KyueOsq9YitDbC ziQu?-1(6)yzKP)29vjM7t!3+y<_N-kkaGU&pSb;Y-sAX}ar>VcO#crB;@?iu|1Y19 zd7l&0AD>TAu5@dt%E-F;561uU`IN_<8pnJ1Be0F~?{3|9dEEN`V9;HNj9~uy2PI%- zf`THaff6P9IV@6^Cn@qlTZtpfNN5`G3;7W4ra$;KlrRn|!ag~SeAoyKWniAw(02nf z$b-bOea0gfzkO6_DFVu`OK9oBYC|9MBEV7u3i8Kbf(Mi#RJc+~;qkWRc}CV@5(l~q z;KDm8KDUMA(i~BHj|y9f`eoKf_U-`u}~9BfTfhp2TBr;fR5K7&6OS| z>PR1IdN}eBWyyG0HLFx(jIf1o1&pzs6f?^ANy1hgBc$bEj&D|(ho7=J%8v%D^0KT& zOtdh5Y?VS+|rG%JloCaq{mtET*^xc%*yuKx9#SC9i8zu=^Y ztPRkxOR(tKxBcE0g3E#mSP8mr3q>}zHwL-{_I*SvTNJss;GU-l*Gs8pc*@JUbBXRB<+bT8nfty)B0FLR zuX9B(lv1tzybMu+*fs{MbTIbVqoH+88HsivT|CB^HP)$K`)S*JDeeZ}C+iLT36dN7 z&E!^@^Ktwcs_eRSpJp(cd~5u5!b9rTi&!q_dBJHkf9mpJ@U?T&S;6p}03#bnkI4V$ z6c7q&2V(#Ci8j0q~@Um`69HYhcmMJPzx}!M`6h2Cp;*YZm|gpAbn1KP8|m zxa@^D%>`YUFsZ+i*miARULZI5sZrpg{Ulf9%EOa(0l#5<+jVCp%wr!!+8Wqg5k-$N z?MgdjpiU+jQPJHpLk9rfP^0-nW27nV3KkXNvCaT9r@{xKCxxFnq)bULl%11C42V)u z!#%ZBsxMr>(0U65kZg%VbD2|3ADnVEWNs+}rG52Edo3{|Lq( zVp5gdQip04~HJnT5-n7+LnCcWlMZ$+STl$^rKXjoq#^|?vW$O zK|3b4n=&dfkyR;x0BjnSxjM6%7c}?XHaK(Kr zNRE(QlCRfE^s)Ah=c;U+Kx!qZ`}L1tbO>M(m4WdrA7<*1_FjDK}8a5gg4(e$WCeCoI__A1M*Ewite{is9A=CF>D&St z6gIabOfVL$$&@`I=OGk?5pD@|@=p1J%CT+XIXeoSIRi`X#)OKJPZ26JV+9Qf--W}I zq;d1a$|cW%_5JhJfSHb$QbIQ2ZU%!<@ZXXtc7atm>){I~Goo+B6L6Vj}i|$s!)|Y(+zgiu~ zi|)6#`S|SW?kBGK^0l{zyL`0Y)I=8me;Zzj?=(pd^7g(nbWM z=#-gIo?TexFV@VyCE$$+Kj}eh(F_!HMus9}x35V7*%Y944=nRroyjp~o4KiJfuF8V zTZ$L17{>fTdQfh|bgY0ml1R4ZT^@fL-H`{>yyI63{E1~RqJ3L#<954jT#XJR z&CKU0mi+r%;;Sz{^Qi^T6;Tj<6b%%y7S=~pt6e2?F27jF9?I`2IFy#MVEb)u1!C3* z!yov(vMJY-r(b-26a7B)?XIgf00ixL-}i7aE*|%v->(U7#0t2G((PrGTfXkwL3`&l zGkV-qgnkbZ6~7>+G`=wGqn zkmRoNDO9oZ)u*;~<&1Miy6#Kc7@6Z+Px?MrF>*%YY0WyZfrF#@`!3xZ&0$v4hN9~h z+pGUHiQ&*2Brtj5nb=`-12jkVdclhOtDwipM8ZVkXkvrN$M;#>!`0lu%!P!53R9H8YN z3kbNlI-48YBf?p&pQZ@gVhE!Izsm$*^OMi6sq3#nlA?$!MdHc*y0M{@72>F?$a!C1 z1X1-mt(11m8HYJp^)J-jJ10oBz0GA3u5`n|X&d)*5>!$AwqJ4-f+OOUnf;5yam0gI zq}@;%{ugO)0UXJWbqTkbnVFfH*==T~HZwCro0*xJnVFfHvCYiTw%hh!Z+3U)i}+vc ziXQE0uK5Ii!4H<>=~)`C5V077kiI8I$Bvbe&L4kHF_$GB+w!5 z25q+uR+Fz@*;l?di#TSH%%y@2RM)$imYHLRMdbYG-&-L=+!Zc~U2MUHjUL^Q}gXR178{OR-(%4lEC_2h~! zU0J$Ql~#~^)Zt&RdYJ@(hl7IQ9{X<}j{j!}88h=gq`MZWP21vgzkt)~jyXPIktg74-$Coy>Iy>`yd(BIr2S z46=zhlavZ>GpV9WR4zUnMz|M%9!Qhg(LOvw^spgyV~YNSSkajbT3oKivtQ&#^};tt zEnN4$7a!r?*H{&Z*tR{E1dYuIb|oOrkx$#be62ra<7 zUXU#jdVHYTR~v`{)vh*>{VN^pLHdb{!fAN#HE;$(Yt2MM)ZWHfz$v-Kl1w;@R|~0%Nzw03=Y0M{syGAhdD`Ia(;B5S_pd- zZR%e}C_At=-Ueah=3I!uK6_Js;%O*5tox$~j?w+#Tu6Pmfw_?|XA{$lG_f@<61>e` z6mQe7l74jq5v?c@oIpBw8`u`srtfvd`E&tv2sUksOyd2+PvPy*z(XSg!v0^wN?^1B z)%TPj+H{4m);5UZb>km2{2r+#hGSCv(wfAfwh3PMhx=6g;Y6|2Dl1ShNC{Ow z(wrPWJ;YT}qRcsRuFzqC`d*mmq~K{pIqk@=DyNkbEjJ@{CZU{ubf?lD!>U@CSg1fr zpuC~S4iS>++~#pvIVYFRe`f!15NsmM*A@yQD4t<~p3UvF5O2!<`}JEdJoBig^91XH z(6RAtZXn==cT)b!3-D^_>{<$%w70Jpg@`X&b%_6Em%>H zQ{6x_oeRU*!q-%PS=Hcm${LLSGskW|?WKGKXOX(|reG$kA(XubM#BU;``C^2rgcsd zFX~-ovcK(A7*EE_e6qjkXU+{N!SmcX;gvESgvER?_ZXmA=+o`A3jVrt=6rAG(wgfO z<40NGyU|QapaD=d{PiroJcLKDal2SzQi{?PsgwBI!1>={y8g%JG%MRbh{RrM%3Ey#Hm9k9^|Z|Ei(V;& zAVHNWNkJEz9yMB~SJ;Z=82KL$+|&wpIgOjG&h`@-E`Dj=Sh%u2kY19;6Ar~aQh-;{ zxra@?O_sffR}z-z{~zz9(V8C%ASyPdv@o}b^fhHx>4nj-RAQwKvD7t(hWMG# z#Au;WAIx=#2?qv8)r=@27p^6NjV{7<7!?w+LWAW=nT=V|EMjdQEreoaE{h$J-+>dD z-;pUQ;=)n?rnX(Z=ApWB-=)^T75p(x4`^712)tr z#&rc~g@*hN?edUw+hkZeAfps?HNIE(vh`n>bJXSH1w^IjmR9y9==h$6*cDe4#*|>R z>k2D%nA97&#^&>4QXy(^+RMr@{z{deO3X*b<|}oF=)#0~8^SiJZmu%U_&F={LWH8)qEdzNe*g;-voG@YMPRBFLA zzj~1gbqcija#}C7g)*Kwi;6UcSW|)mF`}|ng(x95Rb03sHB=Ouo2!a%M^~S3$Y{lf zoacotso~MJq1v();!flz)aw4|S?-@my>WHp*!_6?9Np#j_VcKwX~+?T?bXrUJuNqc z5zqnXi)=&9VH6*Hx4R~={o46>+Ou*X%$W&Kq?2MKn(?to*Ps~9WW0=r+G?~i4Ol{EHd#mTS*ZX9ETfvoZV zFH}if!MQJ;v8`?#83^8F2UpN8a>t%-z3&HCzF$W#o1uO?H(&3Tt_3eNBV6^B+o_?O zvu*-iooxY;98Ul)>UuP5H@jR4zj(P%^4#Mr@_vg23qD?1?&{RV^glDC?jf#X3G66C zOR|i!Y5L9weKuUX1B%7Au*4Czu}%ba35VL5Q)G7 z&t#9h-)E?p9^j_`-wm54D5=c$k$dyjqv5byc!<_?SV$5yahLO|I*7 zn62R;XJGDh2&ZWwcN{ex|6+Rdza3X@3Q)R_9FNHsYycFayGh3A3h-lpD_oRyb0m&2 zxvnhm09QUN5(b95fugIAWj7l?Q3AfB;3#Ubm(XjP(rU4X-q^;B22Wu%eSdXA&ZPdU za~*9WYhdn}v0WxRk;};Gv^#x2Yb|n`FLwH9=?hHA?2G-S7cfqsWL>QM?Ktt@;mJ7v z{p*v7mHi*ai6D*17*uh@KglWHFNcLW$il$yrnDqchamfPeUL=8no!kbe_m#4F2gf) z*%Bd4oyM~Ev2PARD8x4Rx9QbGGiiA zmB0(flpgd^e`LUmNlKfUt=)*qQK_+?td)LXnrLgRX^zD4nlEQnEUKlI2vwT0kLRhr zYf}fRRj13yH8ME5W|W)Uly8_hG;fHB6zX8rtjJWiYq4lJHJe&)FR$cuR%$v6*R0SA zI5wLCB~)sLHax9t5Nq32YoA$Wqpy2jSQl5iXcCO%xil{{w_xXK;;yF47_!)& zh_7O#%W#=nTXMu zZb-x4C~hdv4JedpBgG9%8r(_8SDHOZ*U?)%0kT$&o}|y;l_;Yl3cYS!j zoIc;&0jZU@cQ-=XIEp z$W^Ada{gCizggfc`m1q>Z}2R1E*d|MJ>C5{Fa;VvjrYo{`tPcId25)9SHJROw_8m! zfqMDV$>yHgd$jipH1vuEVcIRq?;6A8MaYKn`NSgDB~jB!J}ljA$Rf#Z6|ayms&Yi= zwyy6>Oz7c`F!d5Dx87iUkf!P8j0>K#jMMs$_oX0e``Zw;=+&jt zR}V~NShX(UkaVxx`9_o=LbQ%p;QU43+L;*bl+OHL?c>Vozu5r6Q1@Q!(T6N!$%Mrzmv0fJ%fVv#*xr#!G?Hxk04=sg#lOd zIC(LL`(;i(gM^+6RFJ(}$QC3G%oMEgyZB%B1+-#MbTbO}YJCsjc6^40!^4ED^tS+g zRy#-cwyH68aK4)}40r|(K9{J5zgn;45`BY$cT-5L`-ht^Yfi-Rp?Oi^>Teb9W9z

    Z}PrUtT*ZO4%0Vo`Xr8$4pvUE-Gtvx7qlTbo7njey!r%e1{}ALjt585|i;3 zO0091{x;M>4m5{L)DUIH9R`-S8zyeJ6QV8P@LwWMi*upNkf0_*8IFxyClx0~OKhkj zvtlk6B^Ni4oI$1VMZsxM!?yWt2; z|L^l#(u^~nKcW$vrO2?vtG=;Du)YS!SyW>3#uT?$LltnEUPL|Us;{^06@=j%R?~{Q zM=rREDbJ(-2yRVE0@`VSAs*0{V}lVhLTao+b`3Ex5Da0IC1!SwS@N%EN@K-^24|uc z38Hk-cg|g>76~bfS7f>jOe5llSL-4YffvHes?=6e|5Y6fpPNa|Fb^<_;Yo|dOX~$6s+?_^? z1;OsSRmj1)v|7AO9X5zFr5#hP-mIK&sfN9C_3N+-mFn5SC5V0yOMpOQ9U+U`ZQ_G~R`)ff05c)6J0M=o{Ud2TqR*((?FseHUvxl@sr~Gt<}T#$7tQ)yd_1 zLt_H)cSEz%$jwSSKliWu)kci3nWsM5= z($I~Equq8Ir@nGgBFlPf%?qC_o7X9e!!&69BF)AGeTzN|Uny3nG;w$0IS=jS7C z#>YbDX+EWu(+!{V;`57QDaCqAK)*UurvNctPBZOP6!NKa!Ab{@R5?QZ@}D8AT7 z*8CTe?##K+_#A=@Cb|QO8;FliqjOa&fF$>a*;G*0$qZ5xtL6X@iIG^YPn_yhQgexcCwDZ6T4a zN1~hs(UQj~=Bo$3SM=?a^gjoV4)r>+#X2{aAc|=culft6W8Lz94mX-8M~`;I{r0D~ z5GZ^Cw#J|0Y+zUjl6e#<3c|M@9wvV5AlM8I`y6wanlzJ}kpLgQ4 zdc>CNy}ft}fkSkQS2~_xR z;b3kJ9Y4)l&+WybAfyW2BhUwSaUqT=k9K5hTx;~A;Bd2F50%7B|2XFY-6Y!>Sb}kR zKG}b8^bJSG@-=z7**rShwzL>CH1J9nUwMsh78v5>XGU*$GHmvB^YZ`1nyt-xZ2It{ zg4sOl9RYvMy%cQl1I7G?fh;`nw=wm=rsb%XOq&H8<55D@Xj>#Cn7H?`8da6!=+s7$m53`#3E54=d8sl? zhdCwaY=Q~j*NHSFU=?eO#d)2Y8mO0;d5GodbAT+d@$QzalU0kBEZ3}mt7+l{_&~tT zV#~G(RijUC=B;WA4^`BQR{>(_*UR>k0kap6EK z;N_Et!{(C@#`!Bu9%zNib|3m+lrfG7Vd7a(}=c z(+nd7&0ZdMp4Rr~@=tJmn@XTbf3s@vkc~B_l|G|UOpI7OmZgyxhp5pQEK8%&E&FsG z4dOYTlwT3;j8el}GMMsH13}+`wb%^C1u@Wv=^oLffZm{)fXi)xo5-d!XPFL}uxfPh zM?*6~{Z?=9kM38Grq6G-^+Jz6LYFt6j@}173EYuBMk}A*w|%4ELlAs-1@LlOGIQN- zRwgq)1~b)b$qO#b=I`wSo*MO~T{W%{1Z;P!TSE!E@{R`I4(?7cp8H=PPkQkZe((#} zv`ku&>fD?d>+!BzSFgi0Hk(>auVhrSQDjy>3ls7)zkgs`gL{h>aE9&+p`TiZ|vUNrR&4Vd)2bL zt)ufWY_eH(w|O0~|73YHJI=6h$ia%of;Y4%wD@YwZO%UKG+D=*8-*9R9eFz34gi0pj-LSGQe5IZ&c6)~{~gZgf6#NW0_^|$4zY%!6)p#0 z!u1!&!gJC5ltchxTSu_)A}cAp52a7r5QdS&mAwuCXM`u&nTuz3^BmLhlF+rbYr(cV zRXQ)xh=VNcyaV<=OYa1-A8;J96t5sMK3oQ8GP(p8fwD`x#4|oE9Jshdo1~Lqnm>PJ zn}0c>PgDbo&OVAjJ|4`tw#Kq=tD+OORFwc%XJ<-=k zDI2{b-9x%PbG?yvd#WpWPAocNmMlpW| zom0=Cp#pWf`wemEl0IYFdznY#T)qd&6I=#|jRlI`PLfmLv8;C2{Sgr3VPt{VMha6L!-~C`0#qjxzlofkR5& z@!E4nwKq%Qp7r)ynFq~}IIK-zR*V)Q+CL-G`?N9q72sa5Nm=#I4c3foXe~6PjyPn2I`aNzLWt@dEHwl)8$_bP<;>QN^RfsF{MVu?D#6F*|k4lCmB2o~^VIM4= z`kNjEkw1m4kr>8?DQcgetSCE2$+3b&&r99|oE~!?w8;e|ir70s%E9-K6MY=6jY7Eq zyZoP~Gu4*R#Qbm+pznm>$a&o!wb816ORF|_`xQHbgH=bSULUqku3jeuovMF)J_76S zKJD%34Jo;KFgl>8r>74*m#-~N>E+Nz#s@exF)3jDoEvzJwctN~;8oF#46=SW57VpJ z?bObW<<{D@Tui^+=XCe!qR*;vsOx?F{}^VgI7BRfLtD0(4za_4L_x5XS<=WY3SYyfH5sJ5@h*SRS6w~LfUOL0sTgT&bEjmA)1SJv%9Uu-yI8)H#$Po?@-zE)(zn&`!?d1DoAt~p`cM5ghnh@^~Z}fWsC98s>Y`1CT zaA+`rWfx`1&Xj~o0Q}5s83poJS_yBJ26u@e)F^9m__91jj|=3HJaQ{0m1gR z$38#$*66v}$^Luz>7~xrnXr=+mJ`;CfCxwrHS{EY$Beg zZ6gsM>Hwf>c>P@O-wq)EC3@*UYrHHh|CCm#p=U+Hf%ruo(A6}zk|2gHX%FgckBdTO^*YnAs+~}b;Nw8Pk-qz9I~^~5kB_n%_QM4_=$0Ck zTmj204SK?oD{>-0L2~_+Iatd-QU>cNDogVyOCe9k5Y?GF&}@RH$uR`FTS3SGIjJn* zbht>^I6P0aDV(a%@LNfhRESOfSK=vTk+PQ>uEZQ>Jdu1C3L$b|KvFZ7{{+O?wfI}~ zzBTDs*c47R^EiuL(JpGRGb%t!Dc!;)L0M(R-IYvfPX;xC*v#K143(Rvhg|kf6rBWu z7E-ujf_t>hS-(3)c_Ae*QF7rJ2G~O6jxN3>?BIG(FPR19n-T!WaI(V~pLPm~-kKER4rHPVP_0Ehxo zg>8@S0DB?DC{1#K{K!Aaye~j-BLGcj0iE-~TvGdyR;(CI5s}tHy00w=o@%^YS*|e5 zgBS)_=?VB?L$Ju4iZkcsfGN-}W3RLcUj^Bk}keBJbBB4ZT`^*tfQ6!dw)ys(Y$<(kQCyK_<=$ z*xqjBV1&3ERdun#gt-5hy$E#&QQ4W32HREc<}k?RcwjyvHA5nm?T?Z4sIn7u-o&yK zvN8779bp8~nfMbsC7$?o&e)bX{P6b6;&r>T5QQ_N^xf8DGK603zVpF<{dMR%Wt|SR zGuZW@eOtKmu4>(A7a!y$?8^T!mjz8p)`gdrquJ8;8ohP9LX$s#CNNDG*vUBcckAWJ zY-FabuXq%+@7(>(=JmD3vf@c$psRF8RGLuDS02_)v9hkW=d~%p&ZncR_f~~l?-c+( zAihIy*P)ILR1d#q9)V=4!c&Gqx)CB^y6=&4?haS3kvGbj=@JIa=)zY^aJbA@i?zS}Bu#AR-|2B;LP9GUFaO>pho7_9rHy`f#qdtPWQA_7s~O4G zp|Ll@X>@L;$OvGtU7A@ciK*B4R+v>Ti>Ew@m9~bZoyl!juRjH0)x%G@pZ_Z=dn0{g zX&wz`iqgzdS$x-iL}ncVNy_Rr^igR2;I)Iu_8D#WR0!Wwmt*KcX|@u=$PxJzeG;=XID&%2+M_@%~`vX>7eQ$f#0_f@O>ThQRQ&)w#d zjpq9+y>VHSyUaKoSxQ6c>e*6${6oNr({iE(m zqwX^h=4eu$L|vetH|g7%B3`+#srzc!)6lz8&nW%M7KPJHyNdwr*8v3yufie2xR@qpS3Kfibx$?X2ByWWoff^L%MN5&#hcJUC$je2I zRTTQhV8Q}0`#FJ4_V{iC#e%3BiRQrAkOVlW88A{0b5#Pmz#pA`_b} zs_av=FNl8Wt1t;qlR-vyg<69o3IwvJ9_bH^=$j9$YFq#2sX4tO_(aS@jz-kTJ`pg9 zycby0(DIFXWJCD7zRf%|y%(fnb3Nk_?TpzJV*ewQk` zIDnS`4T%+W8epqPNe4}BVok7>lZrQ`QP@~*!y?fpPN<~I4 zjXj#WU#@OkQ*!ENCogWZA$}_lo0%6!GRv`zvsO5jGeed_S%)&V`xZm#?!0De0fbuZ zIW5gI>KB=n!^2vd0_KIksyEEi-rVKWeth1+*hxim90hhQd3*@zZhCAJ?I7aVovs9J zm951WT%ca|1Jpxn#|Mj`U<{yKkV*R~_o(12cw;st)@KZ9?ChPv7<_%)9GMLh za^~O3yK!pPejW|qVxr(rets_Q8>ZmUsfn*&^vIQSWX6E9v$7?h}mVvYOWo(VW_rqA+tP z%q8zI(p&=H^ubu<5gspDZ;4yJj%gd``CU*Sd zy)>CC-OSb}@<#aN`~Bq&E$Wf*vdcXV!_zLXE!0gnSH<$uWr^yBN!f5Wrfn17MJzuD zz~lQ;Y1x+~S;~vgrZ;gBFJYS}Q}?0j<8qF;)|6{|`5x_qyN-bK&e}l0@%%C7yRn8~ z^OZVL=c9i8GjpEX$HDFOb^bMP#nC4EFv*~rw|3b(DhVC`NyAJ%b}p5nPM&AhEzLjcdv1y8M8_BsD4oD$_mASu7MO zE|*4VU=Ae+Tr!nUxVVxR24x-#j4EIx$)YNLL(1L`CDAm<9_&>?bm>LyJfr z=^PfmGw?dL1n^irTM}rTkyV;%boF-?ww9Ex7;+HaRp9ZT;xvpnkV=_{?eP8KP_x01 zntx2xfdE2usimnNdzv9>!TwIrlH0!~z$3UPR?493nsJBla^bWjzky9EL}YW)Hfa*A zc7r&CP7-vJ{sd-};g0BHtQr_YOs2&GmHl=CCG-Jj4aY{POAH{!JWwAHKMm~g}Dr>C8e3w-Lep_VC3_z0F7`?uHQhsOpxOMl>pB zYlF5kU|t@L>a}3~BJ}1j$uIDyJ1fiWi?;N|t@R z{mj_zRpTsgKd6MUP~ohEh6DLk1QI&y~KkqSN1gKY!3dnoZFKNIV!s z*3bN_Z!lO{N5T-jz{dhW@4mA=Rg*&fE*UH4t0FHZhB2GObxM#swn2W;GL}-PV=^h( zBfG+}UMHK9K^q*BM`TTDtDmugUo5ZnBjIp_l&=+A4n*29>_T#(wAt+(OP?boasA-_ z+Tar~YfrRioo?O!qm`9O_vmy5()t{(SKFvdRi&;q3vrRW^5D_h-wOwCtFS2;E}tMm z$JM6o=z0oOh6h4*9r*aQjB>cl{zD$Gp-}D8G83QEC8SOFX=&W%b=32`h?hD`E#F~p z2|%zgZ9m~t=|-W3G2(1}biQ%{P%%24`_)v8Z3U9CP-d?+iVpD{n*_gsGL#2Fbo|2; z*!+Z-m+z$V{vn6(@x;6R)h6*tmfbq>X=(DUOLnChf5bp!njsat08VDnQ@ut~WZPh( z+gEhKN1D55%K*H+=M-vUS{IX_%Lnh5l{Ms3NNw-_uBpsTf6`GRcV$M2;H@pHh+@#v#V$NipIJ}Z?utUB1=lg2h zZXOxbz)EzmRxKlSf92|%L7py=-OWgyi;>W0K>tScnVy11CsQ3p=e*z5h;BE|`(NuP zzf0!R{w|ht{+9@?{}IcX{&4}`29WUpn3jWlE(mYpSm=WF+dIG%J0$9NK8lQoHg>bq zU$5h;n}7PSEnF^*Uv*m_&{91{eq^aF6sD#xUkXn2V_E(D@cL30FR^Q1PBSpnV%z=glWI<_C5-YnWgP|lAm?KxD8Y`jiq{%{65;AkaNUg-7 zFhIe^V+>sF9Kq5+E;N7VSi7c2^ zzmK|@NRyeho1oL_EMbSNB~ge?r6r4}Qi`pN)2mrq1WierfYYNJr0_T}0h}@OP_QHZ zvcM!FAxAcg7R-5n zo|A_txdd4A7JxzxDWD8Az*i%(#Jed@Ax6K*702Y02krd+Cfr{+5K8L1UL31iJcZ5% zZroDDMA%5Csc6FXMvD`S+c@4}IA%TU;XfsoQSv~pp}8>hfuL4-KzxIwxioaaCa7e= z4wM{yfyD@o1A&&6eD1DKc}1>E*dL$fv16)xv6oKA$!64|pvEO)8d-*)MrR86#}^Xn zCgYk({ns0wqj~VosiCICwyrT4OzlidBVQ2wYRpbzBP(rv8?V(ye9WFsCa;eTmhVE0 z`)eyZpSJIxPeLzjT{}A3c==5))O+v1>2&G+PJX9PWi+l2zmM8JA0Nq^jgH=|z284q z66pH9Prj};w%)f0DSCQMc25A$nwf3Oww|Y`|8SD};tV!(*to}?D&*@v{nVPhxv@=c zOtc+u##GB)RW~N`9Ja$*qV(R0+Bb9nj2`)_Zre{NUYnQOreY#Jx&l?78e4uf&cZOG zD~Jna&fblo{>}?aX9@)H$JoNS$#S;OtZ{N#oY{u}j`k45k)p)9^-_Q50q3(M#DKZ` zPR~SFfEdL#ZOzI@rXV<;K!sDxd?!K_*V#>`EmmHI_VEe-59<#`x*zarx|P{Aw6Fxi63Weu7@jDbvptQM~ zsu&ivHFg~awYEG^gqMrKB`|XelR!EmzM-WaAN19-jU8l@Zg*iNBHDwlPSWsiZ|3-^ zH%B`lc*noKJb0IR>0huva#}*!%wBkNR=pB;sCYvc{df+)63qe}d6sTc6=>LxWfbT$ zJl{DLKEh`~kAN!?MwkEkxHh1heF?MwYKo~wfUtx#ngKoPsA(PbcP`;aY4fc_UWOFI zgVN!tThCt|EfNQwaDfdGkps<_eZB2ZLI7FhY@#9<(A{Ql2f18)`gq}gZBlQQ^}BIB zow=~FvZ>febvRBW2Ddwx?7-mz@3v4XBzl>!^1;207lgr7^g)9o) zaU>ETo}EJg-RMH#+FDNQ`$E_M7Bwf4^tWO8zrzM{aQ%C=gz2C5MW-}2ElK~9!VuC; z2xUw-C+}}yB=r|$&m_Bu3yp)Y<7EOL4KV;7-!1KsI$x8i^=iUkVR+6jp+Av0r*@$z z2PFzA`eMq%%!^CN%3u@Ys31ab4QL>5wKRWrSA0&73Neq@!L7hCCLw;q z7-E&HK%CM_0w-fELmCpZq8`$1JGG;=&4$i5xou|VPAmd$k^4?!>0D{rdJb(h#)LDD zjTXUFNp%QnJ56+AjzvvLs$CS(fi$0{suX5x61;q>vQ1@;khU8al&~Y&9Yf3T4co%jaQ~p){3(qnK2?%mG5Hxw9ol+ow-bSYHtM>vB2b;f`pj3 zIy_sZ5Omn38ob0FmZ(Af(=togrBw+9cxOGu)AtZEg%~lsO5r9;R5Wyy+E@gj%s@*bl~4=@b^#mRAD>=dF9uHa z?e?R>46{8tCuN#}MOa4^%r={K7MC~>nbYJ^v^}(!FgyFzkcToWZgz#xs!swz!fkc^OWFo}#`qJesRZHTVb->u zo#_(!UEi>8{<414;U$=DSEIwOsx$ODox>+d>GdsH3 zopj!>@dnsQ+1xSDf?FNQgAG4B(Ap13Yiuvv*wk30iq48UmGNn@*PNr8?XoCGKr9*g z89MX`Gyszt@5vsxpzDI8XntreVMajMWe-$!!bF*@W7|!hyP)A>I!|@CT~k==Vfuk^44_un;$vn0Zt^1VuE12c?Xh!R_`WMxVaB;K2LYKZ1~2X` zOhBlD;hZzwJ=Sx_TCg?NA2-9VErITwGumyw*(1+Zur_vtl)YvKGaoSHEyn%6mcKPt z{F3*mqC$pCZx5UMY9Yd{JBc4DdNAkv@ac)7C$S z1FvMDUP0KP%;1sb-6^}vBBA;Ei$cXuqW^ET+&KR`+#lz^mynrR+5Um?sw?A%9bPL^ z$QLxwZj<1-2t3!HZ@?f4%6T?hLv=qM2j+sU32ccRtdPO)5cNHdin2RpjAF*YNDMRyzHNkZfOgO=PTBtnc>hFFP68x6U!Kf4n)H6s>DWGJ#HO> zelJQTl4*HW7~l5^WmE9IrQ)%Q4l>4Z7E=)kR5Chu3S8E*L^-l z(PH);3k5Pg_;K1~=>25&({>DI)x3s0#IC;9fswx{+|i{@*T@cz%}Ll!^&s)4dj9vN zrxmtRNu)_3)o<{~`K^E>OLFsaSMXD6>vaxLzT$-~Bs<6K32>2MAAC_OLyH?kS@@PS zwQ*c;yJ_;uD<5gu?m1M;o|CPTjn|AgE;cRMU>&Wf>{==d8>iBkVpu8xK{k^(Ed8oF zXRV6xs_Ycmy9JBlfi3g?4CJ>8-S4t zFr+SKx(tLiQi{=5OIMn4-KaroNM1kRr)M+GQgiKmyEnTwK3&~hpY8^qj?bRo zY`r>PU#>&1K?yv@s=LqkpoWai-YYyqgl z_6>gI_tvYU&MOVpFm1&GQ9;{D1);)5bb62Ob zb5B5Kw`U$4_u8js#jD@`+!%!tx<~+=KCb3|-q)u3;d`}zwEMXFK3qSnPW7*AFD7ifVxYpV0LiK=gFd$c8JfK#yo%E-`^(S$!KtIs$)0KZ_MaX(Q?$s%tQM04o@z> z(^k))3;}0a!FQxkPUCm04;@H#Fd>D2C6f-W?6%Gz?xbO5#%gwuZ>I!#fvUE2R1kLF ziUJolVn_7$uJ&Gg^(pI`v5E|_lKF-CR8#s`IhzZ}0G$20+aFJi?CyFoy0Y;)awhkv z_t85!f4g#Bpf!)Entz_`>nuXcFrg6h4K~#&Y)2{V5>{3>U*WjX zWUWDjs}wzgZ_iXyH&~=KB-FMv7s*Q++pLXnW+mX z^-TZj&7&kA7@81l9qP%bv;Fhw+_Gyf4PO)y7)t9;K*%;Eop9vbBMi12HEVDQ%JhpH zuX#WYXa}W)U}D#(L!>%-2%aqaYoS2bHT}z=LuHp#FeEY}gD8I>G^9LVj%O0zL_otW z^9ZcwsIssX9zG{;oxA>B-@gAaNMr*Z9{@5G2X@CQt^f=*CT~791e6T*eS_Er3I}j> zLn^gFtKX@h1?3cA+;Z(X33BZ34)pi$jA4o~?ud6k&5y#M9VUn9Pzq(D8V1NJI&C_3(N8hvU`~-H zwde)y!!5}x(#uQ>;_zJYJdxp>@J>(aE=+Nr1v5A88d(N1hQYDo$HPR$NSTS_k;0=S zM7fXJ>3*UH>1H5pfu^!$1IE$9M#V@0lH}Tk1C6rMrOOsfUG_V`?VP8;#dz&Iesq{;rMd70%49>#x-kS7A zmx&o-fteIwhg*_X#FvTv+px?QdBLd5LFGYKvpHIkdjs2&krvZ^(>8^D1$;E zK51ZJIGgsLba&cY*v4(sA?Q7g33Jj++XpbiTUhCNQ3SE=(9?QUT&_qRskvGeJN|#1 zy-$86ShcGPR-zGC)czlt>}I-3&=#(k@d(;8+filbqE zG0AWSQJOInYX$?QRzZsjA|47P>h3z@jP?qo1hcfFz8M5*L;q?v$4~dlGz;ZnX3_1X zQPt(N%QV8Kl zP^Fk0VvXLQGwOQA`efLp;L7B)TxM6TcUj`#-P`4t`|)tMur-o-ah=2Kd5)i*48L*V zcBT1s*Yb_$vm3(~e~@)R;+N&DO^-v4yMpZ2--`^Flb0R0_@25&&#%+7mDAn3v5ob; z`t+S+x3jZp8{OEvcdCZUzWolT$50vtJ{Be?bNfQR|NSh?KT>~NEAZ4){a4r19&>QW zKlc84`Kgqc_y%yX62IKQ&N@#Gt2Qft&D;|mkL#IX%))(T z22JlGg3WZT?e>>jjx)|J$G(FxPbYr*!?0NcPvTKJ%{BU~lYjf`Es!4C>n5yXH}evA zEWVfAEv+|nu2)h0aqjL!6TYdXcge6?)96W($W<+bukNAK5M@?bF!EAcRMx)J%2-YO z8Y`9Nq0<>9l-}M2QSJxwo%|@j#w0)Cckn-LwELgkf7*#d|NR!>w=v^?zx7`q6aV78 zn~C?cW&C!vo#QO#mOHE2Ny*xuSvk8er|pxE`gqml?KdN~cZjdO@8n!01YC*R^@eVl?~l1_HKl;UwV{+yV*0c(EXm?;OWu?gd4!Q;pzuXR584$Mb{s z^@TV>uoK)-Jx9VaMBJAuRmZnn^~*Sc>Pv==g?*uU)G=-#mxMoxOsg6O~_ zC{bY}hiK~_V^IO%QP{ij+#{(XK;v6sje6D?bJB6gXlnoi=`w4LRV6n6w!>q=n$0>^ zzD47u;-yjutq3XYLHRM$0%1GSgt9p;m=K&m)HV^u=xUNM4C{0jsOlV1F4U^u#o>~u z{t1MknzW398B(IM289WWC@pEQ1lE+ROa?}F00sxZnC#4LX%xm#p3#L?lk7fsNfKn!A{Oh$NWccW>&pBo^w%f8|@%LR0e;w)NO*w*#eb zSy;5)g*0}xy~Vrb_qz37>-Tk&Ts_-ITzPE;b-&*k8~aW_>(}VV^%wqK{`?$V<9+2G ze`M?NYv$nL#s0gg@uX7^4t^x8^!+-Q|H|zeZUrBG(t48JFesGleZK`6BnGCS=^@`a z%>c&fO2$f3msuw4V8G6;7f&zGWi=-wO^^MyLiQ9EARAo9X1$f`rUTBR2<8V%aIPcf zEDrWhI|_!YlOQ?~uqEY&lxepZrftDQP78nwK5c!YZ3%GMWjFqxqpQZd+)V#8HNdiE z{|qR{X$sG$K-gZ+<~PqVhpD&LqUEmp7=@*WPMIJ})33h3ua;-0R>>IWd6zSkxZX4@ zIp`ebls?ad?OfyOrM75|`7PW6-Cxv#`sXG_WwRu0GGHz*){id)yPp^Fnm`=D965))??U2 z5xE^3ju98Sd(aZNlzG6o6hl|jI!B=BQ-Yp5W7$LhbK(=SMGZ&|m7D~$#RN)1d2Un$m|)*KH8sLaG9&;3+;%e8j#;fKy<|jGEfNI zO8)Ume-cr+ya2(Z05!F4kSK$TQR)`X{Ivl5@EDq7p`A!SI*>S&pbRKOT-hFqi9vBF zLvDybch;aeOkTKUf zpcnwBU?zop(@Jb ztrv4&K3#%S7;dhVRE|j$8at&dH3TxA zmb1?o&*_ z3&>BW?!n8r7FcJQaGBFZ{ViLaxi^fpHbNT~AB=2V2ALYH7=}rHAn$NH!4VqFBT5j{ znR6lMj~+VBC*$%(9Nk5nsbO3zMj5NxK0pu)a*+41ejU_24~&qVWDjUi!w>;{hqk%= zZY^|5&%mk6O{&W%Gb4o-%p%s4CNRvM49s|>AYN4<4{Q*Qm-IX>#v(14#q5#Pe~+tL zuXbQ}Pu(s4uV8sfvHZ~pmE zHH^ibV>tq&$>*CHjH^@H!(Wh=S+)wJsE?}bo|gDT z`H_R_h6G@O`q1J(WnlUv1!;mN7Z}1X(Bkd-{97s{hyK})k0&l2e#|5@V;n759|MLp zV!D|jff)=~rGKfxp9{3PYlE8}AEL&UGc*ffz7MM#rvVjeGn>t&w??*)FjZ(&uU4Fm z`zoK+&T0lS%UM4j!?0&e)-)bNa z6JS-%B1-gm5W9T-HdjA&r7iG+ifv=8qj8)eCqNf|{5eI1wr;*z*zBXJX?yYfd?JcW zGh}(9#mRf}N{7e>$&BeoFSW8sYW{I$d4EPkL_?C?<*1n?S1?6mkU+<=5-F6IP4OtP z8?sd35@1h~qQ*k+$I-j;g!-bWjCp3}f7ZXvR+nQ_j6LSlqhvaYjx+{3jJuZX<31j7 zqQz53Rb|XJ(a4P%(4zP}5SoCp`$zOq>Y_ygf&7cm;*bjxH3`U!R2!j2%~(qbgdD?! z#j=^lLlwkjNJ?jn*UC@>rGe%sM5M?t67Dl)>cBJ;Wkd{_Oj#>AR5Ye2W9TdEn*}tY ztB1O*8L8$=REW1~QkElF#v6`A?mXjTA`U?dQ4kzrs$@0n8m+UZfaEmf(clCRjqF$Vd}N6SvAb~t`+AtGPvs``~Z&4xaNp9$%mjcP`+5OYf0E&rlENsuD&_Vp;}e3 zR90-c%v=c*@q`bEe&S%HaiuXes+L`ExpCom=keq1+>z63on~dq^6BK<(xdo<^}Qn=>V#{M$wy2|J8!ZS1Mr00 z1}q`Jj<>Gf7LA|h>nB!7Kgzc|U1{MVY4~;8Q08b_SsWv^%#a484P1}aw3(mq9WVI zdZg5bUb&8`jmR|bC=B!P?>D=R#WdiCD6;f}>EhfMZ2w^<*7XvYK`glZsbBg~)pgTJD7yu}j1P@WUBZiIdZodJ1KpT9L-~pI=_^xK-$|Jq>GB0wcR7LzqMRTZ5=eaX~@!B_J2MoW*9dhs1UPw7qyiZvY_Ufz z?F%z~<->ZrBo80)a%{-H?X^(z(_dqdPhFEA>^0@z>+yHlQwntA-!4fb$4idcDLnpB-URny@nx~0E8ab9Hg=%)vy-_ z*{|4+T7jR!q6Yk3kFF7tJ)IH|#6KQ>=1C~4T$#lOx%dLXcq`O9pYh&m;&5fT9AM`i z%PwO79^T&E*uKS@i1Pisaz$21hwV}L+aj=4Y3qkDo3kMj`q%fv;KN3m<6!I>a5A5l z@nXZq?h#%NOO`vim(pr0Lf&1AzHLEfn$mVS|5Z-xX#qbbRiq071f0nhwrGJwV(Z1=Xun?_->-pQ1I+T>gQLWpz}vW8 z&&%L=>qv1+EE>N!LHF)Ij5X4`{X!zbAQ@qf03iw%s4#~`fHaQzph%(5 zf&wKAFo)0`5s4o3vlU9Fg-DT6J5GjH6);#TS0V|MI19P@=^!*9D{N)6jTbO^0JBx7 zGwcRNin8IV&|nIb8uAd>sr(i{Yz#NSQ$pb7;|697R|f-z6#b1V2!=SZO>l1RxO-bj zBobt@2@|2HayV(6Qg6bPmf%ca#Xt>I4=#x-bSYGcN64~WE*)Mv79RV-0YOT&rsqYb(E5nf;>8{2^VNJ+w#X)<=R5+cT`d>SDk z#!1P7;-)k5j_V*2(}O{fg_P+=#6_+yV7a%TFw>N^RZ$uyz3r=LFDP)Vh=0_BYzY#l zICwTcwi7Z+NJuiyW!i@r&g*LZiU=6wf%|s=L|k)V5t8(5bRND8Kb?+2@qEWiIE;~y3nFxxFhK>nkqM^s zTx!Zelg^P`HwBp~ourAU1;zyaVh$-XW}=iTas^C?Tv_W$BC!GHW;Dr0lgkxR<+AT5 z-{24)fBpQFuWZ#(l~_2x!b}B6y8ApoJv?|l2CuW6I)3n69<^tbG4<=*MD z@YN@qonY9DV#^Qv1LKwW_J&M>4nxyX}JOn<{8f;N+5syK4{_ zMyv~Ak>@CHfJgEHLN9Z(4?-X9PB^>j`8!CYIq&Wi%N7|meKuK2?~SOPpG-=NL1RvB6D{LOeJ&o7`%`( z^`VaTFeZ1m{b~F7Q2%z{lB~DO-hV}`<=%g+4$`CeIr3Ut8VVX2daHzUZ%7|7AhmjD zK8cOiHGc7z>P?lsF9>owsj7FHT3Q9)WcS!Ot@w7@O<9NPFiR+J&Vk#wBF=+Qq9U#d zp~wl9neLzAPxrwvGZ+m$NE^UyAp5r=kSY81XPM|({lS|&mrrXHu}WSI()fg|LonUS z0Gv#FRw{ndU!DwR0C%$Uu9?`<;Z%Gtfivvd==&OaI={pI{%UxAM*i;Nd!2X4)yvAA z=J-`RVUxcOxTtLJ9P8CLt0RxbT&EFy_*JXtLqO|YT1Us8Ct7c_EY@}aI)P7qqSvX{ z?WK8{VyR}X)tG2ar1S@<%^UFz4$o72nV0a`^ZH8X1 zcT4=$z-yOsh79!Xs16^J!Ft7D^Sp!u*w`>Av%QY)$9RIo)RLn||FQ`5sy12J>?TnduUB5% zgXf`+9WBes*`4XqPT{*ddnR6wQmPx$c4P6eh9G<05wF1xn5&2QW1sdHu#gdy>D#fb z*Yc&A4HDTOm98J-CqHybg|#MZez`1XD_F(b9=K!3+cv$;rvZP+pK?JyMS6Vl!+YOX z-v@p2L${Ua@1Kc0oy7One;En?LoxgR7GtwA|C<7r!5?AGCfg4U1>~Pdc*n|2f`C!C zfiqtu8OL$echeWsDi%4_Vb3n`dCi@XkM|^nhFm!D3f6Gv7itzkO(}H*pQftgZ zlV@(ekI)eL@mmm#wnzWl5l6OF{P-J^hG z`*e~(*VuGMa_Eo6Y?)(HH?ZO)oIC#D>!b%%M&x8fq^d!L=u%4KEmC#xGFB`SbJMhY zWWm-OS+AnVatWjO@hvAcj7(h-153asO1#XCqJcI#))*NGAy)wBgdtj*6PW~u#se9F zr4<9CClX@@gq(Z3z2Si?aY2Lxm%j{&a;ULkBmq&XK?)9W7(f+r+KEt;hW<9TeZ?v` z@MU-S96%-+5k~lVra16!azI;Bc0W=SK~FtV4OM`{Q=1Y9nz~j?L3AY$OUUTZq(dS{ z@Tj3G#?9cYw*Av82_rc|l!*Y-CNbL$N*@yLG_qK^leEU6#}5ZOqIx`vrd2=co03u}AN!ZdGe%P*BVa zS`WhY&6!f2_{;g}`F_a=amx!!V5tWWinp`g(ag-{(3__ZqkbeUvI=8&Psf*c3+AqH zlstU%AUc^l!>`OMoZjp+SYf-Go=eq{3qQB8O!?G}=7a#Ah zw1M8Bzo{mb$#u!HwJ1l>xc2j?K6*W+1LpU$fGSxfZ>sX!*V8p_T#_E#UQh%e#e0&z z;}>Sr-}qr~M_#=YF~Q+^u!siaisZ#|P|x5DC0;0F{yfY8mzx0Wyt`h@`_EY>VMlEw z(i0IlYz50)walgO6Yf|v2L^VSdR3S6`lcoI>FFKm>87TpEepzFM~kJ~6Atv}bjF7Y zI4;u6l+htXY9WT3W61DRdH209_J@n-2bsMqmF(iZJ=`3tD`I$_HZA5}tzU_1Pgf%@ z6Oz2-G=rFiGiTHsxGMIBGZD}ZVmnSsux26G4!d5uk&Vw=VZ|K3W-QS6gSXwTsW@yz zWAt}B^}>;LatpC`Y(lOvJh>N?vf2+|P#+^OPdLkC&B_{>C!I=s@j^1*nrw5JH*yx1 zUUUu2@LqHkrPHV^DdCS1X$H?O^@)zKl*$Nk-SP3|JPUS4I|>Vi8@1stTeomqKO5Fg zh52jkT3v8CmIQy{T2v6r|9I0G;o4RV$h!oW$8an$9(fFYGKiiBns@%`m%#qpI%94ot}-5-fsoAi0*w6h(vsVJlyj`TKwyN)HN)wgCRB>?SU=vOzC zG1PNe_w4l4Bdi&o_!b1bY)ybi&GFu4G}Ulv1Zvj1NK7}hX6KB8wlDKZ7F!2#V$hDe zT+0j3A0x?uI$FqQ9PojJ~X9f6(Y+P|9w9BZv$Nndl-dZAMA1m^Wkg*Uh3 z=8%8$w7&j|=$$swnz{rhe&c|*^A&mH3IgBgK2FOQv+IDDtNEe+VU8z%RU23TQtaXV zOl?Br>w&lPDTNt;tG(er|$!9Bt-%Zy~Q83GtJEeS;wTvO(h*8qtlG5AI|E z$$wl3(vU76u<}Ioy;YIq0>CQl>x~-Hx+qTUOBh~Z40ggffUy4f$EY)`4G~a*rSPQLP85;3*HR8E04QKG}ND|{J#>1wP{yA0$0iVZ*$UgJI^o5tNXl_a97cBLR58Sb+m+9u@^N7X?-$ zCMW}7qTC~1hEt-FX<$v5Of<6^s|3)R_^~O|Bd8SKm8nZ01gi1KOok@os5NHj)9M4z ze%e)G!-DxaZrFe$trJ!zz=px<-G^lg1ttea77fhIz|A>K8mjSYR0XH{IFOo|E86(9 zgXWacmRaF6;GKrTnXU_?s3O8D-FGkU?6PcV&`v-Yv#gxR4X~TFz!~w)l}HU#?*|r zrCTq-7q4FL{9-TBSC^HfTrjIyrkaVN>%;5uLpoH~^4{1a6OR{_G88o`wP>CyE6E5@ROJ|a)4l-xE+%ni(DeM`c!nw7orH-z|h@-wLAU*qLlpU(gApTxubO&A8L@u_HSgwj;eoe#B{HSY>$vqbJeA4|V7SBkjiu&$SB;HM|M%~AN0-K2#zq5N znL(0du>osGmqpJDCpX&9Z6}6cBNU`Po>1^}GpW0%G*2lX?fhf+G{xUS*yNW6LLMn# z7TRixjpP6wG>#=V<{FU$;)^ALFi_pd`ubwKqohwbicg-rkCXMynuL3b2FC+BaXR67Aravv#qgaCt@K%ar zd{IXU$xA4YIfqxigN*n+Y!!bLlfU`4x_di!ZmG?s=kgMQ77JN z74a8daEr&^%J;%pUlyo7W_JP=zBmYl-K#D)pYz~Rz0;5Gcl)LS{e0ffj)zBMrN^E- z=>&Vf;XE8-SEhaQK`na)dKsU)5DnN%#}!qUYBzc9_JdQtR>M4B1!{bib?dykC05e9}U@82Sfzw^5qP5n7=+7GXNt>weW3z$cG48nixQ!Lup?>uw)J zQI>G!*EIQ-h`I7Z<>E$nxP%E; zviM&1ByvvEbiGTC-=4Q>c8y>0#97Fk%OU#OH)LAf#gY?O&t7Ov~Pbl{fs)X};t< zDbtJ2HRT?i1+jN|$7+*tj*xVdTpL;PR(Q7_J@H?N`T#I83xWSKp8aQh0*?Ppqd3_A zjqPC9Pa5?<6N*>ov``Q*GV6Gnr9WBJX&(a+`5FeDHn%-)-s|&G9Fm!zDbNoZ@L{__cBAOaR+@#m)!8`N8g z6tFB7U=*-8LHR7Ja375>uOPIp?YsBg4Fyr}{B4S5zvLvCC z9%O)pBfg`UlBcZ!Vio~3V9wRhfZ-w*gxExink3W$g-vPcA{N~xyb<&M_JV~?IPTa| z6X|^ocNqx)48OYMawH17=9*w_ZbRqc7&x}M=3$(MHgF6k z939`bHD9yGnT-u_p3>aYQ@5k!Q30_HQ3d+zzu!Gwg#K!6dmCFTVN$bX^xjw6(JB?Sp@LT^7$U}!(Q{9dvrPlQo9gmu&pWf{qBseLBWx4T-C2AsXsF{=g z%xmTOr8R1H@Jzqzxuz#X@vbJPy3Gv^&ojlbKo{HScROF)HNN-j@$|kwTrt{m;_8(i z-RcqeVbj(Xg7(m1Xdn+_G5a?;$5vka6|X2x`RmXGfYl)_XCH(rb;RW0Z>6V?S9BM+$)_ZXBte_RrP9=iL2kwR*3>n15|aA0I~r(j9Kc6Jbo3){ zM6+`hRKwzj(>We>(3omQ&Vtm|WCopSU!bu)As-e+1o85f_c&~>(vowyhwhkfPH`^& ziVcIO&^}SktTQb?mGaUaT9AY%m29?(V2|Y|*0Jc+z=9{^IbnbN6R{&y4M1PXXxFRl zyXl%cr+wr%f4>LnAr9EfD&_99&adjJ{9C>EEWhrjYbHkhsDQ$WhJ3`$f~kXU=nhpI zylD5HFaQ1X*C*f=q$;&(s@cZ?gwylKtc8G}Y0}t^+r#$rxjOKdfaP)jx;sR0kLQ3n zVYo%C)It`@DaX;I%}i0O%_(hy#EERu>H1oy z#JAbzy(;!x-+t*y{?SX z%g4j-HD`U~x!3yp)!py&Xz%T>Yms-2KObKY>eYBzyHN3Wb*0bcok=WyrA|8G8xERG zTq8bjw~SM08t&zkY(ph3%**=OZ;zfnXjonAoB7~Y_M0&#DiA$zzT}l0a+B$oWk2Z}FeUbbCGx9DUfb<3-1h*J>-~P;B17mOwEiPfMqXH;_!G?pT*HqPvv_~5)>=S)xIe;pC>8nsLBjJq&IF1=itbL_ zANA!FqZEJz6fFD2kap~Iq++^2yHnd-afo0d2wefG&mNNU?(dQ0inN&daJcFcNL?%t zmY~;x<2k7XspubQYE;nZy!`i8GB^YVq!Jhe_fm0U6Y^rkBU*q9aA~fzlY1-k^C0-c zO=ld(r{oe>DW?{(Mjd-@yxA-LIM(b@*6<^Yp9pS+xiPQ*X#FtTY7zcb4CnmMxD@}d zcN6EoiQ$v#(y=(AKU@k@hX7!i6et+hVWZ*k$tWeGEtywLW8h|1@34($e#jDEJ zeSjyc;PwTW{xyyto~E8}bMC_t#j0H5Eb*_$garJ>gcMR#Kmu8AyO#zGgei=e09z#< z#@yr&(h?n_3~nC@=mbJ4sySR$Br#zPLsXr{f*6ZhnLt#XVJcbFYzRSAy-}Qq^czi# zrlh!x&KOc&N<&oOe6FGkK&XH*FHTfcg5!s{Y=_o>r2(X<=#mFE4w;N%L3$oBl%u>D zLgQOdkl)uJpduVZYF%cz$Q;>p+(jfCBr6d=T$CYch-fw2ki|_NO)*SXWD%NR5$BvK zV5+YYrZlQ)>yQAXq|#WZKjqjq6tbYs_DWIzsz#Q8O5^PbwZPl z%X+KvMJ*Y)-xsu1h^~BiGIqgVj26ys*tzn@_voN{>+jQIqzvHA}PPqcL{fvi^wyI_sdMrjv~fOL7I77jzHqTFHUzGtK5G4aaj9-)q3waC+&Z6N@oi?DSH2f2)c^m;ky1-CY0%jU|?7-Q{rrS_S zrXzZa_nxWEK+aIU;Z_3jVBgzXf4=n4XS)=W?9Q+ckdB2IJw9#@HfAE9McBN*URJLD z#%4aZ{&10fKVP2eyu6)W*JBve#y4cdUp$86%wSyf)5m{diWL1K z+K>44`*{axV0%Xe4dqFQ##YJ)cc5k%Am%%QcWHADQUXl+L_>Pc{-Vt7^AnM>WKzH`IgRN~~2&IE9+?Xg(zQ0C#99dx%qeAB+`+V0MJSmC-OgT=L(_=$M{ zfN5t&n7v?A=1SbM5E8AVUz$uC$CO}3M&%iC?C_U~RVLTXPLZF`*uOpI`dO$o70}nC zG`7HXDKsHQFrWC08;Jwa;2K2gF)i6d5zxARYduH%)R`S2qm7f>{?)>8NrAA8tpWi5Y);+TXnGDL)H3 zgjksu9ZIb1=~3Z(?(CcTsarmt_tANFdlU*+#M7fzWj<6Dac{so)qRL2msQ~zZg`OiQaod3&* zW@Gv{e50!Wn+tNagt?L?6p#=w)KPkxXR-<6of3``#zx2Y+XD>%X87sFl*J#q;|X=A z>Ig~uknk%l!mJD({y;|$Gi8cs+z%*TXc`>0M`*wf(g>m&5d0g{4i}C-v-p{TxDS*@ zH!xt-r#CQQImS2AU;xh9>;STDjSv%Up}{E5Tg?A7+De11p4jwZL8N8FObdYMpjwTc zSEx%%s*U9>`5z)@dt$8;#MOWWmHiE0k&shM6tugyY$6)&!paszOH70S3!2CgMTu8J z8`2a}L9mKsFJthzl+4>zP8AuCXaF8bL7TO*oJwSRhs zsUTQJI<;ikMq`W^^~hX|bYshmo7y&DyA&8Kg8IQ5MUogQf!gsT{QOSRxkQZLrIcGl zk*rWZr*&l@uyv`;3?k2{iq;ROHBc-Ijmib8Mft6^u0cuxH)`ZpRYqhnFEQfE2;b+Z!7Y(x*zWD zY&I!G*-1G%QlKL=JsdpzK0I4lH9NU4{&-uKTZw5*Mq{$T{Dl@j{ zAsY0v9l9BYQ=aXR)7<;#F;KY`cO{+HmD?qq^ZHSEb{!M%HH?!AAq%V&X~bq#!{|Zj zzI7_;6%AX@#iq$@KKaUfR=yMK<8ZMq;#1BVS}tXtD$LQDx(4?ZCD`oU1?MVd5v78) zLbQN`)k=`8y??#*N`B2vBkp`J*$}UA)#VQh?o?Nc{N1!=3_j0r(Nb8x7QVgIyVF7} zZh}`4j%MAerW|a7jD5dBb*|Jq%Cvhu9MasbPqDdAG9@Bv@3gXWgf3n0ql9y|zv^xe zD(u|pV=iihU5M3R2b8Hv+lJG(RIxPzVv}JH#C&F~kIEKj0Dl=oZ6g}WKcH<*Vw2FT5i@*xptLGZG!znAby5wSHIj>HUg z41P~5Um}lPM0$(In};Kh<%k6C>#Si8iMJ%e0klcF8;u`9R4{)WB<5X=4vy8?izShw zf8d>6D`fE{5Y%w#`3;jg!3Pc7YRwBfI9(-rd8yN@&DV> zZIm7((`y2p&j*^y{&L1>1n7}3$?x&;I?($2O_|O6{p0cJW`APix%6OUV<9>}_iFDt zQ@%B~hW`8GW6#;$@%}D*c*#q1m??$^QGJ0=e^W83{EE>GAI2`s((4;plNM zb)mV)idY4bD|g|!YZ`v?=%c+jjRiB2i4I4Dqi|q^NzDo;C1LJW7s{$Cq_Gi=TlE9T zN{6&e%T0X(xwjvy6m)stLt~gL z8A~h5LoCo5Z1N?jv?z|4@=ed4aH9c52)p5=Oz4?@lV=yr0J6l(QU@mVkBeKfe z=S9}FqnO7Fx~cNVCB*2pvH(?eELd9ln#q2PUzz)mAvFVULn=hQ96FHX=5?AEL+QyJ=BSxMIqPw z3b!w{w?dVp$?T-A5=^rU?7Si%rJ`?U3Bl&sPBi$AeccfU1z&xzN1B(mXxpv)+2{Nl zSsx&?{7v#-2DASRfb#z+URhcGZGDKAy!JnCIVo@Rd`%a(FI1uu(wSjw7J>d{@D%XS z))c53vndisJ8nD&yliU&`*o$~zzp10eopT^IZpmXxK!2tUz(^y&~gC|G#(}bJuu_5 z@+k#Ev>inVjMBQ)4>_a?%bF>_8yM?@$33<$svRXsO1)WVJWzjEK~v3s(TJiZ7BUSkXY#&#)6y;WlJmvBGcxJ55$NMBig9C&ykrlnb^!HndsX9A@Pc*|7H?{ zAt#xOG?z?jA}fU<5*Mt;_zff$(qPCWT9FQtJDMSliC*TK1U5jVaWB|pOlwaTpv~H6 zg*K)sF-oKnC5IN*TtpjXEDHpb?EwfRHaJ=-LGnb97oInZF z8a*zXL;_t9O#}&Ar~sJwuL4xpu`n1~68OkQS==^(9Wj#!jiz)#(#E`?Q-a<_@-u-U z0b{)x8x{T_bLOc65j_D;?jSQbmAM2B7LvtPrCOth1*RtM%yyPZ|B%R7K`d2CY-4NG z($aJ7&gaYCVb~fXJ!{v)DV16FP~zIgz{W&a5U`M!JT{(f@8*nL>i6c1T}`cOV{U5Y z`;q6<$lKeOr_1B})sf?qtB>dO#7Qw{#I1GuT(VQK+FTeSewpjStAJ}erfKIq%-X5q zSstiPN{a93aAnx*yIv;f+ZpKnycX&1Bv52}qC6`0gsWZ=xm#4!(FgKS*`>Uvcnz9|!a-1pUXS7DmG*yK^rUGl%l2Nm>LNQ@jbWh~wdnF~J=H`fE=7?CD!Hk;0 zLQ#$0HVQcNe7|vT`GR?o(XiztYK!X4X=Gh3FBZZ9P8(@FrGvD0jaK_P1qF5;m^0O-tPBH04b94=A5o!&H$lw;U1$! zeb4SB`@Ry7?wL9#+Ut4b+8tj>zZA%DcHterxjx#cACEM>MS@~_;@Nm3!IrEq&Mrv! zrL8eHY6nWz1@8~W4k*uL@RW`BsfH(zrPI4ZUp88@@I`aLXlFR(>C#e5a~-n>B+%^p zX(lI(lG6qlolG5_2C9vnhHToC-N&08OEWnbm&(!z>AAk6#EK~3viLS>&9347xcN9% z$+UbC8PhfsTrhx`jXT$ln2kRdy%tT}(Nx{I z#Lrt0WRp!dIzVx&p4u~C-LttlT5F(c<$M&ygvo|%v1CI_2fSsZ^H}UTEAR@4C+2J_ z5*F)%e`Jae7kiaogqxnAs?b4 z4f1Qq)_LeMa?4piBl3)_FDup0G)YaO{EP=IBgKd-*O#3h&sXEir@p7Bv$scQuC#E- z)1g^+^YgWVegE~F>L|VZY_H{PtLJR3{%WbiX1?TVy2WOq=W673L&&GQLytcx?rf%W z=%k9btGoTu8xo-ALUui6uliYJHhC>wIV!lX1`vKu)mjrtt z#0vcXtJD{s@-#C`a`&p|)IL9Ol8^)j4P;&h_G}6=vI2#H$@rn}i z#(NO+P$G30hV8YPCa>R*6wE6}KO`<3o^JH-O zq&E8q>l`QmOp@z^giS@VI6_cFk-`wm91akGp_T?3QmsJ*Ma*!FKfXMNWyBx{+WHLP z!ye?DB1LI(XKqT8C~yY_FJh;34!A@KErWA{BsS>_(Wn21w6}nb9LV;)!_3Ug%*@O@ zVdivjl1!MH87Iul%*@Q3%!HX4zwGLM_ueP1-b(vqw_PrmWVc&=Tvg}%e|FAr(~W;G{soPK#{}$q+bI_(_k~F~@d$WrSmW=Mk2sxMw zd$6syqc|PI*YU0%E5RR+;^AxOsoXX%^P~>IJEEy%T(lR^>)grqA!{1`GCjCCdEC3$ zS|;LWOxvxX4tJ%b;%C_4R^4pDe6!lJ{GoSyD{CR|qp)&gBA~9he+j~3vTNhyZ$isx z;uOBAD>SS($bIm5JU#-r%QTK-z#T-fsyCWW*d^fBnJ~>o6J*tiR2a`stS2j~SNSE{ z%exytZ$;-qkXbeGcwUSBE3$*cPy@_HA2rMx#7Ew+Z*;)}qtq&8QU)>^G$P1P!Sl_k z+Mmz35B>4u@ra!i%(PpLO;9QO^3-wZGfMPF}kM;28B zCRRy(dqKOaX3nb?RM+9L{j;^?jk`?N*!630_HKkA^u2-KH*7Km#>*>q$P4nrut}n6 zMMkd(lVil^MCKAhmA~2puz8tO2O9a)e2^0<<{g+-k6>foZm4HwuKNjQxV1d*US737 z;rPD%THdTR-`S`CL_5vrDU93z6?O7c4K~x!mk!>DDL5J_SC?k$B;YFEkU>T|;)qd2 zI+i*{`f$mU(!Ks=YpOjDEyhjxm{nUf6CCz3gl3gqrfaQ#qD?WAZ6IOPDL+WWq`7LdIjtcg?XyAi3@hBesA8>gyklDz>;+yO(uyXdUCMChuh$amP2GDaUB!kF$pYf z6^Q2upzGlYgGhyU!BBab>YUx|aEs6S)P0O9N|Mf$*_hUZ@F2=Eib`sr^Gyn3v{r^k|VP*X{-n355oqverKQ+Mi%|M&ANW?#YB0yFQdqYh1=1f;b z=OA6%aDY`huK5f$j-k0!!*M@R*gdD`HDzGFOnyP(PZe7gi-ATk9a zAwZyHAZ#&`;PZ10;fskzv9HklZ|O~Zs;Y9HSKOc+70J;78UWbqsv_)U5r|0cb&K;0J| zlf|tC>;uy`fQO^eH;7ZyYnw+Vgythm7uRx|%c5ZOn9FJrHyo5gi~<~4qANyJgVW9? zNl_3Yp@m^0Z9>Ei!Rw)r$(=RS()NS>%#PWm9N-c~n52Z^)1vPWxB+nA1^S4_Ren+U zEZJc@aux*=bIN$&1~Yjy?Y$osVU-@VBoYkikQGSS34;^$H>Kes#%W8UOxxC`a{JJW z-z?^r1GKm%kxAGDfJ-Oqe!!~7pQ56*oBDCITNYX~nm8;#zUIwf4ILB9B9bkb1V{D%kUJ6I*eGc_mlNR|MCs zlS~HHsol9{(?fTq-*bsKI1S7<-uH03pyvtk*r|85ZtJ<=gwCVk)?TsWe%dl6*fI3$ z?W(%1L$3;xr~BE-v!g?=#%E)*F>>PKp~ND+C%2)ZW};{PkhO#Pld|Hg+e*;BxeEBx zcUg+SVtetE@!++0RraJcx_`todEp|D7JqSGuX}CNi#PZ+-%ez=&W?NOpayU)>`E9e z>5>!Cs&f&4hU5&zyU#0z50fP*O!)TO^iW_bvqo)wcX!h3(>dPZ$ zjzOKj^Mqt4DE)@;WrFLpj43I(&(H4cxJ+8;g-CeXkl?nx`d_z>AAx_`OyM0lS5=5- zFcMfM+t!JvpO2Yyl<;H)a#UPg2gPn7Wr?=+jdOg1N~x3r$wtu|pX=PzZ3 zbcdvz>3))=SOeN1!@P^+l$O=jWBH3ah-*-;f%U0f@H7F=F3kOMtJ$lVAgzghHaGV= zJs_Yvw-NP4Sh&wA+~XD4*2hOl(C$(9@g8&?@28TWi_2lQPvaU6-lpB*w#N6nYfhG3 z-rpaRGWE;%sXuC`OTO!5mT4bB#ub(nQ=JB~V9tuv^W+cI*&1o~^u{7@thTw1y{PGi zHxZL>;~VFLPm#Xz?7)j@$@OsauvOU46Y zs>PAp^8SyG?sz=LmRDY$KN6CLUenKHcsXpBGqH*|Pmq^VUXmbuEEi$2r^yhHMR}Ab zAE_?x3mC3f8%q~Ey#Ds72J6`tE8!{nHqe3}cCc4lw%ok#ZNK`D#k-oe2(c!_PXqUS zZNWpuxqYN01usv7D(D9Xezv)kdxma#r=G-LfqKB~_=3OziRG34OQaq5e+V=ApGbUe zwtt7T)82{2WB<0(BsU<4wbC|}F=|W)m86=DbZn%~dC7Oc?=eB>_Of^9jOcz1o zz=1yG4Ck(s)DvZ<<5F(}JFKJ8JQirJsP(fCvreI2Q6J z_kD^4yFN}{ifuH6L_)NBkgv|PFo=g-G;T}STD&k#TpC+sPG*|w&F?mqDugaS?+@3v zHwkRq80%ICX;8Z`AKjWcxyd-1nKVq^SmE3KLu~;?9`E=kA3cIgqmgR2HAU{4+n$OV zR;jX$iUgBB!|wo%0eWm)+g^N90XDk4Njis&G7?xaFbCL(VS%F|!OU86 zBq>cO+z4@sa>llBgyHNM6nt*AFIIPMZk=?N z)%bRHui6rP6G`}Z6Auta9*w6KrV4f(Zd61S58KzFSJ0>0t{KC=+VJmmcdmOM+H%id8r%JvieESmc~+Vw zH^^BH{UbqWY&#Q(oBj%#c$fNo;)NpUu=;STcmkN0h1fs3`rNkld3_Bnv9P$}RSB8w zPb}#W9Q6OF*a|x-GnTk@H38@PP4OBS!8pb6MUAzF-X2lp^AIEujemzh5h#L(TFpn9A#{YEXXOcgX3noXyYt= zm44xl>~?YEP)uVo7KTS3yjmCxcf!pcaaPu*9DiCK=z^k8`&<7YH0mWRt6bV=Hs`?QY% zX69+;BT0#Ozm@9G_5qI}Cs;j)b-K*g-C`$8RimC++X{wNiG1USo?-ywfC^DCQ=TeAr zgZs_~X$!HWG5l$(!jFk=Dv0KiF_z<=r_aGmE>*b9zOB=Jv6Me)r`2jG6C<(VWehG^jG+qyOVUHU%@ z$2He~2?}KZpo$B3que(A2wHgP!8V8heDA^w4LY(44$nE*y zl$49AAda4>;y+oHiDq%+CK9N~LdxnXAqE&6ckVqoleTqI5J;sS6Wz;%NQV~YEigmV zxRXzn(t@(Co`823g!fv3cAbC=%m$r!Fo{B%|7P+=q}Yze-U@<#3V3nooT5)(>x_$+uMV zO78}dqux3+>Jlw*R>|n|Ubkion1eSF+_VGUMIQC0n@!`{6T~}?@0(P$SvnpVxVeqJ zsMu>|pBeS?dJ9@61|HE0EaaD$1B;XQyh(a2VJF+HOj;s8&1-y-c16 zV6g>_P!~1|1`M%R1_Zzy;40N+m=X-vifCwhH$}i#xGVwd2IoU0>42Njs#PGp<4_rR zhR4EF9V1$s(LM$(tqkws+8q4oyl#3B3arA@5MIIwfY3?*uRZs#P;?rdGTO?f&D=h9 z{gFs*yIDip0h&^6(eHf?K)!n!iEVX!k#Ko@c@};7A7`y~hhQ}(;BjrDt(vz4-$^(k~k)q%w7GkyyVMso_TGAKZg z4gjxwwsKI;Vf)?AM(E)yqGVis16jTDE5)$CT_g1Bab$q^<=y3JhW5p+-ka63r@OnI ztFM|Gb~f0%o8QL36qFHSb>LuUWa8`tT7OC>jPOC`^&bI{E%uhE|8mIoA5u|R{#%sC z%>HkNZ0Fx}TiovqF#pto*GVCS;(-VQwIIxrSOEqZJfIwvY=)Trj0cy4O!ZvtDgD+P ziAcY%jC`2%X0`8b?buX8O}u0H!`YUARtw8b3-INoVW3bUixE*$!>1-SyOQF8ss30| za@KvtY0G@YAqxPQx`>M@yMWk<4*fXAXx$a%Ec46f0EhKoDkbt$VvhjXz~Ti4mJnMf z!Z7}U2!5ipxT?d~5eI8Y_JdfrxRe-;&hnETI!YB$nP^aX35?DB2WqRSaubF@OgTlB zTP2{1q%E~*PDZKeu)emO{e`4_StL0ZKu>Sv#|nAh(wbz0+G`q25m+G7NH;NUY96vW?1BM zK~&nS^qCt?Yr2T)3+ILmR-)BM6REOX!e$G~jR!9cH}8|8jX)qN0INGCV;M)UcuZ5) zzUAi}RV7SYW+BT1STY9Z1F*m&l$V$|&?3u?{H1sYr6Q#`fkt+z9(cqiy$Nk&Y_Ge@ zkr!Y2n1ZN0e~^|Q6av7SDs7Dew57`>lxTUpqrxfY>MY2F^0!O_w8_6)Ead}M#mCMn zo%$v2cy!@XsmahKj8x#tQ&4j6f4GEuc+ZU{<--)5l(Dx91E2Ft^wq5;`ymhbEW5SY zo)r=>wXc@#W(yi*US1+^cjMg%E{@M2K z(DKUgnxlSp0cdFVx#{P-*+%tEEJ;1PI7IcCQTH|Ydo*=B)BL*kq4)HGo9W&DWzq3$ z;?&WlxAX4d+oj#Pt?T3F_Tcz%^>}~zXx?=k4}XlgabW&7@rt8+ev4}Ut5(NNT@mW7 zD#q)*sZ%@4Cn!yp(nm7pO~eP-7D7P5%-}w!k$vm-G1qE%`*wE zF3+YE3q^D(ZiiMI($zMDt*frWy;N1g2hFMW`80@b9uU9Ga?d8d3lA=;o*_f3I7foK zfLW2GnXM9Mgodd1P|F#h$tI*ABi{?%1kT{*+@UsF$_r}h>K*_59#RFXegAs z7!_$i6x1EhHL(a5@@|+As7TrhF+RyYa$Xb^3(4e}P$-m%o_4qI@CR%TMh(E*45%`G;o@3UnotbhiIWs2*IVha$MnM-|h#w zXx#3(WZE^i5Tv)^odmV0x%FQx$8$4JOS*6ra$s>_SNhp}Jq)(BM}o`!auiXwz^65;3HE&cypkFlD5M3LASOYav$q5a4j} z<_&44`ap$zWa(JWej&@XFS!H)v?CLQOdv^PSP*1rdLmGZodTm4)H?AQ5ANy|d>L%i zwLGwKzyJrCWTA!G7_IChQ~0=cxOG0C@SIRMNcc~gq%Iq0k=t317y>{6U4Y3vO1KD$ z_H~rPO(QWm1vI^RO&D1tSUw%qtO8a(2neBVoMUg zGjSccEVpbO$J)&+ny>UsGH%R`T%Mm*XbcK4c#Bsk79i5#BxLJ-JwHAV*_Q}LD?H~N zhElF10h!)9J1=`>H4AFe|K5;QZ$fn}9erhHY4b+fN2D19ZhXeND7-cKdlTzEtS8t8 zV0i7Pfsb#)ARE1QeS*UZ#oDH0`oja!l4+#7-U`O?JW@@9$5f=Lin9q+&rjx9KFZ{0 zgP<-48Vd1nYuvAPd&oguY>CNo0TDrcN*ofb4gC`zl)(ZkwT;ey$lnR|9at3yAj1qt z)B#E&sT%(i2pi#t+;@)l0KIQV=l)bRZLyP<$+7a9aoB68ZfnYF+qu{eIeSu!a?L2* zh&cz;;xKDONQ9^;;yN6fBbb?W3ZLl;7_>LNcRrx~R8V2=KR-W!T|v7{q$b zmH8Pms8+7sT|(9@Jw1=%Y~yIB=dPyi8GL(k^i;M!JBk(Giq8&!>6aw8osv@p^$STI zsEg1g>5`ir?NCEE!h=J6-Clsdh@Di~HOd1$f#`R>^=0$UQ^{r(TdKtc5X+nnu|h5!%zby)3NgN0Jn^w{o(K@Eh2!d{=FiZ{|F0&&~CK z=cBVnz;@GkbtQ>TXvOwZRP=;d<@qgc8E>{L=ajQ>Cj<8IWp>NYHd+k%+M42aaom;~ z3Jvy`625{xM|XzypDm*sB=!&8`pZRQzrEQ{%B^+)Oikm&Hn>?!_zn{UH*)81d>@3| z^+!xIj3I?ufzHSEc9rS54q-+J4n0L<$>tX-z>54GhDNGzwR0Rd_Z&e()C^ZrXgw|v zbeKqEKhm#Q6eh;|-a>VgmM;kv?cKNF@NsD~I2glkS;Y8Vd6ezh)(CgIturQ?X%~vo zesNd`bakX}SwA%u{EluE#N+I~gm!t#4a;w5?(L3nXaI)dg*)=yu3E=0IN-ny!gbSH z0n2b%5$j8#rDEvLsv^0vSQxA1|7d8l#dNT>ZEEgZIdlb9BsgyO1hOl76Vez)cRIKK z&fDN0&L#CPt^9w6oA{rQY!)_-e-p(vsjX+f*^2%_3-US-qK9~OFhcGh4BTNkXFI<| zG?tGjp~N*d=&)kV2nV_P{-&R>``1$9wlqe0e@KAjijy&xDw+!19+EZg(={*=9z_L04GVlm@7u#h>krUFAlU1~<@)}1VPhDWh4hxCFhRue)XZ^ImBIk8@Un40tizN%ZK{nHr;*CB zEZiiFNwB(3MunehT7(`v%?nOC2F1%fPXFmt2}--VmPQAc`GdMPQ}_>i6Q> zol0g)b`_cop~j2EVbxrZg)P-w3PU+9uDXP}3NCXxbZd0DAGB=-aMIF42e!RS%F8V0 zGVr$s11d6mY^EmiWd(QG8xai#xD|4bX8>v^h~c*HBaMsa^AF(8$6X;{lR7|{0_@?Hn?|<#~OJjI^<@A}M zOi*n5yx4ZUoV*j(C@Mbj*WY+7VC&JG#4s4x3tIKJ5H?SlpK=_e+j#8Q4zqI{v`!he zPc;q~8yF>KOv!D}m@c?xd>`r=skY0%J#a9p1*zJq?NtHihqTg-x~Zham~lh&SN@8- zo82Oua75qo>253Yy{!y}*dMNASP7yQ;bQyy(}u+2&Bia}Jld>H;E)K@Vt9UAQ)1J+ zU=4R7#WjCk)}$o$lId`r=_3JAO!wl0!PtdS5Afh*HKN0x)&!lK=J%bXN3|&>>WrKj z|KW%DPhHv|T-c7507%%=;b0z`&`+n$_Gx4l!)NkEpvZG5X%qMRUT8Fb!?i~7W@$gZ zIB-A;{kWA0p$UU%rO_&VtE$QqD^PYw4@63Z+ z3b7maUD=(xFUHI)V<8p}p2Jm+@Th2VHCM9#LjT}r|JWaF^1n}5uwa@>6o(-4s-~Ck%)O%X~HTC`yJc3bb z&o5z=krHIl`L}cU7{#1u6B*mP_ZU=y5Gh6^h?m!>393R|lfEB-d}$VRio!x!D1IFH zK3_omEOqTqkPk+9%p`NI>{+mV*9i{1icZE~?L+S8@P2Hk5qIA@9J_&b%Av8DJFKqR zSSDtsqAf0DIw`}QM7WVt`vJX??dl(c*XoQM1011^K6c-eVZ2a5{K^aH;7dgE407@rDXfi02s9zMxe-XM+!EK%i zMWZSz{#Q%kyN_!l9$p+UfEkz24=K_jHzia635c9X6G9jVmfO@y2{aG}dvPYW9m3kQ z_5*&j&yNQ#Y{(Bqj>pS|1$ri!D1*aAvo;Hov$b%bgdUYLRzvNs3M`2SxDCDJk~yWl zZUIEAaM8A!i#mO4VI^t`R%Wh6uAoJz)gZcP2S0Kf_(HJasBUdRF*~j(yp^qP(u4&Vy`3GRvYivi%&5 z2B}7J5ErV@%oU(8L;+3=i^rb$NgY)bWl2q{JsxJxLLwG{d9%mccJmgVlEI6GyVKBx z>sy)_FRTxDNZm7;6)pIwj_OkUV=^x~^~ja5=e#sjSwVCZ!$+S2jeQLp;nPvf*xY_ZiIZpIg6waYyY9>G+wA5ng$@1-{yonXHu-=j$92wb zS9#BLrUeC~GFue^8&#K)jYYN(rh=>3)0Gy8@~g=xAFk>hxA8V?Z|>@yTi2fwUtIDI z6FUp6UMfF1e4N;R?_030mG56Pq}#k7n$1n_RM!aXXkc&&_Pl+Nq@%KGhEsZII4LV+ zW@~l+q$KVGWoCbB_yGfvtLR((%Z}lH#%=juNv~O${!Pd5Tyw|z zJCDtGl!~_%;kYy_sTCNp;kpR16#ykw08Eu(Y6(jhH-@(H%iF@DaI1=R=TdK7gh-KK z#^<-a58#L+32)9FbDxoWoJMR!Ja`|3B6(k!1D_XZp9noPw|yVqT#1^Cg%nyBY#}d_ zx?GGQ-f`@UJB^{O?~urBB#fqxcH4O<84H4Xf*bKv4nzF97(GPjR3W7RX)%WmhN>7H zMeKoU!U*P61p~a!Dwr~#haD`f(_<)Ks!|&xAMO-$AP$_|T-kx`T*x&1kFfG01102k zKL!aDI?);|x)PoraD=OpD)q8|XFWO+b2%coIGZaOU0B~BS5m*2QRJGKk?i*O2SW$B znJq|9Gh6tP0!BvyHF(O{BrjA2xL-ZNsz9`c-6Tg$PJHN`$H>t-{3~HeU1IGjTJz!@%5=#T^VQ}k%~InM{TNzn zQmY9=6xAxmW~4?F>$-CNDbbp8^VgQ&*Ngnl8)lhP$EJUuJILZU|MOHUsVv3aaVFbV zpKHByb9=|@Wvimb*W+&Ku%2SRVLA`S9F2-XOp*U%Nxi$XUE%sU5}?AYw#4Bi`<-ys$(VqsF4Q9 zva)UfM*)V2O|{GfW*Jh+`fRTp$jjsaQOhh%5ei6_b?FSdhX?4I-LH`X=vx;aJPS>f zgC=BG%(I2%<_)9?I$8lD72U)-K%9*xWb=>O`Bxwncs~vB*$^zp(1$|eVUEGsv*8C( zxFQH8^?%fQ|5xqmKWd|tVxNBiHxdtTZ@JFH3E>)_cPTH=01a#V*HQopLR>#zCgRts zK2BWtyhXCaa)eZsua8#Pbb73Qb$4ZC*<(WAmftBJI;_5y7diT{U8ocJc1OG5D{(QB z+SXEMUx{W|zJ`rAzZA04ZiFNV5VeLgyP`rZu; zylovGe4cIz{>|B4_!1bzw7&?=BJ*l5D5gDZ*C&{zx%J@t!8u_ip5c;ZWtFzwyC{nv*O^X z!Gw(vCr;=ei7~xz2^ka^Ksmi+<1ev)9F^&bP7j|4R@3FN`G@ z(|-uaVE%9a5G&iinJYcl)U!6_g!`Zk>25?cvyuKpqJ!N)q$1l2*-8=!QZmnA1DgjE zf!Xpk&^uXo{g&W+F06Eu^%ppi;mbB#fTV^^cMyGz6;(<&``b`+UpJ@Vo9fUa#4j3$ z>Qd0UOJXm}o7ql2*r zs|cbvC4eT$HB8eerVqkGpgwel)AA9Sm5v!x!1rwfy9NJ5YFMS=5?Tu!6N5DMPsbCh z>BJkNgGjW5w)yciu1>Ceo;efcjvAjt@a@@>h5wN?f~fa+T65 zAf1kv%)VkChb>XsUW^oWJI`!bg;U!ck4&3FC)twRl%4!{1YNOMMkj@8K683H{!1>g znD(tr#W|IxVVpV``ma;+dUZ1($wJRQKjYzCig2w-VjitUJfd*yXyN=JtAe2<%P|ny z8m0Mc)9=!)czBAanri-3lk}eQGK0}rkj$(l)f|i!Tax9FSaMt5Nx@i>B}xA8^L$FZ zWS6ME!jza;;&qy^vWu}GhJY9()NpL}-+rWM(Gt?b3uN)v$n>nz>p7lcne*;j&FdOhAc)P)*RB-Qy!_n3cry1ISaeLA~&h-g@qJ-iv*i~m@lsd((B z;+5?1>3R$Jm6Stt4_XKgk3`JidtvQWO(w!xgDe9Wc-5GS`?=Aj7;kik-O_H3d7sf$ zLLy2mo=*n+lT^cK$Ws*F*@kCS#<2a%Vn?2N#@Y%Du%8sm3Nm?LlbMEBJ}2-$V4`lCzGNhOST1|i z?ileu=H0$jrgA>c6c)k@mV3|aLZ1+0eb28Du78DabyGn0nN?u&etcej2iQM-*efnI zHOTX?uALL|O)9vL(kreaxC=<=qcYNtdZwq6?&q0Lo7~P$WX8tNq$e5(Z;y3`TJIxG zQb(EAJ(fvY0v)R%tM|}TRL{2Z+=bPKS9GQ4mN3};jo57EIYOJKsGe`-aTOR&)M zY^j?Q9D?q(PJipYZ#$J$SMf^=WZZJ zvBQACKF99L-p8x8eLG7a+gJPMWGwi@fuvBDlJ2ebyg&c%0i8lMjSrV0&C<&B;Q^VJ z$1ml_+uC+_UK|bT=sp6Oi3)S~0J^K-14qFGgSr~qiI2|BZw7wrrp-i`bESFD;!1sB z3O?PBXJXX~RBeS>$AA>nMj9ggy-eSLg>7yw+QGjappaPHe@6W!5N=vU%M8*G8L}-9 z-1n6v5cnLgBM07V-42l?5SSc$<3aI%w$|pv*&fNhNOC?95D4N$saP_tf($?u(ATaS zTEWtBYHC4e_!8^0$#z}Rl0p)2fqh*eT)6S~e4d?bZCy-#OjjrNS-tnX`8s_4!)D+2 zaAf~WoBf}`DE=2{m-!n~_Bl+#qhxN9~3wLof?;!$9YM%YWMqejO7)6+* z$pNv#AQF7I^K?E5sJUvY%t+y}?PJt9x}2U&UwfIAsZJ6a#tBvA$OHpZ)f8fj$s)4Z zr=!AE6jovuc#Ftq-;X4+pb{6}uB8+P>*z;X1FN7)6N<=(R#s-oqZ+5+*fOq1=g=G_ zBOtSIM5#&O9EvCjmm~fuP)9wUl50_wR>q)((t}cHgJyv`$xVw)M#lwDE4n6bG;VmK zUJA&~4x$|>j1@_#6T(prVL5p*LsC`=qtppz8ap+Cz_nmj2SrdI4g;YD(~*Md!{~Ze zN<;}1sU50BvKgZedRV0Mqo@4|tYdE7*|fA2)lgmwSf#2Z5T0G~(A6T|J3)is(=uA3 z0?=W{?jeWeI|zSIj!vTU@O3GI;2SC(12>42Hw}W^6gI;&?>F>n%F2b|KRT)apD)Q& z)MC}k04t7SXbt_0mle*Hv|5${Clf`&81*Xz0cL{9hz(gS4+fLk#pWZ9kpXV*H3lA% zC63es(TO6FHLPeWMY5rucFxAHd2OH&wLlKeGMg^v0c;nxPvBZE9?k5hv6tevTsyV0% zlMzFZVY^ab`?Fgwv+`?|;UD@ajGapR=Ci5Y)TyP%)5F`)jk|~4sl)w`iB!eiFW#G( zPER#Sg!ZN1u8}$G&o$3(H-}9ynWvn<7#o~@2yHQ5l8eZ$Xgm@4MKO+&S){Hpo7|2^ zqgkX8$*j-#wtuoVL^X*Cpk%@3GljBIsaQeckHGpfdv(!%GDL7;if2ZOH26sMPC-9& z1OG z#<(%n!a$G(Vhs+LGV@#idt$UkP7g?SO+fTUrJ{AXvOUztxTU6`epRy)7`(c6FGED-l61(I+nHE&3F~Um`qs~{Qp}yvJ@M*8)BSeR zk@LMle6?aZiE(|xb!l7E*Q*q;3C%9zy0=-tVp;RqoPzp(xV)s_CKqJlu|w@JWbE_P z@b<(fGr_enLSgdZWhcFK;&G#exr2Z5hB@78#O8@9bi~w7b-l1YG@US!`y%a*z}y4R zytZiA@Q6nuM9SW+>n1ZgX~cx-z8Kz0y%{k;$f@M5z{Rp9$v&fpDRT277 z@!KUtW_|Oo4dZ_ZHu#^oXZC+b>FLzevBuT-1{*-W&Wl7&>rTUq^jg8vyXYmh?h^;) zFP57)oafTazQ0XpPgpjsyJ=J{Le>agq`!2hb2~AhXrGO02HxgGyJB-C!dydOvBKQa zUFG*FohK4!#J7ZSnSzDnb&3uUd%CeyKYMUYn+YI0iVt}1F`J`BVSXWq@1a^G$;Al@ z=VSL-fKgx^`cW7i!jchN#P(7Q86UxEjxGwL)G>^p2lPr|OMnUmQ)Bm{xHW<}b#nv& z*K-$!fl$+ivBoh%(1Fvihvg3^xr-BIPW3AznT9gaS1{)+%38tDwXdf=u}BUT3lnOBJQ+FQdv08c_>05w`8%{Yy0M z4LDq)X$?T>4kT3efxVjB5>_n$TK?mRs_VFxm#U;llp}{i{?$VQU^4%*)%r%ONv&Mg zsP4{(kg?>JJ|>NA%T*)y3^>mWr^IkmLMJ;ie!|-XAe5%#aUEq@U7Ml{dNYUH2DJ3;HsE^+b#ujVNXeojq(FUjBVd9m!bQHTM3nbG`R? zcse})ynHme`8YLd(2mW>S(+*p+f$A1y_9t5@^bBYg|Ri#*}C5}ksXd9Gx<6)D zySU!^u|8TXP&4^ut^%rd;OJnL<_JWfc+yD_GOkbi2>3aNd$i3J6V}q%@rFJ zy4`BMC#V6+IiD0=XYd?}8OxdDd0>rtWN5m(X+?3VdkLe~K|Oi$2dwK8mJ}XmAxSum z#le7st70Wqr3~wl7X2lNo?wFk=Oc_IONo1}n6JK)G+U+3wmDuh>2K0F&kQLo%sVlq zgkv<#Q~}RcxbkK72EtL_=S^`3E~SC?6q!#4p#zL+2lNX4>E=1%)1M=S-F01IhP<9#C(9QJd=nxUOb;#^%w=auaKMc{5FBbjw+T$R$MclQXRvdTGvyA zdsJx1jC^OWS0KiRl8tf_mJ2CV-*Yr@9H@o#$ITDJe3 zVx|r7vC12hCbePz zhnTR@lnI)=sM7EtpZvJc=&CZdwOLZ5@lC(11anBKL@u1xU-Ak$wp|#b1$VZwV!rVn ziaiuYh#X1mE$upnwPT^hm~)UC@vG6_uW6Dmn9`l7wznOYDR8;x8>Uu`0l3Oq+c3nS ziEDDrvl#d!S16+_%RjWNqvIsBC~;)JI3-fmi@!R6#2aYFNxraG~I)eZwr&pWu5*4JcN<&0M%*_>c8Y0)gN$wDje7 z%e;(rP$5OcVTK6Oq4jmW@p}QNedlJFRI&AJ3ArH4v7)LD{zb^)2sU|bgI1m?;EsK3x z3DGi`nGe9|%dEg6^HBve`lIP_YwF^hlBiUXN)AnHhI@c>fEyg{!Ri?=kV*GDYg)g{ z>$TDNG$aTfpK$oR`Js61X|;5g3ZQ0_=24*lui8OS^OXGbMquCYV^4j%IJLN{Q{JGU z<>vPxH)w|2!WcSu3sdW_5NCaR^V!2#>~GO)4n*v@^iEpci^u0<`y0%?>(*4gj`LCd z{mZ%A=gp%Jx98GFGhb_WduRH=%i7t{((U(vp=9IE&ZX1sp}EBRI8Wn8jOX2A#z_22 zHY?2XxYul#yLQgX$BX7s4m!m5KaxCSjbR~Ps}%ubq9XF8!wggwawE{-qJ3|{y*0Sc z-lP^6Yud4_GJS)EvQdg`s}$qsqGPi5vJx+JsTpL`c_BsMZ#V#paUya?)T-$q1!=Wu zu^yu6Xj^Xxs-xwWuFuE7SV4|r&loL8wf&f-CP9+6dTzg+5@kyy;@ug6Uo}u8Zg1?? zd!|Wt)6X4&+2QnLM$If-xoV%^Kg_YJ@fipzn=2MvYCV7io;fNcdV7nBpZ{#Y(ftK^ z{Y*;ln_gTk(k{*3gubj;Y{gGZq5z?#7=c9* zSZN_y7)~T#DUG@pq?5!G2R9M?Q)P43VXClo)xn5maG-Me>?g|K%%bUt7lVe17kdx(!1Qr-IWZ zHX)Cl-DC;%ku<$1eg3|WL9gk|b%|*nwWBurzka*h1>;NYg$d&#z(~e6I<46&KYi4F zWA(P?&yRp)0#?Dil3x$S5$2qwMvB(5^;rj!(*RHo8a_=`;4ga{S34Wq3s;d%Ti<3^ zl;*Cm7>?DLJp|~rMN08nrf=*;RDvGvZiv|S#Sy%|$_fB!qknKPuO?c8;_olz_ zA$vEpFWP!jd7ES?;=lhwGV)lub^RWL#Lm4C|I1gyf2NV=`X9l?ztzZ}Yi$7Vz9(mr zLpsTjJUhx?VTNnlgaXh;#9-W!C@QOxNtDPMY=~ao)HHs@x=3biDPKPi`DkUGl$EP4 zEqK3@G#-y>2EMOglK^iA5fPc^E}c`?ai}Vgtzwr$%LcWgW9j?=Mi+crA3ZQItX zbKZCF_uVnhxc~9a8*|jExo7Q#UAtGfC(%XND8fpWbj}{7=hK0)pQs(6F`Vi%tTspJ(<>D$Yk`U=4;$_&_mq86&+1Cx~rV ze##PGDqc-9pMCeuOC9JDpJXTnM{@B{<9{E9h*B6L92P%pxi`_`@6xqa>q^Qx9Y1-; zM}9DUcsu;4I^Q{aKUw#1@Bh)0f2fAvrKz`WyD)HlYnzrvTXZ-3zVBh*%K7s(YrslHWZ=TgB)*y~9Gr4sWW%-P6^wakV8W*EJi}+AVbjR2Ugo+AWjs-zH`YkJjv0xO z?=?n%$Iv`QtBLkv1O*P(t8?9idoAAwBx84xkw^O615hNRThM%%YlPTo{hHk2SA0_j zB;m@QF3am)D5L0{ScMvSkia+$^>0D^VR@rU>yviPgjoohh#!A^8uR)sMEaCZ@2_#|T#WWK z2KAcfh&kxN53_!eg}u_eC>r)|`CZI=T6lj}MEAIlT=<}2iXDIJHKR`F5s%3YD=X*7 z#6I0WAr!ZpK4yLJ!!&2ufUadwA%PJ$?iIE}qqO$KrAndnwv#5#wh=XQ1s++>Z47;N zUam!t+k~42>k8a6pWBCY4J~0B%)iLd;?g`# zF;4u(ef<3h|C@E@=*`cqR^ zUA*x#GZ&h?iaIH3;jZFxgROXRNZo>N0*daTkV>)oSSCK>y@pzSOyAlgOiW{ci3%Zo zSclLf49-B`clWCFA58f1i`^7d_{m9cP<=Dms&nmQU#N(r{S}89Y^&=PVsb%grN2h% zBe$r3Q46O)rD#^h$buf-VB$aS0Q7EQncJ+Q4YvNQ zEHU0Mm_CvR=?49ZxT3@nh^26v@;^ZWR>;_(HJs$~zsIo|iP`jc5CL1Ig2Gn5r|92m zL?`HHMsw7OqnBb$y7q!=Ddm6DURw(8q7?+9h_)1giv%$)(vyd3=gz@vRSdCvRtqpx z>#gvU7%)>|*Hg5rJho^s=l33tA(ODhm(QXxhB6yEB10Hs^4eMLL3J1*|CV)uBC3JV zhaqYF)h?mb7m&LZKO3Yj2I%!g1sTQ>u}4o})*Xv=KzEOkqfT;6CY*ZM^A1)?nV9Bt zf3UhPt%t4f(P=lf!pNeZ$mFkbSd3c@T6^4E8rDM(tmXi$Z+gAXo|0cqbWuz7Z%2%< z>fPx9*EJ2|jF07>pQ#H54?=$2hRqMQ-Ls2*R(EbzvY%2v+yz;6@w~O@XqSJk&|Ly| zNqi^LYu{Y6u?4Q2xi@RkPq2N*AJqc+Mfv4DGB?bvWqCuliqG2B7YYD&Nn=LJ|A4A5 z=Vl#2Kjn)d20&s)2$&U9M-{njHl+*eOm6FoM>i_PB7 zTFe#r2&e@YJu9dMutR^(C4^o$Lo6=*wLSvmAK6J->dIk*1rI<3;R~&v4O`h{*X3pL z?PQt!={_skuTc4hwN4E^eyatTAP$Ah=7oFl)ixxiA(LMP`VC+GCJ2G#EfM|7ilB{!ha4L6_FyQ@Dxl-0)8 zkKS2z?pr_eY&YKYs>%oA(Yhsj@+a2V{Cul$W!B?c%eUeY96tbnu^goEkCh%LV)5|W zCS@+lWSfzYh=nBwR!fFL%em9=fCR+C5V+t;>sejIReMe(U-cgK?I8 zsmTf)TLzjFoKiLeVA6b8Dd*DhlS%=a@XD>m2YD%jZ#P5FV-ucO8IpU#X;k#o%Sk`v zZLt2=PjZ(z%3e_|>iy3pzQ-Ohv83Kq#P?uF@1c^&FP^LrySZR?$}m1sgg6S#V=y&d z_Bj(U1a+Xq#X~Aa)V-nFqMf-4iu()+eQNLkPOJUuzZCZb{FR#eLTB#e32VH~*ICX# z%0Ztg>zr@+Ew8p+p+AS$H-U^MEw{r#|LCp%9gO*ZP!=;Y{|Cm%GY!kXX7=ByzrPg( z4zylE1#}Nkk}NrgpU)tW#Yc3gK{Oh%!LWRG9!{(!7y;VURLUf!yClTRQXEYVCcG;W z7cdC}2b$0bk?e$8B!*zEL30SgZvt`|e6vUmzAd{`rZWb_+hxX}>mo7wc#7lUAl1NfW#H5qui-d;PD<~;X^?cz9QllWH)QF}q#_;Ht$RYG9 z_|}h3L{Q|vXCMWs2xH9oRQ!dOKQJ}U2o_KECS2h*HA`rme1uAf7DI|vh}Mnmmsb23 zY{L+%w1RXja&VngC4kkcNWvg_#Qo=4u}S zsIu%qzlm)NpqvYW{W1^gA!Qw-g!{Hi)-BPPEuuN}MaMUPsM|tdaO#U#5c+M5e?9yZ zyX~lQr2X{WMwley(6OWDh z-m}r^<#wCH%R=>WCwL|v4%?42pDqO?1RqQC+hM1-(X&!a+=fGZy^fXWi6_&7*UsG0 zfxBC0M_x;8gHJ}&&u&h>a^ZOB?Hy-G)&elc4dHd&fr&T*b2XF2c5;H z{CQtTf~{NTVVlIKmS!bG2c&AtQoQ+FbJVC-YZ_)ey}YPql_GF~lFb;T$C$K=u-!HJ z$?lt`6~tpNM`lCQ{W#>Sr)s6QDD|d4udPk5;#H>VdTbKU)oZzJL6hGPdarC+n$6cMl(Z_B zZ=(`bR_>Hl_d9jih{WBmKPr1$+wUISRrk>+wSMP6ZRO6PACC&9z?JjLLok?kjf7IG%b!)2~3{_jRk*!bkg8GxWj4ySKK7Cb!os z+XO7P&BLxV_K1bEQ%_s0SCFzQPTO`WMQf^x4P2_E5yT?G~$&2rK@2+DyU^MsQuE zKDW;=?5Htq+2a(>^kFLW#w0@l0Mf1ORHfqTY9w?E zmxHK|S&9}+$na$o&QRN{V$*iCuitn`0g5;5R4YLNlBz4o$^A45H?y#oju`4RSNia6MBH1g|#b6Vr5 zBx;^NGLzY=lT8l_8YYzCDko@PCDWEjmeJn?XpOB4H9NTHprV`YX{VG`G){TkJn z3n-j5@L~+hnVRdO7bZ>|b=TUbQtwO68_gHW8tJMgrSnf@PW75mgiiH_cLAOYWy1?KDI=P4^FdY# ziTlO1lmS&Dj|1o}5v-b3j9ShqwUp@C;q(p`4hxo6>yR6Nh=Lb%HBMzRk`joL#^d*M z7yC;W(L3s_&qZywJz~N(c4C07+fela+`UZg<+7Wd0-JcC3~-g+|K%!bTaG>3mdl>f z%2#vWg+7Pj}VcfCMY*94oQDJSFP%&~dLw?#&`D{l^k|A6rG`DFH|*X>~3 zcjZD|?1!CmBV}BNoAF`wMRxuSJwET$oURG54ZTkX&ztk{{`3C%)h@lR<#aFi+mp7J z^JC7R9Pw+{zz>rrixUbh!-uj9uEO=)SAd@&E# z%Ll=yY2$l0hn{C)>z%C(DUtp8%T>(BqhVRL{ERneNu#5(NPJz*&(AKCwX3&jtMru{ zR+Tp7r**VdY+VnNSr+Y!9Q0xKm0VPV35ME)!XH~{1vg!q?T4mj!(MrawKO?~XeapY28&ivVZ`{eQ*4U}H}!yJW|mg&VumNVeAxLQAd%W#(eYDDR0! zOEzCB5odICiWp>^)sT-{(0|!YevZZpdO^#!PQwS8r)3+4nQ})f#?Ll^CBa zIacB}Dn*Tki#?C+QwlDCH-f4KYvIh3Nc5qsfxMFrmtBg*NUJ6_)COFO zT&XvD^#*S&CZLSfY-klcAMt_T!yG2=`DSbr>J9k{_kej|paJF&|CcNfU^rnoY5bRL zjp|Pu{Fi{RkE~});})#REtK!2FSr-3g>yM%P2=#y3Z6Kod5cI%XpCH>Ec5P@wMd-h zrFgSU+Tmn*dR=U%s&mMNUlEIS+w*h4v-7N7WnkY^JsYI)Pocxt_k&rRcgga;rDdGI z4}@|ru=IfK2-;nR`t(%X`e+c1U;Eh2^hmN>`Nlm_j4g@3!T#xD=zGQ7*uDKV*%uy( ziF-xbowPN*Jq@x5stt>Wi-&u~(mjhfg){{+2!@03=g${u(6z4&MBVG4oofR|e zn2U)2C1+eXL-0mTH)D>}lRSe`Txv7h5W$-`K8{ao+m29rI9~SY?vc!sNQ*c)u74!O zjhsc)#8q5Gj0YnfM4SfAx0bFWRW?^oyh8YkC>|Z-ujo<}k4z@P8Wr`ZSTI5Bb^p zcHuPDkxP06Q!PJTT5eWp!p#(~3~a6vWS%t}kT{a(I{`Mwi)Dt9eVgYSxoUD11<`u` zj@FdCAJBnvR~`-9TXQZ;ZGrPGo2&wMD5%;-V)EN|4R*JoEF(N$Pl2Te)Z|A6$>C^Q5rM`d_t zQb~na@cu5~aTleJ@>}7PtYmz=h@@m~J-KG=3r!trG{)(G1`WZsGW$ynBuS9eO$mcg zOCyD@Dm+v}9=@A)Y`k==LkNX8BtVHNrlM6iMN@c4g8U|WXKEzIFgyjFt8Z(W+V_>757&t5c za!gK%q{<~phE%lb9(Ga7SxO+(E zig#kG8^w$+>&zA(RKBxF6$io0PtjDAs_psQj`-m1{X&g-@l$LXk0mm|k1RT%p7ZJ# zMns6=5xJQv|LoQzaRLX5fEt1(Z~jAcDpr%i?u0!$t`#y>ou<&=eaunxKrU@bUFT40;F8hM-oRzgSiU*6R|{?Bw>5eCL~d)(L~5x1YGmx$MeTTIl|L9 zM+#09T&TFAK<`uPqmmp5Q>S2nPBkVJs9DSai)ND3OQVuppq?Cc9;*A3Zv)|#<^hSP zSPpjHJco-`r6};EUZDh)DnY0~$)liF;>Zpt1Pn^nsHG7?4T{BVF!N$LD-!_SXkGL12N&p{SZ18Q`auTyB=*EnYV!4+fVyDBLonOwOr0+GpNIZr zU60u%e0D1yv8=)5K8KQO)*Nqp=ALq+)KmQd^oeK|lY-m@Wi?ezSw{Pn0EKIt;@N!& za{van?KurLJgtmI1A{9c>n-g)wQ>jmsk_ok=i%h7ZFHPm>?1viq3vU}YtDH7)Am(G+G0i@ciTK& zl{^B#aGzstCr=U~#V|6GeH1VT1QbytMMhmx8@vs6qVnqKKGW^?fpx6LK8G9K=SUP1 zrvwu@UyikYh#uUIAFMZhZ{qh!Xc|I~ccQrMHZ3k19X*4X_;6`{>ss~;$7SNdGCGR3 zN88h5KeTl~945Gs7gj6c&KkRL_0+rYnOmPQ`FZxS)iLezXxYZ@f#7vncOln%Hg1mp zmp1+fuQja1Izpf1BezhZ%-kV| zkhPQ>8ue^$fkECwyWmY0SpKWT#nv{M*P51scEDRPog3eUTNvwhG%MgB)Em{3kLcN9 z@7El{-3NH|>17`2uhF<)>2%f!f@f;aKQ^d51DprE=k&^-G#B%;A1B|Oo_Etpb!MT6 zT6}($xl<_dH_dNwzTAd2b*;_*)=-W_WGK&-)gC)t&8oE(<9&;5OecjwW+@NelyP;6o zX(ZH$Zqll;-mKUgQU^H2yuD_MEU&mYi~KVw@Q6@qP1dEhEkRKZ361!eJXl|qAiOQp)f-U>}>+YhT7T^x^f5u)s?NT9|DiiYQXrIG530O1qk< zFduqFAs__8T&#rzZmJ519XX-$%oz*Ef{~wIS%Pggvpy4~Sy<8VLTU03BFR}8s1--7 zXM3OlYQXSg4K+y%AzIkdAYXt<*cUTvoZP#}g#p1i1*&@!&_j^|j#@8Xe#k(no@{D6Gyd z_s8Ss$=SihDyR*1FRNs`i09+G)4|*C(?4B(JUIEYF44SCLvu7Lr)|65ojxZ`1u1y9 z=NRmZ3&oUq8;;(tl3xRH|Zy!xyB!EWDhm(3Uo^3uF+m zC~)OR;o^OJuNTJW0%1YKpNYba4C1?-y6nI$@x38@pI#ZBnsj_$0jo8|M*kbx>CAPiirIc{`gT1g5k~}*vD428?smU zr9;&h&U-Cq6M&`!hKq$((?nIB)Ad6oV52k|`)I28YlmtM#tXNY=+S$y4}K5A;q3eF ztiO@J-p|PW!|nbe;Evss(b1@F2Yc-wi(6<|bX`Gc9-^HuICNnlWvL5$Ws?S~lHobu zK<0YrSGlo4Yws+ER;$}O@m8=>mGcB;vFGNz=p9eyj&{5#u{Hlh&^Q3V98U}t`aYGF zv1=C)%F$Szp5%;BaZq!@8FGa5B> zb4;eT`8(DvJJ|ggvU9cT9Jl%}`zALqS1=p^m;n>0k%RoDrL5tmcWH3e7u*uYRpGK2 z5W|{T9te}=33f0VIk-kYz6Bt>v%AT?`UtIOWXgA~WlsKle|x$aY`fhg9(U1_bf=>( zd!cV?=PMwQpVjO1!#8gN3*)zwKy~BiK;4Z#a}W06=ZbfccyRyx7G%!=Ywi$882et0 zH=h99l;tzry3UB-iOkNDKp4fl7;r(E|NEkD`vY~Rr zE7jS$&2#s-B)c@C(mKgj8-5%OOUH7pGe!IKy?46d{3Sw~FBT-SlJ#O!rm_r9Y_SoR zgD&_04rsC2lBvw>=>o1qB)9Rx|D${VckBZH!@V=I{|EPermkZN44(K-?e`a8mULVK zOYm!QBLb-n7IH9OJRisS0+3x`41MJ%@OG@Xus>8Zu>0~o_w$9D9Hc)-yRg5+4!M87 z&eA!6kA=-IJQGp{*fW;N;{r_QPA%zO2>ZN=30Yza+;lY*)>#KI~%qKiQ&R#ae&YbksqZ$SU9q)_%HRI3a& zrA@Uvk+teqg}Ei7Rj&mE;2ZEYuSB|S`xV#ZO_dY0i6O8DF+qbW8(G~c(cuEIC*7k6 z+k2)_4U~qr{GQ&@8qLZbrf(CO15M0_2_rCbq9^!@RcOX)1yGWox%$ z)$_RuIIny*ztN((MGluNuLJrK6b-;>bhuO;=cpJYBE4Gzko6Ul?Hvs-!L+*LQT-yk zljQXM#r+ZcwLM%htcHQOGN}#%NWcserj@4Wfc~f!nZIn4h{Biev?#gFmlOm`BBOuY zG+)%DLDS4qn)$SQK6d&qtfVg1SJ%s2?pZ4q8y z-v^WEX)|g0E+^=LID?Pv`=-lH@7v85-Ocq+clWv>fy5jcMT0m$v+LSi0-lm=Q6Qb^ z#TD^n-D}@Heo_}cy4CiOm``X}+MGuiLeyO4fyWX(%^ag}dahX*=-B~drW)!LG)$=R z7G)?qf( znYyjb#}yHc4ed8G{U{qNW`I%W(3QIdvDKA5byT>`mHgF3zL7z|YG*j^GG3?3auKb( z9FnEZ4!x`~ugvkS@_YEy&x;SPGrEVL_!BmjRW`03ov-^JFLvIZ_p|GR?-y?$JAI#9 zA1CVXH*g)d-kF#4?VGomjTw~i-qw7r9r7S5Kj4M+(imrfhhye@*w*Ov>4AKh2$rx9@DbkD=zrv&GG+PA z?r9hG>fetuHxuOfJI`cwehL-o^(GSG9J+UM9QM0wbIOM~YH?16Ir=#1nkn|i!yfX} zT_oJMd(8~C&kP#A>g`M|dg!_xS-$GsOf6ox#E^Ss;f){qxbTsC$~~l?aV{s3z5YeD z!n(Pg{ZG!2@!zoru>UvHDjWNMVh_;#Z)Z5=!pi)D^%dk1Oe?mKOm0}1!Z^+fN7y2` zKk#AGwbIGi%hkJ%DNqRirIyc?^)TFoWd^rmIf-I|yRoY$P+QQc#dj}6Saey@s(6W9 zH9xW-*XT`~iio_E(8W*)1)02n@RmSZkws5S(P5fBUWX+Lg^DgQXs$rp)HJIg!o*?Z zoZDs3J3|fKzrbUn2WkI=OdM9X+sQNK{ipccoGVwR4>_kTzsRi&6Ue1RDUglTld z6gj74rcfzLg81nDOhF;AxSW?#41T_)Y6znZ|T)%VAXO`)WW0LuCYc&qyA8k z*6c6kuSY|^MX#SJhx`GTV3NgXA=^MJoPKM08&*Qsq}8BF%AV>z+A=)`Fc;OgA8h^k zEtTCm1@1r=AeHN`Tp?}tEYo@MCBkZo>Tx=6d@`+QwaezCdA)t<-QDwH`{c&s_Wa_- zrD?N$O>bRSNAJVw)};v;hT;01&d!@1^Dn?PQ-CM$Hl?QmAmyvRx%6!jO8 zLh4ji+U+x|0YX~Xc-Y}o`Ub{)V<#h*Apr=C01^d=aW-f^F4_~tmEu-jx43VV%1e7( zRF1so>q}qdWV+A|?}&)NkaX;9j56Y$bTqxBz)%A!0j{~nlE--ngM2R!^lkn$4}>MH zhGmZy?1GjQ=m_!n*VSZS7=^4hg25RFFDYexYVd#-*n`M+>Csn8Sp+~72k*fJqwAi| zn^^?Lv(a8$j67W)C!@pQ5H7K6_!>~@TVwQ}+#=2j_hPykW-7&=sg#6~Mfh9z=gx`( z2|@pEzQ5OkZrQoVxnpUD+FutdmP)yUs+7(fS|{%QN8Z)+yIh;!Y~@xx^_8*{M^R;p zmho64#Netc>?b$?Zw1DxZ;Gv#?VB~T)#wo0!@ZQfrm(d??&?nbVvV(*4WEH0o9p{* zlKesA&)!4VQ=5TFn};r2ex5yJZoTgpB&}UVVb|>#QPjJ}z;0#0nKAP+qNo`z=k6N6 z%{PD(ZEcM1JZK7xcXd;@W3{uTW3{OyOEVt+X6Vtu27PG~>T*gIknV}TJ!^G&8Pfugub=)a^{W#rHj3BsXaVa3NNt(%%ZQ0TzbszcFly2GT;d{r_9O zx8+s6^dES&ZGNzMYhN_sZ_*Q;vi`7r4n~tz^{8F-_HuWA{YY77hnH^&i&|xGt8-Ob zt@Juy-DPicWq-|peeinWyOAGq?#r_$JN_1O-!EWB07@TMx8QQeg54_^G>Vwxp4-P# zMVWI=CR8WHk^I$%;#)jVDwUA3s9EV(Sv1 zR+Fzj4tSI~?)S~w?(G;}ep$w&HI=A{$^tSVjG|A!S(JMFdv<#d>-|TQGw|BPfw{M%T+_j&0zFDk|K#Tw0p-{vj4b2mA&JQSS*s-W+)}qGJqBYMC zVAHr}_T4cB?VkisHO>zZlOr}1imNn>V115J`l~94Kd4*edoi&DWP&n&$>2VFMiKH| zqD_Pg_S9-Wlg^m67r;-Yut|obuY>`6_t_td)-m*wMOL(In?YLea{X-YX}cez77?x+ z(Ap-%m|?e4uk{0MDDrhk`~o~xA-9y*4A|3Pe|AGTGLVzpIY)#~pcg518s{^-nlG9Q zk8e^RpaEx*{&DW}Z2II-yvCL_ir6sEAisBCe*<<2?epstJ$^OoA;}m0IeZlkDf;t? zuKxwAOwaBgd%u6l!|>lIFh*cc_Ctsc1YHd^@VO}tDLRt91RoML3vU?mp_Jy*X{@K<95F~~37 z%Yhun?4B~)$q`|zLXn7sP@5!MGs~1MpXLGN6#Mi4QX)>Hhl%$)xc@Sr?M|F+IM@4h zBADmSW{Ji=X;QK0LfbIU^FSL@fwuM?n)4Mb8!IQNJCOKJk0fMD-A}iP+6mGi?-`6L z;@|`hE9cX}oaHCE`ZMpH6_H>YUI@fD95s$w-_JzLc%C~eDZ#eT;uW*Z3L?>?YAvGG zgxTzA?HjBX>UxnFWTqE56KJd#M4?D4K5}pzmL!tu?B~X>l(p{W(5&dk=cK)2$_UbV z^CEDH$ahvWG2`m8vHk}M#4*|KkHsR!b@GU4@TugvGgtzdvH-B5I&;e}?v0@_6SO6`#9=L2g1fW3h;H9$TM zn_7Iksxb%}Iyf04ML*^?x_;>LbbWos%2=h(`gng^m+$(x8a#b$O2S~Y$A3P5xLI!c zg4DU8yU}$CeoWv4>HTzbpVh_xe($V5Dw3|gvnH_781a-fZu+MBh#AGl7p-U8_4)Ko z4YJXyhNZf_zqfk_=G3$AVFb!YkHdp*E&Nffc};+C&ePrvJ?lEitrAz|ac7xq$C*@@ zO9J7KGf^e=HD9@g+(F5KCq4GjF4%L;rPifJ18pN*xlIjEb#3p9utiloJj~!^VA}Nt z3>0nv)D8#I;OBR!I(R>@YaXQFgl3nik7QrjG5K4mb_D8?VV>nHB+o0Pl)LqyD2$8h zc}Os4D)vRuf$wQg$xqCS4Cd$kIQTTwc$+4vwIQfk^|b@H903E^!$c+uw2){zwD zI@*$=GA8P5jfHWZ>Pb*>`&)II>S3hjK+?mlo3XVBh;!fBCVtx@W;o_Xz&F@1G|;yX zF4&GqS6>2G0)PMuK=!1=si-75J`e{sNMHqW10lI%ddj9RkwdAf%&?)UTb zNwQ>D31ik+92|ZO6#j5r=javEG_8x5wCtq4?G0=KI8Qp-dA3C-|DWa~iWAHCuK zZ}J5uR@VRE4K+q>w1M6bjdoR(X-60t0vtrCJ}lSVa*nQ*qSh|9W;;R$u2A6hv4BGJ z$8PD&x(tWoBw^JPN4)#I3bkFeDX>K7#SRB$H zB2I7vA$uHJ0Yh|dY}C!&2}n9a2{GD64AR=DVMuk-M&WxpQUelO;BlHqB$&i8qh^fA zZ8+4#99Nx6cLaPI@%iM}%epe_Aa=&ato>#?xCG135OXr%XAOvS@uxD4fo%Vu?Us&NYre@7HCrtQQfR4G@qLo0a;NO-k%Y=_fjhMJo(E zABS32Z{DAj|0r*Dzm> zp(mWLU^6)0H?;V^QZgsPx@;z4aiC9T*%uo)HD__DCg3EZkwMC;>`WH9^7|gM0VPfh zb|XDTtZcj-H`jzW%>_}E$#kVVmG?F~F3;wCo^@M=TdQq2M*qHS+9E=5IKCo6Do&}9 zZN|m%tRm8wWf_1CavJV#Nj!z$+^)>%pURd?3K9Ny754;@&}Ye9FEaO5J={QwXu-Ui zZ}3OtQ2U*pu1}I_EBJgHd>cSEKzduBE+8?WvELUek}llJi~Fzh?R?rDKlPx~v4(5~ z@#PMK+g9lhBNKcb`5p17BoJy`@R}yX+ru0!2$r|gp(czEa9|}&e?A}lR6Cv(mwlOg zxeN93Zhrpoi)i`rj+~ZRqnNlfRbwACU-z8)C1KZjM?b9@sfs)@-`DIs{id69Jbe(d z7k6T|n{y)F5v&LP&|u4p%|xUEr?x07Aj^_++nCl^!L=u{fONr?ro39xBkKTDZ4#0d zgz{Vh#|DLc=l+j@O?GqNv%s5Jd)O_R4`q4A?*G!w2a~r2-e#=Eq5+&UnLZ;r;y2W| zlK!oSmBgHMHFGuXe_SHQIQmYOtH}s1H6$fg|LiYI^^*D2{vZYBGir>@{+)HEA<2Nq zpHe|$wnNT600+54UdQM^HG<@Nr`#gApp^)_7p65}y*`RtZ{V$K_+zk;G?ANatlFc| zLy;os$a$yndm!OuEQeV(E;Y2>mRDn}m(5@5TlcdS>GPZb_rTaFP#ptC)&A=F0-fcY z{|0fDn`ofm8W7o!hVC%5*Y!_oV57CoM+zgt75sFAh>r%S@V29lz4Cnhe@MT0Y!kKV^B zd<5v2SbK_N%y=xMo^5+z?)#o>8-3p=^Jvx;b$cTShil&E~cK zqr=@xeV#4Y(qaiUij2+0MpvWrJ;hXm{N6_4`Yp-cOQAQK{YW;ubm z*5K(k60nlpVbIt@r}|84%&)lbm)h^8TR*ct`0y(~Ti+et>|FUWwY^SH7cV1S<%{y- zZr;5-xjvts?w{OT2s zvXHjAIzv~#7xrH6a*%=F0G`?|CUL;ylnTLw{9J{Bw#FK-a>W{r6s-ks5 zy9RW@BR_G%y*Yn+l4xq^V!7qOBSC!wi4!$ka(ZoTXz?;oFkuA@Oe#%~?=UA6;$;T| z(^OP@W(|lW{4D&F?%_0Yi&j4W}>1$kTKXN!;H()1RLxTMf5UZdlzi7-P6I)2}ibRBDW9f~Ks+=#!sZ zt<9({5K~+bE~0b!z^dER|vC>^7gN4z-X?GCY<)MG-xyoP+C|*wFF~{}#VZ&e7!LT-?U;+S_4c#@_)iY!9mGi zU#)NLZ~x$6i4@+n#B!1SoDvetnf{bBZf*ZlXo-o#HQ_r^`iP3i@1CT zpHEFk>!!8Napog1)ah!Qv<)@vl5%W@(WJc5*3-c2;6(BaybS2+8x1A(c{d4;riiq( z=5dl!HJpj0ra2T@e~e*bVl7OfY-wT-4P{vk+OBx0rq+l>8)JbL=2&d)ij7Q3IBH#S zJZUZSdKQ84`o1)P7XI)@oA> zE0vVvPWh?#4pU1bJsWZN>{q_fmHOg}^SUJywhXp9)3o)2!;8s#o7;~h zgwh|F#l$za*BLMPF$))~nMIg*wz#3-FtARF%-Im`MraJ}V*Dp}%Fd7^6^kljsU1sdG;ZD(XVbXF{VM?&{kV;1H{wLB;+ z54gI3eb*17FT>YW*>f?b0?D)Pd(s{mB*>_TCaW&i_z{`-@O~CDCZu4#(;zPkjDjp5$?#Jwl5KHmEtM0c^)-XYJm# z^^!T8@(_;^k(Fc?-|&JNTagT+;m`}dFd+(s%6BP=WZ9kQKZ_)L+Ojj`!f{e?(M$QL z0-vezU}AL?h}9vI7+=@?K+%$<{sM5mXY)kRU{1yorKMqO3wQ^z$`>!s+ZwC?S+d~*-;(9xz1i(kU6 z=1aaYx70Q2n<1i6U}K3mr+oXC%LfwryA-lt6b>^kjS>+#t=MzUN_7rGh)Ho;qV)F* zjTj1+f&sI%MUR;YEyeH7QVUQzs#G(sv|_q4G*~5Qtm3NL(4*X9jdjiWJaKe?bllo~ zxI5?+?J+7XCIVrF!~u>UU&cD6sA9uH#ilbTvCxR~Efl)d(JGcmL3m-h6ZO7`{-{m& z&6TWwvw#uc(N$on>4P|dqH0dbRdr!r81=Iu15v)4FU?I7ePqzw7mN#89;tu>^;4Iq z0s(Cep;B`!>=z^n1CbUO=`1x9p`*f5buBdOpQt(@ATR~YlMs3COHPX*^rx|>Szmr< zd~4;L1+|)}y0Gp1xL$AS(gDVN*<@o?w!VFjV3H@(wc6n2`EYiAe5l}h5~bzif9u$M z`*3UP>galY-u7tX{Mr6?=k)0CIO*f*>gm|^?Bm+C$vaV&b!=~5T+*mHi{4LWPdaxxz6D#Hzet&H9s2Z6$w|?*YtTIb8$eQ7Juf@9laIu+}gD0phB1U%0BurK*6J!ty$pcy{ zJ!F?TcAX42-!OcIDtm%7M8sswG|6maWXy!SFQZq<3#uz5wj)9|5xvd&svo<7^#tKv z{S9nGeTBwuO5LpxYJPh4L{bN{L-q;SkgNcYi!gE-GsTM{>dYsON5@LtdxH7tDvvMC z0n)haYe9T7iaZ>L#IHS?YkA@ycfj_1vz*e2TE= z$9muW;U^%9ZOlXL$0P|&v3OeO>vAfmR`rP+@oWbjm`nS6)TMLggjT>+kz~%*l z@#nRj^P|WZUr**g5cm1j_9oTh{UjfM@t9W*&Ff>nL$rg&#lg+A4}!0hHFa{6;|13c z0lrT#JLwy9v2b~n@CLL8z(>GO+6T$$rcE4O1$urxCUyEi)Bh4vz5b5~AI5))pZ_0D zk?lVW_uGMiWl8?p=9bvp4H9uqwQ6kMF!Pn8hK3sX4~YFxtuQJj$&6X_*5lIRZ1s+MyB1=WV+97PCeuDi4o>oQggRnQUy zJ}X=(Y^PYDK5}e@pA@41jt~i~DoB|F;$?j|B$z%c#x(4LzR<5+Tx28Z{BvPRLd^;{ zbcZQaGp(WJwde{rN8v`LxeB7R=t?ys6l{=EcvdrF>e~6-j?$0|Yk~?2Jvg=~v!2ux zvu|j}KWbH{LT3m(-=u3OMB21QAQkb+0iqJ<{vmN8XhZ-DB#;%@9G4zxmjP>9uUYqVu0#tBh7c>Xb&p4z zrc00Qb^12^8fc@(?St)yQ+z(3*VB3SHu-bPRNz>+)f!jXt>N{_?d|p8H?dJG?dvo^VFNVd(_3dpZj)}A;WC!Wff-Cox_iLxe z#qliiy7zT>KD>KJgu6l5!?N}J$4M*qr9x2vzt#W4**gZw(rxR)ZQHhOt?piJ+qP}n zwr$(CZQHADcdy&;`EGps{Md1K#Ey)ptejPuIkRfk7+G^X7)+pr^JoperClGnbK!10ybEVb+!;h2>co{{J1Ch&KDQ? zX_XUj_LWg|?lls*5U!dI3)fP(ql@hSHoW%taIiV~$5{VXTkffIJPSV*6 zUpiFfL{17jH@Zf3Wc1tDP_!K=3ldS4eKU0=DAOha->_oj2gWYTqNx=#|MGio;();8 z8q?8RD1`y4>>>d`T*egjuWE?W_E(A)hP6~n0Fje}L}RT0MT8!UrnYD|3qXQos1QPI zRxqR}P%*;hqF}j+6u3K7WW89)%W%$U&lnFxs7N0|cVJDN#o%JTM^akaDnk|k2u4Dw zz;ga52Llq0uFDw5vS&qZMyX8a7HiLNmIhpw;%x*IC$Ol}7R*LS%-4K~~Ost14NGt{qra%E2E8m(K;G`dg3g%yhR|HaTj3cMFIu0ZZ z+b$qqSQa1tB33RSFPS&Mzl1~;Rgj`4m})VU(lvzMh)0z09o?=(VWCA$xkG#kC0uQm z8uzG2qm*BUYR#E2pjR2##P(hVNyrhDr!GbU(OhyKwI2==pRNOD^lf z`DOD|p_zQyv8<}90IK5F!PUO`)za?%t;PLGgO+>kvs=4!S@W{ms`}%lV5K_xQ*T~e zZoI<(c$$7%zB>Q7y7KAFEUn$NUJ6ClgmA(bSU=gGe$L#h?7SKt%bCVAUC5_Tl|dIc zGvb*nb|vWCxvHgSzj;aa)VX0)w^&WfYehB`e-HJ~jLJ-DH`DU)>$FLA)#cTdOgS)| z$W2%u)PFnm`YPQ{qQ%YCfY@jJ(}acFyi47TD0&rYV<(>xc{uNZvy!>xge!WX zZ;~x?VQ<0{y;861YaZm*X6$}4gwxyB`T7|964d_;qDi^O=sD0ro@1f`)3XQpJGG^P zc7auGyWXV(2|r6nALMXEm<}ryt$n=BJ$`b8md9Xs7a?o25oZB7XT=(6A)2GMQ0_r) zN)=GBUyjA7Re?}&d@e2&&OrHV*`)QY`J-*an%5`IX8q6T^vBh^0^8)BqHb@`n$FHu z3*7O?7xq}U7kk%Z*vNgm?DvzNvAIj2T zphvQYpdGi&w6qQyh9?uVfrMsgu zHd|Ks$?`|m^5a_wpZoIL@l(dv`FVL=)%0)bb4T}dStF6tjW&04I5NU6-qh{(M@%55 zj%aV;)$?KI#aAdY;y2AAKFJyQs?nm@xhW_=+w`7U#-E)5oX<>YwrDxVT((sbTi#Ge zNb}1FJBxYrUp%cC4gK8i19!cWf!ZzM^rnI*mX99$c-jr_QGId4((`fCVUK!raCuF| z_v|{sa%|Py<(}!~o!wCRO4o_d$IHWvR^d~=2$B<}y^n=`U7{)fNlmui}k zKgFl-e{5{#jA%4lNFn^iHO!GWZp6NtXpm5&Hl9~vv z=SY=kIon|@SWQzSr%_eA&m?Y1|PA*JvQqqm0l4MaU(O4Cb;X3lwhG ztnB6+C_~SPK%KQ)2opqjLXU_N8I8ns85$tU$CHQ23>Xq{$)Y`Cbi^9bNG}@G;~e@A ztX1xNP%@Jjh_)kXWC%T#(T1@!ok()VPGm^P+l#}BOGwUGC7C=@X_6Z+#eg2r110+l z=-x5}7!@XHz?>CaxP%v}gHz|ShKP(734Si5+n|~(GcI9Hp@53)7txbhks9^E-$e38 z^qZ=zZqMxr^|F^nRc8VZBL+`q&yT~!((F}N9gY!d=Xp0$Bi)niR>3lvp15 z^-9Tg7c3Gq{F>BVkiUcXCf!=Jv+PIZlZ%&D_Kt5?vh?_0&nKPq7as1N@0Rw}SU#xX zv#q|hroFW_E2`|NKR|qXQ`5>H(^l18y1(kP|JXB_nD}OS@61f}XD?~>QQ8$LfW2`8 zfy=^{I=LD+63PT5c5=t`g_DOU=5+#32Qz@m+-dVh^+A?~B!anev!m-F;au8%Lf~-V zW$K?nqpsc)$2U3uG>~Ej&WI4 zJ&bv@Y1(!eeYqRz?d&q(m8UkEww~tp`iO=srg}#jr#BFDUqTFuc_b&Qu(#9_o_H#` zUg1|mS$?$12fOzgSn6`!h8TABz;xxr+>gveg1eno7uzJ`T#}T*zJ0k?xSR%lev30y zToB3zY&^kV>p23F_5b0~79+OXTe5pMr3*R<$OQs_=fppN!11-<=stwKQq#);DSdI$ zKhoMm_H{W=wze}p11Qk~IKQ%u!{8*OvOcM!?xgM_#%)bKjCqW?&t|j= zg!q-_l6(`T4=xT-S1;HNgm{g5e#?qw2ety3$3WOK{TQ!XNQPv9Txo zGdDvwJ_9}rxfzCwd!kU*apox-2;8mmOtIYRk;1)NIIM0%*(r! z*(JOFg5^+ILIY!qyW-~PeNcX;TX9l5wL2B3Y4a;)`)2Jcrn@k5sXS0kE2stOW-;Qq zwJNJ+08exvhpP%D_Rhc+{f)vF9SBBpZ z-J4qpTHwwT*L#0T7U`P{?=RZVrz$$>Ps-Hw{_8$ltT|Yv8KeypOq2J4#(3n=T#R$URTElFd6;@i}caj2I z_tg_YH}oW0hOch4)$CQIt7{3#i?J+o%cIzHVQMA-Wx)g8nXUt627)%7fCFDYiA#T~ z>j6;e*LprXrF-ZQng5{H4ydRYSw1mZ;@Gg>GYUn-x}>;#Bv>bjFw$uL+GGqVe1ZW~ z1}YeNxmf@40bt>zSxUN=OvogbhzyxI4lfFGRe@jl0e}Ewk}{1?B{)I>=A3nh&d?sf z{1S-r(S6FJS09ZT1K&6|f^g)HpYXgvxC{y{U`Cl)c~+PK&<7C}mguj

    &k6Jcb= z<|?yxwYdxbtf^~+eE8!lJ8^}jkX?)Z1H8+7JC%MSliK>AD6)!GjEJ&cv(`x+ViFo# z<#Y#m#U?dc3XLNJRb3JZGPt5JvVaC4hRm`i2;i6q{^23A0zn}`UJim|U&m`I=_N~;{#h5I`?JoXRG?E<$=yzH2P$$$jasIY4qKm_w!SBT{<23 zNUW{8*LRT{At|p$H|O3r&!_J=$9P66Su=usYf)D|2f z+BPk%zBS)#Db>9xSS6CpLFJE|ISnD7(}O!K*V3sk3vKK<>z!53oj7=6>&bRA>!nLe z4|nS?Qr;>=_Rt{}!1^*Y8eG`0p=`z(zf??KzZ;%g!I9fD+BVcjL!Y2pdu4uI%&sZGpX1In;eTHi7CNh1dyTDPLZC?QpZ>%X#w!K^eaKAK3(6k&> z;z?VUVq$Z?20_OEmA0}`r^1r2-rwXAR*wwBW5Y05rqNx#%y-h1p?_9=p6x*Jy4K$` zBHDZ$%1xcNw)D%n&Gg(6EHNLq`nMg~+nWCP#j?rM$E{a_H67 z*Y;v1IsNT8({9yG%~hRB=Dnu_piE8BB3EhhZPS9er<2B?rfvY!&tHdon9a;&hlA6v zDg~6%9T_59H!GDFPbH&!mzw58Y%3D!a^z%u&xd7XqL6c}&4~cReQAE}RMOIYsqec> zj3(K*vM|3v8%V7ODh^G9x40Aw;JSWeH&6{@k!fM@L#bFAQYy1%9a~T@hyQ8~M7Aka zP9jkbH`#BMNN@npr8|(c2{P49K9K@sde#ns^U3ntJ)6#_Dpr4u-ipHgWC84zPcEmr zqxlq3j=}Zn;ZwHBf<`W*Ivg5qj;ot;XqHd@6&z$dAkSLiKueNky3bw_akF|amn+aH~bAM#l*-c zn2|q+f(olcbnX=SS%=L(CP8-Hd>^~6Mf1};)vc|aa_>i}53PmiwmxTxD;ETywpI3@ z=+Z+_0f6)+W(1M6nCQbIBfsj64;`EDpx!Ma^%xX|XF&ENcZA5``w!<(Imo5DBy308~AG$^}ZMxKDus?^Yz#jMfH_ek!b8>U*S7uFz#c@y%Vq&Cm^f3@1?8 zcb8iErfMx&gjlgE102`|LH2AUkcU?Niosd5LjGB_8%*FpGicqFagD=NPzUqxX@);9 zv`c_xRbLwVXGL3#g8cI^+?P?wdVBpw!+MJ_FJUmM07B?kC0qtXngZ0prR$K>4D}-c zUX^^uGH2>PPjCo@ESL^g2@AaBk&X+jGBye#h|B6egO<%VgQh4w)fp;&(gzNLyb|K} zw_dD{Z-(rhe22V3_sUTYb+AIGEu~Ex^o$um&nC=Wl5RF zl)WoiY5(oqz}tP*`|uKe`>SQsNtIsL!fVM*ty_Ed9}U<)jk`0wAFefvq0^^N+jxR< zV5NdAQHv;-7i7F`Vl28VQp9FJX%wnemlb@xM)X1~vMbnH zGvUz6SIR9O#-zcSl`fQ;+qa$S#F}N4GevE<((*M*E2ghX0);D_D>k?t7W-kAxs@uW&aF4&~x7Ksu z8Q|L$X-xU*8{HKcD!JUR1thZqnLL(Zj25pI@CEC`^25_gJQN^iq9L zSN3wf6K};H3tlkaP0wuK6QAlFl zp#l=NiC})xb{8)D#}S8&95tDFAjj6zvEe4xvxlqyjNH4q27}DIc_)p`+c|?#_O0NW zzwV=l;Q(8SykGkL{85-k)Z1BAAnQ^3TvD;8%+nv-Q-9RUb9+QwcND%zK51Le`^lG)cyz@>gPx!vbDeFMP8KmrAA0{#)wP==D)Xt@B~- z=JK0M_j*rH??L3w>sW}xTkEMQpB2XT17pX57QU|YDtzY5-d{8v@O~CEnxfOYym|`` z8DB5w9qRk=%l~irP5dGH6TFobd|ClRK!?u%vdvLa1RJ&f6hsD3i+tEA|a95MY#8&ZCf19FWgZ6U%QS#6}@r*kTF`&`_j+<+KdazlO%(kAlMH zA5wPgCy>SFhX1lmLW#gGY;N&m)S!l@;ff$phA2ZzAP2dSkpN6Yqa}w46E{u(8={*8 zQa2?>EjMHlKrLII7T;=s6`MeAgcXabGefK^f~g!vWmLhAQ$k?FMu^Z{JTO3Ezt*xp zv;wg|#A_XgY7kFOLR=FP$AX}#c??PzP7Wum6emMbiz2v4YwA-s6Ux>E*(j1QR=Bnw zre&Wlq0yr%8%ZFqKx2>8Y;IHE$^h}bGk6+2e>`~k&~>eRn6~YFx|)94KF^%qwrSM< zYU*C!-qQB<^=((rwwe9i<@LsX_SdYga87oIRK0-)`)so(KWc2#=ocm;hXk-kug9XR z>{~sTW_IsW+Mvp6J83k<+CsxV^PoC z4iw~(G}MixOp)tWfDbm&dG~}FpZ3=_b=~o2sR!b)!BYt@DE?`4|sQ3HndM8Lw^cb zS(R4VrN=n8?VAq|g>Fg4&2my*g}3gZ?#}P~&ed5T?hgr9p%5Q1ZSY580b4HJA~WDE zt?%LwjlTex@E9RpZTgPb^n_D~cl|yD%(8GuAr5Z)`n|UdETVYK?oz#db`mp_k*VA@ zhsGh5FBK-D#^t^~&4#@j^}1JWH0O;M|11v$Ia;zcyeq%qyask_5*#fU=aJ87V+hMp*9Qa`3M_c zeTFGO(vpPF3VrDc|2)5v)Hk8syORKHD<$PEM!9c7Igly|Plb5WAL#gNSvm{SG?<=e zm?DsqB=GkXm@k_Wl*@$Dh#oXe9oi{M;Z^V_M)sk=(*D~R`Cqcq{xucO%Jv^(WRu#q zEjByMUsaGxV^1?$`2t=)qCR8VIvZ_G7RqNml)y}_2AFb1H@dpdmqM}aZ0*VC=3l*Q z+!610ic5EWBux_3dA_AthCs>?Qf|gkRiMUM##AS|C1_Z93D<~#fR?F5x7 zgku$oXPE1S_rf#Ow#KX!y0-;TaA^`$LMv!Z5dr8?5&`IZ#Uzy~m{&&Yi0K%=2-a=a zOXIX5t$w|k0AS=`fDtjJC)JxVVY0@{iwXJw+eY#kA<>x&8d=9T>7yhxp{j=Id;K&( z>$JqT$RUVnHbqI6WMHi0XfTi0afUaMk^_O1`*({yRss_j(VTFk6^Ma^0mf&`Hm!5T z7h|+X0dRriI(~;0vBHm$l)q4rm_x5a6Pb&S=?N`pundq|i$~I!Oa3(dVK*g96j$GY z&WISbz)oWk0s~{ttD1)qiA#|tU!a7JL8Jg#iGVr`7t&**xZvv}isx^MXJeTP)(RP; z@@vvJLQOKiD1?Y>kuwt4T&ju|&@(i$=G$r^dsT2ykYsSF1!CjDvRO-m%s@F*ZC=-^Mlnx(jAQXbbr3W*>JaT5{+ z7l|5@WND(;skKuCVj_eduYJQbHOyE_ygUZ3Wg*fa3BPmrOwqnIicl@GZ6Wb~xkWn2 zvH!vC<@#l-SDlHiqrE&(lLxz}r)0e_8NJE0g|};Cn?`PSeH9B>%Ep>KW2YA{gdP_e z!ZS2WF|)A=8>~q!+TQ(Q>$@jGjXX4X3er4y=_Wkoq=!MI(h4Y)eoHT5l@+_rT&NKn>RA>aM+t2ud_KQJX zzGkUt?2ubP8ch;dqX||UZ;~Aa8SwEh(IU_$`LrSVq?9DIN)d=tm{X$!^_@_F5TsO^ z3HYr~nU;`ZSA}xoV0;2F9xc8UT0JeeaO!~S+F&Qe79_(q1l%CqenqN88Lot(i6`xD zdq!04N~}HT@m2TU)V3RA%bK;D_u;_$zns zm)zgu#nObYjxP`GPS{;KmB`-@vsV^(Z?)FMbiDL68z&)4m9I*Zhwh#abr#8mr@&;% z-wdS&Gi2hUk{(&&y-ksp1I24=-OsPJm--(ok<0JL_ZdJUH-)nPfoeIq42HSG+~_Eg zw3<^Ueq+kL%ymnxGLIP|%_QpVADQiqHZ^cc87otLdCmKR1{Q!dtaTq~R#itPnDM1m)! zQXbX;3=sp3;3M0Og21iKC9TWcr;ZX%X!f_llNOqutSwwE+u!!?BcJNcwNMOPJrW3M^Ba94-^09P^7-#FjwE?I9iJh*XGWF zF9n}sfHYC;c})sSzh4d|R-RO?Z&_k?5({cM_^f`=<+ zgxe2OH2Wo$gm}+T(!Y=5(F?vf3b+{4=k!i+;`Mg?t#bztDfznGcY&_8daC`RP(L16 z>xK$&7Hmxq;~6CRH-$b@xb3!u->}!>1GjCEd9D|NQ+GcYivId`%5Js2X;M&oU-_BH z>Velz<%1evG0d_!NIfCr8D+u_*o+;qsK0-T0Sr0DFaVQbGz+m0F>Nrq!XBoCC&oM! zu4eSL$G-4-6epuBq?}>f&%AVCi(;0sx#^Cq4xbq|&4ozH6l`}|DNPVw_WQ5lH+dhx z)}olWL(N9aDsbN^1;(pWklO8T!F25gj-KNMy=P@w4% z^hGfnN{ogQ1=`LK6sIzXH3mQ6e0|+wu*%p%%z0lO$11;`T74d$XfHojT#&etl4+Hp zn8(~1lm`=fHeW*D*akPM+VloDTsfuLRRU5Gnn%E&MOdv$o%2 z7|9@1=M{n=SOG{B4Osnkw(%Y?93fN+sr*Me;XQm;oFt4WC_FBS=LqVI6vA<+z{0?! z33+0fLP#j)iDE#cAY6wS32e2+wB`>g1m@7`u>Be!7Bnr zj(sRassv~&dHQCMBExg2XweLEyamV@P?rAIeqwWswPep0EWk>mr+?Rd}Bkl-d8(v0XMYMJ$KnX1r+W|$i5ymK-wR{rsdB&(l z6}3&ObBISQK<9|Hq+Uq|I-ZD?BP|Au_nX*8i?j$ycnux5VwA3g>Uj~125-*wV(D_; z5=2WBCP9$O%rh?O+f9VM)wmVWWbU0r=uaE4ojMH>7Gx*mN9Ns5Ew*pxkELrw2rP%$L z*t^RZGR=t(0%)bxRxX^NxJE2V?K4MYT*QsUn92BJBNon5AiVJ4H?3F9?b+kR)XCO~ z8CJ>Tz*{itd5x!JvGppLP7^JVeOLEd@X==545xN26LqCiE)!r%Kyd0A2L)D(>4woDeo?F#AGooVulDZ^T#G|ZbnA6444K?`vTwqalch6&>q$^&NtS!6nmNkiJ7 zu;aZmnv%w}83RQ2VFwbz!Zi7?X@x*{yhJHCBRt3Iw-vbQ{fd2@M!F)AUmT@>%H)=D zwTD(vz~g2Bk_CB|Tr%Ik_OG6R7kvo)LpN(L#&av*JbJo*i0>U)UAo!6NTM4p#fstv zZmP9FUcBX{8q^|LbG-7;Ov;OB$`~aXC4$i$W*W#nR}T}v7pcc9qR(v8GE&MC$y#s~ zjcF7N?KV%AFJseOUD!LRN^@6_D;R2%z3@_UZB>l{BcME{b4!pD%v5oYId8E$W=(U^ zj$Jjr7&X1BzjtYCCyzUX(UG$8Mqea3JIMya##_X^q=7s!mE)7Cfl803KYga{JiNQ9 zZf-P>YRFa#$6{?&bxk5ux}@*Xey{0qv0HonneLD|J|VYaThU&xu@l{}Qpt>Q3hjD;&6GKd zk=4GRVRz!rxBj~a;hc?#RdMrV)tu5VM1H<%2t0aBiP7qqh+2$ zcfvjyUUb>WawFbi`rxwE*WKbmY<1V%k8v0?PA{NA>SUJv>n#CdX^soy>G{sE7PXMK zw@9+|%9-KB+i2=Tf1az0XrwN%$s6gyl0n*4gA${|+hDW@VNIS8V>NTp$(d{dQ1b=W z;U$$V0pXpdAHC^_LVK0fUP04eCKc|F(QadoD!V6BG}fjgIzZtiF0)?gp8>f86U|lL z9_MXjde@m_4^P^)?EXb3dJdDtJXczOlqr;i9LrGG$zd<`oG;c+YE2DqOWD+#0lHk9 zK9+6I2=X@c`ORaGC%(VSTvEQHjr7WY_OA-0wQW)OcviRbVeCz9N`YtiOn;ASXwUxjq7X_C zI5wxmoj4W;F*as+*2GrB*Juu6u8!8v!u*)F+gR>s3#`+L_pEVk-c?y)FaGVFhEsf+ z*mXT7ynRvw=;Q-F*6I~^^PG?z_z`*@ZbSNs>>Z#?8&B9bU$JxWsWdQnOEn@9LRA9kb9J*u}eR*|}=Q)1&OWQAW0Y$M)f&K%&)^?imC#Q`^O{|Dh@xmu=IgFUOj_joXUBw&ggzmPe!HPdB}F zr-0zc!i=>>W&fd3{XZpAF4ZM%|ED+)a5G8By0FIFSUKcb1~@ZdI4`S8%T2N|UVdui44!ZfvImJ{>@SU7*t zD<~t*2SCJrQL?lF2WGGajN;{d*uD|!f-PFS5XyW!24?C(1lrmmikMRX0&2pP0a3hY z5j>Fr6iouFDQl$BG^tU1l{*VfLi3)Ge^u~Sbk#8L4oO0DkuZrRl96@c>>=*_h zG6}D5A2=ce7?4?o6AC`E45xsC;~2+NN}aFZg$7K5dT>RGWS*H>!I7UCBd)m*Qet{S zct+%%Fg_95uZncki9(A`7%}@^qp$DfjjGyTXw->-3SLHv1PS><;iwC=MDXya+Atxh zV@IUKhVo6mkH+{n6h?H|=$I3Y`ecuK!%0Gz(KPt;Kti)$6rcHZp$RA!4nAkQU(XxJ zg2YM!3^b|loQQ^bgSiX_pKO7f6eU`eo0LDK2o6y*01_|OqaLZ35rtX}2wyz3SXRyt zt+mT8Z$H;*Gl$T-(NhO?yVlp>pEYrlNaQ^Szk>alca)4J35q- zbU$h*=S?4LR~=WO*GEBpEA2o}yE-~!FfLURn4E!PUgTl5IlDSO#!c)?`xjR~$NTNN z>bkmFxob<`xm>yZ=J_r0T60^fWxW?`{b_h&Ye<(gr8|2U8@q3-czmPz8Y=jydqOp1 zAvFhArK1F1-qskHbfQR{q)1Db?fQ48+P0>)r82kkO1o9_etroj&}nVdhYjw;5l`By ziy`0O9ZhP{Pkj{VRxAyTy2P@NWuM`M;xwP|v^pk~QPdg00J_AsugcKqN?%IsnxUk& zN7Jl-D_0WztD(wO*T&{`SB}@tZs+L>2qv1}w0yTuYSV53Og;7voET3s zc7V85xw`-c8sDKjxm!WR-bbdLZy2-;@lJr^mC{}{Je8xJ^Z+ixfo39dW{IGrKx?DG zXO0b&uW{B<>mElE!B`%rnU-(83BXv;pXx1P(IXFr*fThELjfUJE?rJnBBAxPb)ajd{qiOT60b6eu;GkEIY((tA{lD~oBXsi zBnb%8k!mt(I^aE@E(B+hII7P#CrEGdje1U2(hYAXCD=&pFSqawr?))jS!;c~2Rf}t z8n25%FSq`#f~P7GtE)Y?Y?RBCF0U;?abT{VcK`L8%V4R6vbSSqMcBtYdm5ZIMWRX~ zv*nI|69vFN_VmqkUCOP#yM4#S)YqDK z_0P6$j|76Cc62Ob-i6mVbaHf1o?6op zAPv3`^7X9MCWEi8EwA&mv0)oztiF>{thr4fkE33C`Ic!eJATKLXhFfHBJE$^8{VC3 zE@Ku}8hY-*a)RGcQfNwk4gDbv)h))7Hj^n^E0s|mvhwtVpF6{CI8LVKQL@#OQ15;~ z8Ng6%xC#)VC|eB!T)oi8@$7}z*=DCPerqiElj$tjzc|-M2@M^Hl)rlowyBfR^Pmt zeq)5pJJ)u_pL*(;o`@*nXOGueiaX)D8mwHpl*#^yGhuaI$jz zha5YkwrTU@Wc!5*^g~b9uy-Rzz>wPjkiH@dxypkNL8Q1FQO*|&p#gr{b>$d5uDMDU znuLBF<}EOp(c&a2PHNB)%fIbK96_!FN+LZ1Q4@KMo(~F;i$^V(i&)7**+|R+);A(3 z3S#6FgQkAdB(72ps}2v zMg)i$zwgmEMTq0;cr6o z%Yrqd1z|#*({M^EK?ys$B&p?3;FBQZ;zvLyNVtf%Vv?G;lo={AlU+$ji-)7xf#8AfD*z^&EDk2X>dl}psWh2TldXPx{8 z(r8R#uAaksNl-DH1`RQrZtPvF<^m9GLMv-zF;N$thJo8m)etnK#u%?JCxEHzU26=P zEMTNbG>}H<)KN@tlCi!S*R2k&$B7&1K8C4O-!h31cp4Io8)9}n}z|8WvhPbIuDv&?-w{Ass+;r?hL$?X-rgsGId)9 zx|Vf8YNbPRyE~aaIy^FZuIt#9qRa4QUmX54;e2aWx5;=qs(tce*4_DR@30{wzlfw4 z6{X;vb`*|i9KRzy#$phEMYQ|@mrQ2j?|Q$!ExySnE|NrG$`+Kes|icrdO6&?IDhe3 z4Zp%^@@^I!0obG)N%H4#yz2K=R5xm%-`nHm4W*45mw3$rrE+tQGiGFA)?=%d9h1Nv zS1rYJH3qW8?orBt;yB4M^~c4egkI#nY{$@oeh*^y$OFoX89evNnvJ7#Vvp;b z^S;@VvoMf}b^yM9t5-bCzfzQlKB47LtDw1Dq)E^wI4 ziu)S!#W&eqJl|X{7`@tjUZ{xpaB9i%CIim(+<$o$IEieDpeIGXi1t|*8HFJk)Fz?Yjw7Nx(}gJjrKTofu4 znMa@;M>mFIw23YA_{)~#k4VpUv{(EtF@Prs-xYG4Cy3D+`CbdO)WZX%s)~X!u&N4A zt<}u-&cq74t4DjCy$3jeuJvs)lhIa`HO|JH*^wV7Pe;2~YP%9yFAF$6K)dgYMh)lZ zf{pmi+SbS3M$C=Jjr^n_&2++-=SHkgXCF&%_`^fQb*xwCFdx_f2)j#&GH3mPAE)Q5 zTE+>vR}bj}#8JG!?`FSA&J@9?pj9jzS%5v<2}M{i*D@TQ(yKl8w-*R5?~oB6oOu?R z!lK7xe0?jtMs)brpMkykdnP6Z3`iAtna8qczr6Qz!${&#LniSC>KAA96^HcJ2qskN zDzUwWI>!UGC&-M>WqpX^&8btzgQAKZR(tN^i$U$(Vj7gpvVBE7hakO6*`AWkZ_}Wd z#*$Q};N1F$#mRb(PMv$@`bwx78~kH@3!PcW2I{U_%B}>~qLPQDXc4Sm3cCDI`2i>% zUnnBPSFB0c(Mv8x_ACmzVu3i(P1H($tBD6+Q%R|jl=SF{x^$Bt!vVY*_rbJds7KIH z*%~X$+lJ#POV#RcM%9b7Ajhc2li1Yfg!={iu0GJ3Fv0g?jmMCynv1e4ct5t;nk*ic z&*K}!+t04=$BZ0l90rR znklq0#%CxI5Ms?7FVZpeA&h)}-3l@)Gy^vQYWPPNRg*%vShP_Q;|_|3Cz*AAw7w3X z6Ly#oKfisgC=%MLSfVHxNobU0fUwsz@XUTij~xS`&_eG6!h?GGcH;5kbDngZCSqbD=gk$j z5z4I{w;M@KfE0gPz@29jLS zBo76X0y<~(I#V+A)L#AnO?q3d1 za%fWK?#_NOW}%$f9o5`Q<>pVN>?{PbH85miQ=pP`zdvjqBccON7KNpme&P9D_=~m- z(dI$WdjvuG)>@~_{Fx-zdDi_32w5NX4RgjDP;PfnEN-d%06l9FR7Dj=D z0q+;%cBWMy;6YyYOV4j)D>px$uC^P3(Ji={A#bLC3{br0v2HHzToztc9ToQetS72I zi>KRE9J5M0^&IT>!+YFDV&XA#kHNuXjRfxV54wrOp0O9~jnyHFtvWtUv9nSV3yDp8iKuozYzl@zkBB$pbxbgNn@ITv;H5ej0K)a*M> ziUm#42Iu6pvA&c#_%`}%oZT%U+=|^)&-rGU=uEo&_;$x9V-BKY(Z>Vhk(QqnkPtY2 zt{q7F6b43JtYExquH&9CZAPj6@sg4jv!$tEDTt70y~)|s)5-gT{0_h4QMnJTRF$}+ z6(RfuAR1BPux(#wwrZOCI(7|+OI94TjhYR=YO$QUa4g_0Ve^VG^nS!1O4!0Q9Oc{k z`ff~_4`8(FRap=G;L+Zut#ax+b5of@<;?P{9sN)Eu1_^rx=GJ%9M9KnbyJcg3K-NV z&~m91H(D7TbC++KIoXNyE-5Nk(pOsTE^R4r_BpM{{5HXDdih%mQdQGg6nGpO?)_eo zuxk8X@i0XemPMgRVGes!cjZT%JLdLOi_+#H`LCDl!_QMLV3zrH&jW#j!$fY0G}DtykRWhfnU90J`gU)Xe8X-<1eYMNBm(E z5A--r@_46S%aw2@VgIv%Q1O;3K58__xg|b0;B^v#=lLd|DF5*$G?E=?3^6`@2S-(4apyz&rd}a zpG23nNK2-NJ`JCwQcNTlQ_x(U!Dj42D(=Yb@3 zvVLU+lQ1~sZ#4uWB>9gWko&8&7-&AOygUYSoWy`zQ5VVzWl@qH)Tsw8&gWzjqK;=# z)oly~c|dWyP7t3G!aTAB$~ck)l7vO#IDx;D5LG@3CL#+)WtgSkv)?q)@mrpoY^vViMZ85Hau~@&(}ZFc51MWXO#wVy0saL2Ilg zcTp0vNUZH3zfO!frnE~W%<_C3(sbabYf{;V0qQCw1_Uw7pMx7{;85Ie7)(W>1txE`qKg$drHW_oGDAA)JVH+?YaU?MA*8p*3qL&8&e(X~Q z>a7zCUB4LqCP_Hmf!7^UKqbAbSN3xA9Yb%$;tAXxHQa}6p?f#^&M&G;T z+^9P1+sVOcZVnCKtnGx~>D>A`r~JVKx`)D+8HzC?lg(o5;oOoxgOmP$IC}>mN!qpj zv)$9SZQHh|ZQDI<+qP}nwyWLKc2C>3X8Zki*ar@LT1!Pv4ZiYO?)u+fMn7C7kNw^gm z!A(tGxn4K6N+7XD;M{lgnv*yEOm}`5oC5Q%{WuzPLtZ|{a^W0j`8)ja;sa>my8ofn zDD+!SA?rb5_NXftf@S3*$pWDq+Yh_+%nI_Y@QAK88bwMckL|DS3Q1NrT;39{j9^?K zl<=gU5~7Q#@Yt6yfZsbMA5{6Rq96d_EXp(>Ap?%5EbBQet+cK-$7EVJrcvnwtm?=- zry;bYl3$t|nVbvTY%{GU52=Mxa$%C+^0Ji9t?B@4u_c?F1--a@DOv&$Jdac|WS;Ak zvShugjJu~WoVqR{=tKODnQ(KR1(HZ(&i4Qpd2hio!&NNMl%Vk z+nwxXzRFxg>e1h%-Hk_Sre4~@pwM&7XGeKlOrK%_t(tsp6>oPl2#Rml`NGQXehNGb zu+oPzf;x^b!@tRX2%&B**DyPQm}PZu9dLrnwY$MTb8!}SA0yz8ZEI5|YI}la(A{6S zcD(&+eHWV4?;25UgV;O1`WkvWvUO_G8ax>}d%7<(eXVxYEz|4!vaB`-Ay;DEu?*wcwp`9CXNgUUz5HE}mE48#}WUx>*3*)7Pct z>gpQuq%l7%i1)Z?-?CRuV2bz6_o^2DwRhVu@vG0&BVyBhk=8po!UA-SM$ZaCy zb|ZL5*jToabK`g?(@dt^$M7KUC9E8tbHt{vcLLYzF$>;9?ca8nSzRMxwqHm;5pOGs z|J+~gTWvwX>_1&D-e@OmU{&vu5eQT?4A`OLL7M{Bg&qu)z%To(vhp`E)~FbdZKe8{ zM#L2c+aN~lz`=u>HLE!o($wR*45yW2)(&n_OfF_hsZ^!6n#Rwi*VW)ZpQjD|KKaIv zS5E66JLY37vcCALRjf7@)9u)HY%=N&=--bw2MZ01(x{&$ooE|g)4GZ|F*{&#>I`bk zmhvqxVvXNES+s1A&0^-AL@*!|v^y=L4od0aA2^5Z8uq`lBHwW?c!GSG{z7_9PT##? zr#o54W%pm{UXhrc3)|=#oCV!+Y0%McFze7!U(qS>tRkGicDDeMMe1oJ^wr_JYjK@) zUn8B^(WI|;qP;hZaKSf8KsK}2NDK&2=Hj3(BIdHQDzfzfei7f)!kUj#<9q9mlaEA) z&#suS3ql3>o9|jYY}gIE zIA!1-HAQ_$HH3@cL+3L!c&Q79l8iYcLlir|>I3bV3!6uY3Po#$u3djIjmEEO+n?j}n9OmZjBuZEjj0dz- ztcZZ~bRzX9(vm7g;`l%$fAt*C^zu$Hvyl7W#YSrD{Yz6Io-QVN@h2(8`PzpdmKm2# z4HS4ynD$h}%P$Rq$r;OFjLiL7=VCw#a`*kJq7Xio)e2ysAjp;=Qm+g?rNrQ40R$dD zVkIy6Dma}c%J`^-itmV262}Q+?v^a`uq99A-kE|Ui$^e%V6u@4=%iS<3FBF5K+}pf z1^gA0STT%*n9y{v>v*Ur-LEgg5IAPp<=gaY`StDeeAj!=;dk`ic+3#o-Mu$^`qo{3 z&flMJy*|DkuGy)VZ5^FnEp14|mERjyvt{?J;wo|@Eg!Bu3lIprd>$fX9e>IwHTb=L zM2|vw-W?vD?pG}^^!mwi$_0Cw*0yz>Q>2w`9kQ7|0^91j_#w<9@a{uA20}|)*_xF^Prpgq;} zKE=b`Ky4Vf9w&7#I|$a~f9gKE2$wF*VbB>JL6=@npnP*Nw`u{WFrNRkAyjc!aL;*h zcRwN~0Nhc4h&_WsMjS%Kwufvt)5Mbdhk1wsi}%sK0y3`|2V1-AK^UIWwI9blX;iAV zQUnWLN;id)Pn{QQt4^zEd^}5rg_|w4qCw9Jwt}g6G^32Xn@g}fStfOZ?Apk6FaPS> z^U`-81yT9!G!z(bfe;m{SA~?hSPx3+ptGm}Jn4EY8Qg5hJ~qRhrF5d@Cr9*Ax|J7W z6k-SZ&mIEh$zZG%60d)zL*H3y(|Ykxobbj%skq7Ch>rjk#pNXqwRfEIYz@0>P<0^j zV;nQ$4=)RAh)%{|uQRODc~1Cu8(U@daiQ zf1CcPDBPlz!6~LjZ@MXBzO6Rn++dVUPFPWV#nQnh7W~MGz>s6B1aaQ6kl%@E9+syZ zH|VKoMwXgHjFzTmS|dFo4O@V2ER{_Ow64CXERJQ4n!vr8o+qPv@6{;tZIEO&8SHVe z6jTOx&OfR^?pE0sOEA96)EsjBs@}Pf#K$rdpc&o>>;>&yvuf)lhjxG@7Ck>n&Kt&- zAZYn%_s@)VS|`G_tkfz{yDQv|WF_X2p;GtpELV8!)Iqu?VJa=~Sv7atnMs_&KniMJ zuKiO~t(4M}#4>7L-9LsQ=G44AMZgR`Z=O-gJ<{qPnxZlwBU|Z zt?yK`g3$x%*flxBSe((OhTOXxkG$v53)@dm`F@I7Bb(stRaWpk-lzyNrO3fL-Z!*9 z_SUdlc81a?I{VnptA+FXbA++vBJgCq{3)OE71);OmQ>C8gVnNQKV6gwV=WLDK8KIj zeeKMCIT%q~L{pT#TeN`(M&ixV@IDB&Z zwsykLw&qWPwL7+`+$EkmZ_^#WBxOB|F*=&@Jwz-O2Txqvr~O=K-zI@t0{b!<0OwA2 z@a%mkT)U<6>C)4s*h+j=*sXCfWn^6Jopo8LE4}rs8ypBiGycdFp1-3?RvHJB!o_&M zD!bchdBtCDtNc5$fF6&bZy#ip5C(+xG@O3NprIF8wawD2t{kTc%fo1v-oGrp`->^(SS^u<>E8^%M#ytH={H*%>;sOjWfHP=d6qr#%p+SF zy3EZe@wY{Fji#Wo-4g!JE24hG{>2nr=OKj-jF#(0gusNB3MNdi<16!bkqh!q8r8w* zF|e|5LMF&0DjCexy^%$0QJKgv)JdQ!Yt1|)w!sNZe}IQdjnOYbr55h!=@LQey51g=(rPz!aBh4b1{R=v0_`*e^5v*Dq-H#7y}RQSTTk zO;aF?wA3=bz3gOiAIn7+6E{Fs2%8dK#B=qNcfs$%l~n!LDu<)JU3Zy&kcK7#-RWQf zC-zS$ILcK+7Lw+y(uGSIIs@sgf-wFRMw$aoL<~D1j1WOhr;Df?W*{s&Gi^=Xg2;%G zG~wzoD0QL|6q=s|4ig?6O$a(0frv#?Q60?)t(-7&J?Y^bCJXO9sUK{CuZ*7Lm-dEg zzbYC|Bjg0DkP19O6rywoBWD-_!Xj^oHV)>hq-`?A8MR4d3Y}VJWgoXm4uQ+4DeuAc zT8t$24Nz4cpJC1z4q(ago;L(I5Qcy>$uLzyI0BIULkdta3|*j_R{Kj!QB5@Vq;e|j zOHP!s_~dhGA)wolz?j(9e#J!Ze2__YHN{NLa3Z@W`7_c-%L-N_0wzeA*u|6BG1;5Z6Wl& zX<=#4i``D=8IZSeM~3~YfjMPXWmf|u%4{a|qXuv!^^{4%E)}c1ReA2AX!cC3e_#02 zeUpT-IZBSok(&Z&nl{PA^|GBjv3+cPyF&8c0tuo!MDHRLBfOJKjZ#q{P@+ghy+)hd zT9U}ZPYRX^3S8WWW;yy*FB_3K$($H@1R)Z)IjhS$a!vm^;3~TQz~XvQ;RIRq(}&P% zxyB^vGU3gsVrm;{q9su-gkl)h|qGgYgO#(*~yk@S_CXysax68h9f1GYy!w6*_flciBjnbCSUKb{wfQzk? zEz5p`F{lmuZJGJWs7Hdy`%C-247x8GxLZ?dvTUM?pq!GQrf*vNcg0i!Itv)z{?p1I z`n&iSlvOPZ6rIKIxV792@)q)nxruy#a*{wTMor*c>(y`$Ni%GA)Oot1U2l3#$J8J1 zT>JApU3eyUwB$A_^f2aK%kvag^V@~$h1!KIg{@v@z}s_vxn&ua?el@OO8`AV5A%UI z&1VfSk%9lw24!?Myzi zt^D=-(sUciuV=r}1L`}sG1qK7CL&^m9Q|5%mp@lRe`8hNW8pKqsO>AENxOKHMJ!s*MYuelmm@LF;K*&u(p?(5f+E`e*2(*1 zB2;ob?ma)2OVG=|@o`RE4{hJx1Pj>{ zMgO*{`0sEgT>qO9&&>24{~ramQ(FI270BP>AKx`_WCXxo$qz7y(NU1|)dil(_at8&5d^(RIZvg6QY+*-;;_Z zT+~DBCJ8AnL}pA>=RV62r|6UjFoogdrt{R3nT?bJ8Ta*sqExAddI?Xa8VV-muu+lO zR9fgv4(Lh5vmP3Yk;3Co(C}huJfc7I`trgb>PMWN6h_ z-1T7Ot2QEG_~Wm%T4>pFnxsfc(}l_d=Ip5;P=To;LO1)qJ~i_l)-dIfrcbD zHah4bF-}vfKeT^mizz8)5pyGnuuDi2Vdq7VmCf5D6-Bb6GpZ#xBPE4X?Q7K(Hi@wk zA5As2NK^A<*@n=q!jfYlsW&AoM~#<=C8WfrsrPJjCh0AoVnt_|Q-|uXFtC zX6S4h3;gx*YT<7(2~?!owK_qc&syzv&&Ta&6vlB?Th`X$&e!ez^WV3d=OqS@OU8}` zhP24xHMx&^&%V#@Ejzb9Tm5d%CX?cF(K>E`U}HR`Ojq5?%Dq6RudSf~!T}JKu#sei z6w;H}Du$sxsU$oyC-MKYVB*5ULqG-v4GioW*fVl|Z^nZC|CUA0>g9M?QkV$BfFY1s zklA6=j%Hs?0ni;-cecI4KP91Q_1pcPaI$=8NDeWuRtGvH$JmdBiVWB- zrHaqNr!Dm%>A1uaYQC#=rlmRYyNSdy($NaMds0Auyk;LQ-t5E_UE0b$_4=ntBY_5Gl0 zLm*+k@HOx?U@$+>5orlE3=Dw>KwwN@V60jh_h;|LO`~mjQs|g?yOo!Or+HKddi#{6 z(rh+fHP>Qb_|(TBVC1$_D9}qAC1zP)Izky&5Rb9lYl)^=7d>3nAt675gM4R%1dj9b zoaSb^PL6ROoZ#PBTTz!Lf$M-GjzyOZI`fe;3`D~)8poZc8N7j~2f6=_VDvzg zcccC}oYbrrCl5z|8Dwq@5CG+mOIODVydQfFJ?zEvOmMDk5pYzzk_>F{eFP)4m1lmshS``k&^0E?zBNAE!q~U7lTkUn<(!n_GKV)W=t*pFbRTmhnu`S5B?w zI-(ICu?lgvhuVr|2N75pcZjCkG*)K8x#A~Yz6V%QAZ&E|M3TEp1}bf)R2nyluRJR6 zmRIo{Ai6^Gj0%<0#Q16uVBT!?ba!$~8{5qchi=>)e8xF;K|5eYfN;#pOXiZE~VCTYbLkD5Bts-$#nGOmL zATX1thEJ7EZFm?`5fmNgb`{X_yn5xQTQ5-j-s#&;Ta{7r2YeR6&h_@g;B2$d%01bk z_i~iAeKQr|;&IUg9h-ylao?JEC2KURiL*VvY(UJry9GBKV6@yCu{JOifWwO!Ea2aa z8x~hPZ)*m~ZynBpTpI7=Skdp=sdK|n0t0Pt?ZtT)R9|^)a>bj83hi6>?&#@U^Lc(+ zqw#E&OVeg5quHG9{mA~s*hJ^*JM}@BNx&L+d;~eYuj$m^3krdc=?e6_tto;&&FOrM z%e|+ocm0>Zhughrx}>f0z{9ojaa^UsMytB@-w#upKdv`_CXZGw-cP7~1me zp0rJyDRYB;y4H45JxYJNU-_{2Q1{Bu0!otchw5wpA}Jw{u)3d^3%LI|CXs38`m@|u z`!`NW{hIM5Sn-Rk!q<@UuaK&MR{duaoXrxR)qjuz136AOp07H+Rh`aF0Atlc|?Jgod!sFSqQhW<)<+;sfC{vNTPbvbvqG$Eua=83NKVE?WoDuj62w?h+r6yvI&)WmqL0%CA&pkq`O8%$-a zU{8FJFkC~}ZV+wiuJFxXnP{j27>G!WGP3!ukbw+@sKg%|;>xBy5O)_fEOK44(8>hrCW|d*hLkrEMI$XLUup?|RSmLllNKrtQBkLk6jRcJ%9H-W$FPByok;tBfD$F!2 zeTPZ_28byY+_&b?eAu^}n}q#dla+O;hjbW*sOlU1UlE2*$<}wE4T2oQnr&1458 zqCkruYrw_Uc`hx?i_s(`swR@q zTv~Ex(M5(d6e6*qVrnQ7G@&FYvCN5)vh79GGmd*oYy8-Q838g zNw*GivU<)Aed0YhSkw82h?{A%v@9~**si6YFV>9K+=AWeFc><_qJox|Uek8^-rkOj zzI(Yod94HpvmGhWap;f?wekY|ezqTTuTW+$4pYx4eN#7vH@fT4v}#zk0RLjWiR1=e~M;VP6_I^b!+>=zcwD1TzfS0wr_%y%I-RH9iLUs zk3FT6DBhgq1(+W9AFnq?Zr|cA@9y?KtP1)VeAjer7;0zyy7_e(;MvEnzaEbm-i>vk z7YI6iYeDae5Eooj+21mVkIXC_3Z3M zyuIe(^hnSJy8^B|RVJr!SGZ+OOP~@sOqAu=5C7*4?OEPAkdJwoFb4K+97qDf)I>o7 z!ntqYuko{da_7bM#T(GWd#+ys{_ShqMH@(j7xKC+Av$03t1tTYriZNMGlX)WF5r(< zljjEi3`Z40-}bV@gW;oZ53ezJt?&NhdDP?DquC|0U!DMx9I-z0o46TRXlcwQJ==m- zZfmoisi#BlhDRXdTHq_#JrqEJ{rWLrht`C2jqr0-GOjXd*5LELp#5L&*$IE)O68>dX97vcoX$6#$W;~g!C&{Msb3M;gu8G@? zH%lzw%XTe)^5eN>Ds^>w0cC^o{Ea&m@%=3QZ?CzGpe)4N4o*vRV4G2LB zGVs=t9MApCdG5d<4Ur&Oj@>syih|#P8<3T~NEQrPA~O2@mkKVEqsYki%@zF{@BB9D zxj*!i`|I?#C9<#p*~AVP@_Z~;x64#Y#{Q4X^dZ*9Y1gR&g7tN#GzSZ73HMkpn-aRU z^-QVhyP)+d8&Jgtq!@9ykVGzutx`$piC_H- zWlUNRlvtB>D^p9_qhEkk)g^zebs@untM`}z4k}f|F04$&_5#}=oWN)^d4i+}VJ7?m zg^@7B=xV@KT2XER00SV=3m_{)VvTrExmp!@mHoXGl&U?HiCQwk{O-*|stqPh7HUz*t;uylVwf** zLRge~xSenz;-?ffv|+f7VL5u0CQ|k|VI>*t9gyL;4jTOAU=)(bAzROEXI)-Twvc?47gf7UW0bE5sG#-$NJowv)s0rDCHQZ|6nmPWEa|-dh zz=l2`g<6fiOwHMisX&@DCWhr|-Jyn6_5keBa<#Q;jmJ(ZpoR-gwI1F8Ay5h-%hfZJqbR#+JMNX%h$8ulzq`ifDwb82`U$Z*}GqJbY8Yzz>*p~bZQ zJjfX>Kw5^;<|cK3`Ws^NOJHr5NIJ~o=)lXj1HfL|NYs)*TV89_N>I2&AT-=m{eVxHg(%I z+qTosZalI#6?o)=ez;&k56^i%GMBwy#de#osm{MSob|a6v+id&(!9LWE_ZDgh(|W> ziY=#ov`V&fmb16N$i zE1xXZ-VBmByW4W>{wXZ5~zxMXwI-T57zq-|J-SEspU$#!!ek94&3PUkzwfOiQgE2U`pS@2Dy zS&N&a`YuZgj$(Y6q|pU33IeIP_<>}nx47T$w#l;Mq+VVA5V=>S>i{1KzTAqUnW*di z78`*Mw*~pu0*l`dPAk}ZU0F$2d0(dQ>izUVytG4c=S$#b1fSz=c}%efK^)v4t`E02 zY`EMD4i^qLimU{_M@1(^2NKtR;=%A7xaE8w@MF^vLwX7>l|*h0Mcy4-G(Wj`={c(6 zuAiA7QBr>g|D0VpkE^R|y`HTPcusuWPrdt=47R^n!+6f}>+07*+CQBRW%a^${mk~$ z>Ct7_F%04My}&r$STcKGmypgg$r%ksX;F5Ebv)IU(uDBH6C}=#AkdQ)*>Ocj}aJ(2FxsQ;Vx`6e* zyntK;l^RV9cYMF4Uy+X#B#S}FVA(xcc#=I7*hmFq0%TgdP{;&MiWs~xM#&%SXcUA` z7-f~U8Vr(_djgR#s563g96ca}jw5DrP%TU@kjI>@$2R@zG7NvXxLTM*-KL0{QR4E;7g8vK=)NCO&nC{#+kkqEb ziV-S8Y!XgtRl3Ds(9BE4HN3yn)=@M*QOJ;FA#`xGRJM>LiygIf8ACI_zNOlxQD-%? zLvj2Q%ypc|jS7!Fz;l&QB0v@#us#V;LeqrLC|9Q}gN+)%b&SQP#R%vm5ae_c!a-|Q zf`iruhatB~aaQ4EDX7&%VsAX885~uL;;>`awnO;Gw)C9B`o1@Ayz(jGd8OdG0bam{ zo?c+*s#p5jcBs?`qSSEt6fR)%dkFo0!=y@@MlC!u6}2U{ zu+>v%p+ligb)m+OjTh$u)*vCBee*sVQ$zbF{+hozVp=#6?vI793? z^_MG{*Xl9y>vBCchsv$IkC*6q4O?$;Q&D>a9C|7X>`Tr^8>8KK5Wk8lobF&P64K`v zvCN0(I*s1&mYX7Sg>*^HSY1M~m|T7_6;+^kL6`=l`|7$qvy+ro(|zx$%oWo6=ZHcq zrmAho3U_P&w1)xKH&s6x+y}Ju(;&vRK}+pyn|qd4Z9l5ly){)x13*trU&rR>OC<}= znJR}8wvqJxKUeQCe5qQV3#o`s>UUHJ7(=`unn5^P*zaE8%NYyuNY9vsytg###hr@d zd4H9+RfuC)XMA?&{(JRxHu<;qM{KF~IEE46ut^|$E62Q5UFY6nvZB!#bwg&x^~eFj ziH9@j>f@pNh<7bDsnww|M7dQXjPCb$ss7IQ>o3jI(|=Ngfu{)Pe#F_)H#O+BpqOO; zmdYJ0w3C)g5||kWSWgyE<(yFM5_2us(Ic51>`pjNv>&oR!J_*(PdxD2u^26Xog|MX z?bqeM6w>_t=Xt0o_9yV)J`ev(7|H+J9A)MDPbp~swmFJOB8HS2ND%nl93_Q8m7>K7 z|I5#|l$Mc`b1@a)y?P>6xmH`Ltm|_&1wN;tq6Pey;xHRiEkURuyD%NSr*SzPExG6b zBjw(RtAgs)sVF* zVs(1xG$9avG7-%SeoBM*9Wx>Z4V#y^6RAgs!3?oQ?tFLJAa4;kD(kET(GTpuYzd@x zSrXPlFt`Oh2XNhH3UeUl9Ex`kwFueyQZ#~hp-*dz9AB139Cte9YN|7h_+Fu`w^ zY&OQAYPj!n>qvTFO=p=fXUbEEMjt6dT=l?FiXj(;sBnX>rE>$K+n90?7e>W1(0Bj1 ztdlM@or0xHEM+vy?seGWjFm3glz!dmkNreNNfxmktx~UYNd>Ffu90(({XBENw|~Es zH)rteda-qx2|{$y;_EYY~&gG;CP!X~dRj+pnSuUFf?u&+nA zty*U z7D@KQ+Eby~>{eEQ`He>AcB`hz3E`3B{h!`H_tGt_D?JwD^N^72xu-x|2kui$j3_-h zeIkgaBrk>#zGQ8aLJmm2 zf7oA;J~%=96wri^()0rDU{r}>6;$OF&{&S0vqhFX^+#w)%o3s(v#4WF!ZQj;9+v|& zC1Gg?8C1lu2g0ykLMkns=7?1rLMl(hZyX?e3#iRhS(<JPvF0Krp=wa=W6PI}L~ z6EY1l%y^iizduv|vuPRuzg<$cUSTH9~g1YlyuoPjml2P@A ze?Mvkk%WKVg;9OgQsD*8r6lwY+_2kewUk||UGrFCK4Q#eTr=#fRs&d+%UGu}rZ}g4 zxg49G;n)#ceIA?PNI!!~>ecYE{Jo;4&vANQWmm4YC6c`vRHb-|cW-t5>b0|iF`E7W z;M#JJ?WXuo6>Xkg#hXMy1@RIAK(kg0BBN?h2Y;- zCjT9EgY$ne%gn5-|Dkbxp|uf<+lKT#@$Mnzzbey6PYV+2uZm0(sftJKN6(yofq%c#gmtU}+2$EeV zj087ffUiV{u69+9sm+1QGy85r@>8&?|8eP4Pfi$ki9hsMf>> zG3$`E6~b}wps~cW`b7E`_r_QeW%a7DM*d=>p`Pa?!f4E3^rEOs$l+3X0B*N z<0BiHNL`C~9VHKG9xat~w6XzIq>Y-5*i18bXfTcj{L;|Naw|WnQDGw|h%&6|10*TW zvrovSY?O1#E{ZQ%HqocF&s}Vkcc4ntUm$DdAbLBZCTupyz4Y6kRAi zbtIC#IJz*PO=j37rm)dP+Z@q48c)uA)w$6-4-F##!pb)LymPXNf#|$tqdW&q zFX9LpgtFhGBD*b8LqnbG!wH64i&G>+ofV~^fO=bp!1tr9s_WX^8sFC&ORvYzBU`U$ z`}aD8>t*#>_PQNj4`!ct&(FTg$Iq?Hp(XuggpEftvp0--27^Ax)xSB!J74*{KRxdW z#u{w&w|lxhKPSf?m)zw4zTN?nvIalw-^cp+{+{jBeK|i4ip7%s3X@{64GXGDtgF?l z-Kpa^4{JL|Vj(@x=S4W1jNpX9u8_gLqosr!TjZJp;l#Bv-Go!wRy>T~R4hmknPvNl&|3zF~)=1VzaSZwK{S zI_@KO^^I=mm>udI_X&9pTlbRy24`1Yl}CyHUINzF1kt*o^~hd=Vn?O_z(Y4ung|_~ z18MqC#YYmMfo23m|5P(+9Mp!kqjL0Yr^kz>y3_gN6XJ*VrTU`&NB>^}@ZRLjv3l`m zGFMXQ(Mp71;_gcZ+GUo0=Xbn`_9QrsMawY$!f(>a16qH` z2A!4>ED0(F&;Lb{1AGWoJ{=UhF#ucc`nbW)2fEJu%xm$`vsw)9@&{8mF7S(S7@ZiE zqBq#IC1UvdmCuZ*q8*4W^p@*C;op$Q_=F;E+FuTfX%1S%Y+!=8pKNe}7mXLB?1%IH zqg-HqhYs+JGr*%){i}EyZ&- zhQqI0W0);aMZ|_Gq|rXniV2KQVxzs|ocoyOxJ9Gpi!Z$5GpvK0uBQ!J$;$Iw-6x{~ zg8QoxfUT!^hTq0XZqg{R-CN(=z)6NJMbX#~A>y2NSXd+Dlz~TJc_<$;;f%sMNX#Me zRKcE+3i3#D6H2K8XTQhx8y3e1Vb17<@sZ^8gr{Y~xrKv~8l&S)gIUt;Ay8)22G^v+ z1%z2;N6Wu4u8X?C>8z4=t3t5Lj25=#T(CUh1)Z$l(N8!plvjGHJj^5%V^3DI@>tkj z)|cNVN;3BS4#Y6*5IC-`<2 z5;Y=W_E_Bd%E%f}_j%23d{CrhvQdXX<~V_M>o;P`)?g{$@#SO$%g|nn@{@F=VV)8k zuJb^1z^L@0RlGzkdw-lfzHB+Ub4b=C?K6hU!Vk{zXT(StblB%;F%Z-}KR=#rZ4F%# z6dnt>aoewYMZRCd>s<+iegbaepW|Qsv!3)9fS-Y12Ao#aiSbXIfB4?u!m~y&UHD0R zJUTqO`h5C!ZF7FEw~dvZKNGN9n-AT)wyb^a|JiyoJSX+3d6b!c`B24kKhU1i0e3Eq z?69nb>w>vF?{oe6U;_84E)ru|&Lr#gm|2!?81{;s9z28b#~SE+EwC0p$wcafK>S|0 zZBe~kps3zPfS=(8*+T5Qu=q=^DXWp*v3<*;-fpMpE33CRpAlph>_Ey@6ydb1LT5FM ze;&EX=B-#POF(SQuKJA^Z7YvIdDj|%Ti<|IsN8J+fKUvbaSq9h?8TcF~5!* z+m;B+zL5>IQ_B9{y*1kN(_vD5^90R}d)moS?tQL@Bc_&)StJ3g(igtzIkuon*e&D= zddo%aSqcHu6Z!#lQx_Z$%5p2rDZM8x$_B%vYnPn7JtAUeP1)#rxNsvXs~}&S=%g2B zglkIbFO06ih40?64acd4=CyZ0oc}vMN!#ktL-vz{ryb-Lp~Q z?+wx($p1NL9fvd3XQ~I-9M-e<=tphSA$;k2FJ{Ype?Ohd8@2^L_2Ve%o{2*QVz6KrJFrwcX z=glw4us%GA5l=4k?r_S75W~cg_KwR*BssXzR&E_!e9;Vmo_(>%{%tGpzoXjx%MdFo z>wn4w)YP`tk@z+r1@*Bk0K~HX)*%FA|5ZR#VSJX%8?3;7JIciAx@w#M`n)9Bw7<2V z)T7`=G?(`1b|jfsj1?np9!>O(dMx-L!+@=qkrHwi%$LmVi7|a;Q^7T>r1Zp~qydpI zFg^)~1(y)hB<6yz4#z7ir0fhAQgmdZcABCOutZIZv?9G`t`Y}PpBtS6HdB=v5NgpQ zidYfalDhCmLX)PQ&k{t&{Kd*6X{NUs09%>bBM3toBzR94)nY_%GJkUM_~5>OT^Jr4;M@X6>n6gmbT(Gns-u?~SlAKRu0vO#|` zqx-hvX4ACPqzM`T@SZ+^1H6B-Q&$ksxz07xlm z!&+19)XRzFzqZ`6qXUMumVc&XAXzM%cy##7vIiC84(#qDkPXPn*c%D|HTMPx8yZs?Ze&&z&@vdFj@`hsrL!pPm-=f&1<6MgNT zMD-dSD9@$>TA{z*G&C;uWan-ZchYJT=k%HwR^X;s*Ny=m8y)uxBmqX65xlCsAm#h`_Fa6%0qd{nhry z@g9ji#G#x=1>YiUdc`oGkC1?O$PAG)+)|R?JyeJ^yqMJkRX< zXyG}ro}Tw1sp-(B!Q0b^o{DzbA9k_wcP@coYQUwKMNBR9`F_&td)CP2{Cx7hAQuA2 z{sW^eSSjen>GdTgpjk`O!iBR`#7YWYw=bGhnEMWp{w{>j(1C~mU1rCDIeG4WU@t9j z5|9bwEy-Cz@W80X_p&mP_nq>$tko$E(>cw_lx_lp869Widm#uN!y*^?W2k8%;&sJY1Mokag8N6upZuEqEZ?!#xVETL+vhU3iNnem65evaUFfc4OPpL zV;3t(c5;`p6z|DXj{*cJ(^XM2MRMs#&+^QBS2g^+_ttm-@fIz)_aA&*#&|w_v%}QB|Js8T}=3aVFJObXovmVy5YYA zwEPS4jFp+`|Ezwv_!ll`06B4(8{v=qW^n9PmzZ@ie2}8}{TSo^22fSt%)aYCupOyt z)-vC)w}~ic$r+#VKePaDyA-wHZzComalO~bK?u#v@a?}$XqG8-wtUI(xg+Mun7N~#DPe6w zv#2?AQQ_d$a!uRdR!kH0bz~@<<`Qb)^+vM}sP#q*nYmOJp6r{dUj{$8xEnSPPzT^b z4cLWN1;tZIQi%=FTN}keSbRycls{aF+lE)I&1krLB*zKw6AsNNq2BtjcVh_I0-$-TCD@wu>@G@42L~@x)83En{Is^G!?NykrNSw!~nIm7&oDO+&^HtL5pj0qxS%y z$&bE`oZoI)<7j$}{^QqSnV}#WLKtK%;fBX^(jQkZV^)x7??aX^#Ko@Cr0i8F@?0$mc`Wl7N z1*uH{@$Xk^N+Qi#QNupzalxicykB4#wKp2tP%Ddd150-8;5r#)M42idIRQ0jYoU${n%T^i3x5SHLOQ4F4t)UWXPZ|;6Di0Xr@T)`2Q_r)r>jIJE_v^k$ z-9n>z!DH^-^wXQ3;iubnxqq&*t+;UF`@cAQ3z*2BZH;%(!C`P4+@WzF+#Q<1-QC?8 z+}&kx8(bTQ!QCAOch^P-c>K?Mx%a;0BqupZr+e>ox_0&M&Z??a-}hVJv;nCVOYZ9H z>j=eg7>CTiVskTsBe=%0u*lxmURAli>E-AP&{d<|Ua3C3`K{2pGaoP;C_oMQ_z-at z?naaj2zF`(PuSa6Uz!OD8#EJA=PsS*Hbh>@T<*7ndvZeD#o&xsAk+NbHaf};d} zSuc@9c3qfT36^X90%Yc%9R10jM0S23?{|Tea*h%$11g@mNZy%nwu9-(#sWL1CLu+K z5+5uz^Sf}i=XlrYA}#zg0oQn~&YMPH&6yiA9dTjG;#tu`Yw3dG^yKM-T})@=M}0d_ zku&*kJ7(<*cvxUnE_5)s93PGPX*Sp4tWG2qKbLyj}JB zqetBd%8^){SoN|yt)Um*P{4`t&Fg6Ee!khfosp@oPRO3!Om2>AYnA{l8mKyAtU3i=1|joDDoSN8apkH- z?k5856|}#2^X*q(;@;f@zR_6&j&Gl_7bqTIDSW&^E0-%J9gj`Zp|2t@!@F{x63OZq zJCedy$e>9a3~2k#VIS}9<#yKgF1*E(&=on}vRB<-mK3H3BSFva?YpjNIBFB(EB)IM;J@UA{4b)5jpIM)-~Rt2 zz>cdFr0^#&WTR9jZc`K=v~YgE_0SJ%fPNd zVbL(6qzZ6MOIgAg2gb}adICnGDtdu|6<=saS19Y(XknjQmGKco(C<9C=}N)gocajJ zLRN|cBjQk#pf9Th%Ncn92~GiFZS*XRMoM6I9)JKFmMNX#fZ|NcTeEnFEntgTEjVDD z0tDz`An@Q2?UaWctHDi<((nI?2U77j3$H|(i2u%?dClly7{poM8ww-S3v0`gtQyFb z%{cV~EY>?qlJkXcM^m`LjLJ|BT1T+pqifeK^oK=9GEVgO!z3^kN35Ru`y z2RkVMWCAH_AuFwx4~R1AaL#CmF=$@0LCb(kH{l@di~g;X7!#sd)v+H;%Kc}Jp<-A9 zcL?oR1G!$$(~)lbCr)W%2&W^RrUgbRI@t~-3G{JJ_)5I6fD?W~5GdVrq1?dabn@2^ z2UfBBzH_96w<~y2<(_XKTw3fvEO>ZkxN*AVAD_ICX&`>riFJ+9HHxFd*^boeh(TKp zsd-q8>HVu$#8?GPz36$+zs*BPuIQ8@F0d^yb%uN6g|Ebwne-So81-u2I$ymTJes>! zb=Gy>+`KmcdNor5T|TX^_mwZ^4gV6u{as$SX#=xA)nnsS`RRA`IwC|R)f<`a@1eK; z!pmWtR3olwx39!@Tz|s$xA_YV=1SXq`+KlLT)N56a5{1RT(ban=!-K{SP3+5Lb3Ev zJa_7BZPV~wg**4A{o-u_o#l#^OH>fYj$E*2y9>5eYPshJ>^C;@>*nW{bbbCQ}r7_NK zWM-JP;B|XC!NdAB<(0>Ahlb$}VO_)_P^q%BZ6Z2C4H9{m>Y12YBt* zddL}}v+~$J`;2ahiLb76neEU5AYJnyc<_>XoJEq8y7~5}+ax9d2oaWk~6c6IjRP3NApq1obl1ajE!twivyk{ycN!j8I|HX$@5pS*{h<8%hT6<@KNo0 zA^mNwBHjB>I`|XwsBPRB-pBgJZ=`4PJ z^+TDcNNwLEf53wITo^d!g3GQ`CPQ{hjmDHFOsxk;qNqc z^UZSS@P!G-NnvmmZy5WRdXGJ`-L;?O!EGU$?kJm1Jk!BEE+)<*1oMFv+UXo+Pn=~T zzY0CigaM7WU**<&D?bi|0n6~tZb(+|@XVi1?NT=XZRq|l5e)x>cw%AuPY8xHjm@Zk z=!)vlABmj^j#(-455$vgKIJjxUG*Mm@Xp>nolvX&{2Z{U*1crP;gGPkL zIdsLM(pAwoqsi9+={2*ZJ-P_8^=s@-v<&@f8@|HME;l4iEbjr%_hXqF>dFsWaS%Ck z5}kcyR(5rp=&@;UQ$k3rYO?4Sp(J$0b)j+Skp?A8t-dhRV19qagtJ#}g|~RxJE7~* zMnKpGtXZXP0fuz)xokSCS@U>H4ART+3*l%uVA1MTQAAtZGEZYqaq|Dth{LwZbVVT894 zNE6(wQPGA5R~(KQ%w?z>!u2KODz%YFh=gJSjrFTjRfCg~h(t#m5g>;tr|KhUw`g|g z=-XD?G5UA&Ut&)!n%ffzZghDszBXv;>FaJV_?_5su6t?yrA4XK?f8Khr&RT1e7%FN zYDLed%kUw7j#^lY8SR=)3e|oUhVtqx^l9UM@sv%?tX!jxVw)e6S*1VCVz^9-0fZjm zTRkTbSas~^cBJ~=(nl?Sb5pHk-<`U@umK;my-aGZzg_sq=4N(Dw%IXuzwht$J*7QZgf|w3=j{f5fW6D{>FByZ;C_01lcyhBPL@(6aYy=aGcpbqorbRee7FoLuYRjMjwg`mL`YlurH%x37p1Pv zq4}w1#yjoRft^D6#R2d7;4X3c?)X+Dy}oHdwoBlrNtlDriKtY=QRD6b^@-Q$;_K`- zw!)ccJdaBwllp7K1&isa-*F@MUMni;WWiipEGmY}&h=3;n$LT9D%3$LYsJZ}uF4TO z_GWTi$!tq6bw^Lrs@^WQ@~c@kYyBobdxPcKlVT`zH9GmbXiQpX)Ka~_@6p_$*!NUV ziRZDb;XJ`>=&X80YXxOTwGoSF#*DM9^ z`fn$qd73V(N$c`ozw@$wUD86^7YOFDU*0E}7cwu$TP;MPOMW(Y;xkd&=JdImy!t{7 zi(uFHx!t$E3z=BpJOwPD`Y_VQ`NP9I?5zG>gsVt(==jFhUP8-PmflxDL2qsGF_ z$^SNt|95xOnvK ziE3z?4kNpgOl$ru^oj~WRHQLIor_fKrasc5XaISpjFklc%Po3==~M+Ys_k+NLmo3G zoBX&enl%N!aHVu*`j(BnSvdYGO~-&Jb*L#*t@a>~M;`}$$aTazYXJ-ZZBjUvw2uM7 z{+Bz2W1n6*k^$2Ykfb0XMb!f>j3UNj1uj@KHX&bCL0i-H2PI0L`lLnx1T$VJkmuBd z1H#Oad|$_O_(z0ijM}6uJLZgMH58s0qRwa5aO@ToXb8qD09la5Oawsz7CobM1u#Zj$zzg+za@KzhC5n31feFsV;xQk0XxAA70Jl}J;W6mui}t1 z5(v}p*zL*o?Tv<5yXvhnS1V7kfsKzln~2Elupvr+v&$Al%C2oPr*uhNCfRy8|Bd^w zDJXfsIOVH$ikTYrq@4r6)mcMl!&Ok@Ro1=xBz1iu)7#?0&#k_t`{}xQPTl|K`<-w3 zT-XOnejPtxnb3c4u3lPs>Ui$<$nO$cz4?J_c;fx9Am@e+-^xU1&%r_A3Oh)2GynGY znyp=Xz{3xpD;rMn<$w~>r>zyFz-&h;36 zO;tx|HxEN*Ct5pg|B&v(wA-7BK z{^5b2yNjQ%%Iq*MYHIy2K9_dul9yYT7K8fbMUBJKjPM6Ps_5jFUR2|;wnI06*q=|w zwIOMLVBh^Xl0}fnbqRG$;VFn`D1Z$)IOLAk4sJJO{jS{|apmvD{ed5quF)SKJ?ey2 zb~*lUT)z(Y4(ueW1J+iY^@QYX4bnHPVBI3q_cC$$$p(qEkT_U=nHf+;NKgEf=ud}= zB3-M8=YZlDN6I)LlI%a~ix7tG@(YT)Yk6{V{itN!aWWLlYCgK;kH@yu_4ax%1w2?X zvwm1k)vSxf%D7)`+gQA8DE8AC@d`Wd_~7v?RT4taB(qJtX0)BNeJ%8wLCjn`6K^w% zr;4y_z{&W+a$IXP8b>xl1)LyJK%dL>8YMT{$scGe$uXKBkwg3L_pbWDPDVwjC1-sy zvV`=Pf~D$LDKpt1aXl)Px^|Ga03R`Vb ze`5)erPz4DVb6_Ui5Z3!tXX3E;=D287h@Da2?zMd3ikU!&kbF9+shZ0&p$sGR#o)~ zl%j@q>4(JlnM!)aU)sLvX)ir+*8FpAS&$YVp6e(<8+_BlIXO|Y<6-i>Ixi?PYT=ueDCriTO7IvQhkVv1`u(c+T{2-kpzRrqZ zoS%(%AqD^VgN=XIMx`(kh8BrF7TZ%7RQ?ZcmFuNo?wIUY=Ias8%gn_%-z~_w`Hth z{M`yBfzUK=B38PKstCl5=Ylk2JZ3?_r_3}8n}CKNwFB8z6gKelKPhZz59#5xMeEG#`MQBQy9&L`*kBtHS?$2%Z`=mvTtpa`_A}Cm6tU6uGQ9$(RYm{d(`?N zVMFTC*v)MQOpuC{l9QqkOohLGp|Pn#iPo|X zw;eQPGs{^djZI?{jfX&RSSd>y(G<(j<5e*fSG?YdoQYl!39e-cxXw#4cY*}B)M2iF zyyR_aqb2isE{!FpHwmkkK z+|Fx+a}$jU`3RkxdQ2NN4QE+=MkW<Dy)c-WqU$}{u7kqv%o{z zZLy_0Pp)hh?KlDjn~UHbkxWbli)TlQ?7NsgX#invDdDR0!pA}Pw*v`VaBoK1L!=Su zmLoPJcEv#MdT!Rj&Rkedv0E&Ze635Hj-Qur` z)M2h*m-UEMQ|sSGkM3-JS$t%HriL-FQInseKV?J;7lh`KmAao`00rWU>^j>L{OMHE zKDf`y^2jmey#jmh?^e9rns81Kn0-59>`8MzMJ{T-vsZ4~&UooO*?WFAFRnF4mx0%T zqW#fYlEzd0^=xnZL^iJO=emZ=pQ0aYGxFqjcJ}=R{hGCn@2Ojh^x_BQVSiQ%4yaqT z6hUjg1u>bcvI-{@o<(f!YD4kaIV4gEGVzhM@$hioow zxH$$3e(llMUMMc;PkOYj;3e8VgsO^cIy_2Ov%Ngm7=)9@C+`B$#g2dBHHv*)E!TjB z@1b~GEtewy>j3R}g(H1FAu8HOQx4%uQb#mO9 zcW4D})`WN`#wBi#Yn@+W*{)8V94Qsmu0#F)7?3PK?}Hv-v(^L+wU?1y!$zTO|7;8f z-2Z;u;t%a5gqO%mu9=pNcx{MvM}Lij6V~qSN4`S!1<(CH2SP^0(E;9x_^+hd>=Q zYLN9dIo$uQ=R0@YwQpJYuwh31M?!Io>vv3q8}Q_OXrqgGMX~dhxqj+n4cxHlyRm*& z+V)WdMiU3S!Wh9=?v4uMa+m zv7qhhajECMxbB|~S!>%+yMH^5{Fiq0{~bqIx&Fgq;7mh1O8cLR8G&7m)BTBNEhOa^$rRxfN2b2V-EQp)+otSBLtyh&`bJzU>S z2bw}1(*%bMk&clw_P%$4x)V_(WCKA{JRL z%YkUch=E+@k=9VKB@-Vm>q04A6ruwsoQz0*L{gUuolcnzNtfJD-U5Tds|8UJ%gH>x z)98icgpSJbiIWAkPDyH>t^-T8;!3Tsyhj^Q4r?!OTz0j{&f_Ze^^`^gEN&143yB?W zKCQx`5hpF#!>bk&B!Oux-%k+=`;hH|xw2J`HYH|-v@v^SaJda2e}M`f`GeW3uuX%u zQ9cE^U4{KMMB^5LWl1BZCoA}~2GD~Ja;+A&_Y;r`0jL9AiBzOak}82z5K28jW+jF5 z<8a=sMJSwoz09ZWDwU8cHR)(lfpSsM zr)^t}VyIxQ5iSGQGtC%|p&mrin;+s5b%+|AFb2>jETOJrb<&d-8jlpx;^OJ-dPvNY zjsfF!^T=o$%CkTZ+0pcEKvIhk#h=sAL`OrcAI-{`z1mEqecF_DV^>sT7-$<_9AD_T zl#M^`3v3am=hp%J{}P)*6g2Ej2nXfU9@jkXYYe=eS>eWU5Bql*B9q!3--Xt;(X8TuQZ)OO>3t$ z{vNM;X}9)f_RpH^aNVsEml&-pPiI5>JLo0dy}+w;{=tYwFn05y8&$J@AIRa)!n*3l zOv$dXd9*DVo9y8o*}`=I+qA4(E#pPS+2yM~X(WQ`JfTCSI`Z(T>5HbD35#!}S6 z^Zq$yT2I)nvpG8t<9~q++0;`(@Dc&g;;=uL`DQw^%5HvJ9#uiMdxAc<{HnsI3_H zlq4ofUAdo$Qc%7{I8t5y=iPovnh$Djcf~;kcw%9v&nqZtzkjY|9i*<>N)N`>`bJ}Y z4RTRhbejGc|Ewx%Q$L#=zCP2)!|`0xm9(x+MlhhC(Vtt6jUm5UJsWmg%ddF(Hb47b zU+3EQ-1_yMZwIf6ZW$jnT0GkZqU2^O)Hyhz2E-O5$#=_5=O@i3Tn4icUXb<=%_S(t z0tMx#BT7q>7_v7M8wL3@QL+T&r{PNr*@Dr$(#qm2BWAR`{#ZG??&L+e`SgEuDmDZc zWd!z{l?V@wHGpp#s{ZzEVtH(5jf9g37zeO!VXD{!oHkZ5waEmzdgyz>z0vS4Zu|bs z+f|gK)(U%&7QH&h<(=W}n0j$3vGLXXc#|KDb^>&%?DNja`>rS#R@wJ5ElM*qO?rAI zpfF8KZ5z?G87|`~Co8wuHmi0@hjAYM5r;<;n|SC@hbLb)`7*nv%S)nXfyXr^oA+~y z$Nd!%F$()cki?>4wl!njS_Q3!`{76WVE?}H;6-GrNzQ}0K|cBM!x+z;bS8pZOQSBk z@i<}*bEbA73&U;`zB-pFy+68s&u5tw^_l%S^1LNfw3!0(40TgoiEn`4pCGohX#Q=e z{V%QO{~K!A{*%?R<73KB_^(jw_o0ilESixDL~H31lAY`CXM9ByY3(;(+FIRi5qY`% z_O0;e?Riyav(hHc%Sx-KH+x}%8`LxAPxpZL!afF)Rs_glVY$HiARQPi91+a^DGJy< z-Do4>EhAM;2V6|?`>4R_Vhw0YNj=j&8oJTgNH1E(!v5kDgm4CLb)_#Y%Kfq^)g>e} zyjOW5CgBJeeRQ#`-vcO+Se@a8i$e^RH8Lu2%m>)!v9^3ZafrdApvMcvO6Xx%Ik1n? z?OWifj?T!Ssf84(G95e2Dpm0A%K2b6jAH8!qd3VaH7FoUjnma|M#0?J2h2w|!~!QY z&?;m+2iRmh=?HLi&qrq>xq}!_5u`~ZE0k3XHf9QC$oneMboWxw({ZuUr5HntX2)x3 z82&&C|5OdtorU`y6HtHhTQyn()2WeS4}v?hn&OirxiYO}VR?KZE$OG&R9WodnMfDH zPm=VR_FEQM6k8c@IW|zVzpF!gg-qbn+jZCC52PgmK;X(aew?<^madQA;yB z?667(G+gWvQm4jbmC+ex;P4DhINOGz)GYLG*&jT=k_-0>;tNyCCb_kKiG;r(&_^V@ zLoAjvx9kD4ukk5suy#~1q^un-S?7HcbP(#re>8g{6q$uI7pXUlGDm6NFy^a;w^Gd4 zJIrKgxzy+ZHUJxyZ=LVn?JXMb4Z0f}I-7tl{Y~4Ytbxm{tn-O=aLq&7=|I-RK?P&4M#p}*lCIKBHpFRWET2{rvVbzJ>H2K%~P#bLY)+;n=x+~ct_60;C}iT@vdm|{wfw~I8tdS z{le>F#cOfgpDL0mN-fzi^o93$-X#(&&KJ(^>$e* zjSGv?-C}NUSTF-iJ{)>AJl`Dp5gqEQckrXxA!@Nf?Hy45s8klbZ=bbB4^?>J{d+81ZI&(=PWi>JGT>c`oP6-wk zJiD2j*)$b;T@JP3IsB#5mehD$;3&+VjFgbiw~rx*Q%^dE!7ydu8G6h?52AD9)3oha z7}U1ySUh0K_3^ePuiGO>F{{0RSia4Y^co~k*Qa*1t@6#BZvx*i=byU2YE%u;@f$YT z;Fx{=;A1biV8wlvZc6v%`*Ua9MHF3R+CKb1bj6J-Sf!rU@xVj;*DdS`)bfCN?@#cz z90&em-kp z&bFA^TPcroW~1O2Jo$@Dw-G+>xqO{5bZ9n$bNl*A)q8&FcD4>a?pLvr+04f)yU1Zb zu}GV}tuJp~G4r9Q)Wb@3^T)sEsfhf`nl6a&79M4IknlXFH9^r-i1Prh#3d=FHTmNQ z7%%27j^gaC6UcA3A?A3gKWZxPocOA}*hqi6-DEYt)Zk`W4^J#ByIppv{m(ur9d_`} zzYX;Nou~f)=4RObgQvbjWAh`yj`XoldS(i>s8t~K`Y94}lG8x90VJh-MtT&Kq!Wmw zsf<_j86(m%%sN}>@&17R3F+ws+IXd3wbiMJvrrf zvh?WQaUvdTaZ0kjvO*I=RKz_cLIm&V!2pb178WGBcs#R!GteywDA8D|H|vKXdV56G zb&U`xl)@yUD&hIJQAZ^2=wcvwfDM4+tEn~6*C6YxEdGNMUx_xu1CnZ7YFv&nU$PS?O1U=JU3&H>f#%i`Hi*x=5PfoQ|d(7dBi zVhAhvrj*8_q#gl$Xu=XNjgrd4i-}mQL!;8H{V4dudXh69QoX+wJeleO5C;oYaq$wl zN35qb9U)s0Xd^y3;903cyg%oCX;>04`wAYUHvI%j{pkT~1E?^BgRN457uu6?D^HD{ zS4M3R*2^LC8e<;e^{9TXPs|zHC~Vo~7nSC!sp|PSMM|_G*lI~gOsxBmcKoL8gRAGY zfiuR|V|12FG@hgujfwfpEK3S``F&j3>4yz~8dEB?lIllPM00-n7Tkzs?20NKgrjQw z=j&)LwkL)TIq9Qj^6&ahkdGCJUO|#m6JKH?|>5bX0C@M z{k9izF8e6OF;Dguj7Y@BdnGF*%{vwnJdeg@Gf)1AG|jmT)fq z_LgiIM#VQJoh$DUjPfq~;9Y&j>Cy)woAGJ)le5#CSzuSU)?-5;f@u~Uh-xXf+uv>xHN(o>=MoinuQnb zQi`|Phqpiab&NcwJmiFX*m;qvgL=En9esVSKOrPz=9V(%}xWj9~J*nm{qo2C2w>|Gn$^Kk_rpKF|`7_)!>KrS!VkIKWS2M{P zbF#6678%KqD|EAc1xvE;ZEH$){IZr_v6i=)v-SB%EyPgQUDs27tw!O6e6@1&ae;HUKKtr4ewM|<~9KYnCQ(7b}D zA0^aO+Uf&+zf*Ybt!ydCooYHQCh%x8-kpA`(_Y$_mY6s0?bUl%>&C4mwd1SS+D<}r zOy-}r;?AR7h8kJikHd3cA&oDpDl7SVgYk6bOXjt~yW$#J%q_!(&GAx}x|2KNJz=#w0uIQL-+jYj4$4>}dhfW3wXsIwM@@kq))9 zfu@!#Uu7!5SoJ_H_PetAhNa5-Bz)%cJ7<6hiFnX)$blK} za}Ye*`->@X_WOhj*u|5rGmTSHTtImqLtJ&m6UU@rPM-bOi-+dS!x z%QI<|Vlqb}lMg1z<7Q>LAS+OoY6MgH>+N?6=PwH(>8M~#V-{NZ5dx?2?{rwLay)pE zWSHiP7>aTxJrt!zoc$CS4e12PaXbq%%ys0>$u6u4<4I&UHs7K=jjk6&fpzJKKOvhv zO~8v(i3{2$QL?^HG8EG~J{Lz9frlPYLu;Jh~Nfl-cY zmJ%?EGrs^T7EsUAwc>`2C255o^(IqZ$QG-z&Lb(W?jJ;{E=4NJ2~f5N21c9!N^A9m%A~;@AUeqw2yFfH;Bc2|!!f+)M_9g?>nNX&WQH{z9VKqbQ)I zywDw)3YdX^O#T@m-AYI=lH+69Vv1n$gf##^ZbnsUL(P_y@wiY|PbT%VL<^d@Tr*v{ z?E2)SSfhb_^o=5w4fuSBpK-&1#R@GiN9T&&M$P6as-#CdFpZZKGO2*1zED3u z?4!b>9>Q*_|Mk=!#b#{BwHao&=E%g^r37tWyYZYxxYP1%PF?>zr@3BeZft$3p)w*7++Xz7sCWNCHlol&Bd=tpKKPDs-O?-mO;L;Jk0Fr}H+hp0 z-u&#j{rpY}Ow60je1ULPV}KU_8g*HUj_j|>vqg{Un1cOIK*Od;)fsmG75%vMezFMf z$2V^aO%3x%55t_2s*(%RTDgxWil9f#>}@Th^i!g@$y1xUhqp34rqx}k8p(~(`je^& zQmPmZ#I5hm7NN#;68LE0xi|GQ@3^Rfd6C+-aFvm_6hT`G%*3_MypyLAz1{!Y0@kMT ziJF_Wz>>raV>_VXn|Rey(y^*`*8srnwz!;4((3Y5z98_yNz?@*WDi1vXA4G6(ZoAYpHq? zqI&Xe_D`zeA5>`Ho~iNWRuM5vGr^s4WpnreGqvi8u!Hf~k)|J2^3Gt(vSE=s;O9}N zZ&dP7sz}4+d0e%HhVBZzS*KaaCbWetp~|j46?dbS56my=S+_miK6`=6UUQ{EUpK~i z!7@s&ZAP7Wja--$MKQ?yG-1Z54i4N9k%Yi%u9N%cLfYoK&!azvAigtcq7Lo^)nTP^ zTj>Oj-K39g86+5P$M#?(BPO#@-5H#r{Whn0umsm(?ZcTeXcBx=!KTozW!*vbj7Kx+ z#OnT~&V9vhPwKBT@9!V|7je8E=DUJ1gOO*ldP%Qk7RO1~)`KYT8mzEM>7+B)y$ zBjV;enrS9*d`X<8bf02)=>4Nd746P`KBky<0dt|GzIIx5y;$p;cQX5W@@Q@zU% zk`5o(91G~<*>R9MniUmcNW9E293f0y+ir2-o?8XyXGnW@vsExJX4f9Drw6eO$JO6Hvdy%LhZ zflZYPMs<)v_n~h&v80BzhVj)C zE}|bi-msyKY(_?sm|(?E8L)eyIdvQ?r5l%#cT={GGf?|s9to3AJf3e<`axUbr&Lj? zfWQ7wH?x9e)9)9}kl;AK{Y%JgfYC3;Ep?;O?tr>r>}>whR{^WmBJKg{44WnU;` z4e9_~dQcta4ar?CNKGV9%5X*K?*otS!W`STZ>uv1{3qh&$JO6U?R-~2dmZ1kH2+d# z5hCj0WoaOcn)E5FVC##^jAo20t1$u-0@cJ4C<{C47&P|_%}0I-$$b$@NGJEeq9-de zF<~gjG-dcD$C{cllli$Y6xWj--!wW2h-lsv%^NN(A&qxvf;ki1fA?iuY>X&qsDIqB zzep~U6#--c4LyB52TS0Vg+c+jhhaQQuk77lM zH=_LvHz-Ur2{*Wv5Ucf^>W8%vh=2oa@kJRR3X9c>+kF#32l{^L!R8q;=}C(_<*^Fu!O4j~(+{&}^gk_-N7MA_8PR%U3m#Qop`=EUxRhW$^q|~xVpdY+7s))dOpwfl?ZIuzv-=` zAT3Y+_(kH6*?qj_7Pu)GsP7J|0~2EWIFp2>fbrKbhxjKD`4J&f@_Gn`i0W~d{87}L zL`{h|a?|~ay(bst25KIhy{4{X2jWt%uwR2vUn=JYLZ{sVyV^pzUM3Y*R%KIdu^9?S z)qJ8qmu#=+d`<%0^G#1cswVRcLj5GzQ1mc3;Gh1b35R7GcSFMIlYRADpf_lmp(xTx z(sPSIK+$81Xj}MHtdQ7z`-9DKb8vzGHa*p8GkoT=vfetgcTu{c3Nk*!utRghoLhc8T8k#@0ct-$dFXOMzlI~{>pZlvM!Ub~y|Nr?w2G;HAK_b{rxxno zd~esyu6kc%{Ks2|&D#BF?c5;V;r~a!8izWop+*t-?!dTY$USYZ@j5uewB<(%KKB4( zSo6Mj-*J8~)#lpig2d^2wEoDzKD7Mln3ZRB?#MSUq-uKHyE~hzdlTa^nd8dZ0S`>V zL#^w7Zi^WU(_B9YQfz1g5C~5l!_K*!XEQcMD-oWo(yq^+7#zCzR%CE}c1Z(=MKfSN zQTCk{)Vy5itvq|T{XAvL+YlRaXH7>9^2|(+3-T0X$%VZ&^v}65=+a)JzWfZf{E7FM znais@wMu|=@&xo8%vVaF?OTwsU~A0^NH1fil`iG- z+^XS&h8KY>^YqAjRifIhfBk!#|ANQuH;ysWpk8#R!&EubDLpc=>fuevk%=JElhOO= z1#I{H8tv`H+s#YTg(bMD?3>1D-}FY0a!&XO%*2O;^nV_$RKO&k26QS$n^$Q`QX+?N ztiRcTS->myQiDUxyJ*N_o{+2B-ukYrh3c&#HR@wzy8`-QL1T4>)7$KLZ@)QLWmDqP z;p5g3UteGDv@maa+o76>N$EpRw*3fiH$|O8_@&>Y%6TLB%b!QyaST3n4gzEUZG`^s ze9a#y;s0-i$I8s|A5wmecx$nk%{S@{`;-ajgKn)p31mg2Jj!qs!a~WP`}-1Y;bw9) z8&{>mx&`;?n%CFo_>B#2>a}g#FZSC!DxKkE=w;u3LP99vVc^}2ifJN_Y8iyPS$yQD zR?=DbnjndBSrHP2)LMsC_-HV_HfZIdPl2A#qw(rJ2og#VFp+eS&bQLy2_zON@k@a~ z2?7CJhKO=gVmO!j6F4NuM8-wdLZMNOgqV9I?lA+ELCsWJ3P`91l2lm0TulE&6mvq0 zX95*^Gg`VGh20E3Y=pL$=0pjGUBa6c;PWujY8{|{-(_z>j3TC1s?mTiDoIKpX}K%n z-p0fzq6~^TD)>$vX-oppe}s$A6R%52HR2L4K4ima|3kRgwDs=UG(T_YB7zt`v@&FP8Ws>c{P!T8%Pj*a#-=l?f2!zatiO@H{kd&dxBtPL7 zg*ycvI6Lrsbn8kaphY|g3B7qZbd)g&GlRvm-x5WJLN{BON zY=b+dd~~gMo%i$6{WJMom5#no%d_)xcPH@$Cg1eUlZA)3Hr0=++;mn7CKEed5)k9eEc~!hK#iRd0P#U%_vx zE(5o7j~2^9^s$yD4#n};^~I&qDGf+|NlJ-+gopI0#uDsNn2F}nosEoeT4;3~A5iH! zlLojva|Igduhx_0CKHldPB~0KV2t8a>MXX9ZJFyYQWxu14v_8?cy%OFm8y^#>d^u3 z8e|%o_)UP(FM;UrDkm+K>4}c5J2-mQbc0b9N7QsB##qkQ+A4A-blPKf$WfLH;>L<- zfS=a~0lvp+16qF=_j7m}P(Usdr;E}DiHn|Ca)VyCTunK}F&%W+`G5`9W1V>;V=$D{eqo6W- z-VD!{)|w@|0COqyeRp_$lvP*mN4n&s3zXzcm5oBiGexfJ5spigj(~8_nD`Ab(aKso z^wnT)S{K?zQhyiuw`KC~%f!uSU3WOstG$=p#l45LPH^42*Ab8gOv_HiemR<#jdf#f zEH;ayI&7?3ws$gzka25Si3HASa@3c2txJ?W$4-ff#w~WrY{Dx{UWn5ClqoAKz-E~y;AG;*{nzyWv z=2BEXu8)TY5^sCDI+Y8^8f!G|7DKBSlo?Zw#ZelSE65T=AkIGsDdl()^9Z?qDUpz`&F+tQ< zI-e&-VCt&FsIp5VrY($6<>!RiI5N9}s9KWPZ;P$DeyhC)@@U-xGDk_0^H~-IQq337eV<_kdJ|BNoexoXXC~MRgfA*7RW&->oQO|9LIvU><5p>03B!JE;J} z_lyM#c(SI~^cdNS`pz+Dx~}0fE~@p{f*rmZP+J?;xn*Bkr@8~x8(Zo@+|(J}O%l^d z#Z}(~qq0(8N26|z2f0sTpSnhlUH-Wj4IEd~bH9gT-Qg_G>0MNk5*sI{ZLko$Bs6n* zEkGwoU$M%IM(5adPswTzks(AsPb``l$7L@YPD$eg@Za~NcpF72Zn7b)pm^_?{653e zwvik+CRnL8?xztyIK#Xc++@=Z>1~v-+m|QpcARr~N?EvAgC#IG(|7A?Ue5N;k#-e{_JUZyR=yc zWeJdUeLvmlV1DDhHtw_fsQsflFh;IMSeMiM;Jc(%xX7qltwGNXvZJo#i@BYmkWXFx zm>a&7uOfR`epQfOXj^%vh-)J4b;0(ZEdmiW-1CtB?8|k@uku9J*7#>qQQb}O@NXv- z|D{#_fBly1|7lWjrV0GlJ|aEjeLaLVKpCA9LOkG<(_o+;B*psAKBD$F;U5fmNT?d` zuQbZ;0=ZL@o-r>@P_1 z^^)vp{NYC$(R5xQ-2P8gVaZqlEA{o_W@FNlniGM6ENx(s1Px>t%?MKA2n>9&#Qo%v zU>dR)s=(!}N8LL*R+I4^P(M@z=0Bgp8qOZru!(66m1M z&WRZ%C_QO}+kAk~t;!kbigAffC$dtjV?#1#Bm74)b)_E-J0m6=BVk1fZJ1SC3@u^B zNEyaVRv=>7hPHgz1}`XlJT-~r2ge4i@FxS7U*Us6!Eo32Uc+i)_Ixsg=+M|nktQ9^ zynuRKS9ZM1DrFPwu?-IqGejChqY9Xk@NtP9D-p8_M5CccDI+ND^CTP{6HpN*VUR%7 zQiMD*XvYkLojv@P{0CXBFT{si3DR3fY{&?EjLVV|qD4b4m0~Z5)1>?0c67%gedUX(-2GlS3mq*756^uBU;6U%Ku%u0mrG*{PBWq*L{@6^%wND0eJ3?fx~OAz zwH+TW%rB=)`#v9glzp7f#9XW%%U-?b?m=m5akW*^eXV^XK`VAIO$6gaKTvZFy}xj4 z%%OdV9fDFw0=b_6?Kg9Mf*+#8&D*%KgUsgHY3GW#n$#uKiel+~Pk#L>{Y@s12A=ku zxihCH`(^1CPUuRmmj98AAP1TwQI z#F?0ZRPY>R+9Wn*)d{85PZE=z=*8C*KaQ7}kDswhtdTf$Sz^Q>e$wf(EV2jE9%m{u=^DmYgE8Cnw`EXAIifIJ(C=^Y zByM;@^gwx&4dg56w=7TDKBx<{*$C!pgOsGwA;Fh>PzX z;GJ7O+(WVDW>=3yQW*zkKFAM`X~bJ^^u5!zhs+)?yDQAs{>-u|1!tRoE9$OYhe3DB z9!Zy#y}KC%V^0m^7w0SN+xYE?!p{7d@_Lq2zeVYwSA%*^>l7FeDBx+s`Ja}y@Zwj2 zIxeeh(j&()K=y5`tb^#9GJmHDFXdkO(ZpxUuT!XS&VuHEqNu4P`)RwRF^{f`!%zNV zibq^J*e0@KgJMN?Ci5G4E2PX-EtkpDvRBXWuO-gvvPQmrWiCYDUV7c@;&XA}B&lsD zo)q95cJO%9qI*u+SBIFWv$I^?!6scpOzO3!v{KI`Lb8q)=xwavc4%2ogq8nsO!&QP z)DDt#O(U^+E!&~^ysxSXZ4>@)4@Me3c9SelKYExS)jhI{`Nn zIDVNaztrA(!I{_k{anwz0FR0Q0)vT|cstHh-eZPRq_kl)5+1$kNgN7>4nUjCOw3Ul zA0QiihA3iy5{o7%mNB3lH!DY*09Nz>2B4Hh(`KA0C~zD#rb)+2Cehh4M-jrI?t>*R z5X%@Sx_>J(keaa3+Owf7lbhIyU{bGQsY{sHp^j>~!xTwIg#~fQN3|*|v93zW$gHL* zP0Q3U+6F#zkAKCnV!=2CU6i!GONYBEQ*kUQk*DLUDvPFT`+k`osk??zrcjO4x$zh;n zh*Rbsye`1@EV9u}$jwwa=y~SgHO7Juld2^nNJ+;6@yz&Br^6dZNoP5VVYoq3v>ij( z|IU3O__5VDIBUGRJtu5koVxT31D<|YkM-yI^?Q;F@x9#4aIWcM+yb0lFCNd9CSa%f zErJx=iQ8lDUa#$1yE+Y+^VZJodbR)db#Cm|)CY9-R$-TC-+8e0((xx(6{Fg*bhnjk zGG+aRs6!>f^>|@k$x-jGe(`;=R~K@AEcfWSP)pHG;B6c3^MdrTHy!tqQOp;a|e!N&c2B&}tq=u0Cx!ihbC<{0uD_ zyqRj$A%rHEvPra5Hy-nFW}%<|-q=$O{gnV_ZV-&|@_bRU+-x!Nr%}n?k9?Bib6$8) zDC=j~$sB3qkSLuuJPD1lCw-KwG9*YJ7H^mbStbNw+&S2V&BgvShd2hQmc_1+liy6) zr(l!B6TVV4L%BGhgf_f({K5i6EGBY2N=GcTl(4~+(*6)x>V=Tz8)uupO*Ek7f&4=Q z4*AD8%Ok|)$PsH@XHDMwsr-h^)^kp1XC-EB&fnk1*_qcFt3=<;;T)Nu>*1|y^j?r^ zHVoHCUI?9PWYufhI=E0RiyF#}_Y(3!up%Fkvct!JiNEdB^F&xc9RF)a-chDI@D{r2Yuw6c@rp@I5&=K{{|q0rp|vHIPcHnwkBb6zY%F8n?7 z8v?*X{k&%K9#leF_x>(aqN_hy_(f$Kt8OblR!WAEFVpd({R_)zefqN(ixFO%Y2_wI zVKYKL5RQf}Jo+$>h6qO3XG2e4!EIE?IboakSk-$`|ar#)`l9*@N@O3@G##Pw3zWBFB2iLvqK? z`@U_)n!oSjzWk)22p6?EK9*^ALhfj--pDQNUIJ1{1 zOgk4opFTi*0-WB=AK?%D3*SBc`{(@yprJ4LjrKts!4r)Wvu@9f!F=DQD(qi3c5eOj z{r01v^0f%RRSRv~+tl$`2mlwa0AEsitp$i9)TEJ4VK+QNBKa6{-Dq&@A~2$FR~yXp$>_^Br$0@3iJM@tNRau1RVb-c*@Gj`ft)( zJ=$N%(|-_fQGojt_`_kg*x~SBO-KaF!y6Rn2}-U$ zk#`pIePhfr?4||DtRo<=LXaGZVxl&YV#&)&urQ^huv!=GoQWT*BdlgNPdt!N)-a+n z^C;meouqJN3L!2P0HP|?2|Zb9*cmC&V6*S)!%;KU;$e&1vT#uyotQAR$44406hDv3 zSj)hU%3x(U+G)vIxz(#jx9=sVzcx_X*sGR+%-GR^{Yw$SQ`~7DW@1ke*`=#IK3_mn zW5E(9l?^GQOv)XeT2@#Hr5+~-P+Ezuq*Tv#&Ml~d%?zqiX955E);JWvjF|$uni=8j zJXLFiMA2}ruP)sNH))Pxgq<`|Nsf@3#F;qEwj|7zX+eb<%4)rkDhqPzvm84hdR`PK`wQT&LIzBdV zH7nMWXfqB2Lj1Nc@)DcST5LYd9MkF$CgIr)1hqIj*_IYP71Qx5jq!pi1(zq_#MR5y z>0v~7KaqR?j-im=p255G)74j`G~njwLO!7Tx?2X}xI5plv`t5Qs%ou^%e0&B9nOG$ z<#J_B=-b=lTKe9FfxKJ6(aSFz9dg9JZGFAIPF`Qgo44W1;e(Gqb*G*f*b)82kKAjT z?fh@=rp7;bYXlr@8BuhFUYqq>GY_NR;kGazs<-Q$`{_On!BpqjI(uLAH5;!K&>u!4 zgpj+B_ugIo-5;$f+EN0rCSMMEB^}=$SyG(!q!0SZ7;{rh1{G!r+)Out5PCd!?m6mE zze0<7tV3N$zQ@8-CpeaY&K7S^fmq^>6K?SO=-}s=XQvn)5a7&D@(gs2XqyGHCyZ#P z^B9M!w(;I2`&5$WfOj7Ej6{*=w9HIc9}r}E;bnLonIq$BXTyN!xE&BwQX?%lGd#qS zv*(|2l{36q68<94x^Aj@;#n-_P*T)B(}rFxTMo*sZ*d%gIUZnGX}Zsq;(+hFJmNh z2|0$!C){t9xGq-&y<2ksJg4|sVTkh3YU*>;p7h8(wYt*H0`= z-e4&{?O41D@W}l>UTn-$$$F!;%y-#>m1=PAlj1eUV8aGEk9OrPY{|_~(9tM)Q9Je? zTBLL|gFUzb8~E`exy>ex52e(OFqw|{_-=ZuC->2lHb4y^XR@27UwlbjbZiNIjWE*l zlD^1(sx0~=2(c8+@pTn_zB=jriv=E$%V8LDIV=Bj{@Z8IzT-JLL#{w61cMj;{o7xU zuc&OBa1lhXmV2Kdi|0u6y4bl*hiZCVHPXYdr7nCr_V068fwtGP)-2I}pUv~4Tc01G z0@806S04#e*$JvTGy8^=u?FWq4kg5psXk#?KxEsilm3-|;rtI-3I7YcVdZ7}e?LmK zd~wUZ{L6hX)Feq3LvRPAf~(6H`JvKLdt2#GJ*;y@8p8hLU3Vp+Hj=jn_ehI z=w*Ur=Evz-V091`#iJ-3W9g!auQ4+YgQ(qpHt}ewX!}Ecm(V5}fm-J;%K%kP=u_-3B7=nUF;DTp|81#MuM3Zp0{~ZSDxpPAcMoRHOB1`bD#x1^>&a6 z*Mb%nl-4wb(5HHnoF8JNK&=G<3l;7nrD0>#{*6gU<$%IKRtb&KLVyVdSqq^b9<0%X z3xnt7LuX}%LSyA-RF#oy(H0&2v&~C}vB{2TgOYefm@Pfnovxgu=s_%+tuJ|Yt)9Ez zVRz^6+w)~Z=jOXh#?`ewF_+La5mNX2(cJsa!PUWI`xtZgO!xcBF}K5r?-z1xXKbdP zErnr<*gnAX?W0`i+k3~0Qft#%)w`oEzvQT5c6IjLQ@7?q_W2I>!-M|(XhAzFyn5do zjmW$kH5?wg#EvT)3~o1iq;){Y+uKpSSTkp`O7$pQ6fiwZgwGO$9!mH3Y8K3Ijifrw zoI{rb;19>LpLZ?~Hyqc_Y_Zk;Wz<$D!o$K=yoK>`*flo-9(c@S@WmOMsGhF)x#>VK}%OA0v%XOn`>>Chj;5;TEv=fP9aCLos#64aR=)j zt0D2K<(Du9Lrgs+wI&e|OJN2P$?$UyC=wCFI=5o zXk1#~ULKyqH*QVA#+3Cvh#>6zJIXVdL1lVx@fyJ3Mzo&XtJHvvaUqOvVPIT*Zbn@e z+KZ%kW$LyOC(BXOxgN(DqaMRbRVw`t-0NtLie+NZEH3ctqB}eI^&kvhrRNuVJWiB3 zm432Tr9y(!XKN8or4cOT5lFYvk|8Ztd{gdBxn(h@ls#Z_ZB1K#H`v~Ulk_b8oMso| zA>`^VHTvRqfmG-km1i^f1^yR1%ch#nWqMTaj$U{AXn>Ic`w?___HVoEz# zyMqa=HNaMS`@?wR=bn*G{!IaN2p8vOT?9rLBCmch4{{h-0g9X}cpuZDH8t4T;8{?vY)P~WK=3=B#st7CydcIa& z5_ItvNAik*t>=>MEPq{oTSzyqrBcn}`Oqv+#y3Vcw^z%naG#`3+pJ)N)h#|NiL#(8 zmnl`{s7#lBJs(xFp2&~*5RR#;_GGMuUe(IZ@M zNa;7eTtAkq)9KnT;g*Bd$dodFyAMr1-YvAV#!_AsuHR6&i)=pR;DWl;;A57A!Lb2? zPfHzo7S|BCi?UZ{zSS<{!3g8LMC{tsGK+P}a82YW3Zl!7Q6V{|L?z-}Gv5(aLhiqB zQiv){{7D)ISR{UnvXP3UP`fshX18DCDQPu=#9gf6feT<8m}a}g(4_5) zB$r59>zINSpOp3WN%oW})p1VxPn1ua+n*>Wva2XVQj?YJ(uq6gMam?*igqfk{#n%Y z%YRX>n!5DpMVQuX)V^!7B~QqC$aGB6bJt5HVO$xZe&SVbO6S^CuaYoUh3jf@D5|Sk z{vokV!Ky?V-2p7&xtS}3N;G!1*U5r&{Z2uey**!~w}DG1J=SSgaU4<0O+a!Demd~#WTz+j zjs}&goNDm=d%XVcw*TLkorHFH+v~?TTNiSSm!)tKVq?!G>REs}F^@>EU+CK1_6WBSWIM5Xg7SX|Q&6=Z&PCSz0gM>`0LJe;J#)pzTMQR?2c^<%IcViM+p8>10RQGQ?p@XMPs$dxn>@MO&R4u8l{5FM z`rcDpt))ggGWn+$PK}+SaAqqJM!9`!-sD%&y18{Lt#Lp#Rjm z_TFjX@x}|%+r!lih$M2B|*a z{b^dJybDw4fIE-;&KllYoHwa{1baw>^wgBAdbuKU23BnYr zoaHl|vfFZS0t_7)qJA{lA|D@kiwtd~(0wxw|(zD4gEMdNsuNPu$R3Z_RcwoMz zn^aYZL0QC`c+=a6D8onFDK=`-o=Gc9MR%4#vMGf`X zwu(aekFdMK56_xr?1rD(oiL{%s6ibTz|o8kOtS(I!xg4s*czO0xRAss@kOAHvW#_E zy*O%1IKj+y*9z z#S)kRDacK6U#7?1B^g=h!hCukqUubanF7#vvs0$_6p3?Fu-}` z%U|}9fw1I?I9@~J=#qIXx1mYYu>!_Dk~qy#HyXy%K0gUeE{bD4kWAB9EMWh4I;R3Z z{=5jlg<(cJ%T>CL{c8fmChbSFn17VN&UNb2CA`xdMI4TEO7ocgJG;?45ND}0)UcJe z!f$D~J8CG*g3)f-;_{Q<()l_z#rME@nYB0`w-=T9C~(ooGImxb!zGkgDx*F$9mbdo z-GUvFG9vaM$M_?1Ix-@)mKF9KWWorPW-%iX7>Y)M0i*nm=IR^xcybd8E1ZEGdMYw3 zCU*sf!75r*p43J@^M&?=vJtJ859@;%g(jY0Q2}{1MjyDQ3*S>G({Xd1y%}f#uZP+E z=qJ4h(u@IT+hP}4^lZc>99#y^82&1x4dVs09}jK+{ce2>8u zmf;VQ5|Q_+m%)b>{Q7D7q4~w*>lfC{|ChH>{~6rl{}Q(0=J>ZUOu2tzm|vo2_lrc) zn3O6-fdd1Npcrmh5b-fzIFlSR(+vNio&Oko<#QDwnnPz*H$;yju$T6#2199R^mKcEIM zHxZYh2cX7itfSc=FaQXoC5)%ORnq`?t!*ICa_OOHOy-waqqHmr8^vg%l9f<{RT_X_ z!lgg8RidL4b0f8#*j;goHlx8%F+@}X$MM9lxaeY%!x)2`WYAcXeXsE@w$)&e$WR>jMz332Ur3yBeDvb*gCWyVp~9*I<9nE4R4AHu zeNgqJf|fNzOA|3ceWlP!qlYAQbV*VuCnuqXu#`_dQVsW+Z@A5&F82KehmgbgHCfipNrsfN$w5=u1V1lLmhDgy03t#6+K{v(t zCQA15Hjy&(QjK!BcDEKFt12DUab@E2a&x_N^n%=Pkdv!#muIlI=lgcod>s(*vU4=L ztNm)^aQ{nEr+2-7Tkm4`lF0qZ!QIj_I?5_TrIy-gkeI)w#fl&0L zyjzrV!=KyjPh?bgD95xJ6U3vw>W|I~YrTExdScLsgb!#4DjX960|I(%Q3`NWD_Sg5 zP;2Kl3>o1S^6BiE7(P+(x9<_%{BGd*IP#7*zw8DSpo0+yTnES$ocN4DOU)LI0OBjN z+YBv1Y_l|o35%|Qc1B!x0B~crM1}yf!lY8z8N~NxI~LYJBcO3sq;ZvTxCuH!h?(c^ zpTDlJVrYZEz~x}&mofpEM&Tx2P%(43dF%q*wcxsPvj7ziYaIn^mdrvk{1f&Gz)y`_ zy-ROycP=C2bqHRC^fW}?S*0E?oV*_xx*NAO;XLbsqm~lG9QrzKpgDy&J($?V2Zf!G z@H81x84B~;!3rz*72F^W#Tv{AOFxa-p-jQEnQ;P}XBgM9fR^YjJJVYq$8Xl903V#= z&hPG0Tjv05X%6Y_`9pC1>B7R{@OV5=t71m|tW(#;_vwl39hB38Dd;YaixYCfX;*M5 z#Zatp_Vy$u4%hX0Wif30E{-JyQ&tSi6v^sjo@X7kwuxBR{jx54!QXy@zaCB-eMiO? zQ0MZy!Vwccs)g;(LmY+NKj0)i!dbfL=Lz_`R76xyrq0(qx*dAn%st-SpGbxhy5FQo zeX7;wAbfXwJQ^4K(d*k@#`Naj;dTZ~VS&Eoi6EovJlyXA~Cx#Eqs;^|(J&%FmqsocKE~`beqqP3Iye+T}biCgN$k zJ}L0-hO)~)u;N5$WjA?ExCODNzXatzqDI;%nVUAFgh&%p@bLsY{3=;LGlh_C0%s#W z32k$wgTw|cT>5P*t53W-6pL1;#44#sB3dpfn9%Gk!iO2Rj9cCzWB2yZ8g>V_j9uO` z<1rG%GQ-_oY~vuoJoB<~=uykqC!;|ydEMQsEu?Ro{9D+r69c(tv`Vd%K#;Kzu7((!n1VZ)+=ufKvO@xuFuiD5t1$2Sl$MA z#(UPZz}dO;2$hv@uv>$Mp;R5>K>58J4&hi7z96RK!~ipH2PF8M9F$b>_G&=X6F^|t=?N+uf z!=^4hLx{CjQb7fJBROK>SND`X7>0IR7UT$ie+@sJ6g=q(lD?jj$;TSD*AtHQLHCE1ZH7 z`Ddy^uNy)gVH)FC9cGMy**O!+4UL7ABfDj8IGYot zzCAryFr~*vd#)}&i&sqc2OGw;)FCf;{r=CYC1!!Pd?d}3$t-4VHAeE{ywVmYu}h0IZ`Xh{ z0PQz&{y&zf(6LwmAuK1jWB+l6&EAl!o?6A0wlU@MBZ5l6gSmX#mr1fT~ zL*>D|P0cTp5juCq*S@A?82(B-C&Q>`sn_vKgA>!&z9;=vLK7*I2^Rf@#(b`Iz+!R3 z%6IY7ed4(WezYlAebkq)LppQ_JYSX8=fhHTqtSBC;%<|m<_lSVFl|vk1yQa_Wnh?U zf_is(vGZ$q<(9rhh@t+gKJoVN%N@~`Zbx>n-d9t^`%?9_zt7vurBc1UMgU_S#J*^Wl*%uTQ7{jikspD=a-N#p!yjf*{H}!#mTD7ULYVN4>+(koW@x;jpAk9fUl18&v)z7G5qL! zHr3aEU?extMXk9TV}$0}N4X=7@Ejl9yJD%oR@dJVaE>hIcP_qC!D{>=QHE;aEq=7D zBSFM_j!K+@i_ad*GHlA$9-l0wBifQMp+|qAW*@#PNA}l35FdzoRy-zKoVHcDZCryr z;d`6}dLoQ2PUwl7i1f>8gJ{S5=;269m9F0JoIeGv( zUfw$O`ynF7C@5_2tKO(mcGzso{5blsa`v50KH0`!JN!oW zK(FW7B=#r3^%J>c>LYoo{XXk<1&8ZR&Tw-N9sQ{|WG`Wt!-mpf6w*FakZ=acn_nvZ zNzC2;cyjX_J&76>PqNIgu17fPf~iu7y>y+&p<0L|TEO{eqSW&Z%*Se6<0GA7%Cqjd z1kOP@`LphnM2(0&T0r+OdE7s6+htiIeZ*VU=Sob*S5*H`34bITPRj&Zb(_-Yw^m6W z>y3&ZjAf`5*7WmmICn5=84xScnHMl>IS?z%xGZ2ls6<-Ps0EO0>PKE{gX6(z)?n@D zbK>6IO+!L*QLnz?3v3e()v+U)Mhu2nZTiWFoPa(XA#{^D7Fff4!xRU<_`a->U*~Qom>0M$CuBTHehY|mp~MHBw$O%qDmgbvhe5~%z?)OM2VJ{!-toj!>6+&bTVzC)MWFlNz?9zsaS`0@USR8?Ic>W4XiFv#{x0v zbqK3!j?P>&#hMq1_zTD#^lb8aCMqqfkT+Td0>>oo5>iAl1TtW}ikz$StwX}oLQ#CP3vHt{U z!^0u4FjlFTaX&` zKs8H{0|=uXzU&^~C2w8i@1@`lyL)#1kss%mR}DK3^{yvR)y*?wZ+l49F_+g74?CAL z_U5lEb8lP2msdyKZ`GG)fPkBi6S%p?;)mHE%|S%*uSXMNf=KsHqWMtXIOpJq_v@#I z+#v1QX{Auz3-JyW4Px^8_SwppHl=p9Br|KH)1|YQW%2`@X-A zm(BUJ{Y|8lvEY8uCiPpZ%gVzLt%k{6_sdT5x#!8tt>sAQ%Pl0FVR@U1O6lemnejH+ zLFV>5dYg5&JBnO8rcc9cSE)_e9_uV2KU77}t6HZ`OcTO*8I&VRy}`Qep>s6A=%9+{ z6yCaWe{|h&Fdd}Uwm-cYa(N)bb8DCPvlZL)hgP;qPA0%XzukC|<@{BQSgKbDJ`(j- z<5^C~w<=R@OGA+Q-jvH<*cq4rBZV}&^Bl#*Yi_&Y_*$l~BSh+{#?y&xS@f>3p+iRi z8>Evyp6`^JNdDIef9|)R!4(pFAUf9SCE22Rdu$VVdiv-iW#^^qv0UestN-NTsr6hs z3lD<_Eq>mok>kg?fLBF5xF7C$G4YADC^6J4AD>~`P0xh_5_`bo*~i&$)__K4eInu# zAXnFXAJbFHLCa7SIL!b7$T7$%gz%%`$&=g3wbuOg#Qa{Vqi6c-vI_Cvm-=kvtq0DC z%ahLbu`epf+xsf1P`<&3A}3D(n^qhl*W2*<4rcmD>8*fm=H?x{pVQ`FRgSt(h`#}* zpG}Pt`{$3flKcmhAL#QL{DEcYc6r}>bD5LE|6@H#{Wy@Hpg zQfcd+3A(%uvZbl4)x#fPb#NjV{~l9tiwn1q$s9?6Qb8XFEPM$p{Hu?XSu zCDFqWzwm>`U*KM_UNGY~Y_Wfxbn(5_c%irUwsDN08*RCb_sf3%1@Sp0GW zz}(_%JH?1+3}}qv1ZT=<6~HK=gHO|)aqHIV1{Ro%RG5MH7LKMD$mnOVM@e> zkit+{4r6ky#)XsgQ&!ol(`(b7g=0%%rpOsIBdOR-q-2_>gJm#9S7=8FGmV;6tAwMj z$gqBwX~!?ZW~IaoiLAg7&DZ&(ysq;{B}Zkyj2?jV6=+K2oTdS!EwczlBuLr_|b2KD#wEH<=z6QoyNMT(x zjiWO!EAKOFLVd4~J6jIZLyI>~IbGL=5})r^A5QIi`t)D%{I`RH>#>>9tNgLcFYEjM zv(LeQYwz3c?%v;9$KI`+Yvx^I7FA0m@S_eYm(ywg0Rj!PviS^CT$VS^OjXMxQw!S! z<~atyGLB=NDbuC2U7XfQ1L{r6WM4~h*LfMQejXHPy7rDWic{W7Za8d&rEB};<02PH zHWs78Yuipp7BA8D9?aRw@JD@hcY=pqhszY)06pos#Hb;Am;~n|KZI6QHT(!P z7foIj^==S?P^1=LKL5Co1Nl#hQ2{hNP8@lKTgYh$Ukj^=N66@)BRBDM59+ecU?lXq zq?m!DOGWx^xhg*~@%aNMOYt9sp*BHA9s65l`%O2y+?0xMhOZdd>HI9HP=S2Gbygor@X zVvm}h;2Mm{Uq7dl0P4uxp2PU`Fx|_DIDKhr2GaQIhh2>yNfCFm?<65;IXp5_@T`}} z;#)6~Df>{$^IOgk$Nm`P-}lGp`PdVRk6ob*=)W9ubG?Wt*$cFapg@B=X>fmofxQ@_ zPTU_U&prz#xPOE`>-b!jZIC==DxI0t@m8{gCN2%yFrbwVC@KsFII0Fb(bSkN@DK`e zz6YO~D-?HyQYwAIv4Ai-OUnF9oBW>vBmOU>59hxtySVs@bmaUa!uF5uLQ_^Y6AIWV zB%I7rV#z%zaImudXX2)jp4Eq+CFP~nyS8WPlYo~-HLnZB4DVh$8yr0EwbEykDP0gx zpjy#B$y(zH%W@;IVrDA-yl0=VoDFLLHXN-G{#$yap0pmBd(v6^FErt(U^$%|6p8dg z3yO4A!YL$y^ud!KSg8vzct81~krK~ocnfscn!+37&ulWzDww2v3FGj%wt*x@gex`+ z*g7)R61i?Ltvbm~JtZq_X&m~@KrJaZr#N5`r&>b^{3uiz&-#Gta7BUC1ymKnmEj_&G)@MiU%f=epy-F? z02SB;Wg4SjGQ&W*3jG7tG$oE$rJ(|;IX4bI$r3awL>H#QM@d1Dh1+SB#ru&L;a8NR zrLwAGU<*53M%o%WqmlQbHxVR4i;j(v4x@{L+@?*X(wYKBQ4`7i_w{t;WdjDwVp6^& zC9IMYG+A`GCRI321&&xw#tx;gs`4FB(SyJVNFZDq=X4mj7xpF_tlmCXRV}lMRO^%2 z>9+-RSUWq4Di}kJ8hKG0YaK@J24GQoR+@e&8IOK?c%#x9W2Bb1J`N|a2`(3_k?fC% zjTZH|h?|?}d;?LSy{8!iy+y6N(iQ2lO$5@CQcKoy%Gy$l-J5uIl>6^y%kFfh@Ev@@ zWw+|Eo}J##MXCo%-*z7-kFUJ>sNvIG{`oR?gm$HWskPJ7*{`FsEklFPKV#k3bmI33 zq`QW`uRWho?}~5PZ|^%FO=6?Ip|apKTEVhX6#{`nlojg*$;847Xlgv7gCNoA1wfcE z7o~cK>#v}N9tcO&ChF8QDm$_5O_|1@TZnVRC+zgMZc@K8@)Cg}<|Y1{#lx}cbPk>r zO`%-_e_q;zTHo1_TjMSPPeT`&GA=Y7?LSI)q6WOKk7+_$PcY}eWq2@iv4XZu$|#j4 zU!segd?OS@5uMfKCR#0j9F{;>C=-}Qb4FaS#=~+@@EjxI@I>9!G@V6{R~ z7PGJlxGX1f+Rk_!aRURS!^xHfr;yM)cxlTCWdxz1tI6>TYN5q_1}YR;l8HwRZFzxZ z2teM@;olFhN3t(}o&=%7q4WP7cw{pZ`-euPIAwP$?3yhN*ITFbg6{c-N5l-wWVP*Q z&oyYnk`YLRUb2b_kdv{f4hDIePh1ShL;eP_{jXK(mjN3;OYuNZ$3NR+gQ0$qW7Wd{J}eB1Ese4noE;NSAl2+^|BEn5zg{!wkRFei%cqh=(GNTbja=-ou+_10p*MF~z|ExgX zn%cVKd#Y=Nn?|otRPQa;^BIkP*mDoYcWBd3 znZ>>8*Ocxp#l7K#^6Ulo0UKwQ8ms5VgfR9TUc3NEnMPsUL{8-gv zTyF_o7oPU^oVXO6IV00S&v1h`hB<)zh-dUo>PPKOYO~-zVpLqY>(x)y*z%m;v_>!6 z3vtx=id=cVY1CTjNjE@)G0&zOAhlQ9=Qh@0o`AU9&>S`TpKS;}?x6gPKZPGs9XF6$ z>E2(zKJ5Be-_SjwH#=!gHp-lW^f48%oZ9glBdR3&Vkhj&DeU6z0I}k;;aBQKkOo2?tfDqeW5k@-*CB{-=|e|byrZPO35*eqQMm7gU=*Vw&X0y+FXf$ z{R}i`9^)?_;vKjqg0*@xz2DE&-DWPPoo9K&aBw~;_v=FlY*-6|)=eoKgLeu6@EVK_?@wpBiwt1Q;)cxdB1W6NTEmR|q3Rh%PQIklG@B|d<9UJGb6n=P=vz%g) zlLpGwJ~B>Kl95nCvhs>3WuY(bP?;%lua+)IBp^$nW+^%@1noOUHpwNrdY1NevF2#a z6K-u@hvquK8}n3^A_8Zyg6d~*Ck6U%1gn&i8d4|MMz9S18ZfTrS;i7G^fFp7t|vv( zsEmhDz^|wj#2zuTsXQK1-MulSB!y7{qt6tqh;faJF_3FBa%>@C2m111~VRSY8J`R~j9 z8Rt(paExJfVwUMSqKEGBmF&|e|3EM&7JWtT{vOW-&4UsST~72{R3iA?@nRD)G)mqD}Ew6X^=Op zS2PA&c4o=8ku?b)9! z5i`gH0lNYhTw61_jPG_`J@3ai^*gT3FFqbO2Osalz?;*A%de+|gZKIbkL*)tpS#C> zx6YO3>+@4*RKtt=3!t^{Lag?=wXajI`YQudSL}7S-^(qDaLjEd;VIV`Cs-}&GeqGY zH1FlLKLa@9F>p!Ul)6r6_oK7ZGeQvNmErY3G20_yOQGW3)1!N**SBMs>EPu!b8~iP zOlUKzn4cS{DAjL|@PU5sl0SEUC!KqGoW%F^5+ETI<7e7<9duQ%{H96H2rUkNBWz8= zD=_qEhg9(tmx%AS*!}bJMsPD35U1Gb&l{An5(!6M>@ug^6^EdWLbE_{FnCQKkHQg zU;Dwz&iQX8#J+TGr2Z*=`I4ec!Jn~`WJdv8!?udd&R8!cmZwR7zQEJtC(yL|^y6Ht z>uGQA>lIbd9mm$~_AWVIi2ce;mE;uNF?~hV?v2II>o9cWEVSouE+4eMh2?{Gksgnmtik((y}$w6SU`E1~D>HDEp?HHaFC z6&;@pBzIK{4N0aK>D~BIg4KD(+19anSY4-aK(iYpMOy-rt?v3`pg52XfwHM`G6P%` zgA5D2@QYdsCQfONBW}(pU{TRh+Jrk=GaW8L@kdD*j5CvwGHLieF*@rD)j?A|o#y6G z*ZdU$odl#xP|P7=Fq3sM&@^TOGi%2O5-r=ZQZlABsNlP^l9|1B4YR5_)n7+8m*)hXsKnWs|TQ60vY3Tr$IOPmU4hZJ}Ua z1Qh8ZvSv4PNsB2yz8q?_GhGXM63CkpavFR^3g-!vWK#n_T~9ah%D8e+<*^{!!IGsR zH`C-i3ImS}W$`8&fa<2afng}#Pk=a^@AkZwFzB>Ki5yI3ZIx?Uw7Mk?tFC%dPfqe0 z?ym`%JnX|5?3lH`u@|>jJHJ|5aiF>108Sq}Q|VAJ&>+|d`%N$AAn!3JY2e8iaMb+dI}7<$Z6k((A|EBs#~!dt{dkPO{%Exo=wcBN3W^C6nV_G^X^ zpO>%p-Mcl+l=qjv%pQ*4ueU~DUK1{FACF$`3qMBZ{(lLbgnuM-CXW6N3)>QzCFnn< z1m#10a2zm$2ju-(3(9}|i{rF^E%gRmDOiC5kyq`_I0ErP5{3q;-JH>g(M5+twv0s=w7GdxkviF49t4tq=9o)`|9JSGS&|cQ1_vKMj}0j^JXF zf0ug*c$y;0o*`?F)?TSuKc4<}@TbQ|3C|mnQoMP-hNHYHE6qly%DPgcxBbj*6X}pa zFfBUHt1*dgfq}zT!;&R;&=qP47cgDqG^U+vq{WhJ#5d>~d>R_U_d;LcTGzE#J2mro zWb@!;Th1630p6Ri&Kpk~${UyuDx3)p90Q%YN)cx^La`3e7TsjN&+kZL?7q&+<5N`n zjjjUCa>gM-n4ipt$N60;qP*n0Bq4RhfprDG{s~_LL9@Np`ZD?+rMMSTpi7`5h+`q~ z;QKezH}Mufva1Vpa}(_(PMqiW!uT$1XtZ3k1;_=5Ldeyf7y;ca!bwc*=(wDf9+?z|Uw%@Cp$3d>2j-MWLeTSl+*VV&K z_QT4%#bshXvf%;Lpce+iL|gUDooW{_&E9*=M7|r(4S$@otxJcXG4zDc@fBlM}8w$@nJ70AVVVu zap)0Gm5}lToskh>D*qp8?*Jr8x2}!0yQgi>v^j0twr#toZQHhO+qP}n({}f*@0@%7 z^WTUau_N}aippG>S*cYOYps0W2ax`T=U5*$%c7Udj4wylAVB*2R#IQFona_&7e>9h z0P+XFBm(ZgY`Xt5<~8g8GOy{`{>|90Q%%#-=pWQ#aCakuF_mO9i5hxwO31up2b20+ z?E}Gvlpww|zEs{jfEmYQN*c+?NWtxuw)D$qz9hq#lVT;3Ah_^b0iS^&L_?Y!xOc_E zmx|O*EKb_uTUMGfe9sCQ3`~=nLXZA8I4D28I9B-DZ}vkoqoP2mYI#BQmXSQfRN}nW zBWyw8g;K=yBGRH>z1DEQwN*;+vsPUE_IG8_u(cItWIm=iWg|#2-^3~LPasUV-dw^o zY%;W;6PUiez=ecu>GkqWsCD(mwPLMDQPf;0(I$V&yNkik>Xv>fOOyVo?+0(sR~s$CkGJ}z z+))!tOD-P1_OL)dR4`T$T6Dd?uP+c%blIg!7J={I)d}EHPeVaM1DMWC2MY$Qom(uq zVnk1Nk$h#c1fM0ij&*(x5{;lrkew*rdOrA7NP6v-KWs1_Wu4%8>B+9T!&dOf#Zcu; zQtgktiD;&_W*Qt%{{C%JY z$w)0mO{Z7_%uFNRm4O~$7_$(+*kMl3#)p->&N?rE_il z*|xF`vX!yP9a;k&O!mkCMfx?<*V{-9-sMeL^8;eOkS8^tNO1y!5zfi)8n}ltm7xqF z_+WG~zpv0-#Q~2kKwP`MS_i?*>dG|8)av##Vth%AmPavCFv9tXNanab44RPUS z`?KT`uQ-{_YJ6?qd!M%3t@E{05>FPHz2nEsnO=O?*8qQj0yp?*3D66J z-qyFy{0)~Q+vNI=TJnV*B_lIzslK>Hb{Qm`2n>(-MotO`^LTEHvG_TYD7UNJAc@6i z3=k-g(7?IqziJX${xh;L+y6q)m>K^~lX&@m$iiL#m&WyaDosR?4ZPWSCb+RA9^5=D z`-}^IcZ_7}M!;yczG@>2$GOE4EwCXlpqP<(}@2)XRH#d^%pG;hMjW! zj`cSsykXY}y!uU?OBtAO9}bLfp%f{Qnurp$dB4K1HYtBlcop;Ux|Tz) zi5i(ZzL+tUKq+7kOR2?=QCSRr)Y2`&Rc_fa+|_87IKn8)5=nhhLOLWUDu5<*D6&Wh zG?K=Bagik9Gy>VdUVgkF7EB>37QDH2NH{bdw1E$s!BCu1WE46e;*_5Ns?Z&fZ!2x? zFO~#&t4A*R;<{JbsOweBtrMA@Q*j6KTMqYef=Oo;J&~(Zg84E3BoK%cCV*bVwikm( zaSQ4f(FrR;i&g0QZKY%Zra!?<1EqPz4WU0F%$VSB=x2d%RcSqlZ`ITd+dr&D&~_+) zAQ7bim7dmlhT7PHwMxP_#V?VOYi4qXtw3xXv5S0;TIXmdaD@@U zOm4Nf4~=v7V29d#$;{}MY_9@ZW=grfOI5=k!PR>TrO+fSio^s-UoZ-|{-(F_h(c6K zDiF(a1@`>XGqI#Fi*s>OPBOUUUimo3Y%cYx413pSi^od__%EGgqLg_tTa_8smKELa zm;E{0njZBot96Fd?oMaHF^CuU$9hHlp-!*Wt* z&Fj$4JUuAbHOf&}ax6l@HNu@8Miz!uO zOZMHeBF{|KmZ5IKh}Ed;tZV#jAsq%vB%W24-bJ9%Xsdp4c37LsuZE*TYBF2P=i#dZ zt;u;)f9v|TnTFi9HNy-TnYGv>^ZQYj7%6=k6Q-|JSS(qlY%{L769b5Qgk!u(UbSpJ zw|=ecAZgCk#sF!u)y9Es&Am1*NtUSbV)$!>Spd9*t)MW#_%t>p9z?yNplk2|Qe*!u2Cc@qC!K+m`X+b2N z)b#rFbZyzXY*YlutqLX?=fSfqIC17T19ejM%5F?0U3SL9d3(fw1{eHh^JZ~(^~8#f z(!b<%{^d4uFk*Me4b9UIKN8_PmpayebI4cpXeGJLC*igROHotdz2earBLqRJDa<~Z6VIfOpLu`pQ#t@MQ<(HQb%}5is#avFW_&?LUXG7Y#2({#F$v zgMzKix*258>#5ix*GaV~b{%qa|1|$u52SnOowkX<>)$vYY@GDDdF1`_S;BljNFO@! zT4?(cRYoss#gsWSwkgaiZN(&YVsxF5L&}0Ja}xiK`Wy5Xxh)3LVw)>^h~o_ll-vQr zv46Mz3x1Wi7QV>Ks>6o&M{_<8?%R`Bv(kFrg{Z%A9{M-c6U7!tv*~M?)d$u)YCGlb z0Clw&L{1pHvvMy(pQj9WxHcM{EZvF26sdycRlO0*LZ5u48?LO1|3A0rdlcAb{OQy& zMY=8zYpru@rZX|vX<%!aiCO>B6K${>V}O|wgpD^;YYDjzxVdNpm>7fABGiTYaqlNl zdZxLo)scPnBHFZ587rpSOwqbUVOBuNZchG^7odLhXJJI*Uv@bEe+k(1jDYdD3_d*_ z9j%hvA7ffs0}Dk*YaSj4M|)#^YdC1L?Mr|yFpem|fgJ`AK0&#<7gzZH9la*Q zI9psSRjz5TBXyhL=EF2< zLCtZgj67;hmAJgB!xBET3FLFwtn|RD!!ao8=}4gtH3McVC|n}VeK@GI=0F5Q0(@!M z+Z1v-Fi1`Ws4SYXjeY$vEd0YlLR`dk33O;Gr@b_|$v{{-A>&?;V3jyHD^Mwt3~&x% zEn(kZk4C}*K$VU1aW0kp#JyBtv*;k25b)u_KM;+>!>9!*6p=#^g_Ot|)XgT6(AVox zK+Jw8$>}Lot7+(gpq^N(OoMq#YOw!4j+L)Y4~P$GqXJgmGcY>&;qp7K)~%Py3K*lH z5Rr~jx08|%IQblbq~zC;YVLxsKm^7%1UxEDAXykK)omi192DU`F<7gqjsg@y*RufP z1UD*+84Tcz{(;zQ_nsKQdDO@o5ryxeMqO@ww=F_zsOOGXfk7$}(OG;{*l{B46fK(;`FboW*3+r9KJ9Z{_VoMX=hj<${9xLHU z&nT8q?G2-<&`-NE#o;*N*a~!4)5M~fHK_i&;hE-JuTB*+3g*LKNL4PUDp8Ahu%lo< zaqUXE>zl@#SN>S?Z;fFTXT7xRO?$DQ?gi1+6kJ_`ypZWr`WdZ!P&P3M?@x_f?8I1J zXO~eY4w(+Q(C)>YRavPUm1dmgu{<__vnAnPJ|Dw*;_ReDtT>w=W$W{(Mft%#ft5R!g<}zOL`283OcCok?(InXkFu9{0he3QCO@Jx zQY$qWMm_Jn)$wB+f1Yria2aRY=dkh~bDIv@I;Lu8y{*t;UGm_D3(-VW!qS=eLi;!? z@(0_Go*C1WQHo1D-WocUjV7`V?`2LcAEt}J=9vxCYrBOv`o~s}evcWGtsfirWwf2o zR_@tqmK=H3C*VPLdE8mlCO#>=D-ml0D@nvzn&Nf{;-dGj10xwnD=1nMj}h)qbngr~ zI(g|z?o~&(TOa4%^s4YP8zGAh^dY|(VKk@FC!SqWN*zCV+E>bx|^L=W5`|HCf}t{ai(J;E6oXuTB%TnWVDc;@^WH z4QuFtdVmL!(1fBn#1YA2VPOq*jF5`3azStNQx~!>OY<8xIN)grJ+JoBA8$d>ZAzJO z$Qcg1jv=+E$z?tu_QaDW5=ChvRsFDQ_1uovYKv=gw^BfA^5=$eR{pao`uDl-@u5%H z8io~AGXxIOYOmUQ&qOb!@hi4`qi7wLs(<;Xg40IvWG|2HnO?xe>iU}b9fVWO+{)ZE~(4qlI0kNg4%cQ?O%RQ<~){XZjv z{$I}!4F4XIY;C*A0tl;sJOK=Vv&FjPK*B*DG56nMc332g&g<5oF|;c;fIG`pEdlglc3P!idQ= z1j&h!V2$;|%;MLDO&N^%w~dxU`+OhC=@_KmGGT#uDe18IQidNxT#$ICUtNzNxdP6A%4K-D~~3g22s(= zMiJCCL?EjhnBD|Y*)8$}@I67LcMg`IC2KKlPhK7hwYnmnX`9I6!;+jAcBc8Dh{L9F zGl&@#{Y_i+jcm~A9~)zv-Lz+!9x;H)jDVT`0Tvt-M}Un%LRve`1`?E=7YNUSnZgAX z3CoN&VZlzR&amw-VH8}1iSz=2K!FN1?BEAZIDp@;1vgU%^DxXw2HQ(CM?w2n&XJ)W zXw;Pjebj=7lC}bz43-PkORPVl5qS+1T>WkO{!cF8^#({&Xr zA|5;=h+!3ak~Hz}xJM(ba=+h?QrJwZS$PnxBVPunUbg{Z)s|km3$akHHYRD+V|A5L z3;tj-HkF9+307CsFr1!X#3Vmc1$^30t`mWJ_mm~%GMtK~O)kKPt=o+M=B_c>yf^lQ znH)6ZHs&t`9TNWyq2RCgnd~Zn@{`*A#5q~^h})xqgUkKVGi}a?``6OBRJK1CcLzm* z>hA0L(dX05RL98-B*ZyZ@3i4d-iFrJ_LisLvd^b)8z4*M`eOC)_b)Phl-+*~Q#%Gm zY!0~z@w5l@k=e%0rFhzdca=qt2VY5fC-!kcVzr8N+*tj+G z##Y7H+WCA$X}e|nJdlN1djlZxw$3$4FPp9JowNtvZl$8)*AC*f0hY%XtxpnL+Mh1w ztYRaE=Gkd55+VE?Ooa>a^8*hp-2~DTVi@eI!~**yhhhd@TU$D|+t=k))f)y)Cw+0A zEvASiupf!QJO+QtI< z)cZAX(#+d%wJ?w#c`xxk*7CXdyq$@SHUR#vd#-6~Q^^V@N%@?`%9@O$VP7Qqxj7TH zM0#AL;r-Oa-X9sQ+pYO)ogVl3qFy?iE>scX3n@VIv%F%9d%<_!_s)AH>;ut36A{J7VGbW{*2ZR=o?VNUs`ni&Jt(zRk~*4(uDbL&o}b%A<84nr>mD5pNq z*#N|a`>gVBw~s2N>EUFL5VlUi6}f1@Em*4c2ivPDxSb-;%IVZg?#_}}39eBy8qdyA+}UP$iUcc#kKg z)hOel?m;h?>Yhx}_=1B;+AQ2w9hXi2Z5vPV0-fRrooBy#DYxFqCafE$m~If7G_E*V zq+l$uE_Y1P!C_I#E>ZN6c=9A&OqfJ;p`;)@txxHot=w!arp@2%#kP`aO;lYqY~)63 zeQsq`{V}wbEx1)qy)>bvs%~_bUS67?fY3co+c#QVjx0^RzACkTSsrRIe_R}6FiFGA zg2Oll(?<8+oVGS7X{LLnn*`k)iqK6+)d6j72{Te&;g*(+ong(=QZ&P&k`8T}!15j> zoyjOEq$Z&Kb!huP*TXpWMfMtvkvOffm9IzM^Dq*9;C>}8ly(%Oq@ zUJ=mC^M(gPku@W6jEoURg7=Y&m(Bw6JVYN!6rUNkOxnR&i|ocv_J{ zx;Axd^X^6i(N}Q$sRDS~dKc{lE@MtwX?XmQ=5aAN zy-S@mjRc1F$57Mb`97T_6IJ6FTG<>?v1tJY0v!hx{gRT|{M1VNxl>qE?1j$ap#-dQ zb#jo6#iFncV{@Re&GH*Jm3@Tm4n|wi6hg$NTb8Z;lK8ugy|ROL#%siiT-Wd*tz(qU z4^mabDBJt?q4$iUNr-JJ^_~ryNSpfuWP+h%pVyUE57&N!yNQozj>wc(V&lEp;HgmR2JwPCO3uZMC8! zN4o5|8M2>-2jXr!S%1C1tZb2NmW1DgzK8pKEse1DT$a_~(3~R;49ys}nmV6WO*gjm zd(Qhs>^Sr|4)Wf6+6eHl5@cupb>v3L$bSgUcX)Bci8G#!;PcL zQLJ|y6TK6E%2BjmX?_zNJI1mrI4rSbZV(uun? zxzKaXITDdm?X$`4WjUNNj;Hnhx^#UtvayAF`7E!>KAZ`k`g?Wj@oH;@)pnA#Qtex? zJiGPlE8p7{^9y7o%cItWeOBE5*6*W{+|A|PlJV{LEzAnScXs0>HIMGs9RR?*QSewrgz3GoZC?uJ2wST_+vH`RTAvse%iu-*t@qXCj7E_zd@FfGBamRZ%<-`gT zXAIA`mswGfDQtRSZS;=eQuSd>uv2luyB_)6F&X#88#d}?*8J5Wj?p{b;ZiAJC#hNN zap)RwQgQOlxWQ)hiv8O9L@s{3(wVF^%mwE&T{Dl%7`(|J&DaRySC#aM%T-8xdWYTj z?wv1LXRavbr~)bm2rUm;DVS1@yX$Q?H@1)9k{!yE4+66F1n7A&j0Zn}XxL%UH5wBy zj(=~L0+$PNY5lqim4TdtD`a!M6;MKEV8dU5uquk>k2@->UfFgp`mcioa)1mJ;Z0N>6<@;jtJr~ys9Df~c+=({H5DX9n+!?+G zXq3bfjOIG3eXVz^oJ@$kt1_{Zx%kpdEgH>=KN;B1i9b&Re0L+;AX%&IU7Sk+8mci-^4D-@gr&q zlwX&vgFg`=5d$qDVA@X~4b|U|!jv6*ce?m2C*Ck$di(lU^XYW^c|(Vi#I~+xN^;}a zIM#zuwy5MwY1Zg$M1=CR@quWhv^oX3PcVVRKax~ZPX`E~;Nx3aDZD2q5;T`b(5MN< z#d};w#4FP0FzK+%{@49YrVS5-;+d$wlz#ozURFqi9${uWO};Xg@xE4cdRIR!6LScf zogo~}9*j2HZA$}d%hWMv&P(JOP{7*3UEBo%6!cMl8+CGfsQ3;uc7;ZAvlTvd8_z(G z(w5;3i5QncEvY8eiuV61>*fC?u}ZFA5EzBbk{^<0ri={^%_6ZHx^0xtYL4ovMhduiQ|s4Bd6CegTK!IOe(pv*R`;(+I5lpwBg36 zx{6cH@Tt9?4`_u4k= zQ1U}rfy?IIRfDK2ZUsK|_SRIMidEu1J)nXm8i_!i4&%x@urxg#M^U&Ejfy8=X zrGtRnn)Uk`>K}PK<}))m5aMzJLoWf)BngrBIq~99Y-d?jZ(@y#qrJWUe!_A5Wu(AZ zsP_JxKl*6dGUP0vs>u_v{R<@cAZ(~mlLQEnqh$!Be~6YK;tTjf0Tbe83DlziR!s&L zG`Lhwt5-a?PEQ+BKO7-vbqbNu&Vfrg0;BvK6oRCm^OADJL&=&DI$?187&?&7)_Vl2 zAXDQep~o0H6tB-Fz7VhP)}2SmLM>oW@=MR-__c*ruuC$=5e_Vxwnxr*T20*kJ1kow zza;*&jM$y>pJIYRqClTt$ebd5xIEQCC_;#FCalGlo8utKPNd;q_v5p@7BE{!HFrE) zxm|t9bUKkf&dXurMSbOwp|D}8%nWMXnwT8j7`k9 z3@yB9G1`ox_f<7}o_mQ-@hb#8FAb8{sCaA-pUczxIAJ`$x8s8=pK9Jq;0IQ(?n){9 zxR2Gf6QVogfREXn%=RR4+W4BRExfoIoWuJ=WtHMTah}_K7f7%A0iM6!gOez92z5Ia zB)AB9dB}a-{JPpL*7nOaWP0F}<7qUUc|Hf#CAy&~v%E{Fi?qPdWO-Rq7<9qVWV%gR zI$9%g+aoHh0yrG$uV|{<;dZ|f@>{q=qt^>`2UwNFybE*FSNf}&DcJo7(($4C!GK)*_rphI%*}+x+41~Vwx!IiF z-aKnu76hEZ$8p-7^${Y(5FKI8^7Tz2{c-ExynU|N&12E>Lzc~N>vsfa9U!KY+C zsLf<37$e&<%D=WE1hvBO0(!RWFa$V_@eNj3!N`IT0lUzC0Ro}I1O@zLYcpCk)tEmI z{p*DM{PGg%MT6xXQC83cd$&W|>vN55w-x*@r##4GyI!kRVb?T)_Uc>s>iC|}!)Zn= z=+LzrFQq91wICwJp)RT01a@)t?}TAjHP0D(W4nxL!I+G)I!S`tgodxfN`)Y}u)6|5 z;{d|Qcdhw|L9uW{46U>3!Nz~=x?zhLBw~s3h_yzx>m5Tk$Rk)!qFP9nM%$jbd|?jz z5ZVd@5ALG_W3h@2hL0Y}wZMYA5ncH_5~T>SW##sT4N?J@+j$7MJU)3n+qUyNt%joE z(F9tc#KQV_`~csG@mMepMG)c4Gnt*8u1x39o~UE1FwFE8QV_N=3*oU$DT*b;l{Z96#?@BI(uj4Q1X3+6$Q4@Oz0^j6Ys=f89+Q-v8oYn( z$4!Wj+$nZq`x6RtQ=s7}IK;=F6zT|$WaIYBl!_DtDKm+a+{-*O7cWMpaw^{A_$ooR zf06w)zQbj7;~G8u@fA9@kR@e-RQwy0whvOo{KTUx4L(v=?I35Qr#ZsqqNiozy<;OJ zsFButW7C>_IS0>nIbvd}0|EK6DKk~gJ+)#$3CCodZZ@Ruw%eKUA+8I{e0cmyMv;4* zJ6r{c+&)|miQ+EYpYRcBNmL0>+)M1|b_}D&Lg}r-wK9dMu(*8o8LfGYn1|3h(fqHt zr_lVIg@^G+ow(sMW|38TtAE~gGk}dDdNPWlP-jpCa=f|Te4o8qnW^ua`Xa)G zqraiU_cM!^p_)WWnFXqlETaB2i)>L8*>_pEFFll(H3{)noV{^5iFSp{edfOLKL)Us zm@~_=P%7}MeEPomwYA?j73b)?@o|tDKjGGo_*GQ+E^nD{Wi4+VwQaJ`i_QBk%Fa6x zo^ixo0Zvoh5&kH4Acbv;?FxBtsS|J2bs&h2;*E(kk4rFv*vZXD{yD#W8k(f(hUcb; zF6uXC!AWw61+vBtf{UL>Y1vu0MmEJ0)v(j(!a=y{nmEqFaC9!l&pEQxc4lPr>(Js* ztU+t*lMZ)3`PUw~TOI$XTk!73LZES1??jxi&0mS>+u5|o#RBn9a=Oyzq)yx>gsdx~ zHR}@j4$H=OdCu!5PS)m|82FSLO5nEy9o(#>Jg51NQr35Z8hzedw!0^=zA2zEk(B?FhGVmi@Wc7IO3*5sO=Pi_7i z8FvgJ+?}lAr-`?|mSSuiL!8@0r=IL@n(91HXlDjnHTE7}8FxSrRZFpUfZA;ZDry7D zb~*P)%i{Za1L#a6GO7u43kySNz6le@lD?l^`{KV=&9uG7$@px3lgWJs+b3HCy&v%Y zY=8^AW#Nkw<_aW(`iI||oEQABgKz(t<^bFOdY3ZN|GVIu`p$oOm#TtY0#YCviR58m z;UL=xdV=lMfD{M;IYf(HJ&?Kr=C9h%=Yr9W2CuoxiCPcL^^^%0j&M9-dAys0_rcMb$#?PnWq$MLu8lK>8bO=_^%ax&mByj#OX@-NCy$B* zQDfZWgKmE;zi?e3p9|ohm5WycPw{;O8px@j1<*vr!<;T*{or z{!v{2PkjJ(f>nT(zbd(td^i>{nK^wbIH`k5CYZ%^U=*jOvw`kH$SycGj;|njDIFVZ zA8dXvb|ar7<{WH1U%mMnfpfF49s?+{7>o33{4(V@8cLbpWN#$}5@`sDnNdC57zUhn zGnJnW&^V)A?lf@@g*ziT2(@W_f8irRtoFG<=yuT|b3GJD1V;iE#f5W0W?7>3tv!Q6 zosy_NQ3NYAjxkAe|FB*`|FGGwz^Ex&cXkK&Q$&7z3nTlf>`DA~SoMK8ViQibcv`

    I3k+kT23JLLEA{dFp@<=Mm-4Q6^V{Nc0ea8w z)|ca>i9UQ>`c|f_xIP(EcOkSc>lqDa4MoTqAr!M)3n!oZ*Or=`PtUI)nKHuSLe@v1 z#|G7#>*XBq@5eW90RF$`$@8@jL-zjhP5=J=+~$P8yz(CLlL=v`N>#PxnH3qqyKR6_ z1JY&h@7n3!9yKm@$nECs;oPO`3tO~FOlHjW-XA3W#^SpBIqpam!uH&s~e zn;>NA{qattdFtq7+onfDm)B}J`ux+mY0cZmhXm)h=%t&ER^|8QP0m>_ZN^5G>-XNC zMVD(+o2$#|*UQ4W6(C;a&{I2ZoMHSQyv1#!Na8||Qw;>W>UI*_?I z&<3-b8|+s4Tl=!HEN=D4#KWV@y=TiD|D78y5-yII!#GwJYZs7)0O+6(Ho-Dzn(=kg zw$>4}GA*(&S#)rT{~5E`zeMKKB@Z|*>9*<4I(Dz@X}o}-n;IbdO zWdr(1R4dtJ+v(!q_Rk*4U9{Q9mWA(+f=xTFynw6P$|-(-Jn`jeqN8rY>$qOY5qNXA z=_ItvmIc1Jq2_ifIQo+whYfN27?nK0M)vsU!waw`Q~KHK`wc5a=OW)QJkE~h^=KRzp2sKJ`nXI>B0kqn7WHHt#CZ(KqP@fS zOT74>;ksnL0)gi#0z3zI=f7xY%Q%0PcG#A3!i!tux(Ak&az26d+oe}<+kM~6kiuJ6 z%#qK|sFkpl3KWb9XUDNWmh(la{5)AKSgZhHPNb8WFX#cJL{@wt6#nId!+)ka!1}-7 zRysD8f0GhXl?JrR0M!97fYaL8okK8~KafPapd2$CB?dPpH+h{E=AS|VIltqbXS?9v z6LZ6sXlsp7o^?C%j5kxTA$WJFige4aA2Fo$zDD8Ev35LA1_ytYGIR%SOm>&QP)=ofPAf zeW;J%6%FzNyI`rpL2+UC=YdLENWvmCIt%+Cso*A-T2O>JKXdO)Y_+6o`hiMe^;{^R zY=g1Ye+FU4wewdQC*>{A3jk|3GlWZYOzuNSs3zv|RSE4;|E-k~jf*gL5~R>F?9~M$ zKm>OwSFzUyI2uy7m1vY0$z$qz0rO+6LJw*=QXz856UPT>#2?ABEP<=AP8L-*Ki2#P zu`C_?Q3c-TA5KWYJ@UM~i3!CnTs>M$qoidtiXNGVQ?n;F4mDB#&A!aPc=8Ro6=u zOn@z<1kiJnyHKHjqK2z#mL?St4tl_hm}M#uf7 zuYPa&{8a87&@0Tkaa~QDa^o_k^xUKN)0L{d0S^JzY!W{j>xCZl-7P?!^ZXp&o=C~i z>+!RKRn}Yc1OL~f71#K^)$6s%V^)hs*;0^PmfDy)r(A|Tw%oKLWr+?uJo$a%_~!2r zVB>U!wufSmqUX+L)_Uhb~&WrD~X5-fOlU=cl%9!6}v$`Hj{V>4Iiw+lyhV zGpyW{(yT|`Hw-OM`xIkF zI~^x}M*Ax=#XE_a8en^cMx`zQJBW}{Qt9G{6ZQ~vKVFhF1CM9F0=rO)b)&a{bnS@V ztVF5HEy(Fkg7UI>ez4}Ba38)Yf?jsp+v}d5RVyXep?%!24No@9>vHVX(rJIUYiu2q zmq*<2OuWv0#xg{A-nl(=>x5Z(Q%eF~+302<@6Gji@Fo{}J=s%lF!aCLv1aWQudxtd zczx>HUR*VKR%o@bU0Kkw&k05GiX3MZ&sxts^XWl=+*6y?OT!{9Wbr{6hNs4<7$GUHIu= zHd+4}JN^F>N@1n@w*$$I@J$iK&!pf^VnmPjcA71?;o3GnDcq4&csDfxOplQaa8v7G zIAWii^zOt)4L9PhcjM<$WvBCbd*`SnE=YUK0gWJIghl+>6<}eD%f$7mJ=q-%2R1*Sl&&!98Dy$TYb&X}QfXXYd zv5Szs=7SVt$y_D;Y3qs?c9dYr9%=2hl}i+)`4z@DjgrVz*DjgMlvEhm2DL!O`v}Cx zYE9tQQdSu#CY7UpN=SR6Z$-*2&R$f;SPZ+c>R#+}98dt7ipI8fc)Pxm=Thy2qhLc&6U*ekpEyvD1kOP3<4HXT8 z5}{Fp%Akctz?Ae9Rx#@htG^&>V#9q+0%UQ#{7VeLbaOQlu9sQ)}>7dFh4=$VK4Mp^idN3`2+aYZ(_P zib@WqqOxpP*51Xhh)`}&Yur-mf{9hd)qq$;)yA4b2Nt$gJCQ^x^Hqh_R9Hw`aGNC; zAPg~%LsxKKYJ<@%GttxkL7MHMH^bp_tM8b-P&@#j@}C<(*SU9gbbLM!B1C(-zD%C$ zj}9I0kD8Kwf@)lBpKN(?yP>}T{*POGuC4BGN@Yq}72!7Xph*!2TT}0!9UX)kRl_#Q z<>@v@9Foe~?e3nZ54cMaF(Whan+CRbC? zp7Kxvi&0tEp0$6PUXB>Qum6qmO;=bqz;mC2$gazoURNzraR9QEKyxn(PSdcot90r} zu?E=wyh|%}`3`7-_mh_EOrDyS{xe@@Q%z|ND^Fnyc2Yu+s7zto=kU*gf3L{cuzU>e z{z-?p*#Z50BW<(Y6Zqb*U(TtVZFcav!8Vs2D_gziji`^jkmzX-mmntKD{tcQOjI>- zIq_-teR4MQX!m_qvmCUF5LsIc#mldEeE7$4jkLUY^{0WI}gsE z;ynEY$0skASt-GRV*ZPFD||Ik<|~06GLRrAFx~b)#u#rr_&-*Rott6GvU+?g+G}T& z++DAW8_%d7yh3LOf@Rz$)Kl$q;80CV^-z>^;1W&#xj7yj@)^0kf99(-1?i6Z<6eYx zl|(P|K^N_GM?CoKl@pg%7!2s()1CP+Znf;(K95M>lk%?6`+qW3R%^y@cSd}!oo(U2 zx3xW6+q$=w-aB?;SY5CmXz^;`J5EMeZ7Uj59*2Sz5Ttn@E@$lpM_|id3W6xM8dQm< zSB=_O^gT}G<6Lc_V8I2=LSgF&=uzQF1WiCEAyVP!aD0!^p4F&!sK?Ny!?iht83u!m z2ynvMOTyv_CYUv=*X*bC!%(Ert#xNVgzizMWVId^>f?JK`X2aU)wv$cI0mq)kn@!V z8YRS3evDBPycVq*UcS-03F?t!3J5k1Qjq>O3WW{bKQuEjJ6M)D&XFjKStyEw2;M2!Dud0LYz6& zuIiU)O6EM%S@8`q1~UKIBnk2w*=zjCx9|~l>;@bB2X`Qo=h=(P%LRL^KeICHDHGk8 zx@AAbsA@;OKff~TRN%xYB85&O+Xnz*oQ7GPam+z#HOs+X|J`+0)fYIIP>%X3ez8YOwdd!dV5*p=`+0BU^EGgh-_5GiWB z#dLiGJ3<1igWSsqlJW>6o%6ubce#j;t@@($o$r-+mLq7QQ-;JnIbe#19@N+B`g7&b zTz(ZNpwjT=uC?{rPM%!T;-GzTnu}IrqUWp8$EDS^27GtkP?xrcv-8`Pi*5d54VbQk z3gt+^xrKL|yQ6FV%^nv|GL81?Or5L&^HDMG-&_JzVSa(USMVWAA}P? z*zw=?jn@AaWchELsYbq77mzUU4fyJ8HB2(>yB=!52AjGs4FxR1>enZ^0@(&eUpH)r z>{Uvji~FGHp*(_EL2Q)|?P2K6|z z%zI?TLpd!apb-#a{ad(Ss(c2D1JP@2i>(R9jH8W*kwinJCPXzrCI$EluF}y=;$dqN zjYCGwr;juZB){vDQ*p&Z!$yDx1x;>Z1F0RAu<0<(KO z)=&EsGM?}xA{AFs*YyVpB}ulDm-;nvIB3M%=4!NnWBVI@4Hh7a&>#ad%o%DR!qNaD zp$*nG5wu4lKewhpw7v9|C88c35zLtHYlK*d z*fB{84b%$HJxb^YGbalCr-?AXG7^tAU!;$YxMm?WiZXf4-z0=+aMuaDAqj+vv_Y5_ z2p%XQp+hS=wJmhwi@3_lUQ@3waI!Nnphxm zAX4aT#qa$g19g&tbY1kC*2~ZR$G5MiiJKiRKscjfNEl0)wg9802zIDbORH+fYt<9@ zX=CcsreRB~$8)UP`?&3!>!V3i%ld1Zns;++o7U0ucH_y$#l`1+Vc^l^*4^V#W_N;8 z8kE_wRA1(Nu~a)`eM)RffKzS*z9}o@OG0$iAbaL%nB5xe~fE7vAKrL^mhU zEW0l+zWoC6g)DPP$&!Sl|L>2CKbQB-`9RReD1yUIpz%b zl%+yhv*$J7rq`(%DnjPNGf#_eE&A08a+lBz6~iyi4FcU;%a@}4DzTc&M0k?Dw5r9O z0Y1g;%>k->=u~PkgPyY3VEJjivAWjWw#4GxtkGX$wQ-qV!!@VuHJREVsiC7i|(V#=FH|wzlsU@GF_UR$4%RDal#c&-!edS+almkirbf{e=oZ&k21w;?xfC1kkx69-tK#S~ z?>c0pT~`Oyvi$R4J^G1ar0MR9{Y7HIGs>~2b22<6DdE}c4>pSA`i40?Z;PL~b*?8r zW;3Rg>N#jcyd4oQGAoUB`V@?tQ)i%c5C6}O5k2pHfG~&#AMAuv;V8sTj5^3 z6zdnbJeNPi+Han@*8vqsR`cE+M(Y?YhG?q9XSENLl?)2_Yms)(nzPfHwhT0X<}KI* znJ#!?Mt`#jKM&+p8j3bi0M6zB!~v{!h5)U6a3*$8S8)5Ti<#T-IL0GDAbjXwf^-mP8#7L4{`OLChA2XZMIB8gVzjQf$ zt;Q-b6mnl7>L7I$CO&CSG0<-FawQ=+{=&>;)r8}Y! zH&~26Ih7lx5ViO($T{5ywonT_JLaZ9*a>R7K%;zkxiV5p&E{0Qdpe@@RXuqrE0H6E z{4W*8tOClFb087}#;lBBAy8kMGtNPvbZrx?3sS>IPklHHe`}zCV!YZIlc~zX->7I_ z+NG2X8Kc1TX@a@xp+i6bq0G_FS@y{}(Io1Ei1FmLWo1dF2`b5Pl_^!3@#UWqw%C6_bXBSIjLfIg`4g#T)ElA{9-Y_` zO?3Gi(>*m8sq-z=6j*4fNsT8AbYkn#7-%0-C^0jtWvT`N>I~8?VdKNU#24Aznc)s7y7 z|FZL$dhU21u;C51^{5HkTbYv8$dF&UvF-l6-EzU>?OgA)ZLrYH@bW>?NKAjxcX0ZA zwRx5f?|!(x@F_VC!ZzZYLMdxl7GAkwF%>=r`23i5C1tGuV(%V2NAxVDaN;Wou0OWEDfgdMf=M$XshJl^Z#Jw)j zV<8sZNFNPbe1Vy#Dl%3X>9iGC?KRNNV;B7`fz*I&JAqyfSqXn$Vh|>I;~BPm+Aj`Z zLuSR&!d*1)v?Vtk);Dc)Uw(IXd$iMkZ_JU7Tq8neCEFR)kJoVyGUUoImc?ulz`GL; zNSzzHga%}f+G&J|Tw=jvB${;~^DZM~VyJb9*8rVk>SSX+(NIPh~Xg7i6j&wV3UF8=o&;!i)romG$4p0PiL9 zad>N6&dww|(ZF4l<$!qXglTrUJQ~sPSbQoPHYN+qQ9HTQjjHnoMll zwr$%sCdtJ5=iZl9->vtldcInxx=-!y-ixW^^zORFd<#ECzjmZ~N#qb1ixgphJn8oTJ%d=OuV(-GAE!Fw?LqN##1N{{^0 zy(Z_sr;EV(-#)=#N$p?VYifO!jwRvV|2DWonS|uV0}%!)yd(}!ho}uA2*gX!;(#k~ zCoU1XyB;U@u;PwuY%*>a+Kqf?>zBO!wXwN6*=P*S40uM&#Q?`rv5&BpvJ4lADUtG{ z-BXHSv4$ILVF#Bwt2zP#*pM43ON96jRbpAI_%(*gG)V;XVA0R?9M06e3h=pmTZ5$T};_v_A5v56F3 zWJ2|cmOVIm(rgoHCg&mXXKqxp)@&9im1z*r2_+>=NtS>`RKXuwR&=vEOGtFH-rT$i zmKl>mc~0}LJfs|~u^|a?G7|vly0^*Nc`liWDDhl?{)_cEYT?*0Fvy;X;83Q)FNUUvCC`hlRdJcy_YDipz zc1Bu{`4j-gMTIIz#aTTSr3=83VkYNSrIn@%gPmZR6F2C5-UQl4WKADNqD zg$i7l)-)Hk)qws(LZgdhHXKf-fn!C6tuj{#4k@xyBcP}O$~I$G7qV27U*CO*AgXKt1&>#W)+T1Xa42_6NRmuOsc_vK0pQjM-DL) zcq;I{zjltL&y5KOp*z%sPe+-oz@-kg)pv!Q<+2LgzOxuP%eCq+v!w z9(+mfRD8NAMZdTE%X-8xLH+Bq%fYkhK~1;c?Mz+zZ=G51wLH4nnb{xiP8}Ke6)^&a zoBTQ-^;v%Wy!_ePPn$<~y968n)G)ZY%7Ncfio62_!x*5V91Edvdv*g0EOTCADNidV zYbo-LaQ+VDp%OfHk*kMi!h=c#^#Q~|?zqee5Lm-xNXGNt z1%e>!#$Ke%Zao|%MeK_kCPIQEQM+4ryOOP%2@fl4+-rPy6Ri1*+@Sk>U)@Xzhu)Wigg2QJ@`oq;2s_%iKAM!fYMoZx*$@bd zob(aw6F{+>!w@*noT7y@S#tOx2-^e7R8$F&B_-De6x*K}o_|*lCLg|u4TL`Mtxao= z$44%*_`hxW^*Y3!djQ;;3@VnYr+*eE0iSv;z94jGpZ57aJ~}l&8>R@dpO#81%C{lj zQCfV~)xXO*Xpy;%in{-+f7h()fJP>qV&!>@!A7q4L<)BrgqeU=K*YotnGI0 zm#dA(EQ?;DwW=4aJp!PAPgLJa7oK;)vTErx zXalCLhiqD^5SX`@30S1+Raet?h>gnHOIz_3wg?%-Q-h?i zrK#>6b=tSTo3DJ`uijxt?Gk^JM+`=qGB!WRh-V6b;Q>TfA z=tQT9mzO`5X&^T?KU=1K7t#6lU4n-1w>I%gzOxFb*e< z&^IZ1d7+X*>l$uVWlQrHP!aO=ZMzCqfBhBDT#ZTMp8QF@px$4c5MuIaxJ2AAy?xAog37lw>Xcy%`5v|7Pb!}Kct8kRCMe7m1HX!{FTPF*x^Mg zdzyty(Bs3E;w?Lr;tTA-*h{TtmCBXLm2|9mON2|*%Xu`weOQ`rr-%9b&l({E4WTBoH;;t^$qdeqNQaGm)rFRYStf=|Evi;`t{MwbS*`8t)hPt5V%#QT;u15bCdoW zCdlaU$uHSY?KQgA%e_255oGB(sIF_Nq5x{9qv~~r%yxpzT~*3=4~6q59B*l@6zx?6 zvG`(vXWPQKX1dIf2}zq}L>A)BD#)%I@?Iu%UuG<=ToS?M1ai!#iXc@-r2_P@CeJyu zO)Mdx&hV>46sMU`S$&(~fsN%X!!>41cfSP}@#>%TE`x-b!rqLTwpOD{4RMI#QGZ^te-#k4tzlz-!%92o_B>`Cf^5=j_{LL(Q(NZC-Y(M)sdC>@{< z0`EsQG+b=r3`6-2UalIvpe zU|WgE?xb#ev=2-Z`TP$t1>$1qFe=9C?swv1-*EFpq-sEb-(i1X`On5BKmdJGj3WHW z0xUG!^2=rl`L%KX(4PvehZ2#X=zIbit$o9H|TKr^8rjb5Y*db-ihg zh}ToA3LafNmPr}01kQ_%!U)VE&KFlRjl$@RjhU4ZikVeGf%4sTM&4;_R+-V0mn&ng z5~itg>4UD*2@h3bz;YBAEsbYjO&yS~3zfP8L;C%FjucQmYV&)*G5{Y1*@xH!k%rJA-w^m004hN7Z(4MFsEfMLgp?>R%2Am4}2N!7zAzWbv` zGvX%VYgqqB>FfUKmE)u1>-qj$b@7;TF8vDF;^1Jm%A^5>N9@+g@yKh-@H!V{zEfI zU=d)T-`&0K7oy?P*o~}9>(WZg-ze0+;jf@8@(Rqup0l4c&E?4Kx_7@R=7MaXMQ{04 zGY{syFyw5UH?F-`2*K#5+}>$YU;SYQ-%@elyEZ(z7*@ZV_x;H*(+?vqo^`DP=MC$s zSEVzng_XH__9%O`fGXuYC|Ho#WU#$EkoMcj!qi))X|`Z5tnA96+6NT}+!0^d10GXq z&R8qigEdEL_Sns&5jUjQRh{0%09_J9bdJp{(a%jmPywHTi-T??H?XNlsJqtkFoNzMDUgBT*{)syS7c5?QRkp{Ulp9Xf>r8U8&V9>vzlOWu!?6+ih%?THcgSEA?FC9;=CB;s zV#6By!uxJ4!7Moz*m9$aW?}Y__1Wf1GXo-{>T_G9kiyK^upm*9BDp7{C9aZZ0eHd$ zW;S@NfO%|8Y6}uj2H~u6l)1GG*zsa{Gqoaay8QJt+cAnr?b{5}p6BMJG^OC!|D z)Y({O`b`u#a%vKzv2JDyU&jC+y1|wV51ce>IpPwN6qg%|DT5(BfmU}4$DFLpgjO1r zm&-PpXla#p9?hr=#et=mR6lBBuPHlW+3{#*kHTsP3t|zC==I#hdnuc`)AIynhBlaS z6nFErfqadYL=ZIAcaBxCz?4bLz~-;@>ko+1QAI|DVW2XWfq~@0Iog3Ev_+(z_-t&v znOz)$TyY_%8i8?-;(`Vapi(9QdGzWz?6tO>ISrrgr_B^8P|W)zXI34na z_b`Ilt6FM**hcE2)vM9%n$p>m&8j$&TBulo#8ydFwLqW7(b|hq2vgZ_k^LE@w@uaF zSRpfvD7I9o8KnP_x~|%0I5CG~kmsar%{Z%tl*PyGMX_wqQ|a~nRwZHgz}3y|qrcih z@csE{I9_vow6FMbQuEjux$gPs;PPz$@CA7V^Spfh-u(UfR5c_IX7Di=;31h)BQgk) zfcvF6mWZRo1a?S4Rrg)VVmaNRd>kK07g&$5$KibOII`SjG?ZYviPYm5=4wlKqr>O) zN+GoHdd_P%+QVVL&j+}x#oZ&)UtC`H_h6&08p%YqCWflM_KEJQ<`>Gf`f~W$-0jW{ zl1hUJ56HXKV7sHe<=wmK|G*7LLVs_xA-1G%Q2CQel6K z^z}%_a>e#p(daD`ju^gx*2{G+4QVxBuDj?e7MB;Mbg_wS5{}UyBuxoDZ?X>Tb~RFQK_X>*`L>(Lua$_#0IbGM38TCp+%y_#%;WqL9tyXFc#T zVSlaSX0#0H_LEN?73&3vU}RpDVCV@w?uxlp$n%o$^7gI%?h}s3(ykqE}w}$iB~rX?faEXy9{h{ICXl zbhIS$S8pq2<+b9cf3>)U|7Rznwn}S1Gx!q9c*L%PbYjC)7K5=~|2mS#F{x4+fZW%m zJ5Kzif<6L(^uOw4iSRRXJuzY{q$w}$wTuwe*BK;c$C9^#DvJ=cW?n-OR(F!3muM$0 zp+P7ew8RQ5+ly^Mv>rXtA}1ac@Q0Lm#0#UAzZ~N~_@S*^IfY`BRawvqy4z?)l}+#s1e~(YLG4-G{A!cY)VT$L@JwzP0NHLd1=L zHv}@zo=c#DX`j2Wi^1;YZ3o4zjS7Lv?C;1g*l(*z^{!ZHTI`12iDj9!%w!IqKu3;MTc11xcE;C4<)D zjcgEBaC2A%%u=SYLqyTSI6-U@#sguSOId6Rv;|6}%0P`u^gMMU1?q7c(mLc;i3RE^ zrME~$^Q}2P;AxbWSvg*PvwW2=y7$dz4viiaOR8O>T>d|5rv|YWOd9ia8VTfvttIt+ z;0lt4pm8WIGj3l8iRCWw7N&&%b5f9>()Li&A*v+zS8zp?z6P`rmb?aNFjtzanWoqu zS*m=H9V&VeavuoRD?FmQ3KCPB#Vk*#b91r1BA31s%&eJ--f0 zNz2TppJbgtW}kMXQ>1gS^Z5SZ5G~xa>$9 z2{t@}p4Xg(q-Zfk-RTK_JnVid`j%N0yKZ)lU;X*z+lgH!r1y_Q^1ny({NKhJOzi)6 z0qIK_GV-f{^rg=3`z1xJlXQRy6>tg5c952|k?70yVjREDi!j$-XPf)}m}pk*VZN8X zik2iYT09>`aQ+MMjD6j@gB8uL@DV4h78Lzx?HM13(+kAYnCH~QH5hbu-=;^;WT{P0 z*_)?h$%M{`?L0Uh+Tz)n3uX=8AF~hlgzwSur8$#cvd!F z2GZn8+e$dfB#A&uif92!1QCrL@)T%c4;WKNMed8Z znkI5k0dm`PfMKR;G@>C7ed^|+blOP%#dryU52o^-#0;)9PqL9l$2IHumMbM;LffOw z>=vOECsM30nJkIK2Dxe=W(dS`2pDIv*QWqg?fHZ=9I@5Ojp|9`gFXwG_Fa@j6}u1o`(be`-p4&nDEh~fPM z)B=`64m1tq9I~MR+^o~OI992#ZGE4gdH!pTiFO_IH%bp9a337evUnwgHG2)d`Ic@7 zWF=?;For7PdIH!xNz*;XK1tJ|tUI)<-PM>sf5;>h=TlMw9fe`w!7;G^Z#E zb8l|zorMIeyQ}^OSc~pWfs5w$%V>soE4SL`*PH40Gwau7-}l{*D`WMyazl^D*VnLi zLPCe8^2p7_cHycZlet}8m)SS2H-@5>8$=oD(tSaKNzsa2!>TOM&s{jZjxr$S$hAVA zA`fg$<@3#t5BED?KQfp8uF#*j*$zgq{xx7b*gbBoDoN5~oMS=ke5dp3=id2rxC}b9 z?N5%6hmQ_f@6ONac5-hmFIQj{=i>KBhFZb>e&)jr>;>48o;1rfwF-0 zk`0^H^=e1yVgO4X0F3krXUUZf%27Iw)I(35iqZ*_b$f+k@h7_aPtnvtiu#RbgLap( zzm`rl_GhY^myXhT2ryh_u*eR(EI+rj+>O$;m&t#Nn0U#ZaQ{dR%t!(dyK~a z{Q{Jo`~S|y9a{ebwgX?%DJbF0q1x#L!d+_YjmsqrG;zeGgpDN++5KD7af|vv`<`30 zk&(mAdqlE8>dX}@(_;wdz>h@%+`$Bm7;9&hl068P*~4IIIsnxI^khB`%Nm%A z6|W46Zfq>vWA#@>6c;`oyq5W08;em>4lSrT?AXwfmkWB2Ep+9VS5Y8P%>i*Z4y{WK z*tqtHv9dqwMPmtZt(1b;blw4*F_T;ji3A3@;{d{MrKG8KA%)wt7A>hDxvGLr0G%Sv zZJXw@1Ip>7`W5ibZ%pb3woSKefI`3tuRV2s(&vDFPA-sGdu%wo8 zi{iV?5NOGXHe8)S6=#){Q#?teb~eR6WEfRFRiaGBjDbzbMLcCRC}b!rLwYhJrUPcR z=3h`b{f_kwdxvWWU#}J&Mgs>1fe!CRUZ2_zpZ1RS&Q`;3&0X77oOVynmK;lOZBMC@ zoV721nH+a`eXKv%4{E0#q9?D`r?Vj4d~>ROacus8sZ294eD^q0D>eO+tSC5I;#LWR zXuOt=PF&+GDMfV4CCwFD&e5`OYF;k?xei?3siDM+(-C*=0mKBlQ2H8}`@0qAY!+5_l<_un#uFR60CxWXs@Mv2WqGb!ama)1 z7t8ufT9Tt&(eFZY;rUAt;QlrZwWk16BW$~>nvlarluuyG+asZ0OgqX>GyPKWQ8UAE zXc$v?%mYg>8FF~iueOWO=FY7Zxi0Iw;NsCaj-Z7V%SC!L2@Lx^09?_(ff6ia0 z5b5VK=N|9um))bQGWYR%rhzx`fCLb=5FAcT=}wuFR^2y--ddugHR7EW&%85Qhk)Z$ z6u;mhvs~{g!}iMd3Z!oPNQ$|-n??jINQx!t&a&PU+I(_n&aG*{E!;qOz8Z6ddOild%HH4t+rVo_GY(!5oc%>!0)-1$pw>V+(oOuHi*Mf>`x(a+t_;c@Pdj3E5I5Ri_6KJNSv zf13fq$?>|16051-n~={rM68t_Cx|rDQ3oK{9}22AK}3RZzPhsqj!ued(%tNNGV7UI zl;<}4#&7nvMQw^p8Rvm6>!LM=ZevmFJ8))^m#lQ7d27-+brZt)BH~>5h;xO0Dnuc{h%A;09fK) z{d#TG=f|um*JN-FBw>?3T^m037TyZSu50Hm;ed)8PssQ{e@nbmB^Cziv7vRk@Eg~_ z2;w;A10|IMImf=Zj@gaakzf+m9>PwE{2$5|Wbxr(X7O4JDCu(IO3bOM;;T6BeUNT3 zamk&=4}l|Si<7+xL=)U;D#7IW2<%HffaN*aALY(BJ|p3 z{yHQfDH&R$)@hh5n#;){6U0LXBY0UE!4txjQqzNN9)(miUmF0!6Fh_gscm7t?M1i1tEHZRE$~n;|!L z1zD$N5`v^XUxICWXftu$DeUCv?aeeiL%p@+W8UEoll`~s)fSx?k8%BE2lq^mZ<->l zq`m99C<2SZwc35Y0R?l@zFA|El-6 zd`iV1UiInl?(n|MDYwnkIgKduN!$bUn#ob{kAM1qkKOmbUJ9}>|EsFAmi$lL|H4Rw zh~J2!Ac0{u@RQpG0lq+G{I)nAwL&$(7z{HXuPOy}isv^m%C7dEE7LdvaU|JnajND+ zNTPENFbVLEBM4+dB%<6vyfnn(OlqPcqH|A!NMKPhDnf1xNDy=}Y#t+eg53`a>e_-KxI^=Kw_egw9V8h7k$PlEB(0wTyu zi8W|2d|aQk^cmPpAvqE7tOyJs#8z4aTIyt~tj`1jhaepUcfoRtSQ z{-f-TEU66vDXuU`+qjXe7A)^#iVbHS1Pz^9o6toS_a4E@O1(s&Y}UE%TPZz2*GI%I z6J1sxTZd9sGMQVwhD;q@t(>-BE@I_%3Y z=V$+)=iLvd$IXwM8o#yo+dqz;o*kbzGk+hZ2g)2Xjph6{E+=2k5c`z zK9KR~A^G^xiTh0n{ycPx#fHjlfwhiV_GIa~ErR#+a;G_2(c-Z8(dv)KH1drQixNQz zoZ8QlfnSRJ=DDv7ld`U9!y}>+1T42m)BCAj;c#7lMa8Yx@4q8aG3f6o|AzN>=p7dK zDh6dk2v*)2|Nc7?2_Xd$eDU5|h8!eAs*-qIwZah2Y5Xcc1uBDBo({ErM;5bN0H0k6 z#l`*o4j$bE3O02M^J#Q9gf?}Azck>U>UtNZb(x{;&YcrkxSi;pYv8Ah z_E45#uC9lWH6;MUVHbpqtd9bD%iglH__xB{iX;>N$DR!Feen0Z@Qq}#d-NcD7xvqT zKvz^CPN_f*w7_dp;Zl5L4{)#xTHye>sDqkj_N;V`4&}ffW&0JrD&FfsK(;nkx&ln1qs1Fw2hF09ebZ=egWS$%~q^ zCM(ppxH=h{&>PHIEu_Av4#ZHg z$G^miEq9qfS`h<|>3MYYkqa0P1#aQQG118bU4>9!MaE8N>p8ij^Gu&T-EZG#l`nTVJyMck;u9GYVC$13%kLa~J@22E_Z?PAFv*$( zio>iso*Y}h0q#A<%kP~V`OHd|0k8KjOn!7%pFX*bRpp4dwGphys~r)=m&wrmD( zGwt+YPGeqjXJt!*zS8*p;1-ixIcMVJf=z0B#l#3nG-?`5tju=+)3@S9Kuu(cc*>m! zB7#esh~!@Q+Z`O*+m_X*UW?v$TJX4huvpMuh3li?sU;)(mrICZ1D}9ymVR{-%!wCk zE&ztOKe)lxj?N|Wc7CL@#pl7_7J3W@MqA?rVHsALx{eCnuNLdVLv1P zZ#mkT^?I#ON^QKuk=Rsg3d(j3U--N*B1ER>Q{o6=Upv}i++9la9vHz=^*6La zgKX|FMXS+2+KkhnQ)V3o3xW_|t~wI7GsUfW{%jJ%iQ2&z$u!AS$;?PAy#`bYgz~s9 zruWmRe~BG0A-G!73KrW4a?a%1VW1B#X{f%ZDHV>XX%e=vEG~xGzZvC-Zsrc4m8sFI zM8ldSstn$V;%lH)ynQ>|ORriR!gytxAzr8r(9%=hrSTxtKgQ6)n=Q<(f@rE{CFSAG zb0OuyntcvH5OsjMl(g5DvG96t+bn!24@$xuh<>*w8Y??#HYwTqcBy&SP;7%u+xFNo zAg{kW*JT>wp-JKuG7PWY|A%Q4Os)o%$+SNhIt9Vqbd6w|da)ABlD5bNo>i`A0o7CF z=W+0uLDDtC!~)Yh*aVkx%(wM`f}yLv3?xND9P>3y^Y!n*55d@L3eet$DNWJ%k0Gl^ zP!nBD>v#d1nC1>K8(B=I>7?D&+!1yczA}8{-#0YmZ0`_Mk2*IP?1yb{{-_>N$zl1N z9nJ;oXf|cyr*wL@y_$B#SA%aNXdwd0L+%c77Z8A%@`^Q)8Y>=$@szA{@5s-ziHdFp z>8~g6D``$k*Yd76Ca2^6$3M@96jiG17vFew>1Cjtkd|*suLhMVkia0~Oe7mq90#gcb@}@z;3j;cm_C-ezEkvV}i*O)9REz^ ziWDz13Y?yRA6kLJdt0I6)O+53pfB~p5D*!lCX)P3>4zT4JS>BgGId*2iHb9Nt;{Mj zHG1tJxEf6rnOSTnDB*$;1Z4ONe}1^Qyt%WGw)Vje5!NW(RB(xucE=CPp}L@hOZv!^ zOFO67d=?{NQ#CdWHU{e`@JcXnb79(5w1etqp&G8eeClxN=^~I|{WJa(pRvIc-#1U5t5dl~Vh~A>%pALtUV% zhH^!+KMjn|?01t@;oDKyeA1atGbewd^c4Ibk~A{rIYmgXd*KsUo|3?5AVOlS6kSSx z9+Jf1tbxJk)AW%V3Ia@!8pLEIFO(qai7eSS%cv~n%4p@nwdth-m3~x^$P{^-g_4ob zrVUU5(LhF#nERyb2?fPE0H=ey2e27qkzWo*)5tW1?j&LdgjckYSt84!HKd?vfe@gq z=?ae@b<`lF%Av7Mk|Tph2X&%1s)}7!|J*C;y8a2yyH`l;(53rls zobS{xD&3yp!`IimQ>#CiKtPt%d<#h@5P^0}*>6 z44-r9%L1ANgdJ0!p4{in@>tqR=xPqMo_4~k8_&D2wN?3Huz@!_X#I^l=12DMKy&GE zMdnAxEPRkXKO_Y8&-4DLm}dII-nm!#-NQ*5f2!~@8LKHgNVK{GZ9`m_oE#4O)fmAUAMNBlnGB`a zx1cY{Dt6#q?LOsq1cAbJs;xlp{eB>reD@}%n`jCy+dX6)vd zzm#tj^vYk=6(>IDxOD2eRMnyrdX>5$|c!#Z4JDOwW`a5-I+-k;5@&phZQy*3|48j_2E?*C~4bqjS)WTZFLd296VDv4D(YU2J&@5+>(3O?RS8&>(J^YcO z7BZ$@O4maE0#5=NfhxGp(0I!irjcuSv$b?HiSlg2$&w}4MJI$BBH}Nk1zS@t&OeO; zT>loik(uegg)~?=*#AWtYC%WW0euwtgO>D={8ycmrlz`K0eYOa_!vYV3DFp+$gcoU zViJzJ4wa|J($jHHm!pXrY}0ruz7(GC&t+{_tn&gChrRGyAIZel#I8iwu;>tDwMq|~ z1xi*%*)WNa3SI?)xMJgNLP1NbJ7GH|N_@duzXNNL7!nYEz!*S@M&v3vji``8SrJi+ zm&HPo(1g!oW5f1KQJCl(jSM6z14u=8(aeZ$LK5*K8i-fC*p_Fxlx9eT+3bnYZ{RaY zFfm4325H<`>_^c@8ANi%La?h$)gpihB8a&{=S`)iUjR z7W7+?;jR9p|N0Y@Ie-}lX=;EuViXAjQu?cPC(XJ(Gbi?lY;kDS*7`$b)D}Qf;33*H zj~N%Lrye(%?Q+o8(=r&b6Rpcz%V|%o_H|nHc|Lb27N0QuJcY30MA?x+NkKKZrzV9H zN7M@E2K(l&48ts_zwGFJ&LRoJoyJCKRb79Ym+TAJG zNe8BvDeILhmeAy;U$JfBa^<)>GFBUQE07&A7bS`-!)5L&sER567320Ts!vE<0slEv z&oz&98|&<8gfkWP?P-72OP0#s)9GQrxqo#&a{Ooa`+hy)$IGpU#q_ZBK97RHCzt2^ zi&Ph%kK4_|-4*B7dqaE2s{Zwspf^CNdu6LyvwJI}bM5{0=*nhGxu(PT?Ojqc&ar0?x5RT+hU(#)x0)iGWRqc#UwteImp<~|^Wgp9_OKvf zHDlMCsbO%kA;Z??lpxj}@q6!L_D>JOsw$75mFsI zut>W!A=GVGQ&*#U}!=F*- zp6hL8B_gc~>V%AHV28p^#nudrY7pQZIXV7#C8}#n+JacZ)Kn1SIX(T7E$(_T+KQ(_ z#?=r}!Zgjw@%HHyrdO2B#eoWiKs153Ic*fCaycwiJHkg;0UOiiLw#*P082iaSoAt7 zcPMl4dX`BLMgL(eQ>p2d-q;1uY11XsYDfZMkUoUIivF(v%{uo4ZbQ@-23OL5Bqmo% z5eEa)q9DQmc@yZl2BkwaN=5Sj5?x&(?I8eufZ~Zp{wl6G2JwSjARGPc=`oe+nWu|2 zZU*up6ZwOb7l^bOtW`*T>n}$|6R%+0d{B$Yp|}|6AY%@YFsTss^D(iV)kh^Iq!>!G z`<^A#Z#6@4rK~jmB>`JR&!A`qZ1?M%Gk#qvi6Tv1exHjPX$e?_u$yif5Tb7(2tcfv zWy$QfP?ySz3Nm5DipoIJ!wQXqD~72Av7m)cOez>by{}nRvMB{@$BJ>2*ReD|XEtB! zlp6tvGl951Mw(I$i60Ev|JTI zoX{21+(8jJF9=x`KP}M~)P>n#Zd-`%VDr-39TGHC!c48W&3VMZgZ|((owh!zP%aC5 zOF$SOz=wiG(G1Mp50{8Uz4e{IU?rKWQG`xnYnVOp_m{zSTWGm`Yh9-L0~xiF#=|Eu zC_!n7cHmNC^z2B-+lRV@XW(~ed9L0$FkO@}^4_H2 zKIt0r++Y-fwT_@rJlo*DD+`{GZ1Jne-Bf{WM=&Q`_rFm@(Tz5MJY^Rf%szR`ZM!32P?r}+p6A$thfQKEsg%#{75#Xsr^w)Rw&xeRwIZ@Fw%92hna3hk7tJVGwWdA(VE(L?kxE{b=E@3Xb$!5rev3#CiJBHICeJHJl+wBjf01F zlGlBrsxSkLrFvrhi35cEK=7el$!B7Pa6t(ED_{uzzX8k#;u(BzIsAz6O;M+M_emgl zT1Ikt_fTR%G#(reshZ9Cd!a@oeim&U@22hp*6JsbtI~N9N?fzht3t{4cZYKFiN%bc3oLpyiM)842G%A@I$~=_X|~+dVb!FrT$+NmeP&>&wsm z^uKLYB!4icS)glnhXMCp=wQHn`l-KTIKJn_9=D&>U0rhqci658Zr=RbR%C;|e7}8l zi5z{;Zs=ZNd}QEcbie!f%EgR5nB7*NNWM-*`5AFP891S%IetZLIqmg+n z9BAkZ3bjQ0BK~;$cEcn0|v|kJCep}w9I89%s7Zg7zW&~BhEzOy3GT>pv(Lc zt)kvO1-g1--_F>401bBcuQ>!_Ane{tSM3-F)|)P`+l!{&gf6o{8C}mY=l&SY*@WDu zfd6BgPb-k}N5SOLa{--D&bS`B@zrR})t{iP?I=7U3UnX#YL#`Tuet zX5;=B-1EO2V*Y0HfcRMvk6N*Lhak1Ige0`Y{7`+#4X*pKtQoZ3lJ#XOj~}hk?&H%o z-{vMQNJhv}KgT!CiWBWhtKDj(B$a#ON1tKb4+S)xgpL$TPnjTZXFvp`E1c6jTc=_< zZuf^xrM@tDIe;FG_(q#P(1KYOM}?L{8jQ#oFO?b!1=LJy9RfI9?z#Y|Qfoc{C|{+V zgtZqBriQrD8d#xNL&YT^$f@86wVJe%2tU05V-5kM>|Nl`gy7mJVG zUlD-~=w+B9AW<6bpos71W;{}nrzt27ffJaiQJ9c54?HV^kghwFSSctZwnZONF{4s; zEuI1qF)CNaQv(IFSg>5>FMMt(1z9u@%ETsZe$g^P5<|svLxuXDMB>;u-oLFXXDVh?caT)}hG?vsP znK2PN?2qJy3R$>_Ub#lgeGJQNfYw)R*9n0+XU_ zsS8x!NrE(e2y@(b_DXitxYFR-Bx9Tqr_5>!)*76zPRtaG&_kC=Q)A?&gNkieR?sJj z=%liUVHVw~bKB}9m{XMjD)*h=TD9B@Nd{jBbzv-=<~FLjUT^+9Gahdw=LZ6-Pmp0wz1o`ZQHiJ+qP|6yKURH?Z3~x|9jtk5hvb>I4?3Iqbk>` zij1lib7syt#~AsypcKqL0J#CfYQQm>Wr^)&4ZbF@zNpS3pr8~y9stTti~tXS*VgN$ zyMhaYT>2q9Qfr!;8&#spJeZc#H4jBiZzP#()ioCtMa$%_{(~3R89Ai;@h;0afoZ?Z z#JSxpc3IP2Emlt7f1bUX3mAN*-lLM9!Di!ta&Ix6O~^E( zHb5SS?4Q-HK%{5aunYafUG4t1Th^`&?t9wH)b7?~v)v!mURN_6KiB4K#yrm-VH2NH zpMXd89-Z2nC2dwVPuVlCDr2a(EQ+O0_gYHYBCV$Tvv{DBDuu#3t&a_0+Ja}N-(Zr; z@?JHcy?^s5%XtNMO(j)N`kw6Fda-yiV;YCnOa)gP_d(nJECa8f$>ef%K>G-f>w_f( z*Km*x5O~6;x9bTHfFT6e(T_m}=fV&wJB$UE(a||yja1kbH{1hUi33)~vi*gp@Yi)L zqm7fe0M6|mi85NCbqXLV&-4!T-q&yeF2rwn^$^<}rXam;f$o4oY&$ov#x|{jse;kq zw~3Fi^={(buj5Z0?+~v@+SI-S6d6~PCNZV~NQzqa&K%4X5r~)lO zn={wUIS9~>^1VB&P>RB-rJEORfy7(X(`U^F)nVLW4(=sVlv{Aw0Rb8jtzp~}4sMlf zntj;Q1Mt>&M|>aIYM$W-6Y-bwB^{qi1MvryiEOM(`@;*4pn8Yho43or(O*LoABU%x zk1HcH^f=UgWrf&Gn{do$_v>qb9v<`F!coUd*?ja9%aA}2=|^RND0nYmkAaltVAIQdt1&fA83TMG|fo8VZE zcwb~6n&r~S_aD$7nyD~H@Sg1?RXT_YEKZ|2)SmF?pOw8wLg$it2)fdI@6EC3gQmf@2J<|3GPXt~zF|@vR$x47AY@p^62AD}eVShZ`k$V?Gqy5#BYozs{m3 z5tt8jwfo98IQeNb$+2+I8M1*A`vzw+6&)r!%97V0a!1{N{}{`*b)Uvl3!@1po9$FpDYwwNN$f-Z7q&jjZ0KF zCxv2~eq0O>1AtbVXo`;9&%jO@A~x4O6`_yHnuNjkRBRNwK2SJGVOua+b%NB&sBya= zT)z=+fTmVm7B_Su4^>aUF-0g+l{yx~pb>+x7DK3ZOb@V>?#Z`eWU3-5p8wOBkBC8| zSsNc5?+ZQ2&mK|%oiQlG`;s(<&@m4zNh;4a*HZwU=v%`6iWhGVh&Zd4Nv!f`O5DD5cBg%2Vt7t(;P%RP9;wYt|pzXYD}#_@J_)Pafv z{!rH|4l9Y_No+8sJOQ8FZ(-Q1OD)o0^}0e>-m9nd0bS7F;D)^%l%dzXFmX>>(=M})>O}HgIjc@6sV!ngEXcX27AK$CNA zbh~77`P$h^@dEFHvXcMLGB+QkVl7vWz;j)(5*gr&5^Hy_rQ9jrneMQKBFD-YzDavq?5e)CET zFdgz8w{}V`!LjVSsKw>;XLbjS^aSF9%TK7HVR-ac9>re_p4J*Qr`hniVzp(l+Ij5- z%UMg*%j9dCud__|UY;t+=ukIsbYt%H_-xYzqWo1f7t zV7)DrXN)?9XX7*{=4VVfb&?I;!2|{C3zXuUmuOgw_I3zN$5@n@@y_{b1G88P6np)E zaS6l&;Sv5}5XdGhY5;;kxDOAsN)PlKejQDZDxNJ#Y&~{_wsOhjB>IN({wr^!>x*XZ zX1MZ&3KT3rGW;KxbuB6|Nr>$! zF0X1?4wJ9*6|m2%4ufHAT+6U_eLo?=RA#6_IQg%cghSUL=3ZZ$9xBU=47esEqiMji zB8ne^Y|a9-u;WbRVJ@VoEoBFf6s>6^yaVdiL|$yZiT2XXBGdoY*8V#n{{O_8urU1- z&gB1~jUsvg1p#cr4au{{AcArKVQ+g{sfX7H*aw>CvqdVOuVp}rW3zT+)|xNE9hXNo zDGL#s=@O`87pD|T16P`$DXK(V0pg*Iwc{3FW>8%=!igeB&PF3dZ2zY$c3CWvA}nHr zDKBE7U>||fW|YRoW8lCLW5H-{ViQ@;gT3YS0=+d&iLf4OGohZhL6m_2qBn~m;judm z{l*CxRG+DQ0Tkx7!8KH9LEM&aoF6|_?gFJo$beEeVw}RCnnX$pP@^KP6{snJnOziG z6Xtsk6Lc0}&Ai#aQc$T}mr|(~*)lO9&%aXG2|*2FL0+r(WZ-wBR~;F};wlXjJPEbF zxi%syvRrxC`7#TnWR`h!rVtidr<1j07*s|$aTk1 zihQZpx_XsHnrwiYW-t6J-IXGA%Z>50aru zj`&eV-POe}q=dX>JxR;$z3L^jNp(fDFdsIqOK8BW9gWuH^0efm`R;8q{j>;QGmi;W z01KP2GY1Qtdt5JY?@9o$=xH z@p39c2G+&OIsQ|Mxp1{ptk7dy2C_)<)QJS`YrNMmt3&LNuh>hUE|uiL6Kg!9)G1&j zo^_Usj1)AUGaNc1r+CgEL{sR2JfK|>8EHgF7|+|~1-(gzqIRNYBf#zRuas*< zKXnYB2?*tLiPM>CnCkfZWH7vEuj6qZ5U&QC_&>>|;-9E(W?h$WQ<4Gn0C}AtV!EN1 zAD8Snt$xiZk$lTe(EJmqE-kFhuK2*wy|befCpU=MuY!~uB1QPypLZ-@s78h|1m z+V*da?7xFnv;Ie(kcsXe5`OCsacy)!~)v8F`c?8VhF;!VTBAO_wmna{`(I zUHtM!DvhVDos0_6#!Z_=c<~|f*0#gz#If$L4!4kLY; z%AWxM%S8}@O93vH{AMtN86xEq<47oZxdR16|1NfqP#Vz}Tc#g{xt>@*0zr_V$#CUC z(2|@^Bd;3+o$6>331ruC*fNZ&qkzui!T=0d>JwBDr5==q++o8dabCExnOTBSuH$_`h;bF zSkf5`*^{b%{%$`4rV>49uW^Ehpdn)!q+=X+8J;5sR3emiU3@`murHwae56|3$5z z;{x=}X~Qb4JRjaS?;C5`L%B4Ui#jOZJXasqy|P{6bh)l@(GbB&9VtV?-$^l00UTF7 z=AVl%?)4qoo|{NYW|bApH92fPYZT9pqI$4@I4|H>ZX8ZGUAM z*4AnstlME4a~8I0O^EXme<9%_PrX~)iLy#Y z{($;tlyu4rI;S&I# zt3_M%d|F=)E8(_Nu8J@=ub(5J@wLL$xsa{D4-NLJt+ zRq{pnMb(paZuTYz$6*WA13s6>mR7*|fAEvY(8qD3=(;+p8fvvp?whru)FPED#_k)} zJyZ{!M_-PyYDiv`Sqm*8Ypp-Ct=kmdS;A`?Is8>6X*&!Y(OFg5wR{@!Jz$hdf#;XC zwHXg6@<=_*De_Ec^W2?vNCs^nZ?&@Tix~S7hUUpXD_H+#rjV9q;>f5Sty0#+qOtdN$xOcD`9Lt0tCx{_>+lpm zy>Qu*l&T=_Hrtm`{OD_M5XOv#98!6k|3Uh>ZCi6Gbrb=$lVU5Y@+Qn^r6+|ceQ^O3 z0rgp8TeHgAW(Z}mYHL2{T$ruuqR6&ZEg1Q@^e62aF^#cMqNP}q`28BqCDLK@1wQDn z`i|1KXotTw_x}>R{U6;!Mz(+4Q-5<0vci3-fOvf~X_ytn3j4#gGz5XQi;2m<>fYLh zO$~0Z9<+Ru54terFI;YrYZn{dZQt3rbn!6FjuPW`)DGVGFl|Ep0Q(M1{JhrTGsFVn z8)-QPp_du-&tu+%&;cRD`kis3h9qJ$9d;NBCidbF!Pn4l%8z0ZD=By&L89DcDe8r9 z@k7YJ2QG|uUlI~;I6Yy2CU=BLTQ01RoINQ<6b~IhG1#z&{U(;7j|_SQTS~ z9*itpW&~4I?w*7xhM6|3(e+r2Kg#ic-?rnw$>CDnYxiK zgy2ms5vCZE;UZ2&OZ*Ef(TJoR`hvO`nA%M)n^2r(gHW^tP=u5ip?Z2zoVflzjg$4Jd8&Wp!E76%t|EEVm|< zc(g~$NG4cGf!SZSAN1@qV=w&$)<33+>t2JSM?TnS=)i z=u>d*99!#JK3`+yq&^)Vd_sojHP6g0HdqC$xYo^E>~C|A*4-Ocv_eZ3Ngs}1Q{E5O zZ&%)49u8j3@~@{;-_WJQ%h%?&v5QmBr^~*6CZ#!!nzU@JzNLCc3k7A$a24cy@P7_dC-eZPV<_GC4Mt0td@?8J1!( z=VJTE#KPg8H*}Witp8^X=+r3+UElT*+V&mvSsVC*Vl1Z=@(O5sMont4bn0DPkpbg| z+8RBz8OP5egUgg6P$|;2yvqv8vm_?1wR3)H#Du#3ku$`7@&(eb&-v1qVzeGz3-t#Vz0?BY9wvG zHa*v?s#|zTxEo)e^*x-r*U}WTp3*ly4>pLCr$O8?3M(F7!a9y++MzZToeGjKltNs% zoEY47B0@w2PWWA}DS}FKf8|U&2Fn#^pIWv*q!-m=se@~qlQ9Pdcs2kQPjI;(fLk1( zG2v~&O}hHJhn9ql12&3UMa(ye_D%{jUnd1T`l>6}Sq!t@=VTuQQERkky z0w#(*S5t7}Yz%Ok(iD+-j<*O?(CXxnyQSk4+0LXDJ)as}HGvl5&@pWsQeWR;g~OL| zU{w#h4%~6}TFRLK5L7u?D^lR4)tv_ZVk`frs!HXly_=;uB>O|s?-SmDgqV((HPQ!||f|FktS;ix=sRJq2>)_5aOM_Jf zO+PIpDXZIQAY!)`+dzp2$juL=5JIeJ>v1;OXrX3p>a;C}X3%)z5E7Ph3F!vR4_Nvz&49C2A%{^ zAMm0NPj~{7jKDP zB*~PaGk@@IGn!P7A;K1d2qIHP|4twoeUTv+n-U>O{HhTXbe{0kE zVTn^BdUbiIe$)K{8hVV_Nd|Q=C4&Y{>g(zUeO8CSB*UiuUD4ihQFSA~@5C;7I#W4CuqU8#pUJz|)d_S>SHKtxpr3lG9-fKZ! zL;R6IyfJ@E1`u+f`$Z7hDOYrUdTmi*fl}&y`5)?m$LQ2?&u8e=xj8rCAa%O|CQNbn zxh71NV)rY&vVJ{5xOG3ScL7|9x#GosLG@m5&Zuqb!1sd(A7Lm%#g0&Y1{=0`J0`he zvS&^Nqe^BN8fnAYWzGeu)BWg}(Tt(7lW{{l`TX0=|Sr3R$XtUMA)aC zV8}GRupdZRre(;aNE$mspyB#petWd<`grlUb+B*wxn=L}<>bcrV&q+)K}&**qB2&V zKE`7`briohuyV9it>&pdg<`QO6XohbP`;)4`MlPS>XZ4 DDd^tjlYJ_y_XwUNI z$@SH%!OX>js<8!{$-!hP;IIuIIEl>x%{vE}Yj8Ym2E`$}4DYTUeQzA$T>o(Cd^2_K zzVX7R(@oi+E`dAd7DF~ReGQ=rCI@~p=iFtm?tE|9l=7kFO@mtBUVTQBxjE4_UW|JF zJ2zQ5#O4sSIieL12bRP2UQQ-%HxP#?GEY2lET&Xup?JRT>#Va2N@|R+xJF^4O=81X zvr%l~@XfkjvqXtyr7AH^x#35-k!^J{r|>2GzH#|F8DWd35v%4_=`{HhnuZ z29vF^B*G3plZ02Hdxb@h6 znc}*MO0hE=ad)Rmuq3vl0-~ageihC(cdsnxWgPYouxw5=^WK6}z;k5D_F96UAiz^t zlGepO{inU!$s*2DTrePa>nuY}qPB=u zny%yidN|=BxDE|6yC5{TURSn06|zfdmOfEJQcF*~G@g_RbyR%IHtsY!ceL;LBSYzl zwLJ&P19N=`$zyewskPHwe)DXF%!?-a&g+KN-OBaloXx$20@I`u{;=rt8pO#UXd#mm z3-nkc?`@XD-HD4>LkJXwgOal7T(8Zr7FHHr3#`;)6Ji<;JbV)~{GmSpX!wiBp3`EE zSB;Ym&;EF&XrnC@oc8x^Zcf^0d#ZXXUC~3nfR%H_J?pD3Nw*l(&s?e!})R`z6rI_5Hk_@$`P$ zF@Jf$e!CXrvc1f-`0sv2F~(ZId6;lzu(n}qlO5w(>>eVCUEFNQJZa2m?NWFUuMuA; zy<^JyyVjr|N8-GRAaeI{65X=c-E(>`00;LM22{1{!8FVU-5?C|(yTPF*$@uOY>A}{ zs-7f@JLL5DL*gjlDd?=uW4tJm(?rhf(2g@d?M}mE+xLg@N%O$M;()Y`TGy{Wo)Y(saP8VaUq4Ea+qH_ncQL1>H2 zV8C?>!88(84Fu75A(s(*YhhY5!XWuy9tmrj;p5D2YZ^(hl8OH>PJnB8`FyfnZ|zK3ZEA$L=X|08x~a` zc8`e-DL@ieuNHvTY49^pOlbldM-p02I5VukUyOfjcqaE;E(y(_pZ5hWj$#We3jH9P zpub0PYQK>VNg$X3Rl@ zX>!{?9^ATjYEsi?@u=J^hqbTV?7rTHWb5#}eB2Lhlxc5Od}@0df_BIDAEo;&*7GQ< zoRy!A>DbUD)$c8=v|p^$A9SC+43&?arS`zqPia6QTI4W6ZEH^^OrmpcLwj17@E=K< zqb$F%1#}@!0Z%U596_u3`in#D!<>3HcD>fPc3W4>_NQ71_`iS4DF6zi*+vJutp%%F z?rtp0wr8Ro(u$eeYlYo77aQxl^A_Yc1(iLb)XuHk40^7oJOSUe(Bpy~&JB8ErA%So zX<55<;^_({Eb` zyKz>FX1w#}*#FY?I;skK^+QSP1B``oq%4QVdlMk=(3%4tzO)^FxL?ZSs(E|0jlRgs zB2TB_ky;pZrnfm#P`1^UX(g9PoEy2hU~=lFLPgytT0z0tnuXIn8?%~vAZg~&OJ8{~ zdi%p=*3EU{G0Sz(X|wG7=fU%o^2$qK3h_vnaI!VRVV5nSzg6=0-H3kUbN#1g6-xH! zYE{RaOvKaJ3wRlHe75?FXYIRfy?Pq&)*CD^=AUImW23VH^N-V4f5@r+d!*L!wI@WJ z!rWTZcB zIjEE`8|;9bChOLz8~onQ)7D;ke+@8?%gsYQT>2i0gOT%&DumZJ5)SX%7GqC=y_+Jh z~+3JXghkwsr!gp!-^Fza5?lUkEKKjZ5XGOZN7{Er8D z$tQQ#l4Q3yo0X;Naww`Dxr*xK!-1RGG&tHdhF35b)Us1Kv=u4H6BADx_9{`$Im0h5 z+)CrGv74$Moqgr+6*;s@edVRedGx*FIK2-BN{}cL6Gp`o=`;D<1dbfS%}o?J^HUH_w*!-joJNHLCg_d3z>xD&b$_4n77pKD%trb;LW3KnM6uncqhQk zx#5sW$)z#wI=e2JH*+2^kx0p+4iWlPH_t3gRr<;l?t;@;=R0!O%IA1J5G*b9+c~#d zpY>ZhpPdmjmXx8L1AC9?!Pfh1X|7r)SgTtf)42+0nQf+8t@3eQDJ|qyAHAQrM$gKz z6U^G&QA=O<*O{Py3BZ^?cPV^caUS$-DR|EEivt_QkfI!*@}UL|0vU=8vT z*FJAu7=MZso@HGHoh0YD;{D1eWFl;Zi&tCWs|eV~Q;E>JH%w|7u2tpmU!Vm~_GE$5 z6nA23gVslBlXPNY7uQvGCUQ!^GKkb2%FJfFVG-YiPzM1eHGF|@**3tAq6w7E&P&4@ zpD!iSWvqug%vVo z5TpF?a!#C;GHJP$(_nlpF2hooQ4;4Ovb?Ma)ohaDjs@2Cz@|4*RQWLt?Bzl%^OTY> z<2;r6qA2&_;m2=|9K@yhhhw6q4+)Ief}(&CBb&Emx^lC(y@vfp0s(k~wRtj}b9 zzM9%Mwl=rk-)$SUI#;ziyqMUc{eN0^uUzhQFVOgQ!w*=Ry(b~S=g&@ElY-Z>)7<8t z-a7e@%_tog>;~FK9%|;G)Ix2S$krjqyBckt^Uu|FY`ke-fIB$vW$P+OVrJa;*8prf ztPlUa+L`T}Dcf`Cy=A*=G7cQrA-Ve>p7)$sGC;x(7y;4VAv2NyfB4MqWLMd$8?rkt z9ca4WCa@J72!+I5$q;vU@H+-smo)T8%f8?0&+_&DDyiL;*zzWt z1b(h~VtmkkHKt;#uFGy)PktJ#(vM7!>9L> zRZWWXm`ubU#%c`#uDv9P8oyW9f`3Z<68VUo&8?Vuw5XtQbG39=RoR6@=3WpI&4u zO7Rz0WY~!QT0h(Sx3Mj@t@!&)@V_Gf_#YbT%=G_IDc_;0Wuy3A+DMu7I?LT);qmKH zl|M152^N`LC?*^{unaT_E{w!9QT$-b<#L&Or6zg3pymKSqcba&Q3vO3lPqY&4fWw` zKNPwKN*me;^?oTZJYv4zu@FgM0e%R==&D3nptmp%T4;zKjbMlwQ=Eo$Z}_~f!DJ=T zm>pH6t%?oekgrO*&JO9Sj5QKLb7D|A&t1GoUR2MTt~KWi+MFzRg$hHWphy|X8EO|O z$he7u>QS)+iaa$9Bxu5)OaoRdA+nH5sVO5Zt?s~@Z$+G`J>KZsK&`-1GOE_mTT08HFIyWYiT7NpAvr;TFmC-u z38s`E*P1VQYE3r`*$QDO(rZqYOO`-_7e$bM#xHV18+uNydz;pYi(5@)ktB zTVmNNCul_3n=v0JC-##f-AFmN&a4|#vFY^Z?EJ%`aNdGM7PV_QO{|TKn4XzTyhQ0s zc`u1HN)U-0 zNiAwlX{}1O!zz*}2bFTjbPSK7!osvfDfPZ%>!UJxSey!+huEEsxdp@lwFt%?lk&N2 zpLaRXMXv-&SlrfK71H#GdK(|N_Yd5uF2+a}SH(9;&WPaK!-cmC)|sz{&X(27CY$m3 ztFpJ7+pF@0lgI4xhqt@>2qg_2uB}X+Or4Am=NIF4j+M-=RRfw#_9gT~SII+;6r*5v ztXTGtUQOea5BgLyx+8%@#pu2Y%XJUhZ(a=-l_MM0>gu%P2$p!K(r7~=S{t%_yL8Jy zD(aC_^jRYbtpur<10glo72AmOHe8=hfcdzrtF(J39?^Dpj*6{}(xK$0M{f4;g)M)2m$@uV zj&Uij!&aT4b5qpqokPdW&ook*b_E>`T*ene+6iwPE#t+$P4`T-XjR-M>#Dg;ceJx^ zXFtc~gqoJ@=lGCh8*T!xIp*%dXyYm=W~?(jSi#QpV;b!BtF*Uo^+3fz;pYwjYKXuo zsERjE$uY%H&y-W!{YOOX`Ge5;8;;XHt3-j0p<4P* zOBjs<>!!!aNGBhM3ly^Ti9hk*KJw`P$g=t6+IkA1SH2^pP-N{Q=J0+c zWa8}NwKDj8AAHN3d%df3-AZeAt2x_Qr{KNO8g#C`>tH{qYgmM9zp@&uFgb(^wR>N` zLgiij!hEBf1+9#!>LdKI>SJyxSVjk)!Vaen+6yIzL-ujI^o?bx*6tOl8hZ}>H;n{H zf-f2wFftw>zahjrIe;JG|Iq?^d$)CMubr^G1+%@rTxXt6oumrl_1TA z!NB-4fliTRi)&=#F)X5a<$&~apcQ=$M~u;D1`zyu6u#S>c7=8QNQ{t)u%ofyU&FZf z2#K@s@9F4iQrJLOb?_}?MqU=YN8e?+-cZQF8YGXH9j)(Pf1@#I@O%TN$Y6|7yRC)#}jkG2u6cE9PU>N(t-3cV|;W*CFglhcgvbpK7}1BMAH%C2Wm% z>hnFjP`|!~KvPdjLH{o7#Qv|?1?c`qMJLlgQroI+$qDz~?7di>ILez+ zK0qG8$!-91C;_5Gg##ymmA*e6)ta!TPXt@G1Qy={Y@IKET1LAJ)~6(ND5;~ro+;Wx zcK{)xgMz}N&7l3tFZeAYTvE}54q91Z5D72}m<}b}I*idvQ4Pv|(5bht1m}TY5+g`h zrs^0Uu6>>>9-J*y85##qhO}Xd1lELF31qdOOBk9b5X&o9EQ?n&fkw_vDTBy|#H^@0 z0MrW1QnvvDnGr)QFijlXe~3UDEDwgmX0wm|++Qlzk7B7SYRN~2P%A|097ZjyOsIly zmL#MvkwhM|Y(I{UfGM6AyF49%kvcDID5fS+MPH3r1DkRiM~^uRyuF`G5+8^z4=@NU zfDpf(I&4JlFGxfg^3M5#h{dqIgiwhWDJ22a0$pAU2~u5}lGz^sB*4J5L^I=us!=B* zV6o+P+gQ0p04iESWK<@IzQit(T5~F?fWAQXTu8&Lv_=xMVh9IAP%b~n6w)At2@%WQ z5Btd&Ne&A(NW>omi1DOR-e@HLVp)0iS(K2a{u!w4{U@IKxKy>E+5iQXEo8kK`VqTP z#7#u-3VyDl1el4enxmp;SCz4Os`#Ig?SM<8EaX4DD=VxGchFbtRv7OD#KS1%TQcJ2MqaEQ0%p1uUFyx% zwVLLoDlbLA1&evyQ+>-btV^GkbtP$wa1)SjL)lHyApc<*M?S7OFKLDop)qIIK0< z96ySv9B^AptBxKFfECIqCaEpvfO)*6%|2Y|bKh{Bs_V5*iVPPVl9!-{>@4$k_+qZ+ zR;8I*Z>og%Wd`z{`jH_9Ol9J5>0_~vv5$$gO3F&rP0`Anm{44kaJOzxOKf-$mB6Xb z;*@k+#pZ$klTh?7z{zAB_{|N?$l?eiIao8aKmkMyFxcxeyx68;Ds{P4w; zA@e=cM@xnjR_D;zX@zhqXML@}57cVZNm96>srG!I2{JwAQe~m9IDI8qU=Zx`hA)br#r_jk>vHta;q_iNlKb+5&&7{>>Q9}?&;oKN ztqZD>!Te-oS|!@YBpp37Oe7C&lFv)|JtgLfaSa_jjK z`@DdrbAXn1Z;HBDxB%M4t2{4Pb83^(+_=@QvSn8e?&ucV=*_MN*+b9XfzbFvCd0$M zZ)m$=RW*9)5m2aE^7z97V=B&J3#PGtz^v{u{ztrx3r}0|n_Y`EUKQf?_kS_cTs4co zQe;slwPYv|pdTRL9zV;KnNXh0^_bAcobD)J^_hXtN2jm>GqNy%yGIB7^&|-FL7?Iz z6K1Artm4F1wTVt>t!r9ZkDd%$ebBkoXNkT3&Y8dbh+|_@4h@6bokiRIRVlFM3}coq zbMuJ*(@aU{MoVgBF|m2s%km>0?ptXxs>%dgFN8FtyxMQ(k?!g?<8i{H#?$!VOXobM zb%iK5#0~Z6p7k3aus3h@w>;_W{|?&wKMpKR^#7nk@vRCZ^Iy>3q}Rg4w2TY%Xe;t? z$A-jJeh8p4PhL5v9{!SHzp9Y=_qV8_vjgj?gGUVIM1roK`puiW@n<9BN6S!{1m&c! ze15p?1X93)-%JL4hqUWVMR9X z#vR#O4ui>|ScFJxuu;xY%5lO01>LTrruY^E%>*j0r>2*z9b^I?KAP##xVkk}gkzu`6KG%< ztPoO4T9*texOY)lCNSB~F^#AqbAAjZ=sWLR<1{u!q+ z42NdCq?Xka5r0mRI~h}r!4c*kG^{4q*E1c_?fEThJ&aW@hvNMGYqE{RlV8tvyD_e) zXv;)BlYwUCEZpoLr^ytdxH6>DB(bX0M;xp*>!)2+t5%M)rDl9SoA!jbM*vze_K-qP z+7IsbvKY|6$~I>H|15L=?q2Zaf0lC4M^-Z3p$L`kMqP96+?bF_FNdg`*cgG?OIdc3y z<%n2+d~)<=d~1ERwD(I#`zH3dv&@y>eJ+0QFq|-5hv{rGgT;roxS4h7PAsbPa-(75 z?V_wr{MviF?32_;C!sb^(tu(p4;tBsHLs3UXhk`}4$DZH@uCw_070OEvYAD(%4uE$ znt$1tR|YNPfF?%@x=R9{&tp{DUC(X%VEqg6{J^kldbuMeW$fgI&8Q-$Dq}T0c{fUr% zrJ9v#C^DnPS6qu&n2^pOT;%Hib}Pz6~hAw z)QWwR>L)|@e%}D;E%V5PRkqGZ&)mIC_Xa*-P@JPyVdyJ;yfvBFk`iPjF5}7IUsKbr zSPHG}FNyqndKHdWmg(ppkB+oI9}Q?8F-O#2Z>hnY)BU{vY*YCFiJ2$U=J;EN8A(PJFzj9}Ed}{h`z>Y~QmOU{?Lw#oQpopq|2c5MD`Rg8%qaeIneOhX{Q0I7#-BY=(dbOR_ ze7mizjU;DjDAi0?P=m)VsZad%gV&S`hUlV zecOKvOcA(GN~n)ne#DFOH-5TdtK3qpp?;bdUH;g;`n}y{;l$j}CpTy3D@TsXLWdIQ zAaC}G3+}tNdPWC%Id$p+*=OcIt|S$qZUYdr!Q`~V;6>Mp;iY5=4q^84rur}<{2mht z2*QjZbAMVS(e*|cjDjX^@p@CocY-K8_*@eYgm!?d-N^m*U}OjkwW|dcsbD?n_n8UF zS`$Q}>TLTEXQ^iUki*@Wf5@}c3&p7M$6Tw|FZ1HnSLJ}96Ob^A_Sr#98~+la=1p(J zN(HcD5hlrTNF$mps-{67>=MA8B?qvaPb^73Z!7H0DjewP_L0C7Fi&wDeEF=YgG=6H$B3V zh%cQLns@NHX9geCs+S(1wJbkYl3xQF-B&Z2qh2ir^o(~9`ufj)AfLMLxNsTSZ+YmR zteH3!eDKmq1g5%8lh*5L5bjII5J_%+e(ls_27uLDc!Ht8MD~)pQhl z70lCxZ?ICG)4NcvIIn2{^Zb{-7sSgg!dV3KvxkZ`UaNr4h*!oH{OtYt^iQNx&}Zedv4!!v|V ztKnS6wx_d-u2GRIOJzG{KiF_yyZhV2%tYTJk`iUvgdI`cS;ua$D}%A7CuvrH<${j5s+d zRqtKlC4I2Q=<(#?UUF^?#diy=1{1)Fc!|3r{LqR}M>z8fkvuEJjD&pb;tI;3?XUC@p;L{_nwRM^x7MCZxPBkRy zQ@zZ@Jpu&fy#+hF+W28ab2F-_o~O4SzEb4vXE+cF%hPaH3&`Qp$KkE@=8rr5aqAu| zuqd^!)k?NOsPuuQ2x1yX$&$P7SsjAbiwy2f)_9k0dEtrqirleX6^mK6}ojl zgEtnb`*P@my?D$X(fd}Y2#bDY`}Azfv@*txPh0bF%{jH6pv{auYNwEk!S9AdhilG? zx*|*mBco$E>*TWb^Z3LasTHlVOYS8jBOoPXlTIOd@;;`b<`!?09C4ExJ z2PR(wv*aPw(kbV8m35Wxa{yr%Q1RdTfq#h;{tqX^KSJ2f)&7@YcNf$2e!+|>5g%Q~ z8ox$+R4w+cEMfQ@izp5Qh;faqSE&( zkDs=O92hS&A(t$43l9?VL`7CHi41=hG7{Mk39J@Rc9MfnnLT?=Ha50vAIXT@7>~AX zKaq7867;~p8&hc*$F(vqk;P`Y8!s3qp;kJfQwa<|J&$2h6eP>QZbd8G->!9m(s*RU zpMfvJg`1%PD0L$cZxdWOg2>#D(}KDS?6M*t2Gn`faAzL4feqbNwGBYzX+wC?pwV7%3?U^~}zt}N5V$X#XrHZObNl}sc zX4YEID#HQ~yT(t@Fr+u);-q^ z+JUko)AS6cjMAD<0i0O0c5K3V&vn1F!VHfKDl(i>I4(2? zoh2^&78DXOuuZGmpWlm>JIAlXM^kPlt5;)j<7L|T)}&FBeR90>(3jod&%3|JvMge{ z1*VUWtpGi5v^r%n7&_^b{vY43yZOo1az={LTjj~gecaS8nQNd1wzJKy2P`);o3`+k z#B7@;d~^cuF=C=#?GgQusfdMAN~tWWflxAYSyy?7Tsd?0)S10EPp>A*YKwB%x*Cf2 zb}{SQUzR{UNbl%=F9yy(niF!u`W|Dh&^#&DN8@ii$4zL-e9whRXKb$5<)vb&UQ2@& zaevbYEn02B^=`9`zRxJ|obTpsaYTC^dR=N;H+}7Vx_u71 zEgG@G?~TurpYr4wQ5ooLNM$a^2I>;|^XM%CO7gQ0FFprxlv-JTQzGNDO@DO0oDHHd zPO~%EwmV|2?!MQekG6dMtGd0vzS+BZ73|K}<5;wjmp3yw9Y3{h(f#OX+jBi!1mP_C zDCFy93&Q>NCK}6tJ-H902)Ejz`8Uoio#S~2aeqZ|fF_4s-fwIR7=0mLe&W-xM_Ud} zpx9RFR%#uLsM@azS1i#DFsE+Fv9v`s;8$rwPKghVd|PJ02Xrc{d)qE`xDsp|?YLvF z(L)};z?G=>pYVv`-`b?sZwF}iu-yv1{m_2TJ zW&}R#=4_Z7bBRK+W<;t}nf$dq{k6jfTwJ`N44~V<+gmROPQ%QRj z=;b;(Nd0Id;|`0cp@#IuwbD0hY=o@M8Dk)ak&)sK1e{WxnL-TJENI?1PG`p;7YuU^ zHJ20>i02YBl!P~h{*#a>4zo5y{@{Mm-9g~WYB?`0>97Y7*q%{O7mVT$m6-mn#N z>Rh+z+)k7xo_CjlrP!DULPk~$kuMo5qJov6fy@d<%|Qokm02RoL-Cy_S-d#qqC z2$O{+^CEss-Q*kOObjS|Od4rqpCw9eLQI;*cduNjY`(LMDP%xyfhDx8Hgos~1)>j0 zta*~yRA5n8))=h_Rr?474N0!F@j?_r*u3q^WQM7W6qmv{Izr45@ROy!NbW+8FEaBM zlB%z$1Z@v-v3Rm1Hhmb~NM>y`je>y@!Qsdi)L;zXk) zva=9bNEkGWZ1Myt=Opc<7!gGH@;CsljAk~mr(AV0AbmaulNs2EJEL<9Kr(2jt09kb zCY!TSyb?!6@?Jf1UWRDUda{q%OP=56GJumfma z_RzTFu6pfj$iMp9nv(Af;E3+r$X=$Ko&D6@wzt>d?A;G$OyY@?a>j5pLRDibIAoy1aZ)tIeZ^#|c zg7=5M)>CU;@%1wiGPs(tk)Jc;&u568Ico|&EK-S+4-V7<*x}EAB&G1aI5dR5gMHrx zll-gj%&a9*ivbnX3_*5_RpJA6xpZ{nQCUJr4; zvEZ3M;N$&xugv!Ie4D)S-O?VDxZ(R-!#%B@uD5(j==R%U{slR#4AVflQKWitsUmGl zUXxz*Ut4(;VsXv#CbmTTDPs?0frUY~WoO7IGFqklJYqb3f@u!FtFKP~e6jsRG=cvM z6V~WkKJG`XXGH_?fhgRGPqJz9!xI;uBn*s4tOFlitu6nTaJW=@%^%_kJDG*3TKVI* z%C}x>UkJHxK62ki;XKFZFzI(r)AUiBmHPHWMmJr*F^QbBiAf#ZrpzixcLRs2Em>OVfTDUz+vM#C6)ljW_cfJAQ6b>C+r=|%XXD~Qq) z^DwcTE^yihagW$+a|zPcosd22m$%u+%TrCI&#GblP62`0+4{8NVCMC}%+6q0h;fkM z5H=v3#RO;OIo-W1kBkTd?vL=y(&Eo~1dBg1yee?)&&EOgW0~HH;d74vOzmugXiNJq zToULRGyEbiO{m(L!zkJ4Cg5x%V>e?FY`EH%xE4q6e2p$Fi zK6i!8NZl`M8JzKV;;WB*k4J?wz1g$>Jo-heyj=aaz4m`;JpP{|orQ({KNydjv~6tg z#?e1$AzqS%+|{0x7&XE7$r6U-SJn_;h=xdXOh)_1BrJ(PpW5}7{j596OHU!D2PVp^ z{#Km{oVh^I&aFap-|@A;$!y3NCtj*DEZrd{nDIf;6dN>KH0! zy2Fkin6eTjgRg5V(OsW1lg7=i9(K>7G5xs!EvpkzDY9rDT{YJ*k!5|*bJ{)q1B{ZO-2jf1iPI$Zjcpi zDQbpfR5~zRvGiG@imybR6#1uI4Y}6tktPD9sG2QFG+Vp5HA2-la}90(UlUY^5}F1gRYMDxBIu=_q*_b?vKIYb8XZ$>+$U9)qH?N3G6nI zzIpTT_;y%Ye=%~#64UGII%R*(V;tad_c{6Laq-pE>$A3f9&(&`a$hXTMB6LvI)f#cJT>?50*Cvja zaww;*#9erbkJv6-@W?|_!>T*GapU`xRM#lZYTvST8Ye*T2smV+vib{*iHNXptD>v@z4u1C+IzJ>Q*v9&H31_1`>h zOoxB`E#{s*B=qy~t^ay{@V{Eh(-1X_s#Cl zklB$Rr@$&LZ8DqxFVPwmCF#now zIQcvHd3zfrGuGUI>tty*xB2mUIJqAH$+Ir8`dC6DNE|B!6A@%8k`x4sd;nvMA%&5J z{4Uh~8R`<4VSfnVCQKWLICm=-E% z3wXwsE<{JoOCEbi(NV5@2mJ}lQEoUm(R304A)z1yPbLJ57D%E9Iw3SCJSUut7)qbC zI`V8OTVJ&MVa||ks0na8~+Y3e*swb_3 zs0)SA83JDeT|=|&FqFvv!FAS792Y$oH2Eo*ur`=I6oF;iWqAJG(4-E<+-gRhkD^b) zP&CA9o_hmLIT&OOO#v?TyOZ}aL+?n@_ZD^wRN$*9 z0br>FUS3E>1es-B!Zf40WG=i&PBju-CWAHny*dqkXBa76?lNHVjU?{bb1Ph8{fbD4oc@i2~5j-UL-;>RD94Dl>musF4haU4SB zkrPQ5I+2IgL+K|BDa#_^+Cln}5!y->go%$X9wY7uJ_c6@!pM!*7nF`Cl9u?WHTF{w z+DmM~Vt)e5Rze@OOZ*z6UkBU)r2}7tQGS#4BoJ|AEv*zzDG^3LjI@DM z0_hVaMgE^)5X<}YE5!xg+bFhSI{%z3f`sYaHs^s^bp1-5>XMnXj12YyBX?>^B~N8U zC;a0tUWnG1Oh)WZYyzf{aA4OO_p_%hO|j zn2DxPUEiQN5)Uk`iIW)}e!SP3EUgJaQe@ny?`opP$b>W9q3=}o=s`Zi_{4qlprD&% zSUmo$pJWSeFSI&t&8tne+Ho_Y?cwFVmq0IGlgIw**b8PNZ5QhbCk7h_l*aev>+_WW zZ(k;_#h1jFs40zY15+oXz$p#W9+JD}R^92bb5VoXjd{1nH<%@p%XIonWdDgHf2E+G znoh_zJmcFAbc)UBYC8MUpZ;z^)-{%mY&i#49#~u>YW=m8FK=d$h;GA$HO@)B+txdD zig<4mz?~0}4>rixi^=bU4)7zDsa@Q!6@T;e`q6eAByV|2j2blj#z? z`VVXlE7~&=+DMVT-skVlA#Twi6xfC&INu({1g;Kpdl(3A_|UdJE0}WV1E=84=fz|z z%7KaMMQ%}HMA5=r09l9oliQ490s$;-JCOxEgkT4`Eer%dd}!UC6~g~>PG^A=rMmwH zbKa_jb1*@eh)rw6-S5pa;3ZICyOQ9%dlU`vH;Z&ci1EULYdm>ERKRbc`@bNtKp@B; zlmBfA_21e1|4+RG(|@%0|G$Z+k3dwHc8ORwi1@cFh?BV*IAG!_^1l*K1L>&Yk=8ze z32o)T2L;FQZGI7=xnsw~;!z9u<_a^KKi{{rvBZeLPQ><@PfXb~KQ(`h0R848wHrX(o{wT$&E#cOkkF9B{aT*E_>d6t_Th5p}JN`oekca(R$=Y2TQ!xYURb<6lE_ zW|(Y~78V9$>NmQfxb@($akJ@C07;`brGVqQWA&+u`Jy+`sBI+)la6~-Ho|g~=cF+* z>tl$uEdj#vn(w-~dj73|s2qTDFzy$Y=6&!aZluz^6_9g_-Iz$?xDZ)F5t$L25}QHq zxK^uApVn-qd1rLfavwe1x%@G`D%cZnbN<2^&6+8m$=}I*qITo!RR8MQ`U!jabpCef z-Pq&(kyY<+v=Kn?`I~`ZCBPxwqm}asF4y3_rG0bj|Mt4*cWvnUqCS|}$%*(yu9GLO z!@RHEfF9kGAi#mNi`pF*GPp`p19(d`?OR$`t7bQTRpqKRxznvB6S|{W{^-Zo&|Fy& z0EqGFMlxAYhiobn(L+GzDnq-ZiFne5&get4rh%?B?NFB@d&qp_&5UC!-HD^6JAdH? zfaBGO|$57kpr32;*@Ly4IPC z%`x&!j`mHgSx1= zdlqu*HX9wX-Rjo1r?KOKjUP5*u)4i!=eZD?;Z*ohep{cB#i|V_3t_V=g-rkUDzf6Cc+e_-QOhjsS! zR=TPP_^NwxacjNO{L0y}mreb#4ONvwK<;@l=jupE_vn30vq;QA&0bkJ8dfQQlwmjM z?>W@8kZYFkCk5&RO3${(@D3uIagAh{4_j@q*-owC z1!h{o(tjY?{}#?8HFWw0EaaGr!GMiL2|nwf^bV z<4MZU33z<-oMdjKsg^;${d#SISSr>d#KzoYuQnOOGqz^F)ov^kGiXZP=t+yD4ivvX zn-PVJkbWCYTXLrzE6}=mOLnni^Ck8+6o`3qdMk{|?B7bXJIPQs|Kxqt`rkZGU~j*K^aC^s^e;z2Xd{Ou%LOqsWUG4vh?X)ILEYz5 zvDCOlKKeGd4FTlA@UHZMf4Vi1sP3Q}Z0c9dxA()MEuK)|xai-|lR zk*kBDi+0e+=*c^!B@$M$#Dzk69~sqy!YlP?$B3w^dXs4#7mLQbV&?JHMDNC5;^l<-P@ZNnED7 z$x9@{!n5E=i47X7s#}L=bwQXzMQY=c#DjW8t2at*C1F6^rh)D&yKqmN2~W(O4kdo0 zfub@G>KL1_RGqQLmLnq}#j1|j8Y4-_Sc72CyVHeZTMGZvL#=Y2;ea}xtr$C$C~6PN zc7X7`lSm3P#w@W5VwPEvfx$7LnA~9@Ov-NGI7#W2;v+Dvi+neT<^DGl!{J`Dg|6|; zPcl=|NO{-qk;-u8shn~9r3Madsfk&U+TkuPX+vY!Dr8&Oas4+m`=0n-cS#V1KC}Fh zab0HSz;9i$I-lIycaBy`Td~azsj!8*e6(CO4!FS7_RGmJ&%_~{v`D_oH|V7ZwOW_F z-HCZj7$1NC`}$_E)%$#T0ad@F7Y?YsE25Ei{=JspzR4yC*Ys=e+K8Tb!5~!`m|JU>4u)XYl9N9t9lapD({p=66mz zJ(_)bad#K(RX!_E?q?q^e^^)I@pI~wn#J&&K#mG*M7bxON?D9QbwB=2g*NWKs+6I}sh8+;hLM;?Nm2vvW6kEA# zKlsfD8J)}phJ_c_OV~jc)}uFbgItP14S?J?;f0k#w)Hw3)CS&U`v@3gQrQX(7Z;qN zz~gph)iZjs#D_+6MMb|B4K7`u#r-JgISIBGdI26VLs0N7w74C*%rQ~I>DzLZoo8q< zYQ=`5BSMAA4AM(-oltYfa@;X!bR@xGX!V<_Wwo=9DyUm~=vpApuVGs~`@;F^mG~o) zv})*gEQW%bMCh;6Qdqa363O12-WMmcrke{9dk?Cn8i)>9cZfE+r4`2gyLg?)!n29L zOV!ggteqXY_N*$_?fny+Cd&?(=(c36$TB_jRsNhxnf7!Dha^x__SqNi?e@t$mhrfo za!X}{P2m@l&3Yf|)i&P8CL9Jw2vpC5urC-+#UPcT1;BfvPNZk)0?kdy+jR7Qk&CRSWl-UWazz+LMZBiTucm>=MHkUrG-+W7jlKl#jD zFE=^O(HqSe_%&>H=&bZ@=kRmf_-(I4zpba&;Dfz)GuC{2vlmF;1e`#?4 zpH)$2HkSWT(l-Gm%%q$^3EMvsW^c^+?U6Kjslw_dn^SyH`Hw((wLqatssgH1@2{SH z?2S(8N2v<;-o6X(Bd?=r69-w?Et+ch4-XnHj4n`2E!c^`E>SbZEpfA-M%2{DB5A?u z49rp>hp}ekR99xpG=$$}#EjNBJX5_I(9pCEVbEbEBWS#j5Twbct18rhW-Bzy!AVQB zKy&jZG9D#I*ci_sv}j=^Xjp)lD3>9>3JNjTw15$b5@=MGSR@r{cB?H$(SvA%}W6 zTabYgM-QC>N*g&_!;{c@zdCFi#;r#nMkYlVC~gd=y@je%H%8J8og=U`G$KQW+Mx=| zUMaq>Rf1WsXe!S@14@}VP1Gv|fRoU&%K)W|6sSLunleg0L0^vQC!(?uLQ5Dz)-2&@ zf{$x5uQq`#mKIZIDgc;BCTP#_jJ7SIuYh&RPKeWYG>sY` z1nO>?D#JN1+iVd@8F|&&N>E>iwPGqCbuAkoNy{2zqn}%Tp6bKZ{xtSt2L_HK4;LE;-35ddSxU#wDgF0!)PA1!eFQ z+r818W(i~DyE}%;?1Wf8qKYaIq69+Nh`WKfVm%8U|zy<1B?sNa@3~Hl+X69t6p5lpnlNZrB5)5);-P zPJuUO)3ENw(gu)gSYs8es3@Bh6RfQ#l$FR|CM7{u?1wD1$UOG}o*vOhB|bk2jdzVS z1sO3p4=@YcuXwTXZ22;$o2!SXCD&9rHd;1DbXMG5*6p<%f*kk<&5_M%%j~YJE!Luj zuue)_Hj-}Ymhu?XqXlpCJD2xfW~V>70`zqmk6Tp*(6_C<`1H2~s?#Rs?zsQA0#fGu*!VvY{n=jPj!$vW`J20&8~1fKFtF=3dW+**wc z!~g*usOBdR3&L(sP=@Z^WW9lLLF<#p!fvflhL5+I5(8qJFO{PM7v5X_ni+btyu29Z zwGzNnE^e)meoJ1H90dSJ0M7C6FaLG~`0rfz-2cm8=VJK}YK9>#JBJMp;0OTzY6kW@ z>q-(-9HbR@u0{*D1pD=$Dqm>*nh0a4bJU1;DANK+tqx6*q!C0O+DRJP$?18+Abg#G#Iu}rh3c-Jr;s(`KW$vN7mX6nv@z+ z^`M$0f{$PU*Yvl<+0uaJhwI%_UhF9t_V zz&dIfEkI#|CXQ_$D7FI6y{3|!BZZfS4}nTG6p~_;XHt5`lb({zCyiviY(&6l7AL|5 zpb#w%Y10agFP$M%aNeLpR*%WSLGxP;hCog!1fv)^L#x0$KQqzxQ7qQ831vqx>eFrI zLB$3D@zl#@d81Lz9b9kj+jLvK@0a%{C&o@)+6G_u=baV-zRzAx3$P|s8^V;U&##cd zgc#-1YK>Ma`Ps$ALsqoqenc}B8H*X%IanvuGj|WG8ymXB^{kYd(G{dmEtMJG8@E~` z%Pnn->Sl1?dO*GE7>!G-Ysdb!QnQNCfF=JD$=Y}=iSyx?Iq7bBbfd12`1z5VW;QL9 zy=h}Jsd(z^-^pWxx{ZKb?!xNS#hKND-aa#!LxH|-Oh4%LG zT_57D>QI#C)O8MS*>?6vVZM7#E~k8hL&Hg*_5c+VOU#7W3v#=hbGFF=HnR)W;t4kw zK?b&Gj)i$#>apqd`yNO+wj*lr(ZNEF6rS`|6e3vNDkS?%m>GI(X90_Hl~f?M8X2~b z+R(4Wi33`*98qc{m9QUH1QE*1SvlsibI{N!^L*sZe`mma95wBnV~dHdEQ zEiwkJkX*FKbLRQc$}4kRj`U{6XLzno@$-*DX0-VxVDPt>;pGDyx6)q<<{pjookAhl zg`mJr{aapLH~8jn)f%@*uE^_qB-b503|gZbkMb{XACJ7dr9PtLv$HR!Utga(C(WI@ zxZhIn&VOgkQe}RmC*9epvW>`j&}0o_GBZYr^p4^y88BgO`p;Mzp#{(MVmkX))LW4j-Rk=B#@P zZQC7zRKhhEOvBXiXjUn8(iValHD4J@OIs}z+uNwOVAllBR3RHzEidoZl3om4e)r#q z8`L}wZ`NT?#$?U_)}d?DjA(tXj1>mNrHOC#$t?QE%#Inq5fulyn(5WwelEF>SqsV| zlxe(_ZQpg#5>%$TDg4v61Di6zpb){Rr0-9W-*m0q_>jQnmTaBB)pxjvHMqBiT`SM! zR|1!~EZ$tYwKfAzac_40AKJC_3%ybDChwmc+B-Jb2KMekq#3fs(g_c9ER2r>EtdJ- z^sE22a(bMXk1FVAQcikq0vM^StK?qsFBOaVy>-oX$70}O@7A3Podl{WF0z>%MQno? zV&&V{Go8uHkMSmQs%E+aneWP8cDEq6?%V%{Lc-%qLq7VAGF~zC`dyjFBayurv#Rv$ zikEip(WP@Z8%De9R;FN=YajW{t2#E}ILZkY*i8EFX6^mZ2t9n1ZZB*b5FKsCBe=uA z64HG+lI?fZZN>v0PP=zdajv^4eC*AD*p6q>&PSkccg9esOZ++N5JzoO4!d3JnOXbm zdvc=S1bFE9Csi_|tUbnJXXo^G)ka(w?XCZ3$7_q#U%@Tg-mXEd6U9`{)fv`9x5kbk zYSGq<#i&%ZZpzK8jnfOkU!R8Ew4JnFq;{{H=91pwzQbZSc z4R4Lxoljav@$8wSAB;W~P?(Rr17a>NxOHjy>-G$x}Vv!G7i;ziWjqkTHC$HDR&&oYFuJ z;Hpdgj;N0S(PN9`i-LZZx{v|!AfxzEvwulUJ<7q;GLQe5)=Qz)vOG>r9i&F@(eMBM zG+R{D_%(sr-Dv4(?c2u^fB{q>CB3!pL-tU9UMqjrf`EZ|89OTcn^BpY>HjyVfP;hS z|JzzLr1gJBPn|`VfP+JF*aekHtB^X)4-P<4ZnZJ|aWmu+y1SYrW?xui&CcyGd1{bR z+9Q1-b1K2k{|;^vd;DbyEe^8piwqMhiW(VEjVLn$bvaG3_D9-M4y0b(!uXoR>$|ki z&u_znmIX0jVA4MGM6EoL@Z8OEValk~*=Qx{0O=^n8B=`8t%Hj0ey;P}h(vKTn>|_G z7!qe1hI5d!TNOKFrF}zNOtyPdE!iYH=MixP0k3@`XxzwdVN~!>H`S(#*r>E_w@Z0b zhxQ$3MT{YxiHmuKr z!Y@3HhN#SEZg5a2I>+HGrHA^8WMz&N!4kRLFX*MS*6UmGmSbd_^rw>|XDYSKmG%#x zi_+PX{8|x}ruZ0#u!dtcC83RviX%^!;5Aj<`EHBktVgy`e_{Jf7MQOGrb1+9a_^9n z7wx0l564(!HLBV%olLG-CS;lr;72FD9yKNp{un~Om^?5bsH2?RQ>B*G04sW&KqOzHXeQl}zaTtf1B;Bee+lj9g0 zKgx_IVu&%yR)9>wC9x)GsFw%kSLBpKH8Og@rM7A`;O5B}=SNI7vzxIOIKb7`ksN6}c#BXjRxUmjl4!__eP$5)H8{g7T75Ki>cihZ$}c8a#qy<5^=MG@?1U^ICm zQCFSX<^ISS7e7TQV=cd&I>z3gcG0ZHbt|4bV_UtPvA7lfk$gOmkvZyg>S^@;qGYUB zW>M(z{axel_j$KbTul9Bb@6%mNa_%{8Ez-n)hXIMv>1``*Le9UUG)leQLi-xtD8!* znrtgh^jA-sF`0(MJ zVQdS-mP`9%0Qqe=^M2qgx0#h^^jdT#j(J{CQsfy(nMEiDU(iSs}=Q>`jMS3Y$Jb^9q`#5!qFH*D0fEj^)@r- zD@_CM^@V_eUg~P1_ra9+DevZq&0Gc49L1pe%6xLDcR$-j>D0)X)rx{&G-92}jsQR`*Q4JR^;GW{XiwD@Jz$Re-E z9r3u8((IwzoO#7TtD#C-fWxZJQFj}@jN9UrN?eiCQlZ@w%YOK&I?H#-!BP$$Hu%&i z=OaSdiEK*yX*Khcaff)!?FCtNiyi@1?bV~!Lf>|Frj-+}t9-n$x2jXP5aA~%+?R%F z{k7=@0Ax#d``yi*o$0EVqYv!zZFN0`;Pc2wd4LiK?3mj{I?+o<3U{G^v#3tf z7)d7$#n0~fqo?<`>p)cPs@U1X|Y2 z-on+Ah?(m@<=S>>$vB|>1vXdScmtzw^~h#o3a_6Be-_i~q%Xz=g>V6ULX6u%fsl`$&cp^}d-5 zpqIcO`Ta_FUveDm#6yRL*nt>5qE$7RWM4Nt3awM@T|Zd?WCayhf4sXf1A>Oc!osl&HZrMd2VsjA9v&p#Lx@#8viJ z_DVJg4wbxoovprt^Qn$9!>T}1#$Y`XmN-N(47f2EFR~QAh$+jDDXxirYODsJbZ+do zE;4RHvzIdX%F(i13nTbLaJflD7O}CNG?+Mmo*I;RR$P&Rxsu?H5lT7S>pMM_rlE3k zJ>$GJ8Fgj^(W7&a7+HO~h1(F(BTwG%p>@Ak*T(7UVpa!^+1X}M%@;PFQtpadVzqIj zR3vI$M1ZeUqO;{&du#I z?%)f#IgY;#oEUok`1!AOeYoBjwSGL;b#gw-l;_X76JGuG__LIGv-?ZN&HSOb_Pq-u;V9x$!=;h-uRi3}=%7GEm?_wEnpmD4@*R0iB zt>=dbZ3rFUFXiaQ#UK_{PwgjA%3Q7A2Nhs|c_#msg}HV_-bNvmd(V^z;^xEr&7w1a zu!VC~qcwYRlYVtDap{d|4iMyS|D#b)&jrVF#Z)D00p7+2QIY*82HtMUk-ghA*BrnO zgOXBPThFDs?(=)oE@_k7ZMw(Pm+l|7saw0PDKou>VNgAHfbE!2IzF$O331KI!(G=P z-FL>4NdNCL=}L!peq;lDOB`3?%QgsgGvss7Cn+o4OqS=M>yg1VvrKB>P7k-yZ__~+ zAVPjmcI1xkH=h#fgh~ya@4s?#M8iMoo&xS_Iv1(({9c+iy1XCXvXhhR$;YynalkiA z>Zl#u3D<)+kUEo-UA92UV~rH7N;my`+UN80G*)7;qI3_3Vf1lsX!O6)nIxl8#u(T@4@n=q#$^@4`mDY??I*9@8~+( zN`s(@uS`Q1=UcK2_)yZ%q(YV>kK*e7W&Ul`IS5IaP$=@6N~97BPb)mocefP0PH&=3 zl>v>A!OUT};%J@;A=?`h>87=~e+Npz{v5}i*M@V{=b;OeTw$y%!)JxP=!V{ChA|xW z>e^$NWY=$dy}1#!t}IhnDBuUkpzjF}dxih$`Ma43>c)25$8yXEZ>X_!IW(+mRM@X3 zG}D@|(u^Q9O#26W-r7R4pD2B)Fn#}zjJf6EpIPvBR1{bMg0%+k=P>Kw{##*s`lVJn zmzI&G=Aor#&8VNjKdORO?60)V`CCl$&yDXI$I)C# z^*7^E8((*@J~J#RJ-PaeQ5>2u>7L*QW#T0H1o!y2Tvw{Xiz+&_XGz@Uxl;y}GPV_0 zX=|L9xhXXevINA@+Pw+S<0qCkw0USWuADcG81!DM=#6l$|A5abTn~UV%3m)KBXy4Q zZ62+L(lpc2Fgdw>dRp7vk!Eep^*JK?_TpyQ`GLui-$ON=h@7@f}&XzP+x}fb_)^9oZQE|c; zKC1eQ4kg6jhR&bhVWj zBI{KCda zFSztPY$2Ta;PloA4C$=_l93@2|72FW0iUC0rJLkTD6xpN@3hEcg^@QV-{g58LDJ)5 z%;rU7@DN;`Me1>P%P7J=@D_TMqp$pftz3= z><=?8^kL0`F~G2-Op%XsMjSgEvzpG>)KF+C_W*uHKo+I{4tz-w`?ka>F~uh~d)g@M zr5ZR4&3qTtFMLIIUXlEiB%s*;Hbo>1K}eP?)fO*-hAj6x46lfs5%~W^g7Jz?3U5iE zH}&cnlI=xLtYixhL9_ieSy1?`}%%gO)`}+rcM0WRv^_RcJ zSB)ROUoGDc0%PW#SquP2lj9DPoy(hhkLhpcF9)_>FZN)vwuU7^OcU3C;Or2wUhjXH zn`u=}O;99;2-X(2jGz?r;DlemuDH1?ob%x;F>RoixpB0l9ONj1xH1+qHF2KmY%u9q;C0iVAGeLA4Gf(+3)v|4E>sGna5I*I zjHeR|+3bxuX%tJ4IYeP{d?toT;Ze87ebL~-O4X6Rn@A`d${A6s~n<7J<9WvcEm`v)DP{>1pP_-Jl!GWPKLI2-57-d*B(c^co3 z+fw)HdCNeW>m%Q@v-SVT?_ocK^5?xz&Z&udA`Fj5Nn)h^%yap9kWIF>rS7wO!MO71U5yJ# zji`)6w!w!mTr;Wr<_w0xY3TGbfIIMFfMi4H;-S)X-4Atb7xW&*(d7R1Kla27|Sf9eidd5kj%#6+!Qg?ZI`f%-lOVp0K(d_HByYVCON7@`2&-r-v{i? zrqL>uE`XZiW2~hgP6g_l815>QB~I4l29tP?g=rgqVnxEaIbx}w|G7l8wgZ}MxAdXx zQ`TBXv6**qY75<=c;|F3=yzFN=J6>!{PJ6C zExLjdTeR)3_Q%Du?zeF_hYGmiS-Xlvs}^rr2o_Z7k8CRQE6wqoCKnd~{>Hmsgv!e| z`!&3*-4+~e_7$5I=X0W$9X0>BwTCnWoBr*f^xwfb{*O#LPNx3=>1)!GvBCRiVu{d0 zmSEcX>lH?#&K;E4-I&yFO!$OogSL=JU1ALR>ut&P!F5)OpVx0aT5m&TTVvKgicM2l z8|BL(g;~08;*E?8f@r%dcqa)B4V9dlX5fDLrk8;jkIIBtx`J+I zIYgx&ZlKB%0tL+yRmv2T$*4;`kcSLKGlnG!c5IT0nn_+FcF)sviN;-6f|~$|g$JCh zhY72j>!f&~zzO-MxsnKC0n;m*6;{uBN@<^Aff$A-h>{^5h*i){FB-&1Ar2#xgeEOh z3%01TA$zf$aYT+tK}uo--49zlfN+9R&G*-o3d>Yt%Hp`HaF#PIc|z$g zu$*FZ8wMfN^(@I`v6iE1syg<3UCHSc5gHKd7L0GnV=H@eAavel>@cE+%y$xI#1G)k zP+B1+ecv?EzE7Db$$~glMZl=<*EmTxO=P7&fsYzbfH{MxgNiVexM^dpWyuVS{FORa zX-8SldSaPa36}qCAjVbxmAKzRY=+A1!tq-y%$9!SfXw@_33Ibg4}JhS6*sA$#0tYs z*-!&t0$cnLI=S~EG8vZmcuYywYbSD7R!TleWk#7r)^^%VzvYO9QRl95cCL<{Fe%u` zMp)L7jsZH;$cFj6p&83;32~9(u%8P<^tRvg@S92opAw%GfvhS)sSFn`f!@L)^`-PA zRl`_Zmw&g{XycN-0OE9MIQxO`-Hkc|H||jM^yAIx-=o*N#dWQP)MipEIb_W2&D!q} zt$@1e^EoCi&9LdW^TA7`-j9d7ZA?Mf5RG_D{fipG%`1aK|4u=^OTs4u)K#bv|A6Pm zruEA&pWj>Cn*tu4&Nn<fDl)n zN2MC%0Gdu!v$A2}H#WjJvZCQXcXMOVr%2>sJkkDA6kzTt0iy5TXushjBNoa!+@L{q{_fl1R~YJ>Eiml1WcL+s3U znTX;fV%gT$YHkwIWEd0;SJ75TsykouI+|UlcHNjB6U~IK6>pqs*L)7JAO_HJy3|a( zQ|0&99fGwOPa;-mL7(j!6JH&+TH&s`&Tcf>o^JLGbJ@2TzhzapO*=RtE5PSf%KxOz zMHTc(_t;LF^Db@e8lPKHJB0j-?Be&PViu#%0?~^~PVT+kVqJ0yXeN&+8Qrf(x5v*` zmQSu8Rx7$AhQHtey=5Akp521JQk+E>lFuHu;B@|jX45Q@>B3XK=GZOM5soAMq(}ro z_(d)E-G`&A7jv&yh`S`{@5M(aIqx&W2l;>+z6RgHnRcja;%wW%l{TL53a+DhZC?J5 z+5ITGvu#E?^2BYIZu^^RV+rG}+g^jBbej^jIlk)!#_P_;>%Aj!HC72Hfi)Ut3HUqa z5>phf3Xlplo^+nI7R|xqYJ6y4W$9pprq6b8IA@;aTA{A(Od1z?mYx7#T*vo?msJZ)PCOgcMzi{UY%t*;DQvd5% z-8yipTR6Xn#$*>gU&-CU4%z(m+f!IjMu?7EoTc6b8RICNZ}6Su2(-dIJlo2KbZp`v zN|D+3*#N1q`-Q6}(Ozztj^6g{0NIJP#Py?~3Gs`R#zFV>?6?8thT%+U9Hn?(FsKNmmCl?e)A1uF)#%2}$))rd#wY`dVfMK+n zz279znYP+iWA^*{3AVO}4R|lZSj#62tkH#*4N8g4dg!kZHlef}6o`LGbaTw>YOHTH zBz-t#t7U2T4L38sFkcN!;}+cM%)yL!nO#-$Y z9Zw5SAVq`;sjYTgU0A8(RJ6Ql=mx5^73~q!(}8Z7IU2{`fxSPb&MO*aw7|VvgGf0kQgCD&EkPym2&za6V_l(;KrKEL_8$QA%|4K5))rFFzMWVwM)tlyqR2y z)#IlV?ns=ELe>|95#0(F%A7U|f<+7AA8iUwKCnt*vr76sdolG`p?^-X z3|GnSSxZbA+&FWYzUxOe4@LL}ifJ2Flcc?d>;_7HcxG~klRvXfmafb+3DF^_K0HcL zlrbc`VH?hJ+`4tU9K&-VRF;uy`%uG=lFBZKjpS23I3oU-fre<%7{S)a1VX@y-_7sq z<#TS#e(%Q4zPIc3DZ<^pFI^@DatI$^_aS;ZWS@TTy6@i}KeV^Ss|Ww?&3r+xp(1~a z-2nT(OAUv%fSc6<00Thd#rMJ9qqV=Q@9pZ?x7)kX=U#Yv)h`C+SWt6hOK6Ob{suZZ zkUWLTL&|gzsed+TQx;i;Yx9!hYtr0)zc{OTom z(dmd1AQ-TtzjfKh(7O9NV-J|^>F_e-J6Xa#d6S9~*xqWh_4m9zr54a$ouW@^S+R3} z@%RH8D*$^Sa3Ifh%|?(gmN#|)nK|J=G6>rqm3V7uZd`!Pqa_lM9UnimmOWiD?$x)w z)7QNb;0@dtgbU+W4gLgFD?~|rX0iGoA^3Z<6WkCrvEZBHtd0MrF}*5x=t$tdX=jAp zVbtb-VYD8o5?@%tnGAmk_n43ygXq0U`t|KBP24)d|7p@P5s3*TEnTUG-LQetMU= zaJ973IrT=UCuQ!nlR-|P3lx*duZxbsu7K{dI%#B!D!_S|xl}@-KjX>_q_V$YqPRW_ zd=u0>h?{mY4>miJ;`os%P8Z1}H4CC7uHjP$V^iWWg5@kxEN<;9XRm0@FQXbTIxZPv zoUe4^M;YbK!S96EJMTgU(HltdTn&suTCzFJ9@vK}EmGsSh6IWjE8HP`7T?AZSKh&I ziqy`GY8-*A7zu*(r-*RIcxxg~z=QNl1nj?JTMi8}O3+_t#Fe^gAc5jX@| z37IlaWBvAI z?664w+cD<9q_6xdyvNM+A5gh1nQ}2-sPyp9Xrhe6ZxRnh31B9c$+?$g6#6Nm6Gr$7 z>5$FgW?;a6g(~T70#+Pvu%Bjan*7TERqu*Yg6LGL5uuk1a7=h*5V9EO!2J+%q6Tqx z!xJ*Y)}OTnhS=C(PHiyYq}pOZ&6*>`PEAx{WCGcuv&+ROaDOck#Ro`W(`;2wlxob- zVD@zfi7rdfO0^~SKz>$eyAmtehKp-}nJzMjXG9>WBF0omf!w*BWe1Lc_>nbe2(=Oi zftMP!wNzVIHCLzq1XY__UsR=!SuU^Ez7TV@sp z|4~3X3KCq1-c+32B@hj6?L(!my8o!>NMx1CPj9ofj*{w8Xr0L~$A(r@x)QlAQ~Yok zs;;WudPu(aNNxhXfw`VhWx&C(4yso3#Wv#Ba1vS$<&#?;(faa-`WXm;lU#NNr?OPl z@9FgS`S;65fkHqYlE-+z!qoV9M8&Yjc*WxF^Y4rMgR`%A+_(Z^e8lRU$BjXss_&T{ zdAI=*;1BL-_Q#*!56FUkuh&zaD;j!J)i&&pO5PtZ8T!A{`YkJ@4%7kTi)vtOIIEgos*3Yr7EAr?}6TBjN$EZLGrW5Xi=qHGrgO zhD`NUg+bejGGeyW4629JewN&zT{KI>*{RUWr+H%@aVGQvpNcoHC=+6-RkmDGH|cMv zoVJ`x3*@~r;0B~8^Z-ARK6JW2tbq)>B?ZfEhaKm;n)keH>1^uG>^D4JmeT1|hMYfz zj6d>^Qiri3LS!v# zXNB-2;=je&*)h{No9dg_Z>(5H>n7c&=P0{}!h)tK=>RwU`V!m>&m7Kk_O;YGZ=<=t3#PI@AeSIyU+4cB z+?;MbFKc=1G_|S5gp>_C!)Nc+4JU#3<0Nb0tEV&~$~*RAb;n)O>B*YKG5k7Ki~0t% z2l|)WfOOhvZ5Yls)89i2{s=uqmj8%iCEz$*|7}0^{~Lk8%)#;hotO9JQD>w3k4If_ zUkkDs`$DWa1hJ#lYbqOJoD88XL9V$CLOtRX#>ST)=#M*lO}iT&F)uB`UEXX~LP-No z@6ywrm={d^CQ#>Z!9|BeW}=s1#l%j;A;f+u%ECb2P)itHY&AtkDh>{D$z=l($@5+! zXEI}|&XHs8#skCr6t3B28Ta7htllX@QY>BhOYuu^k_>6b;+us zVm}e0A5k)Qzr~ow)v1P<;FsId9k;VpxJL#z!QwhuIoJ~{nYHOBN+a~9WfxEGMCZe?^7$FvU$U$%7Uq&HX<}u7NT?VJo0lTkR6r+^) z(=Ke_v-Gd_8=Bj*sdA-`VP-@jhl2Ru2x-XDx#9Y0*34^L%S_qBwLb{lX4Twl&l zBQ_lZyzk!~Zu&NRS~GU^`+C)=O9nl1YI2aDHXVWi`R#-=%NEQo7Ue4Day}$3*V9~v z>D<$k7;(f*1uS&k@7o2OUx7y}J*Am*%TTDhgF&Jrc5r>x!h0y0P3T(1)t{md$IhMs z+RdS;-D#z6GIxR8@}}myS!M%x<}4Aj)8OWrWr|XA2xll^a0Ea~#6RRlGXnsuhFng~ z4bUQV>xRf!iVaMgBq0j|I+7AFf9~V8tb@QTbC#zWk)c5B3Lr{0*eQzE@qt+aRPkhs z`L|VsUp<2hNYN2ti!HxKkTGWYTta5nx<*69#mwy+xZREii$qK*Tz2U^8wghGkNd*r4YP%E$<>p~V;U${F1&Xc{Hj*%#BT(#eHFLeddE38 zGd1jPf$8dY?=J^hu|>-;}oGASIE|Rt#+g;ms?g%rCAcEqt&l#oT|ABwAsKsj$zI z;U7=rk8H`2f7=EAS6l#Q=KmE8v9Nzl9mo?gGchr$dO4agDi~Xo1i zg)>)grdV%5tJZ8{f0jruMx}Rym9i`A+_2$G&f%u!#aCw;*jFvHZnnx6L7zHg<8*Om z(i921Y$@ds*1d}(mdyA;O(aWKhuHs(S zO>{P)DyJW}j`!kDI~FLBHA9nO@UbpgH;gf$hMFQO-|3dcqan)xe})Sg%%m~R;8qMX zc7%x>JZ(zl(9nzDI?m=O<5+F6ibv0GQ`IXT%XcAdw&Lbw(UD<74FzD{^a%z`zFs++- zewo)kr)l$J&4#x^Mnd;)yb*=$9MV=Gc&pG;r3pJ!WAl))Ja2iL&g+!MA$!Zjq-5$_ zch;;xPVevd%;7{CI5p4X$x^`q6f{@uLZo%fsT zx0mz9nRNpXPLJ-*W&;Pnb-lg7`~7{y_ImT?>P;}i2aue%oJQvt^ z#ZxLWB264atH3gdV-RyNRx3$@dlXaDWAX`#wci^-@ z{ll#LDnVO?%$zH4#{3=!d}|T8WQSysYnXRRKcTL!FcBJkFWz!=7m=OVZte)GmUGx2enel7el%eNKi? z+~JlB#Z%tI7~dF4BG~tDmny%lpukw2c@$cuDxni{A1jFx-pEZoZl)g;{kYOG$0i3g zi+~uH?LfjiPqi4Q&l|B}ZQmv(p&}K_5@dzDWX%0S(y4qrQXWP+% zb>TB9arcU3RF7h?RNxO_GN6zcin5lguvf&8WZr@CAoxFSLgV-$PYu6{&@*}dv*F+M z^8-1b`GsSumBIs@;EcLyCaSkYH*e=VQK+ z0a`ILHo1p2D|gS_#o31GOc;NN%keu>CsLqoYnTMdxe<>hdhL|dUjEqR)=<_7O9fBR zIIDIctg+0?%cvGFUi|l7xg8Ga)|Q+}bcv!Ezt|G^*`DUeAO#DZ(2<0yz1VKtY4`VS z-s1LgFHStweXz85`1v4zyb7E_VJ>;vyDkNgqY2tUErl0UbkXmTPq1j1FVPU+(M&^$ zRw1hBe)vlvKw#iCiZAv_YeHU#3r7>dgV88G!j@Av!1>9}A3@xryZ#XNg}g<-%nklK z>3f2uDuSTXV(5cu`w`3pH_8vT^#jJ93qlE9HC5JVWSCEQlyzvLqv)imzy=1SBopgs z9EOxMHuo_jdYGd`(j{Qx3_IR1H0is9L^11m@^^_bfdS^rnoukbyfOEYe|GKZCtffm z9HvpFC#{ZcMk9@lb-_C58G<1_cpGmq;Iirhso~3Ib$jM2@`<^|fbe9I=geO#!uA@N z2$?5Ld$Pr+jM-J>Qp>US)BXmO-)%`diJQm>GXmK zFf7+;ZP{zH-fGw2s%WL$7{caoOh0=_$&GYzkEz$uw@O3&$lWfk$&GgD5?9z0%Vptj zmaS;K_kAlZ?A(V=o@%Oy?CA$e+ZI%B4l-h;@?`x=q(Snst=q89`kK z((_J<1TvB8u$u>O)VUH*KHo&Xwm|;psw%eITODEzj1f0IK3B%y!F+(JC&xsAmAFVr zZ@d%?h{NB*^fOIu6!iQg!Wf4KUB1Xt6YzIk41n>jUql5fN3R(+weWXddir1U6n6$% z88@$`bblTEh4?6TU6e82G%VEwo^oSlKHx9z_)$%KO)1Lrqm&32aM_AD`PwLy=YW}n zv#v%dIhbz5@Usp#^#b~R{TC{jx&361#d8UE@^=?YXNlfY2uo(F3baczYQ1k5eXpu= zyGIZDQBfF`cjoOCOwplo@TU(sp29Wut28Ar4htJK0;7<1PTlJG;-)DpJG2YR^7HO> zolzrA;x?CVS(Bs}O#$Z@ccOEwpO}Uq2$*G0|Mq_LzeJe)Yif~&|qiri$f?kA#r&MUil0pV!tF}Z^ZLkh8QfY0B&}fOEwS;1A zsotBt!{FGqRgJCShj;+EJgyWPK8mq zzSjlnRHT@1I>at1+#PE35S*T)l+S#6Y@~#xyRr7~T*?c@acB%#0DVwKKB`oc+3_;X-dDDR9FgUNeivD*hOiaDYY;v*$Yt|>T11tMv51| z$EVk8kHuDg&VfPj^YJL-OC)D}FCDIn1L*T{qyDl|-nE^b-PLmt6#aa7G;iy+||3Pw`U0G=v}jyznR_f^ZtYBV1wbi ztKXfZ&^5>l>2jLal%w)_mEb$Z!&TKApR{pJa-fSNUesg z!zwKYn)CW#WprSLeDRugF9(}=7N$jP02?#|rX@o0pezZxD+5~7^4E>IIqBITp=J3Y zOPGmT{?IdJ!cc|OCzeI9`$8?Pi^JyPO~p0P1i=OG>uMpO&l+bs`swiHL1Tsoij9dB zyGTuh-~*;CqDxxJ4hHyshf`GhZ36K9m($Sa`{yn%Ol@6xd1Xd5^spuX$RY8NzV-cz zkc!GDx4JqY_xDYme=jDBOE>0=->L|e4W{g|zuNona%+#nVLsr)pP~n4yb6PD{horT zr%n11NH+mZ{NyY}e@kcQ_U@Ofx7BS~c2_lf>5s}-B~F92Kgl&2?H>IF26K3Z9*WuD z@yd{A@ZN(3@Ct9b!7U{`WBc^NYPcCr54!rc!)m~-C0tYe9sCgBUt9zI9lT;^PAj9N zdaao0Pa}F?jF-IQCyDE_y=(Rt0!K@RuI*yX2Gw{b)f^19PX{I>JI`li;ZGE%zc%dT z;Qg?t1|wiw>q}jKgjITgk5&|nDEy_U7c6f1EOB~sh&%W)91gk@GJAxJqiAM?{wJT%bxj)nWZO7GnMAy=yG5rgj1QDAm}h_o08n5=CIo& zkMlZUggeQoXl~X5gpNuIOM|7b#p{AmO;vvceXoQGuATg3afWLp0?f14V4=aO?5E>3 zM^`Evt0(QxPqZk~nt;g^D?#zW6Dj^q=Po9vvOZ09(wFFE*L5vgv2dRZ3g91Op%w12lkW=>`Zj6CQ~&X$oNyY?r63Nz485+<@;7z_kO-?sVomyx0A5L z3^g`hGQ0}7=+Vk+cl(%84n*06pu#3`ygP^%+8_~%`GQT`q6WS~?!+Ti7&u&Gl%!lI zjQ+KD_u_vk>ehF?{~7UY%iP`fc68i>i$AL{`@y^aiTfH6_l`R-0xi&~&?RKhqEJ5MRm)XEF0&XAyM>#Px7p;pa!nKaC@X z-W9`DTVUccv(?VfXr?)mI{fLXsyPp;d|tb@$E%`gcCsU0D{x(SHKlC)-RJ4LvN4k_ zm9jC?NSn~_FURz3Xt+b+*1peHcY$)~dRb06HG=t!=9r5LG30*R%2lfi*H8=fI+`1H zNizvKG&Sv$ZD^$pYHjpZ!O*7TM|xvrBFIWf(%_JTQ&M3>VH$8oy852t+x9CfiB%;z z&lzXSi-aNvcaC(nuCUzvG?|*qGXug&`J9<=P3Rn;@Zi2;OwVz;ON&BGf42rhCn?aN zZj}cARue!6N6q2I{!EkOml!GumQveBhCt0{#4H7T9sE>%*`Nor z&jHzDWMb%b>okQzF`FUq=%|aZj0Mks5a4f*VMnm7Z&8?gsErfd#CbYhXP>ibuRr*J zA2zbHU~6Z+>k(aR%+u-=7(3!bGYWU-1Q+yJbb(9m=)B2T<7$3{ym09SJ}5LZAoy+f zIV)_|D{SVw&w1W#)51eeW@@Os9E~ z#L9NvzKU4izyE%<;N!Er6?Jza?BT?EliCen^>aR&R~ge^y*!v|SYf{(_z397${>U< z8~f{_L|7*1?%q5$KP(Ub+<^tG5;ALIp`)tdAbrR{usKL@{1_%b5|%}5;n zcbz%&e@Twu`d=X}8|Qzx9sY_?Ip}aAywm<)cd9yMWt5=ez-~m%Bs%(R1V5_iLAxzF zp=`8#_}Wi@G-}}LV;nxf%^;aH>X4XPU(2`wJEW1~cK{PMM4?lm%1_T47vSGq$lKjt z5J|AG@X;%Qq{6d82*EwVIS`N`R5;&dU5y!wqoml2rPP&JqOjp7DKvaco4nI%iI?SO z714ZvxE8(gP~lpxC|D<|dD|`w(KBdq_xcv7qROqdx|JA_Mkw9u&QQVA=HrQC^sKnm zVbivmBMd$##Z6}BF{h9$I@8Iy)3!jVYpxK6q|yjIH?3yb>GZVV%s8P-lx8Iq_Lbi& zRdlKN-{C~UrE2XiOW>H0lIkoJ3XOKMMkzo9y>xNC!P&=@u975kF zY;pLs%ol$}ar=p-nYI0}4q8{HF+%aVYHkP1s^iilYEBXpu8-^_ON`3(KGsAi#&VGj zB@#euJXK3yO-3h_zE(+H7g2=+2)S1uHVA#l2o^BRb6zh&LvR`*o^)3+Y|C^_Uss;jGM~aRH*BLjk_uX{+%V%% zHh#>GQCP}^aXW#<6Lrg@oG+>b4KUw1qho>yn^2UlUqXjUT_eJV05oS2(~x~A)?t~X zL@N3Ix*Em$s*r^89GC(G;?mRF=r31WPq-cO!l9d`cDAQvJdErO9{M`po}Vq6r(84w z$Kpj6qutBcd^}hU&W>i2ic0Kh$9sFKnvdeOeUCzICfr(BF+L#9qZJkO^|P9IY1s7W z0D{vyj2eHcRLpqUHrW{b@h2V|l(%R%x8?i`Y%i%Jy#Tff9-;V6i{2v{Qu46Xspj_l zE1hP`3(Q z$4^>sO<6hlZtf#yzMDBb0bnF>9;HF1WPYGXjR0wjA(|}Ik4Ql{XHVM^h;{zm%u<)Y z{(yZsjPNE-cR^6FLx|lK&^%?#fDz3?#5CO;O*D@_8L27Z&>3u9*ErR=KVgGtmpmsd~B{i zuzr$o(`NJfws`sO`x0a`7dr0l+?PwAKIg#LiSw*Chg$Y{@i%FTukjU(g-IjS?62*| zo;@?CW2Ezz;w)~TkvHC|Z%WkmasM)ZgDbHsEk}RG2a5kG^ThBB{sAvk6tH^aB)8N}-S1`19 zwTMX0f0?8mlrgqGxK=FXKc{v*?D!XMb-Gv}xLYndcqmBM)BxQTQhhB}!@W7fj1#Kc zF)Y`#J0dW7buKH%F};0@#-Vvl_Be$rWg2>8bnI}?^+<>KTHr~&#tATo1hh^+innPu zT|k@wwsV|+zb^OVeHQB z_;ns@t~FeU#A^8GM8^gX7{kMT9`g&Ty|=H>-Y?-Qsee0m{C5xr&VM1l|04~yNApX- zDT(l&2Kur9CdYQ@XfO0l$R3`p;R44gnh!#lcpP^;&;+^~j4i-`ftz~E-CBuH>!B{s{FLQ|&TLfhPwMs-RmFi|2pP{c<2nA{>d zjOiPtvK>zV#vsHAgg9!lCp=Ir1JVpt#Zsw-BItMH)1f$HvDBB4{Mt zjWY=cjRG486_SZ@rPH`Ah+2lIVJ8)tA=XIjHi&r?HFrI7N_!&0H))8dUZr#pZo}WD zaF_IjWCd$FFx3M)BvKt&z2bF9pCSv6EI48_l)T|m(=6Do!k*2XKgjkD4d*ZTO!Lb? zP#7hHiEHeSu@9@Z}3pOf_@fiH!B{xTx^?aO7l+ z4rXUGtJwPpc3Vnv#kn8uj}dVNWi)HV)N~(1xAe0;)tImA3I^z3`L!Z9H;=y0B=qMA zK408b09^6}=>!?BL-!Qg{@(reV)yCo(cAvAdAN1lo}Nty)Q2x<%=FhhZ(q&4%f2hr z%uV5}gdIr3>=n8?w^l^C4jq&&h6j$;ZMyOr)Z6be?$kxsGo%(TH~*3i(4!6QkTHTE z?y0@gb2Dt_>0!LoIQwL8tm;^~Djmb_tEgCP60ch~F%rB07E#WjI_plsuPR=9J7*@U zD8%QziLavB7c(A+h2-Y(=>}-6GOkn&b1}Pl=2n?_e3up-6p+hR20$7WORB7u33a3W zzJR-TW}zy(LoJhb9AQv!V}C%cv1%H3#jmju{Au)@S$|P{QIXAyKhv69z_V&)+}r+o zgYQg7t4n-2{@~Nxaomv^=dW*~uM4ffl^Pd1EQv+)|EwEC8*#M~CM4&WWWVqxalo&Q z$xwya3nXBxsK^$e1vBPo|K{<;yz}p$qVZH36`sJe7At|?K0QCKla=$NWeeE14^MW!pu;Xj$yd8v1gF2oy8hZdHpe%e4skx#78y08&JX(^*}PeE z5q$G~Tu0BaWCUyeiR&UKL!3WbC+|ELdIB^#!U<-$Vq+IOxynzvg3{8f_VcN-Y>LUaIK-&=lokP6Qe$GFMPZ=zHaUJcV%B;?ft zF8i7yCJ9z9mrfAyPo~%_>T=thtM6B6G!@>}eCjcW5vO>d*>;(SBGJ?6T{clKh|q55cnxV6HYSSqKrpqR&+^qB!$11u8yXrXuS z<)~?^i*^dMu^^o>KP}kuZBI-fr2fcAB;V(*gZinBQUTTZ6nH-tf!)n*uw(zq;4%^V z&j`@D9|!VpM}YrQ!vC+ybau}FklbLCxLsnR$cZTM3K9#XWHL(UbEXL@pSbi;V$ceVQEd^75YO~l zVM!E45)5`(B#>TI4-_4EqMoR_u*x1=`g1Yztjdx7OhnlrF;jLqGz4Q9aXe~rA}mcr za!)MOkiqEF@y>V>mP|!SufXK^CgO^&0Yg9+69&{NmByGRU&%xnC`%2<$OB7dy@`_Z zn2Y&DaR>O|H=r#Tw9Fw|I)V(?!E{neZd@m&L+@9UEp0DpAOR(|a#OIGdBzJ$ZRtJn zPzSCYLF?OG#3h-ri}AmVnUTr{2Ek$`r&4E=EYAL`!sc4=VW*0=4&*BxkPFV1JsquJM~ z@AsustI3(M?(On?>nPTfIM`pmJHC50kSBce`WW7pt!TeMp0XMI9E_Z6FW`vDxTu*~ zo;kW{$E+D`eu&|Gbug&?SkKip(A%L~^458$R_N5m-zr*8c{o1bzPpl8GdO!00^HpV zEnM(3Nxt33Un{!pW!685XRHpIbZNR>@pn;rl`Zpa7HSh5%cfL-B}CjW6~AcTyC%1X zT*gfiAT$R@L0;nyB7C|q4PrRddjDF;H3Y-p!iQQce(4$v-Ivox)Xhbg)snF_;kH!r zTQ)RCET=!$ z_ddFTQ}2>%8e`P%aQTH-A2z(g3KNub4TKQ##@WdV`9>(PB$tPsboOF?S^PTn83Azt z469QKHy)AFv?`aR-tG@i;qP8|XD{CDa1{jtJyqhWGLLTucUR|!_!$|E$PuH@=AAol z?k9y z_#jBmN?D^vFDDwgtIvjmCB)^fm)KAlbJP4y6>dI8m{KA}Kzv;?>c1ogWto_1GI&f3 zM0~9u_CE_TWSy96GN=|*9PYbmFo+iDK!9t$%qT|eGZNggDG)9@Zm3XgOtrRT*UUX~ zr`}A&QFWR1fGG<+FoKLP2zIHIW|p*69HgsOUiprz$`we=F_x`+~@yr1*}(}y4X zf+PV`_F8f=b%_sMQam8$5A}0#`TSt2X6998=g~!SeCsE(n=9Lh_V3%NNBhbGu-k^B zaonVx8CLf6#+8%%j~&DZ6)>pH28HcMBcjp73+cid_xn3JBlV5SecT`wu8l3lgrzs9 zOeLpuXwBO=RESX|9(d9DaH7&1gir4{<<#y za&GRh4l^kc=`9#ltHCcyu+g@8a85{2@f0JW?0R34;M#McpMa4ToRmt^-tda*|NM^@~CU^jn(K>3K zCgZQl1Ik>DnxZS3Sb`)~l;k7>6h1wJTiRrikm!0ho;Z2Pl=%0qVgl|CWm5?X4QBZ0 zdh;l@dmg@O1jZ4`urQ;v1{XIFszfzY>yUw|C%DF|PzYVH;o?L*h}1+GQ!Ml*Trb#$ z23+x|h6bG_adh_>GG=vm8R4O-%k*e{v-VnHb$41}8coC#%4+Ynt?P6Q0`;k!TLib=Xgf)5<^a=Yg)!1!m^$B~m?ZEBoTt3@#g5m*p zn3T}?tHqd1TMflE*FzX1-kq5W;g1orq}IX)8q)_M6z?h52{7;glpm+`K4Ng|an%s( z@n&SOEWg7lZET`sljS;HlJQ6p__!}Nz!s$`8+0I}GOmC=uo2`y$=>LAkOynWC6oms z=G5k4FGEf7*uM<$U7kp3YodluV%Z=&j{2dpF_#$ZP%A};4>aAaDKGn@=cSmiH#_w^ zY_idF>Lytvy#wi z9>R~cI){3Iy#`O8cYE)S-md<;rw4PbeESLEQ_+YNl@r2|D~mqm!LwuTP2{_07Ip;C zlOHbvc;1DP(7%B?Bo;U{ZGH#TCJH47!zb=>fLO;Wq7YqIWF~`uV*;42bHDaHv(++k zGuHDxz1(l^-P!YU?e8{sdjA2Q?U;Vwv}^0uXvg$5*PWhA$u0SK&C=y!2=M)LgFpER z`7U*7fbq&WU*-(*urZGl^nLkz5GO>qqq69^=J&bXYxau?yBS5vQ5uE2q;h1~oD-V{ zFZIUi(f#*i0A)_A#)d&Nj#iz-N>AaLUEk_6DJF7F1E=0+*)?4|Ry%{^V1gD1a*Xb# z6Jfm5sMnq4Qm&tr5b`)|-~Mo@+l|7w0Oc+%f0B?F|1***4q%O!Tv`v$FoDJZv2Ybl~?7 zTGAu(q^65LRW%J6TCx31hrN3`f7Bc|j;|DIsWVHsD&T>y0 z8TC2|lrIBL&MGatY_RCTl!UZ06pU%oDvni(JYk3MX%$%T4*H~Ncc{<7Bu2%hVbQ26 zM?XiZl=-Bns7O+%FlpqXXca0-(63M7)Cf03Vpw-rAQfqmrIi{dZ*#$`U2OH z=G36strj{cPFM`yvxqT!Se(j^D}NEf+78m?x3sa-Q# zQXBmXa7%G1Y7i_ZCW~1fr3Axyw$9qOWx_(E6XQj<*Tkzdo;Fziyvq6H6p+t$iz72H zaVK$1vWBE56=U8eszsAQfnKlMRvVRO!+h4!mAsHkx}0IEbfyfvzHTH<2@mf;W^Y=Zl6go235?5KMLc9urFzO>eR$CcH) zpRGtX?@6uqOzYLc^8Ylfv#FD(-8xa>oF03W51<#ZKROX^-2GrxqxjY zX-wYXtPK4h&fYRMj<#9XH8aEzGqYoc*p8VYW@ct)W;8$U^tNm@g>Y1KVt2OG8T2)V1-F4mBw{Ff~;IO;(sD!K0`ODOSU>}_wKGs7SUUx4- zK+2~j{ofCbU12RBcP-dqZ(T20k8Z=jxZTYQzN@aDH(T=lT-ldxZ~qrQY2hnG`8e(} zpw7$L&GQZMZQSE>THZ&S;K?`Jacfa@h{wUhan6og zcPwM&*Ug-+*0#>>r|Xkyv7C*X7#3K!mlvMeA+Bs##8ywor`zj`jk41X(K4IVuF3W? z{IbjaRJ)M+&1i-RQ>@!({iKb0>$9HMXHWNs+ua^s|GOi*iD`R^i`LtxiyY6(lgSHp z9Bf8*VIen(&q&3)tjDhvL7^D|t2J|BEICBOca8<+TM#FJ07mx3-TbZ~A{bdu3%uB1 z82+kbG5X7eu^%RsB!P2sA!8j4J{=#!oxv^Dh-f1VKegHr2lJtlVWDLS*O9{K!;EO*-|C8g^)`~2Ynv}XzyC09|x0wJiFenbFT6B^KFGBOgMKx&+A9~zB> zi_6LiIw07|mEE)(dzP6O_cW%s2|#%|h_`2SJ15*3B<0W%w1EPLmW5=$7kv1;jiBx& zh6JQkRhR!=+H}3)k@_IboVrT zJH=62zI3P`E~}Sa3iiiKXEy0T(GoK7bp^aae7SFW}n%ashp9$WVg{wZ(RU207VvvMgVgk zHvQhuNF|Bp3QW}7C>6IPtO;`wHlirjIk6oBT_~)N@K}jn=U9&<4`qJ9`gr~QMzlY3>Ypif}PgyW5V3RItv^RG=ACbzQT0CQ|;%rqEm$n^gSw6%K;A5k3Rg- z0skxUpeguT@+3%BJ)ZAGL_tXXC_zY=_dj;>xcbYUP?)ac1BXI6m_6XVn>l@o9EdF7 z@LHN_W11WZ$&g|s(U>A>W($a$)Pww>-e$l)cPj-Uc__`Ye)dxfLdp&*-1x#`~~WNFhGv{m!s7_2Z=A@Jm|p7Lv=g*MvP7a+t5x zd`NyJ^E>I}2;-)PhzBqQctsZPeZp*oU9`qhL0F{3`h~J+jJ1NE+#WY#to$PSWU8Dw zyPngS5XNiB=GT!~G;M^VnBj!1ObDGh zq+YS13hXLPqL@c$M!m%cMmUM46Jws0u)MABv*1CKWX%J9JwDF=Ue*RIiIbsnG+2!pZnu1@Q<8h zx(K1bWJ?I5!0Z`-b{a$mo`TV^9-R3Xn@{XUT2D}*_ky9;Q*nWB@EYw1POWjgHsa=$ zZ{G995#x3WlUfIR&%;`%&dQ9=#_R_lZYk0PvWP>q#*Xe_y_8dJ&MVAs=z~t(;i~6lbM#J6+P^pm8}F1?9n2T zOP{MDJoyg`CxcJX(jzo@R}HC0EzDyu)#fTlFnUo-P}|K%GEEU^={N-B_C|)ZXtFo` zXfk8{XtFTBC8?-?kCcXQYD71tVkc1^L30PuvO(<5+Is?r1~~d78Y@ z;)cnou?b;HuGQ7m$*e&<>62PUkyI8lzyuaEU}2?7X-A$R(>mdrq%@gE$Wz%4Ml#Q- zLBR4R0hG9A2Jz~Q>>Z)lDoLb!NgF@ggJoBd30eTcFEYypWodHVTB4c0K(>0wAbr zB>|AAseM1#V0sijK&AHk3oe{CUjn^N6D;UNYLh^UVdn#b$q78JpcLFe)_mv*2r0!Y z`l?Jk1Rz+`CrS#zH6t|1HS|bkqbUw%3Y1Z~s$p#|>e(bf5PdXjQsF2{f;P=@#3ueP znomD!VMg8#O|g4A91pI3-y~N`&jOo5DAts1u3< zVB_a0a{72QW1uK~(q$~31W|tUsz#|0k~*xCLGwb@XcvckYI6V$vx$zqsn?225SMEl zeM~;-qx)IQNto*sx6ft`Y{{Xb@W;kdyDx8jINj{TxeG*Z0*I6&HuaQ^v_mh&%QjRw z&o3C;gCWs5>ij&61Q0^7$WGaVIxTX1 zu2YdJYV}!n;$>c6zbKx^mebI9&0$+DsR4e)?UNJ9n{%osMXd(CRnRdF@vYsrF3WAr zuf;T3*+=4AeuaVOm=%w4pOBx41&+tj6?Tu~tqQK)2KvE1lwHKmb#!Fk4i~dHm~Dwd8~Rd=jiTg>&B0GH@tE57I-}PWhT5bn6sB6fZU4la4x6jT$d5R z)0UJhfYci7?M5A(2{{SX6UDPi+e7RydA`n^eK#c0}f)3^QYEHx6jLHR0Xy|I6Pg*xgrWmAE@V-|aF zB+SUuHox4iGo9fqG={Q7+-%(y8ShMvHr%d%`F#7vTX%`|Fy705E9n_|{&v7C5_!~X2m#gaxVyEWpY-7~isig1 z#vM1jA4c`K*F8PH<^9QNKj^w1z?X&3OYoa2&jAwqO&KrucFZ)VnjPRrl~ZA6{fw8X z&uweB!{F`)TNj{1FJ?i&Q9|2TBG`4u7dHv}uFbC)XL1)7`q#|cQ{DP}eiw3{ufRt~ z_s;fUw~osrX!jvo(OLiWTN1_*SSs7ABx`wA)*lbafLDu;<}97WT@&tiuHjV3Ou;#{FP4Jm`8Q%Tr9Bk~9P+1uzaFvn+Au5!6804R_VD}hqL7KZo4E1DZp;)p~V9>}9)wb=LIn^m1$z^PGQQ(6}>K*EqH7D5>rfn3+iadwgh1z(#2#L+2lL{OEh`rpdZFt6huQ&g;27iCwOR|B1MIY3QrWO zF74k_%qHN7L7Db>V$tGJ%qp?p?TF9Tk?b{q^_5r%HKu;=gPFEt$LD~9FtsITt|_pP zib^dkA+h@H?=BC5RvS9D|wb2ERME zp!CGXDKQJNthxC`Wk4p}LQ%H?U!iH_K8k%@h3HH?LxD70a#m@FA`p;)r6VXd&tRAP zE9euZZ0fc+dY1*pxiT&C0tEs@fikEYEKPRa&1r9T>%?nu@8?!7oSjqG->|cnIVRia z!Of$sv+lN~`KIjNa+12S4{Vtvtn|(#WVop5`Pl!nNL(i1gMaeJ@5$709IPj4c==^` z@oeD6%+=Zb4ftlt$)lC4TNRh<>R)^C*L9u^Ucio@$y{S}C=F?Xg7s&67#geDmPx)D zBqbzYNVNMRp~T3MZ&Jipd^%jgOi?No_ZBHLq!Y1GDDB2s%3!V#8Ro@}==g{ia_4fN zFN%(ACq8ZMZCXBB5yx#hw(Kf)9Etoj-!S&`@@$M7V|Z*u$^oQy^*L$Yx>VD!^$31* z>&pxmy9euWLR}W5CEsCmN5RVCBV41TQ3rDQlHo^*Q#Z?mMhek>DcY|;4jG#8-VHgL z@IDN^jd+~IgmJ&uq*iR|)NwUv#-dy>^}N4){u#!Y$(qJ&DyF21)(o}LrA^m4OT)%>%@T1E%YE&{%o?yVB^^eGK{(D5U%F1+rp|alJ`74} zzKPmbiO8u)I~1(VAyIxmWY*bmmF-(JrkXHI<5}({qnU+4c84;y_Qu~(67xh$bEzEn zxFo&1ZEx^@nkdJG(pLNV*+zKdeTy*kt`uNm;)LOne^T5jo>v_8^&wiX-PlPCSG2p@ zO|t2&@?E{J@7sPZ)8qt*Y!%%00;^Fw0w2MAu56d2R=~?h|7-)1(lxBTZgo&wpr*4{ zlLnox&EK87ba;I(z!$5tcWwh>?cTK%`C;Q)T6D2uiFfCOxBinCVN+# zm;F`2TwEj~#BRP6k5KA%>t{$tp7Z3xro}wn=6AH~yCIZvy#zWF?1lbr)*p}g4E+7k z)1_S#?ku>;Gshybvd;6A7JL1f|ExU>m9uhs81tb=-mtr&tHw4s4Kah#0(}W_JLdE7R#M(8S$wsx zwVcnujhp#;YKygJM{3KhEQ0LXnAkU6@iXUkZkvKSf%B*WXr{Ct|f`CJl*=GWlFkK2W(>As zKcR`?l+fuJ4jMlCEHYJ#3QBG8fd$!O9NRB`8fj78aFkaH^mtr0Gz2kb*2l;u)2VzOct2WF(2# zzET1`nIW3AAz>gHQ7=xCQ#WZ!w(20KcFbe}h>rp%^B$Ktpp+ER6o+S}hUqd*cWSF| z(NPEIVzn;6V+S_3Aw+?t6zppWS1>r}BuXBlDwSCz7{o6MQql>bGtk7^lF>x}R0N}> zRI&L)Q2Ff!G@q$>K;q;`%JPGTwxA(o&org8goc@>mJsxJ4UDiDY3*ByFR1U7aRumCcw38k_a)O>+Kodr{ z#QgRrcZS!7ZY@L?EhNq|wwj%pvbFPrHxFJ9ezb-5rg*bzxZ*!Ht7$=DT)kn%#IdEy zx}i?YO$(-uVj3!;FcUw)J9AHr&+fo)WI=h~Pm8KcboV=$8D^SF^ONU5CLtZUkpLrV zMM{K*Ot#Czxs||Cpzrc3C*;e_Y768`cjM*Bd!^P-uiMUk!P;yBJ{{lZ9mt6GREg1G zB4?5UqHw};2H%nH(79!f^Z8}krFZF{^ZsIyqDp zr{R8jOE->m_2~%2Wi~mHG8c6Eil2_Iq(jvpTEgxN8F63uM*$E{6`Xr}a__K&KmelwQE>8M@NGZ2T>3-=X%;t@D7^J1U z!{r0pCO6XzO^lF@AFlNz-~MJwzBi37G3!c^UgOo8p%;Wgu2C_i8i-rxTC`prYw$_Q5&r} zk?(^G`D8mBR`eaIC!)8_W3h`EVC9Ug!>7wC13&E1&4L84)P>!Ps0Xv`fyCo zXovM|_<4t$S3C?mp6r)9?md?;`Mlea`)t6_w}qaT6q1DLJVw%Up&Z4kv+|#=h&-Ivj)r=((!Hi{2A)y)FyI&MxNBR zDZh4($+Lc4S7T(SwOQ?-)LZfB8P$)ns%p2!hP^?F!QPfz#bR2~ZK&Dr9n5K9*g^q} z$c=}!ar5mPtBh`V$?*&L>b{WdaQ28JuX)W`e`&&YXm`u5jx@68{&`#m&V4ZBk{=RG z3B?zR(ppLkb95v!AHhIC^EE?!*0KfW3KA9IV!*u#a5&+OFh25_zuN%I@gU*;3)cH_ z{U`h%qyE2~;{RW12OH~uk#=-yZ2ix=Umfbq)O&?qF_{Kj4BQch9@{U{Q`B*h^^D|D1|H6idyNCZz4 z8-p>TS2DqeBm1@sFA5Q?wq3}1v>1zPgif1o0G)vrguN$8MbRu>8qK7{VGjq*OEQ%XquQ)conYy>K7e~lfks?SC!6RQ+{iaST0cqY5O-zP>JaDI_|+wH_= z!l)~klFC&s$YqX2JfTr;FIQ}^kIlwo#!m=h(Z1QyFaltTO-!WbkeHZ_YZi_g^oo;C z<<27q#m|CSV1BE^xwmEC7TQV#uQK43#z_t;gNIJz+9k-uo~=)p6g7)Vgl(8myHOK0 zBhM2x0hqYrG*Z@*HI|r&nE-SgGbEK|OPs36xWrpn=_uX{y7$pYBFKj81IV~Ig6Ugh zZ;yk!P1R?QncoK^du`I8u{}iLZm`lBf{-B1q9PUa;ui=~d=eW{8Ym;lZtN3{$PEBL zNXSIYs3RbjOh>sLRr6I5rU>kt6rKEe3}+$r zQk%X*G@4@i2k)99^bb|iY3QZfZ%)K|fVZw%(lW~BeZ4dsUsJx$C+_`-| z*REeoVNfeVGjF`r=YZ)Di)JlbRNE4;%O9^)c<`*8S?v*8{4^Wc~DeFm!F~ZtHk|dw2TAqnG2qrf@5) zYPIo^nMc}5GOxIy%z4ySNE~?=VG0({){s6uYiwXhNMKs&u& zyx*MC_}iY4xRs)mWpJ00Ya@;kEBzXc{XXMvl|08E+&T@G$C18FUS0adMM=!B{|mL% zLV*afveKb=451Y#sjE{=yYjk8S@EW*EO5cWqW|#zhExMvZVHQEYMgCL1H_s4h48$& zhZi6c_jc-e_IBhUvra*uH-syTTHL94>-SkVg5Arb@~a;i7HYnav8R0@++yD z9Wf6iPYm>ZuNmMqtkvWPs2<2^7Ud+inc}0Kk^wS4Fbu$U_%XTvF+~46OaRw^Ob3Bl z1pim?9|*J(rSrEm6WZN0V?zH#B?2e2COlv_PboDD@(D=HvB8?#V3sL(dQ71NI`d?( zQIB3I>$|zy9KTR#c3Th>Z%2QP{qRm|fa~)>A``<a(wjiXyHayV zGG>X;ynzW)O;`=WhQ(+U8#KKnsfdbLRT47*BqCx(tiqWj>q3*U?DoWe4oqi&?W44x zDU5}|lA0_ODu;_0EN?aWq$t4_r8&{?^$3#`GD^|G6Q!%cQE%2;GkwB_U#gI`5jtMR z%nXqtVu#*%q1fCkDkW$&k*@WZ)UU(=GFE&|YO=-zM9`N(3bIB}$OKJv3QeYu6rMC> zrc@;{$OXn?k?D8C|I(OsS95K<$k{Ps-yBCk`?we46ERZcGd3pfisrWV zfnag&HNxT;w!!?xrU&z&!|Obe`W5v|zfSJ6N0#O)_MS(c(1PNZEP2o$ZP(b$+|G{n zV(!x>6vJ|@@7&Efaam|AZ`I+*W+em#fqKUak-6de;~0jBV2+*xb9L(E=;`ZxPpI{=D-MIoqu6Xy8f^ko0yM*>-^wrnl_asB{`?D}0kjfnm}fB+EBNq=L)&!~6b zO2b#r9-HCIDtXDCwBomF=DD~)1UmQGdIX*en#R#<(j%wkpDI%cREB9|gHNxnB_U(? zH;2fHva-u=6j@my>H~6@*A{cVA^&CiK8J04xAaUENcD`%u<4^=cjk0BvQiS8@lOf3_-Y;j>gQr}6pKZ(9s<(|7%Bc4jk zKQmqeyK*kS;q)IMtDstZXNH<2fDI~mK`GUne>JNnDwgVNvc^3ZWIoOf9tA+p$0l&m zrms&}lB9e1_tnAHH?-F~*YWp?S&thzUoE74g!iNU@U$hjvgB!jNG~up1pN8ogbnO0$s(tHeK=k4>u$JSleap;z*>V6}^muRjLa<~4yFT^ulmr}? z=kqQhtvuzZv+>{@cN^kcoPx9{_6Y<;qM}qb-g%NE_{o3^)H2t0nN>Cn@ItQZ`lk5- zSb^YX-f0tWT9c5?DVK?DIZa$Di!NakD@JY}T3J`Cr-iRX3I#{(0M^x+?+W#qwGHq ziNxzA&g~_3q>89OXFIpwb!-j>Td@?Y%FuLzNUg%Kuh*1&iAkYF8;3I6SU}!j&gkkV^<28p(U>ajnFyl4RpdduPB`1G|7prGM_zKj3 zXHXUU>hck*wJjOR#atl~6R62&g+OcO`je#Yr~D)-r9xRGL`>Ot#`sKODVQ09&nqfk zwuv?nA@rZVB4teRT; z)f$CqjVfe!8|uE&VIgWaW=d~bEf&<(Q%?5}4I03IO8Sni_=y404ni|UN?s6wG~O6f zBeS0(9$6SnP$?Z+Ef|Lcl7=D>^lJ@n8AWxZIG7v@nf1wta9&v1KVn7)-b0@`=28Dm+i{O&+;GXc#*x;{t#(3L8_%{U?`n7! zYre4hFvN3q=`^Cr;xxlpw_#FmA4yp|yYW6n!Ett}BX=Agcz@N^vTsZ5qdOVao!6Wl z7S&JsYvj>`b&KlO)GU|!_THm=aMXtntKi{SeKM%*|kMoF6Td7XhhPP%Ne$-^bFH~z=_AQro zZoq(>kEwBt+dqBgajX1+OsHTpnMCjYp7v`t`UtqbgXEY-tijjeY_+?p0Q<(BA?+Up#Qe3(xYf>+<#*U` zxdD3!be+z{9um`D=IPpAH$45CuGes2+jok5{^Q)sV;to&Xbz?^BgOxau2djO;$t^$ z-KI*uJK`;gk6$OdJ)2cB-+8Y7<>&KqhRad+{I$AOpAbZDN^FlJ;$(5~V|HwpnhFXM z`pviFd;ufrTq)`;ckcHfrd>}6^AeGZJhQpL7ikHKPpD;-{$mM8Oiqj~v0Ay`VqbH5 zn`};p*YB#GOe*)uw@y_iqj$dTQ*WIv#EuJKTho7Rff}YE=DWoI7+3%0%>MrtPT1ML z{@+*Sof@{*AZHa2{?N~|VARs=4gq9HfQan4@u_T2gn6GppCH&c>=Zf^-E5}h4g*9OH9uKqalI%jZTS9?44~q- zYywUAZC$ab+%K4rQLup4*bLGS!}Kcfg#a$W-tte#pCao;k&^x#X7^dbCz_{YHgLvS zMRz^PK&)zCeK)lyh2P5*reH~3)ow_XHM6IsNoifDxi&M8uWB91Ef|Uq7Q&_&A&?eH z9@9mjp`2JR-Nr36J;g~^CBca&T67_x%QL3Hg(5&{RoemK$$lFFb7ZqHjsCk2e2CVP z&<(<>9Kfimsfr!|G0G+Vec#n0SXeKdKUoOEK;(z+p8K zad@*qeCYm8&H8{qq#74?zZ&M)BP|dR;z`s5-YLLm(aDG(rv+<|YvtNXp8Mr9?#+_- zjmh=Pj>-N0#jV@5nR8cHch~dzg;N*TYG=2{bJMhM*SmK|XIpo>?rT%`Mh)lu&Nw$t zm1na@pLaMG6Jp3NZE~7=s4<2Iz@w`jR4xcpo2+JXf9B0q(T7d8c&N%|kh|m{R_7e} zLp9*3NUhD*$EF~gefEd<((RSKFN{b){>pK<77*%la$T@1Pz$lmQ0Cx$|Hf1n_s;cF z5c%7$OD=aq*YD|)PpX<)p)%v(j^bBo+{?Axs+U8OS?K_2ag`nEMTf2(VEI!067c0k zjhd_R1kBupU*VwG|6k!ExD}n(XSc zTD8{F)&?VizDV!4V+!EifGD@enTro%8A=OW{1)wd6yf;96RwJ-;VcEuJI^r!W*^q>|58piQdnCKxY+n-Y}*U?c&kBec# zgL=L{{iOE42>kjLaE9BB_Y*jfSJ~%?aEW~fnBfWb**W;1LlvpQXDVH?oJaYpnwZqH z7W4L}7tQXEMW&U2^R#>ubEEhA+ObEghgn&!;AMFNdQB+{SQo2hiyikje6=hhapyZW z9cwmuTY5JYySq#nWBoN-aU?9i-+gD;)PL;Xu0FqQnAxrq>@zFL6|MOA5Wv*K|Mt86 zm`6#il-Us2Kj?o6bNNtM2MRc?X4u_C-9LlKIp<-@Zm;)ZdwC8`^+e=2gP}?yZ6Zr< zlKyQ|*5ys^^xMX*mnOcA7g?@bRWCWNXFwas^TnxBTMpYsOQ0c@hCq4{PnVWO*N#j1 zYN5l7eH0MTY8!>Gr3!}E&WYV!4ID!Vi}Q5KJ2fI);FDP()Yij683TBrp^geyf)@uj zRBvuyB< z?wgW={dxQn;c`|#Z)r}_+fH>`<+An$ZDC|`NM0tg(tms)m6?Rn{DRmk%01lmw5GH1 z92PCQC7wnc%_zVUtCQpkYx*$D+&P<;)xl+8q zyrh^@KzsN3qI-dv>E?WDCp-tn8sL3Ci5K(Yk6jS4w~s)dJfC0s_92nL1)r^E$5#E% zvcg)=wf+mi0fs;=8O}1wZfmKbi2MC_U*9+KUNDzEv(Uh)@+Oy29bU3_q@?xEm~@EHk9q#0YX zF#n5kENUR*`V4`n7YLpj1aYYmId=3*Nh#Du>P9Q$7glZ)pSDNQ%t*TGk*M%Kd&6Gx zZ31O&XG(p3CpK*bSWqGj<^z6Hqh=<2a>SPkpDK@~4;Tc7m z6*xs_$`@r)a7&CnS_U9X%-ln|`3^otBND0kuKlUug{IZSP*Hr8`sPF^7Nk5zH89a3 zv7Z_(p9F?X26TD~jr_ciaJizz%a`@`jYrqV@3kqn zOK+q4lF07Eqf>KAPCadTI?vslDea@?@ULf0qkbyt>AscDTM1cd<89}F3nNH6aMvLV}CDTxgMuq3k z`L6`(!r@6rf6Oi=j((BP!_V~BQ~qEMk(-$$k~sQdJnslCYMf1L2A6!U;mc1nf;JcDtD7^YCRJ z1PW?xLIEPXfz9Xgz`%Quv;^4~-K^IVj{i?6IEHb4(y`hhJyGh~?V#1Q`@3_8mXFVt zkd^t`&_KN0<-4Y`D0SY&Wtt|}%iZtx^J0J_6F=i7{O-6<1l(PdnsiKmc3ccD3+mv>Ui_wRHiNcDnP0Q_#iA z!?Iix_ZuIJpJ9YPV;ZskR`ph10`McR-zPA*Ru*dHegd6ibn%q$nd5*5+gAoCD`Ynr z$;jbibF?z!7)->IE~P%9o+VDMI`K+Xu2!9L{j|9BGcZeoMAcrL^XH)XgkBHe$<|iU z%8`1jc&0c<=SWl0YyzB5&kr(Lp&;wQXkDI%UCZF%_yo_+ui8SVrJ65s-n{U zXlmS9x&ouw`7mpO(4%CZsyn^xoIE*poqzw)AYv#DuAhSS-mh%QBWofdtL)W)Vb&m` zSDq}Xq79#PH%_(`z`rn(V;_52V3OahRnD+UwHqr-wPaz1+b3mmk`t5-PO?L1xzpLl3Euq7<<6#O<_%i&zQ!UB=EyEv}dO}S0}XjSnYXknOWm$AaMDGerQUOpxDCQ&l*yrx?k&QgjDdX)tZ z<2pd0X}eE@m1B)w>Ca1cP50T^Ssf2Xk*HAT%GV9mLlxJ&ZX0VQHAGtWcYH%$d^MVs ztZaqNhJEN!8)%`_l}KSaJLfADg5Sx^-NMg>*ha zPFSo&3n%QWF@?;f7IX$>eB*;R4gcARH8!?xW#A<)2%jHoQyN)pgkeLp1=7?htb*H+ zI&ce0AVlM1j!nB3#F-E!=R=dibHtD&W`Za{EOrh-O=f@q@P)Sg05ta0RpDO--_4@X zOU(gr9BIQ`L6e7TWGlkg(AqTJ22oTkR8zgjqIZ_VztE7y&GjAdh}gaxn^YTO*u|1% z^*ZuW=tNOZmP$3Au8MB-?W?tljaBR6{KU)xr;^7Sg=1r76rZ9QF#G~f;gB9A94-M{ z1UK)NPEn(TS&jl${0Ag z9|UI;JN#)rtRy}R>a)>E1LTS@IHWcOBL-Kx5R3?e+L{ge#6&5nzbTiD$cLp{l4U)O z2G0*I`VgdpkV)$=_nH%kS!iY^^8u3p)Zhm{%`r>UN+ zZnG(xY`bE8A0B6E`Tw7tHkHG22teO!5#Hv;cp7QaF^xkxm=P!Af!fNVEM0}e2Q zV;Xd}c#fq%+fW^Mdh!x3n&a5U9VZEri_;wr|#kLbk#SLhWA6M?d?~<%r^% zEsJN)aLd&ZvHR-MtoN4iu2Z83o~QLGnf9!a~90LP8D1e7;<72eF)$s7{<6 z6aW3uY5nh4xULL2VkbB|VQ}MlUU&D+=_v8GSXp``TUuX+JJA{_VBBVygpfb_D)a^=>nb5{b6^^z6JKgygV7_?%9ew$iZRSG3%x3W8F9*yG;0Zad<_Dk&ay^ZB9ZMPIJBOTm3QsAM~+O18XBP2QRk-X;cV-a_dG4Pj=amVH6>B2V{F z-k zALhu7*>}Jg7as81=lit6mNpOlF4b|dW}TaqU9eh&YOK}xJpcm-#VWlk(){wDyQ#%n z*Tu&}BL6|zTK!?4pHC-6-K9@!Mcp+|&m!IXeQ~ZU9XaP*#fQbgD94UhjVR+c2&(=y zW#;7v*=6^L$M40RHny|YU&^}PS56;a-YC4^42$*epLg~Ay;yDEpb_8VT2Kno0v5=F zaraqZT_*xjxH>183dIQPEx)XpeLeq0c#ag`{RefR_C|eQ{r5ZanE=cSaXZuauDc(z zG^~YJ#sn;D?8qB+;~nqjg~-Wv$c0>o#2UD3hvt!6|kBN)90JRomr<8zoy1W7Km8Kh(tgzFk@;qO>s4-2CTw03Yrxi zL9u3)QN*wMJV0bHh=N$bXcb_MgE}_>+25w(;lLVA!$HTxzVzM!+97$t7GVueDOUTG zm^M|vz9TEduqckGRwoyv%_atk8ueWZMt*hz)0`Xj52X)3jm6;rw}%VD*=-=Dri+`9 zqSS__3SHDsLsk#{F>3ui8PsKcKdZsnbr0@LR{TkaAh!Tw^p#spsL>H=1{>W6(j}oU zDw;`uJ?%tNsqCi5-KS7=;O{Eo*4k{)Anh@0K1+bSQfL&}{BuKuje}E)P;?DCWPDAN z5@|E19It4Pdw`Hpoyu1md>t6IGh4LU$k|*~<+)l>A(1~q+aH>pR^QTyZ9DusY<2K# zaeO$=J4-B;O26JF$lg})U1(RsiM`8$laaTj;r67;Vp=}&f zFeP<;l|qC5yJdA|4;Ecxelr_3J6-J|WNwH_XFSap4W zF>dwX<=NE^s&(yNG-Ty4=yw6LeHY&vGq*sU-Kj4hO(3uONH2FEXYg1A>Po+KIM@pw z0wwplHiI~L>cW$GQLcyX0jU#|rpyV65De8V+b}lM)8gM0%N@I*C_#uJ2Z1~+_ao_o zDH~nbs>yFFb!Cc`?CXrirO^4OW(k9^5Ko?j`_&lWs?_gZnUA!P$u~x&Y2Op`o2`Un zO`h*H)rV$pHq3FSPe>TI?CI_pw|>lV7`KMZTmID3xmJoY3#Pd=@r~5(Y5K5F^Cjfj z8r;lp7EO^O?)==^qKQ*)piSwRYW1*XTO;o4l^9! z#9gs{_a=P&9wxlBgPiOJksK=8W(Ho`0>qCfvZudkta*8T_PW2$j`;9LANNId_NNo? zx=-4Cw)8!9mm7w8oSZXWZ5J^*yUfB_Y~KuKv6LsRuAZMUTj?H71IRK}a?m|Zy@OkA zfj3_UtYHY|J=|1mmHQPv$E+a=senk=15Ex|-(l}izh{*`x{_a7l3f-%Dz4F0zN4Qf ziA{p9f^J%SB+yM;O}@lIQ|_Lh+9A?oT#lce^@l)ym3u#>hW-56!N?gr4nFJ-1J#m@ zp!3q9sROdR&0&Ey_|65vkSyE#;v#v;{1Sx&d%N7>ZUmAY4Q1V(Yi%PCkhjgU0g|O| z1V%veUL0M#()EJTpQPFSW2*RfGr<3;!vBA0D@1`*`2VM^5b<@+fGYO4$E~t|%3Kv?8UY_PlBq?ca zO4=1KjeJH3E`$l6y($f>%53<=PaNmF+}oK%IBJ;8A1pLrBQ?GzM-8eo*^N`N5X`>_ z6q%7kICk%P+ikEEz=I?SML=bI<^v<>hXb7&EPP1Zgdvp)By#WDME)=Yy@uE`291P< z7C}(kA$g9CM>Ov?=GU8{*a>c;;00y;oahA=94+ZyQ?$_7r({w>R(7P|(U~vgEcxQ5 z5ba1gtcp>|{>`CCZ3E4pM|jr|aXZ3v^ndKl|3cE7JeUpmxlKC1=_dZYF}!&}KPv9VbHn z_$F^8h!M=on~__DPPLD_H)6G`L5396vC7H}Q z%5FEQCi2}VInM4@1C6p49y2eAs(I%2cXk(YWsZ|l#4r~~)s1b`lgv`PHbe}_O_+fmC7l<(@RW@rS&_EOCct4ksR%C1Dk%a1}P|9`t z8@LPSe~!hOO%N6)V8^_L0O+1@1LixvU;t7kXWT4!>j1z>Hv>KrVxIvwbhG&Rcu<8a zSSC79uLQ_#zTsH%|FQNKuyMpoy02qqW@bBynVFfHnVA`5W@cvQF|(bR8DgfGnVIk8 z?A^P&S4Z#Yo%b}Fo>tFjr0yAY{j2J$-`A~Ejp<*Sx3;izZ5&rIX^9tS%%%U)d=ReZ z)6;p1+V=EztcxdU`$vzLZqxl1iDxL;x6)kwbkOSk<|9;`K%ZA59unw*3>pCOdMFr2 z_w{fZYa=X7wag)s5h3~)G23C@oSKbhecs3EpZE8fnZ7CRB6k1c((s?^>Z(4|}&_rjMl&9p6Q-mhEH*`W{V|q-p`IM79p*m2IM8n zbT=c%lJClA!=K>F>WWz?G2KrInUQBC>A6W_)jg!U*7I_b*w(1KnIQZuJw-fiX-2S& zyXflrB6SVqo{nEQ+MGAYIktLZ;+4FVf{iYi0C~i2QcQ@e!fIZZ)pY_XraQuzT%85+ za!FyO{y_PT?~E<+KaB_f)?6+l1LOapjdA`f^kSEmw!Ka(kTwSX7Y#pXy^zmH;J4ZQ^}i?qDLE60o=Bjz~}BK3(fpG4v3{!X5Tz#*w|oSzLc>RE_d}d1p`3 z1yhUMzo!sx5$;@&SRfKI7RpJhQXlHP4e@?D9-S{yp=6XTQIPG}aMN|I6C7&bgMnEH z)3QT><}*uff;LL8(nMLxM3yM2@|8`JRhyczeMi*Xsgk*iWQ`JvLRc`m19fL?p+up! z5l~wPT8_M>Ns8TB>?YHgKX_H(m zlsMb%5@J%crqJG@-jKejy-`hG5Wm@GrDyNjSzR+EFa+ zcAWKUX;@a8sdauQh?<(B-PFC#88fZm>a3qZFpqGM#L(XdRB<1sj$BdDb?fiFMDAj> z_lRUZMy=;J_Pk!4Nsf~*^6Y$bB5Gvg%<1j*b9a77o1EM@ zcsMItJMBEpa{qk0GIM^od)TjHdh4QSgE)wA)Dh*_#JavYS$Lf!T2^bC%&Iy5T{hm+ z5|*Fq+xEVH@?P5k)$IMpC^y}vN~O@Z;;_|y$-Yi+YoP#(mH(tDl`y3PD1DdyIK{>j zCc@htZHR7gvZ|)6VpD?~csHKbZZ8jwt%!xaCV54-&C7j!JVySVwpwV2MoF zguPTY3>F2W@6Fh5y_*U7As8j;+reLt{h5N5RBSyzC+L8rDRZ(yPACBtmfv$^d^385S8vEeUI+&&qq(YR}q}@#(Ex9jjHz{)+L`d z@A|uBXOJhaJFh3Jwi^*J5rXx(&vL`+uVSs~SMRlKMm1XnILq6OPHR34SFKg~)BA4% zk&ADh+K_2^aqRZ|>vqxJBYX9k*=ilnPqxdmH4L%8{8N?u z3hK);u-CDJ#DM*l`|*M^ zLj#sfDX@WV0cmmiJuYYroLZZo1pzIe2TV#E4?{la#Uw6J?(Mi8 zXf#qiOD?&w%~S{4v~qN8496ZXkDhe@%RKB?SmyAOWO${SMhH|3~D@@ zeARb3IIoL`uuX{{Cq<08We>tTI!`b@!hi5kU%m~BE5M-N1&zaX$!(zV5OV_`op#@G zyb-6}ps_~NvkKtOXzCk*NUr*{a2)oB@=MUTJ~w0YzN8EFeYk>&{L1fYKne*b|5XULDu^j5FG zc{sbtLD6u?b5-B#YEF0R%`sNE906EXvaB%3xcnN03T5`8lkRCSG#z~GVNePqV=Zr7 zT0kZ1qWMmHN_}7f2&T-ePDP6|o@)`L@|wV0rV`jlE%m`|G7Uh>FT%sjw1Cpa&Ia9> z`~B$$ghoMwCd8v7@9<=dq#tC0i)oqP@dY=@NgMHYm#hhix+69mS@@!n2H~V1=rFlT8ukK70^VKwMKo?^#Y)v!S}0xy zh3%999<`FhPmulW;rU-8DGO*&Uo?bJ^kVh6rUMTJAr!zX*T!Ob!-nVTzu>|PjZ4-u zBXGmr3ExUu7>yv|SfGy7cuKkAu*C)fe@6oL;{L1|d~JR#=9WngPQuncMQWOd^`K<$Ejek59zM!*+wv2$9d;x%HdbNy1 zJ9-d|;_RXxP#z^RunS^kSM7oS{htXBT|M~fO~udp23?!+dUOQEtF6t9yK4VpE}67# zCjRJA`wBl1!Ilun9h1>|mu+!85(YCt%S)xzk!l!gJg3G#j`m*Nj23CfPVCg7c(&;X z>tgYZ<;&Ou^-+i&yb&L1A}Q(DDOKK_?ZcsanF^J-+GS>nVLhDWzdsO5^UQA{Ww<>( zQBLA1;OB@B$e0xGun!AT!stdMXN9r$ijVT8fA~F#VTiW|N)UA|>*I2g?_GXawGKuZ zN(4coFcoGs`ev__WkU^zHy3yfLu->@iS7MNHwXfMj~6GqvB0;Mjt{`cPY5#pOUxVD zay&Er$Idv4CnqVC_>-7bkK-8K=-eI$B;bcrK2JHww?L`G4sRYMLjBi-b|Ha{>maA-SNO28AS(r<5He=|-=^s8T)}(l0 zN7T=PzlN6E2Gg~qrgI^)$@XKUWn+kjELR^U_q#}|;#&ih&@2L+rSBRI^njyJSc%gQiqXo!YUa7L~7~` zM*vOAApc;@Q%IJL6O69Bmi`_ENd}xx$}VCBRB9$-Wdv5+h;0rWwNW&=jk$4eEEF)T7Rm=r2VkLr6;fBxV!kEH1h?4Bm+_iLyA1S1>@YXq@82%!uf zs`wQpIbf3@DV9ll3U*~#iYR`+$tDu?S$&scd?;SP;F_*cxUZKE8n1pr+z}FACH_V+ zgX`IF#E%--=nw_k`Z4Dfb3$vRvbY$u6eoN^GNCdXvC%XZVAzzBgiZiDLdh)(&nR99 zOTdD$mk9?9FeLR^bdeFr!Z-f3;n@Xkf8f)I=x5X>22OLuV=&=KhM_Huh{q>7Fh66v zCa)x_j@W!HXEqxrhG9&YmK1A$=(j51VMu-;kE8tILgWcFjQYd-s~q+%u>f*30UUUo zNY-I4w}c>Qp3A{9Xo(A4dsFrrFMZNl@@W!2AnJN=bZ>vpy`FZVp z(8sC6J{bh&NDy&wZPvGgj!zCF`?2m8XZ2)pu<5ftF zkK>h<QOoi}gA(tkM_WV0umH;ZGo zrRvi726o`P%C4Blevoh>C`D)JC7zAD=7uQ^`NXWd*nW%IRk?7ls{i09X!_A% zHOl+fa9GisZ%)r1FKkK29?T8y_-iBI%$%$3ZMKdgx?Wr@7wU*AI;}l9d!@0oyQVIF+p-F*R;-=Gw28WF z(ernJk(A@cb}_iqD=(*3)VHJI-Md!1T4`OHcc?NiRo@C8H+2RXh%Y9-^&NBA91q|M zagh^1P4dMQClPWHSZxR63h___%YByQIZu7Z9&1037ty$=bJqXX|Lz=)kLY$MnneB9 z5~=eNKPW33HMlF%yax$jwRvPtDfV@}D;^e>i{|u!HfA|=^PS#3Ro_~xx*sPy z%boUD$8W>CdKr&>YQ{Gr@x7k^0@^b7a>@VcJ^$YXHT*X~8_U1cmug$<{G&1OcM7;< z_6XQRwu3`(`#W#Y9}I|%BM36iI>-ITyg@Oq_k#<)<05_MR)Sei`w?3r#AWr|*c|^i zYa9*?Ua-1oC^5kRx#&VrDkI5&5zjgH_0t$YEGt0ICA#|Ox*T-Md_saU9Vr=5T)LSb zh!|Z6E9aFInc5BHv-NfNmy;8MD~LsH8>BQOc!GWlij{>bi*7uV|DzH7jT(*RHcWyc zLO_WU(kxsnV^1TMS`aKNJl>hOMlHaRGzu1k69Ju00Qm?*!+?sc1TjWni~y1i|EuSe zBV9Nu4*)5m`kB1OgjV7PO*6`fG@%)LB}Zg25nhATS~4-|NFB^O)wAi4hkTBK$4~IE z0k)4JYNby>sV|!EjNCJ!aNH)Y}Ghj)xT_Ts@iLCS&Gd90~c%At&v#r`*jevNHFF2n8zAS-)fOJBu52nCHr zc}Yg*@3`?wnP@_aY$b#~%Se*GH>h70h#lIGPptIRn&#rMnBuXlU&U5hZdX>Tap{X; zECiXB>`cGrNMy^*ylk9?!7^jVgNI$`&6a}Y#|u>3=j?RK9&hOz*9e6(JP#>}KK}eD zv*I)2zYu%!X74N(80CHQj6ldtq1OP)k!t-iRSmIE5L2L5-I~`Bo1pfB>>F{x;f-(; zk$NVHQMuZ@GD5l3HZM|FTN|IxF5jGa^LTwO7&C@~y%`AHH-3d>4IV0IR`D`zjJRdT zQn7#1Py~MlFT{E3OuA}PJv+Qam`CWh$5e$z0 zUCLm+KEu!XlCRT+Giz%0x@AN<)~Yu_mvKlJYduNH!^iHKG~s<@ge@4MJ@BTxq=oTC zLq|5~WKU;ohBPXM0FRr^O$RVcXg-&6tGhdal~0()?k;C_P;63R9t#9lWCwq`Zz+&H&O8Wpy(y%K|xNsJ-^Te?=gz=oJZoxuqN z%!CBPlTW|GU`nKF<2awinxCoq!*^zYzF<nzjTVyWpK z;E{Zik2bn!VB^?#h9RxHeY2{%Mfz==u!ks39Y7&k)#Uv?ei&b&r>kk1&~tL8iY!=RHbh3CxKe)=-N)G%&>_C5O)cV5c0%~W^%7c@UfsjX>= zbx4u3wyAc*;l!d-b4m*~8xZ^>ZISV0iqzMQh*rJUO0WWU)sBRw9>;bX3FS>dS-WVz z7zchk88+$Sg5I;uq4ry)-|{|^X6G5^dT-I^8_d|*idr}y_9+OY91!uLU4ef@ zU}0SofdZX`LMCG4+-6A{9_lO`-fySi(|G#~F`E0-nj9FmiOXS-sEqKjf+9wY!eS5> zrYsf^LmnsrqIn`J2L0g{8t|6Czd6Vub3j~CZ+am5#MeL3Q&DArKVTXu8QAZU#^ z)Yd+@rnp)%MX`gyaJefc^A-w=;{fDC+xK{ks54q<^@w&eNwx6!Zc$`r5wil7u;pbO zVNxbCT5{&$LNtcE$TZSpNX)MR2~L|-8|69zIQyg>#Kn|y;|W;czcve1a@xY_N(-5a zmnz7+KJ?a14m68RFq5G~P$ERr&`G1nueEA;O1Z9>Jfcy_8z*n)qAWCAB-6UDQ6ok+ zZGJYNO;YL%U9;^1*wat}XOo=$`w!Q#19(gGuT4Vh%zO;XMdDTbYGtQD13N1Cbuq^NmzY4^ozC0pOyU? zW76C@z3MF;`ySz(88$35XZ;CU?!ThbrA8?K+)oGo-z(=2zh1OJtlB1zddP8VC1N3+ zz}v`iHaQwO*%JFdIWrWJB2WXUv=U{gM>Tw@GAMrodvsm%aDCrb3`3cNh&+uwHlQBY z%zXPJ9Bm$k6%UFGFJT@J&5~Z@>g%GN*V?ZqR?pIXadr*B?@#_4resXTt_4ncb@~y_E0_o`PbaC~=j zzKK09nGo*g+Nx>oq+-XC;o!^lFvxfD^8*hZc5C-;$aZz?u$na1mz%YuvnSdKZfNo;gBYg6MT%1q7dT^ivE4A-{vQLtb=G#@ zf_)+wqDD>|R@vRGjk!sGM8?;l4ADmB-(cZ-yTDmr;zLoN&GS~frZmr3wNjMLU-dT{ zgg~lk1go~gBhYDS1*>`@#1M=QiE5;5FB_E4N7a8@LwTt`&~Z*V5BG)Mn!263U0;X+ zk=3`nQvEtE(kfO1^z_qOxuf%zz_P-a_kI-I$h3bEbI7y%P?QK~ZRb4yp6($+((BEN zA$N0$wIB3&1tz8{H~!Kbp6!o@Gb>G9mgLDibtv(0cy2<7cWcLFe=&6`>DGe$b~joM zf(8N~V{{;|35EWX5rQlK>f5`O+Kc1a#OimA_8{epiG-e)utmOIe+X=l*rWL5e-^5k z|4k6Ze+|enva$S&P^GbE_18o&CA5ni>EWTIqY5tC(Akqg3ElAPQ-6AWi`ZBofN2~u zu~*)8LFRmfdqprJL3k#pn0a2cZ0dN;<@;b}(kMVhPK}jv76ewLx%_Tui%}E{Ew+|8s7|$0UE%2~i)MG-X=StFntRQ7Iu?d3;rY`p5zUR)7vdl~Ao(QaHR$ zO^j@iPRsz_b#^p)kz~SJm->hjFO2YBCW*9-4G%FLX&A-AnqOI^JtvsJmXla)Ar0VH zbYVd^wcv(*d&JT&5KPyOd5%W$1w6w_sHDqH1IU5Bg0dQ;h+LX&Y$C z%|P>VvH?iq6X-AW4dI1fJYq_U?E?%I(+Pgia0LET02&fy((&yC1XphiR|=*PT}Ov0 z4JsU1rQg%43tyCD(PQ${%BlNrzV~SB_~`f^r7m^aosK!z#cjDQxvxzvJalQ>y4SI( zZRv8pWf5K0b_^ar+`Nyc8Nck-#MpRt_N>tK82_|;`jzzZbhG=S7X?fzv`>Le1=p*UAksEIFt|9lcqIZr3Qjr^%g*g7b>h$1w-NP5-FX@zA&eaB7#1jw$WM2TQ zVGF@6fLS%R#7TkEXxn>6AAYIS(!>o*cBEbsLQbEb^6uEy#BB z{e1mNg;Q?1&2^vmUn`Ay^gRjh#kvJ1X&u|+`}K->buCr;avc}DS@#!9%&GU6>`^V- z3AUCZ7Uj6OvEb(bD(YtIBwOEeGuQfvL<0MfSauUAL;x7rb}nx$Csi!Y`69;vu6Z^; zlOiFT%b3APn^Q)Obw|;0Y$>;=aQ}=VJ)OZ(*4Q>ReQW3a{mCyoeWGf$o~27P@hrF3 zGvk7Zp-jDS=Z4W2;)NBB(@Su1qqO%BooT*;_|TGw41P}#tq1p4KBD*SZ<#w>oogR0 z9q(^zvw+XMegi0Pm~BC~k(<-toU3KGzP&xYK>u(8iBx^cv!~$}Wm&nCLvr2`L@hoU zo0Yo}HzyX(Uw%qNMpRJaacFKQWGm0oz^Xl~8H2|^y#fAzCr1413eNwS5H1Ez2xI@T z15+a(j|5H#qYwUJTVfH&M9+n*{`5nyNSZ#h&OYVxZ^%O%4Wm!=nB$z-#IeTzOd>)3 zBP#_O_$4f`FaR5zBB=Ofh2y(%Kwb_h10AQ}h>c=AbF!+`P!Xwp7Zwo-c3ud*2%17y zh)H0Yf)E=CjC2!a_5fccm+ys14 zJ(V?x-~=i|w9ydepC+zs!nX2wcw{M%QRclo(3PyEdJdT@NfK|ka+5P!lZXK3u=0?R zGg_++O~_FgO&~kMJm9Pby;k5s%?}nHlJ=`63p5v5hB-`OtXa^eW7m|HHN!GFGIlC9 zc47aBDD4c6jGXwW!4UNfp5_+_CT|ps5wMnt@4}_Ql?p->Btd|0GjKFulHwUT65^f( z9uuG$bGPJ}BLEN~$O*#0%xi%rtD#NO3Y^a&8ncnj#32PU@}gKkE1(Z<&s5`^0&$%S z9ywfWT;H*U0XDjrutf?DVENUWz+>(!w<@)^Opr<5W}?WD>H}Mp@s2K} z7F4R|Z!QI+^7+a8y_&Bbn@qnW_Vc^uv3Z#G+C6Se9Dj~4(dDKAQOb=6gFDX{b>bn1 zQTtxb?{@cYz}2|uW6FgF<^sX^(S7Xo^Uh9Mjh#iuHc+zZzOYYwXS!i}u4sByY$Kt% zd(ndRI(RZE`$({w*SRL!dO_Fvuzv3K+Qp#@6rOyZt`zZ4`#&))t!%vfIuXPEFo>FV z|A!^`>E!+S!lirK#*T~cwVArt?0cgoko>bj_xrQy1<>*f7f}(Re_E2N&8p5;lLm^0 zxsbo&y-V?^i*fK+fDqCtGk!Q=U4CR znX_L@Hj|lZmerfRVc^I^I$C(-9nl?WWgF);Cw;?#sv|--OQ|mFMo`zP<0HS;w!toTTj> z?MIsPAIOP^5RTJ@ByIf&G+z?J#|5(Ceq6Z|Z*V>y0#3e%2Q*vHNbC410HJcoHpYnL zW>78|@$6|B%Ew|29VaJZoZq?;@v`Sn-4%(pSLn_$juryExay7C<(O*my(05vSx|A# zm{IX*hB0sy$P_zYy63Qzhi9wqm#R0N8g-&Ar1aHXxo1O}vL%0ej>57$JG&0hzxl_! znv!OFjzYk+@jfg1xoRC87VjroWyg{oJD{>hY9L^|&;4`ZFGj>f}O84-x6u zC<<#{a6=8OSh!>6B<6u|!}MK60T2D9GWDQqv7VI!{^z>FmwVkr*c8&iOre{0cKERkoo| zEL-fno<$#gf{EBH;%VFVF)rM={E+{Gaq;6PFZ}q6WL;hCRvjYm-PJoQnzS$0EK(S) zT%i5Yaj{?2I^fdxOCnOTw&!}9H+Os)3@7}ar%q>IWYdp2qds4W z@%F|eC_r5=Hbg-EnVv!stmPo( zOZpttHbe{NZ`}H3V$UC>nB+(xHndWN`Q(gJ=n7LT5UR#8$rv|!sXRX#L#uC`Too(M zLEF*CBQQpqsrTR`NJImGT%!ZfG+Js+6A7tQY%|AUQ(+0AP@5QXoF6tZh28q*Yu0gvVI-nV!!u%|`b!=SE zo6{mR*U%IizUazneKVn!a(A{;%d8y!P0B;&=XlAV2$uz%>4AgMq?N7*cx%1MSopNL zv%#I0o#CxmqIb`i`Lp6PCjS-Q_CM?+a6CEPdR?7bAAf+u_NVtw%{=}u$?bZ8i={03 z)0NYG{?q4${lR;gM{|3%wA1m^D1JL2wH>Q!=~5(nGC>th$I@M9Lj80cDeCd`TNSTZ zF&oQrl0G}QMcR)w3WHu+hPs@FQdn`N{aZsN);ff?j25!ec@o)Iv(| znJ{4WeuJf>v-G?NCU42Q%5mFIXPCW8KB@{K)DKePmGP}dC)?TN4C&n`s{P!CxBa}k z|KNel2ClQ0<^}VNui+=Lg7&1OBI{w~v9e*CP#!uc5ar6C~6r&{TWp{M0NQ{((mKqo@ZQYRm zX4g_Qsg%K~*c<|GZa24>Hph;k=St^P$*NH$@tGzeJY1{!&U=V_O|T`!Mh!!jBdP4~F7 zE-Ul{#L`KwSyiN+VuRIuG;zuj#>yZ_uolnxRf%+T8M_m*JUC;OFxZ2U zV4a#BKHr&pzYy(rSn(HP7tL1f7JX^ti-epdeJB=Bl1P(X0tKAtIO_WuYSfv;t#)u_+rk6W8V4T z`0Y!)9>H3f?GUD??WTJD9wQ8_I|!}lHj>f$-AFfMlGD6L)VU99wjGA6&TaE(43|tK zecg)Nlv}2~gSn{|`8JGt#NG7#spdt>Rv{hce1&R)$`aUqAo=DgbZ#Wp5z2JPm&Z7K zjRr>}Q8YSqIkdsNrtV5e;DKar{jubRdb1~ z74N<%m-JM2dpy2g^cVAFwa||mKtO;p$lM+V_%JoKIk@P)t4VUQe0;*vH}J)){K5n_ z6&PN!qC_+k3=3QAM3fxx#1tFeU-=*ijj!c>#7r{aV6BN5^{Lum)DA`@#Da-qGt^Fj zo?x1{vBHJK5-IPnoRs4Q-~i0R@`UE2;IwKAgJy}vL~@G6=H%tkaM?si8EHI%M8zr95M)*+ zwCIokOhJ!PEp+QWbjm3(D?lm-k_19J2U2;2k~4bxFboG$`a9V7c$OcxKQf)-cxW+Elx#J{4HmVDe_ln*NyLSHE3(FQY&?X9=Oc z5OZ;PpALNE^k{28X5#RB^4X+R%mS1>HpXq-C6=Z!(cka6FlNzjuItSN7byuNcuJ*R zVj));I!GbSni_ua9MN4KZIi)R5Abd zQEkrJOdhMU8=QJcXtsL0h0UL<0q;^RNv>PORB;2%N zB46dOqmqdlvqH4i7;NbZNGek{&OY@wXVvU|uKQwOC>L`GehDzxZS@bF#LyBhEA|Ih zUO)ymcq39SC?gqN_eH}{3aYY$^{4wn?N+fjNB=1|&`}KwFmUki$4`t=BAG{YW!N&8 z8Vzl}$%Jen+Az6yTWO)S^bvB?qH|8P)iz9H(s|ykJy`^E3xX(s|DS$L4-$@o5 zR8ntS*9QKNO@lAP_ItFyj-~Gx9RY~$r2O%Z!csn1X5z;EX29OfN{FmJv$&Mm?WJQJ z&(C}u+^_dfp^6uEs4Jq4A;GmqH*}VSWu{weZMC>@>@=5#mhR1ewiuUKLWvuO9zu8GDCWg%?nP{lHVz zlKe*4S8kxi+XU9%*ArA>t_?OAq^KQJ(LC@+fZ=u1$_9>-*RR)?2U|O+!K<~(>2l#) z??OseYnPTJPJ`KS*5jjk)rMZp&8-CvHTRCJ@$JGl{&vj5HoRHj8|GfkLh6s5AFI~% zblkN@>QrH`W~E%*0{*lMe_Od8$@u>i62bg$LL&ZKBEa%5g2DeK>MZ;RK<;mO=roe; zKgvVEL_iw$7uY`lau%;XlY_cOXHoCjA~z(S$#Th$$XyLX^Zc3U*x2SLSD>cYEVu(GSpSIOoD)3j87x{qX;B8| zH)tByNdTi(Hi^eW0HzeQDDb)|>E-fdOs?e`PFAhJ)L^o6>+0zH{MBOmcYPQ>B&Pag z`FIM35MuY_=Fo2H)AoYB&fj=->(EZ;@lh!ECY_{Z^)59w{-1>4;6D?Bt-lGu{KCrX zXWmoSd2n`Ut4}L-HzG)(vHTFR-vjzxO;+=Dqs$*xW|$Zmh&0Wo)JL|8I<^VdY#0(dE_ zx!Xi_;G@ea`LYB0#uI*x&TK@qx5p#FP;oENlWp%AhoLRxEbB->g`lbM#{?(E;>$Ih z$Zg5*2yUY7X7QF2=u&~(H89v8{>dd+o|fz9Hham^ zv)K~eYpU_X^pBIFItS@($j!W;ukmbU)fYPuJnXF5@a$#16gzOV?m4`^^Q4cg*H5!@ z;=V2WyV|w*uu`6lOZ41Z=>OHlRXFZ>D8ZsQKxdzlzg9Q9S}OznCmF;?*I-kt^rL@8 zUX?qCS0-htr&_rT#p*Twch?y%6`pe-+^7b zmk#T24@>hG5qo-OcMjEZ4xY>fUx)7h7EAfLuOc-;4M9!Yu|s1Iu(0rXxCKdotgBhM z>h5{8{_goZqP&;~bh5cEqm}k*n>q%OrW)TrWKTPlr!Q}Ei#oqQ91PokBU=a@jjQ3| z)AsAqq(zv!Jr@snRf+{OWo!A5EUZFKRw9m!KI-8u9O~lqw<^7@R#5DEV(Z7^wuH1^ zooj5u?y6hCxh&&qKJ~Y`P<%kFZFAE7s%3A?lG~iQ3Oc%|sMW@rZ5G+NOZVsrz-nH; z^_uTQROELQbT{f13YF&qa5eK9v*jhbeV++xA2Y}yVS7Sn+l4fS;da9}C-j={2$7vA zde6QrsX6&&2)JSYNej4jlU#Pgg(eM!@|9DFBVo7^93pGIz9($#+|~ZQpYL!d4aWia zir*enr(Ux`u%yFL98=fYqDv(9lT!`vvkn9d#5TXk;-BUS|0WRP|3!|_^*^=#g%aH$ zqF>DM>I*e7SqNSVs3FVROaGhJ--&PQY+dhd|1DdD&xbQ1@vNK5zWVwtiZUOBY3fvg zfsQ&7(gr;lUWAJJR(U8=Mqv-0%MivO-W2X8lm=Qz7#lpHfM$XuU7!+07MwtuGO^Ic z2=l-~7S<@O#+<4VMMg|?bB~&h6xX~4SqyQ&s{xA>6>lI1JH}Zp167!nu+cHUXq4%< z3i)uw4;p0bL?L^8t!-HwgoTBHMTRO|Z8G{rRP7@2T#6PIVm?GgQIa4B$+NiV-g=q zQXP>`ED4Ft3mDkik-Q_1PccrNHR4N%21PPq{bEBq4kd6EDvY5g5SmB;zC-cd8q+g2 zMmilC0j*NY2s@b_?@kGCL_RrWIv&e+GUHG+Zfsm1NiyfoCMG!mia`QWBg^o&Vhg4s z@a(GLZD={S_c>)G#S&^v(OFHbHE|RoWjr{?O_E}rGB}l*`|6oQgh9r#uq#<7Lc0zE zSVyc0Iktl{p#qh%j)X{_Dr4;FSPmK5}|(@+qLqO^5|H{WtF_mY_O;b$?y|*ZGyCExMjp@7l)K`?7@^hPN?X{mWh(O#4OlETF5q{zVq2hG_3pS+|yLfv92T@d>zyixKL@Q_e;_aAZLG%jtRii|7GzdK?+#-`}GA z+E?3NM|VU9vvb$=ecy+-$OR`Y#P$N2hoivM;+FykpJU71Xo-_ND+hP2=_MN7QrETG^Nt{nm${|w@N&XVepcsD z7|%bVZ3i2N&9iaoYik#3ZZ|C+ZHREVtUHWFwDO1kZizpz;n{cieJXz!;<6W0hF|e| z_Q3md3TC+3;Y~z2cLz7Pv0B{M(BpIr1A0WNJLUU)=P=60dQB|{hZ|C_e;?w;BA(53 z3}vhSMhio<>+l$vZ7=V+_$t}WZ08yxs2C%#rEqD74)X)gq~o5`B~JSMZ%d(@r*^4o zng}YYZGmNOH(k#DW4T_O>aW{5=v0qSht2m2+V!aEs>h8RusX)wyw$-$v*yjNw$2^Z z;WSP{YPilGe#Z!?ho$}>wb0|Il8@Vd8#viT_+n$0dw7FohT}lskNoGBs>24|rcJa3z`>i}MOPUY$6lYn_vIg9LC0@FYW{D(d$-soxtdGw(e@IoJ-I&4DF${14Af63m9+}LesFY6ijb)jjKSULT!56ls?90DKzX)yS= zXb}IyqnG(#$vyw4N3X5Nmp~9F?fig^fpFbB5@=Y?R9&!Gf^w#rH(w<4kp-*fg~9Om z-&mHZ%+6+0@T2>4obqy(`&XAKXaOqGG~lLP@S>(lW?#Qri;RsWepQ+oqZ~%fMQAWd zxs686myAa3x|srqjERfE2qvU8lm}wQ6$heyt%~6=91_L3FOS1w57a12mJ$=O<11nqq%M>oSR7=KM@rJOd8Gg(aXWmNndp3DgYl+d!KJ zYdJ)xXIY)IHaVkUJheIj7dTdHaP#2+=4_Wr4swh~1qvt%gj^zW%*=&) z9pxn@Rb$;F()cJ*RM!6F#=ZSx+U@A*&fCuYY0rbt$F!4|x3e$%v!!2aHvQ0KnXB>4 z$@?C5G*y`?$Z>MOytQdPcBBUzXP)l*RBxl!%t6|BcNLX&Q-7mr!m*XT^Y@+GGdJGu zS)16?p+!LhFW7-mskp%EJ4+FJuNR)e*6+T9T1%a|n=J2HRstyS|1g0+kJ_5{D_3)C z)S(i8Ow%XYN>GtLQLZuNDkdv%9XOn{8P0#Wm;K41p zySsaEhakb--Q6X)ySuwX2y7&{J0u(T-~j^s;hg*Lz3=_=-~H>Xzjk*|O-*%mPfK;r zo~bG-d#PNeG;XbCB{|k-l5B2uw~O!_r%Ak&fb-S0sim`~*vi%6p7|w@wib}P&bEc> z;Vy`-OZ*X2+@&1Q01_3{$S=DM(`|0#gz|ZxS(4pNKx&eojnry;oQFLrYPyz|G4USn zdc)Ltl4|LUY4aOI-E%iI%*1+@DZCz_z^l zse5_1BhNqmbEla^kvboUwVE!?&&KLbV1L|7H{07r^obt?wd-pA(@DDR|Lr7w6)EFq z%|^A$`bN`geN%Ze=yV!z37O&dPz|?`=3Mq1sA&J9PnKUCvA*twwk71-cSxneZ8qIE zAv9U8m7)KmcOkj?O*hQNBMLrZo(=X#O5HTG{P%BnSTiB?!+$+F`nwD!QiX?jk#goq zxTBc|b?gNi2w!w-H?KCyY|0rvdMSCgcRK!%o_s|-Ktnu;qL$#@R<=B#N=mkW=CCvA zOZG0$zq0CbQYGX4xg4KHm8p0Po}r67GkFYu@DI~&H+fjGQ}yUW%<_FjZoG2&g0#%) zNSKDmzcb9Fb6gUafAl9aE`RlhkIT!Nsg|zVh5Y^s7IBGPHEd{rUh9sZC`i-fR1tvrR48%CAeIbVAUy8!rmR zCwMI(LSWdaueG8soiw^X%n4``DD|eV8#TZf=`cT7+kFRoHS}$h#amS^Z%S%+%AC%* z-XN0`E{~64J?;~J?rc20+4_3ur{o}gvoPO{=687X{2Rs2RMC6QJ&db%xzsHvu4PhK zVLdJt)dsGBaK7DxyVJ`QT&%oi_Tgplr@=c|h+jU%CI50M`1f>x0Z)+fX7(1YmL#m4 zti1o{&nbH{bZvmoDZ^f6#r2aSOrLZ#kcS&OMZU$E_zs{Bgtqpk!Ni$j!z2adXC3Di zw{ouO8`RQ-kO*y7AZQ!F26EG)BOTru9Z#I4De^rj=RP zfOEARy+~82<;rSo6^3%9|A&nPpCvY;Go8s~qT!l!aCU)r{~ky()GxG!*H*jPR8_Piv;2JrM;d zrjZrX8Y4S4C*D-5Y9C6WF}$`euB1d?OV4UX zwF)13T=W7oNN9KO8YMpUt7Gozw|G=IbDS~qzl_`8=SW>o!lDZoJ;%u zS?2%!jUCm%{+(ak?z+_m)vx2uTJX_pkbVyPfXep(7A+T_zu$JpRZuxsAx_+E zR_s526gbHj74GGmq7xg6gjUHdK1PF)KvNM5y;S%7=Wk)v#9J$;IFN=+Hj=Fz8*ZH2njU-&l^Ug}k(@HO+hhs|wT^=|l3H%YrRQ<~y|ji-*6PZoS=n0sUS+Vd=`&906q= z{_tnpjj-@XccE^tG)w&?o_&|mo8>OA^(!YYduNZ5{kbHw^Cw{{p=xnr4G>lXYx&2o zxU(Z$*g~*jE%A`du6(H~VqJnpoBf}s=$)<+b++-St;N=)gZL2;^SvGw1s7?4g9@2W z!(7=g#Y(rZ`C!9(;vpGb`O^QHO_+N6Et{6GsnSAdPrR5VY((7Y>S!;WY?VU2*7GlOXGXlwE_?Cf~M2Fw2ScRb1c#LR^*sq;zR*Q4FI#8IGI^ zeV0TQBjpIAzVQe)bN9z}YbVeNosZThau>(a2iA_C;<{3-x)M}4kbzlOG^AEiUTqMJ zG|h;XD$NN&*qHOaWA8*Qn9Qs&1>6D;Y^)k zT9kI0(rw3*vyvDqlTIlKpsHzCGeUr~?0VDjm5&s<`)vwMSQ@##rt~n2DH464+M7`9 zb|G$PWi=tuRMRseDNfErY`k4m(?0av3f@m2!EFjc#Z^NiCN`7e$Bph}H%rskWtq;$WgU zjr<4vm`?o-ZFt4)57FoX>Ok7%`BmST3~wDCZ=Bf^E_l4gN}>Tt^j7_z6@|OhUz@k* zr4ah=_4dRU{jaz2#IL?jgXghz!F7F|_2brko0}V3ep>->F9**%Mz1Zeo^F(l`r}*m z1}}oA_IIy@Wz(ql@!f5GPbWvee*gA-eM*mi+N>_m=6B0Kt(H;i7f&d!Ycn0Y;MWLE z)YvVCXiQ#v%To?y>n5#q(zXfTmcu;dIOnbpMuh~zhu30--Q2Oo}r}rM3!PBWf6KQ^< z!91sGVe+^s{}iR^@x|d;vhxV&jxG-Gdw87ozke*>uB)%}E*-j7t-H@J2_8Xx0u`qg zSLH$tLp?yAaN7PFPfO#^+ex0!g8Jf~VLOqP`u;%H^y8@3K867=fkEH=0@C0g*}WmH zt#8W=e-I2n(^X|tm6Jxe2DIAsgRp(af4qyxU4PT|?0*36gG*sr*42Lj5qYe{ez(IlC*q4;-?5pAW~+-e;@6#V-TG(jK63;d{=8pm7rgW+M@@sK z=~QZDc}fVkMVw#jz1g!Lz?!rDcThn`6f=@_qsSv;V_lCtY3a4zM)4+cY$46ff{{ul4vfQ3b+Ai$<1Q?m*Vu_Q`Y@&ZUET0xc<*u_Lj{5 zMVuB1$&j$HJa=$dVNfu6vp6>-$NnO7L@+@F+yKSmJ*7V)4)Gs@i)W+>SQG!`5taemRS_UhYmdQSJ zGwdWD29l1nx%hxprnaGZZE|hlCmkY;iQ||<%uYTMT{6Pq(KHD}6Vq}1YBSRv{Pe_F zT|Lv}ioFJrwJIW5{_IwL(w$MOM6jWz!6i#4AN0=eV)Ib#R_vQGxLU25iWzW`S|LcX zEkl;qHj%M10Z5!QF;<(XITIPV4NU3LVxnwMPgqPbG;(M0sb{OBWNl+&a@vL|1qx0V zBwWj7*?zqnuGEJ0QBm8g>hr|0brUK2dBPIcy} z(gd7L=#o{kV#S|Y-+ewz#55a*s!^N_@02L_fsnQ-(wgEypD3YCU{8Y=^l9_y^l^H6 znBR`rynV?Zyt(}K;&i|Ba@i2D_I!Ec=;_(>dOQF0d=8qw-CV@l$lp%5H(S}vw-f|_ zzV`NbeyD#w-$U*1>Fe^2ag4v;e)+q8-{1dWe=pmfetdJhg?ShAV1DoY3P@tW5Y2Ld z+IsPi?t{;Bu0+tyyokFx?yN^s%)SdCLrIUuR`W&Uh_k_OApIsaQCU?~Cn&0#36Rk)Lc$s|n7XCQrmYMU% zG4owv{e|swUK!Hb*VZ_$)xjIaaXM+;{*H4EPuLfNI>HGTuxeyYU8x=ATL;}8$EYX<)fv3R-@Cl^T)Y%*&fk=jM}vWMJQ?M<9D-&O2P~a zN15uQK|?u)deu9G3}U%tK?_@<68PiS@e(Hd~|oT>jBx!l9)<2p5v9?<$j z##beqOJe`g;QC?9{?~YJ-jp32F_<&L6=1QvT@{)`jQJzh>!UseqQ0UW`yB6PI>BF( zE(&JHS=f$9?t#61`eav4hFBeXspDCpXj{>7X1Hswq4ZrIZI{uvRtzdWa`cy?*_IeC z+&c?SE05MZFf{0!{VEoN-NLm&5N};yuy?SI?x9&t(5j5&V#DqrO8*Cgr9)h?fgU9f z5YcBCn)AQ@dMfvM$BNU>1Z&Q4ns&I9F&q4XEd)_Zd#?s+He}BrTuVmrS1*njS#~b% z_rTQRDt;R!bSA6if$ltIX^l@&h?p89`7P42)-EF{_xcKLxvDQN{rmatjp>)g?1g_N zW;y;%MbrPbYvuYMtfRGL4A{TzS`8#cn9mA7M-@q+y+@Ky*3W7|0d}pp|83XW&MfJ~ zj#0D3j`PJ>YX3J*WxR>CF#|2%MbTdgE#=rB>SMe&%G>Fd& z2q>ggFeoxm2ZyS2Gr52|6><_z$=Ksx(X`f- zRGgBvG?1r~wUk5pFLv-wlj|;6UIP|rO$}bzzYO-Viqd=B| z*?dP-hf!N>F1eEG1`ImCMQ;4t(eu=uXOIZ!%$`4<2tRG^G53G@qSv$C+v(Tydbup@ z|8l*l)ZPBr_5S$guCFa5>%v{@N$IKlc_-o-6b7ArdZrd|zlN4OoPeolthWtpb6+7| z_FgVY2866D$j1XTH@DASLi>bqoZg+m=xicjY3foAsEI_Ru524FiF!mk{ZlyR1h%>?wU?H4ci;}5yBH~Y? z6UwerqMOWG;87reP%D3}8}lF8B}S6myEx;mG1F(`@Y)MBEZ@PpkJ5JRLmUuN5qzyd zxokn9M3Sg3!K+Oo(3#<#0Y?;KnYUcQwjkJxRb$dy9lM=WNI6xmu#PZVu0l8Xf_4`c-ZBT<;vHbkXUR$|w|1m?H#h^y zZ@vGqLR;URa2o&GF@tIH&F2tJ{KU}j{gmAXXq^D?a+k@*}@yvG+Zv2U* zU-jL!__N-#D<#P1nW>X|HYz6+Ms+r?u?KHLR@3!WCS%vl8d zFLedEP{>ZWI!;nHgSfA?YxI@_m*%0l_Q)(qwL7>M?FCrr;vE+WP-c^)`5qakP?FW+ z0)$A%+_6rU@1`v!H?v}LS^NA=@Ip29zFrzZ=_qp*V#RY8uN-OOF48cq;Tm`%>U7|K zKZs=*jq2GK0q)}o+RTjyV4+p*WLcO$`+a8S`0M2@{TfXRwn@)+pysZE<*!R~=v@2Q zVti0g>}9E~C_Q7iqYu!ONd`{eoPmyv|N7RJfgB$gpCBqNDbGmZa#`~t{7id-yuP-N zP8X;CZMJ-7{sz&epF8_6q$3o&M4jC#3I^e%8<8 z{`R4v6GHTKG`xlcHJFIPhLL85Qh7<_@5Nx18Yt7jqJ=-Madf`Py>FhO=?QbpJYPqv zy4|(+ByqyCkky@v`=xv@64*_1_X;X`g{eP!d<-NO#i^zLo-ede?m z)M#6)MO{02=0bQn+~In>4Y=mQcse}cqJP3Y;<{&^`O%Km(sNcXGhV#q+;te=VRlK3 zD?^ss7@_v8l_vj0&md%IV>- zb8M$1y7YPf+)i^Yv%7t@9)Ku?+T0yZzztWmB|n%nS0}66H5|<1BPUlThnY0jCvARL zZ_9Q{^$gqV$LTn#>tVN*7o9SZm9}IXwqxAn&FpVuy*1e`GPZM0@Le(87(HD8~! z`#9+zgEz3>0iw&D?9AR_YqMumcyUl%zfFD3zOHRmH1S~&{NnD?dmCBZ8De6Vv|*3y zrbL~^FJMldE8xyBx`L9B`N=}qx(lKuLguPrK9x$2*dyi7uZDAcsG&K$UWhW9C3 zb<$|GoqE$`>T6wjk~JkZdN2cXU~lZ%q&nK#_vxQ~-TU!)KeSqs8{p`Eh0PIej_-mv{`%U^lG1?`3*jbHk=2|Mj6C zDB(=_?}ZJru*4YmaTevmNGdqRJ{Lnyp|;mY4myZ?LF+9tFtF==CO z?)__{XNl<8+gmVq?|{MYaei9Z_xAaDLx?~1HQg|cmG5>($Z@64f}fPqEJy< z-Co^1yTf2~d|3e{A^hZC2>OpNFNJgYsmi#Fs8S~e4mU9;6k^)o%c0tc*AwZMtwhi| z`;~`})V?c&>xnmbMA+~3`OL_TpMG@kd(CyVuG4+Sovww_b%VwN>3XgA{bzo^mU-!k zc|N_O4MFVkc<;vw3yE9D%7^nt??;;QcxNefl=P%?qVe*0-^Wo4i3dlSlk-N`M^8s> zK62T8w)*td_({$w;x#KTiR(YU`h31b{O5V>isR&2CV86uKDIeR!$&5b63xU+&rGuB z!hSdN(!%7{5pvxzNvn#)XE$gT5>6dMUs5J`{DzK-^UxLtOSdG)YJid-X)Gl69BDmN z*v5~@^M$VPRD|@d07BQtPv!BhQYjN=HQh9LHhID~^U?Ec>8uw;rV~ytGeFV!Cb>&r zQocGp@?1Os;V?q3KPG8biTFV9Eu1-80X)}^c6ttgK#r2$5tD>g9)F$p7Q8za0H(J$ zPFvSNP(ev|#-S|E5t{OO3s=9AfI@cXN4%zikSOhZ!X*3XO#Iw)00h5GxqJ_mxdVZK z!*Z;0GbXKmXobe+|#SO0O4so=3Gj|DE_^+EzbGZ!1T;(kF#xX>(>} zYY?=w+INc7R&PPQzTAvxN2_W+f?hh2*ZMkosza8#+HUb7*GDdiAPC775)T)ejRoEm z0;%k#iXwPiWDGhzNcBAvR4KY1ECSxAQ3O28MLN@huv+v(-Hs1GXP2sS@IYMT}?Pm!#y11hc(gpmac}(TMqJj2-oJE|rA+frN(} z0sM1gRAZoXcAYqfz>9+kmQ4iqN;DzJ_k$@3F$Y@$st#2L630}K?+g#zmFUjLQMg!_ ze_p`H17M`x5GmL-VRyC}?55-(_rzSJI@ilPWYGX{yPY}bLv2WAddb%N8&B#E^R5tW z59Z{bSx0^Enb^qD^wM@29=M@9J0MPak1 zWngt`sqrk=fGC-7N^&93Hd7@$CZd>QTHyDK0_wp#DQGG(83_|T2C1c5&$J--nGDMA z0vpdVqMeEP)7R0TeAAl`cE9GVMxA07S_t$?%8-ANah|>4R31SjV03CA5{_xWYmo61 zB07@^+M&3R^;^?Ze6|gfh^|eDGRx-n?_ELY{8Y#8)+X1$9@b`2&D8m+g}t;*u8qC5 z%>poHFUP&uMtnwv6T^MmrrS%~@yrnTbFOAPWyAbk`92ptk7T>{_sXtGe8p9}c}>2= zTBM?KvMeXS8Cp+P<(R@#gS?7;o=27Q`~!npTDG9Xv)$U58G>UobLF?mmm+BHrx^T= zQ|4&L=Kf<4s5d$0S(4EH4kxT*)j%C=9-tDP{Vd4{AV6=39RLvrAO!6#I$_dzPVC5% zuwppOf_XG^#H&_1=pvVilH17c#&-&X;C4unSGiym zpi(7X-XUL$0{nkN$ai8^<;DX`vs~z_RHnbYw}q4V!d35;nCdkd^H^-Im3z!4*?o+Z zU%BSCCQIIws%T38Q#GPCVY~s$dA2H{a#N#Py3BLgCbjdPfsQ%4T`03lzg5u;!DrDs zt@5;WT}az~om#H8I1^t#yEehB8LMgGp+OmBbNz);n~q(*0<2L!EQVFj$BSL%iL6VS z4zPs>4%YO$s&-*|uHFplmvo!7KoUj=B#iXxmsKuih0$6LN0s1KptmsrVq5y%B!C#x zER0ScEB-C%d`otKq`v*vRI?eqk=52mtYe?c9<}Wl>}xSU=1BsVv`$N2X7$UW+a6_5 z40;Pd+~SB+v-1kfe>KZI zl)@hK1m_p-Pd$Pj^Ug4ieeQI{v8L~cGz6ZWi=r)_GU@W3sZ>GDelh@-QbS-pUH#J5 z;Qv2_(i>AoT|5aT4`mws)kni=vF(E^75qmbzXP>`i}`yYm`5SE+)fozbmodb>fzSK zBy;Zzz-sM&1a4%Fq0vg47+cC_a1=~2dN~-y(flxKm0-mI^(s$iz7#rt`_>BZfq4vF zUJh${bbdDzfY#3i&fH6*sV%lE!DD0ERi0PK$QlB?K}uLkQx~eBWH1!p*G|oV%xkcK z&wdZjavn2rC*0I{t5AQk;ivZArd&*@-h)%y!uy;;#+$t6mawhsSb5;Aoze7&KT9l4 zaRs}{b*?R;l>IJ@K?y%yPZ1?wPw^!UIHv1OO(J*Z)G&1pjg6t_$_vhRI*{_Un~Nx3 z!FK+kVjcypZj#_wc!uct4r1(ra~u+49K3_|a!!$*oxXFAg18|>nH1k~J6|e@kf+=D z_1&Lp-t_7=TNGYgZ?K7ObE}pBjn^3y+&6txjZpDNfLl}f^5~=n0c(5It6Xw-NR4dn zumDVORC}}wUOn9f+cYaO<=rbX_354L8l$>7Ut;M|<2*Gb$J8ssb^a+bONg1z-pRtb zWf=u6+W?gUagBl;z$7^R%^`Y!-BFzzQIMP)jpdyi+kl9m;M}M$3Mx->zRwFQvbZRS z+!xoWM_cu$Zh96qeKI^U)hmtWC(r`=XGEi_aBu#{gvB|Nax{lZ zN|k4d76AxNDU)N0@GZDVfO+%inu|>1?FlEzkvoKV+}-Rc>gR#aTyZhA1EaAK)l(47 zpNymKRDKmqcb;RU49e9-s_Jk_5XJJSW2*p9S;1;-c-V+y-9PIngM3`3GW1F&fwNj& zWsu(@wz`u+JB&sTAdlo)v79S`X$MSLNdzqQOD0Cds*Z~tfGK}xLG%+DQw6y1D`J%= z^M6cDVZmEyOux5UnXC?zB`H;0H0H=nOGNVkx!6O1CXfdTc*0e8I&c7Zbbkk`Igg=! zxnCosvB6td5Y2sXTM0g+xI;|r0P1XkP~|z_M+4ON6Hpy1156-aT`=8N3o}AhN3)(N zmctqjaJLT)K*n5Efc=EFGAMg#9N;>Ft$t~Kp#sW{1!~uvUkU!PzUku*K0&xBFxKBn zMn3k*K}7YQ<|bP3IOqqe@>dHe?-gKsWuS~grfWoj48(`Cvgio6Ksq8#q$H+c7vj)gCYe1$|148cq z17dBNtICs6i5<(1-FlFprl1y4Cl&w3k0T!Cu}=jXU*g;cU87KPmta(4A(Sm~7z%6q zQzH~sKm>hG5>j^o!T)$i7LY@bKo-WG7X9R{Pal+dzYNq)oVmim-(p70o^xfIw zw@Wxb;;E_c*a<$m6357NLW=U@pa}N9H|Pd{Tn0P*Am>I4!GqeS8*1;O#wl<{M*bP4 zhsbdLD^__#>U|`R`F})A;*LqExi9WyI3T$5=Rnu&+|g3}#F(wyscGC?_>nJU3Fm9` zd&Q48vVQcBTh|Y&gpXSqt3CNT5?wtJefLG1A`yKa2Z~N3@Fi#>pzh|H4rCZ~iwaK< zA?*7y5ikY=hl4#5DV^|A9y zQfmao9MGTi_&O~ezJfoHI03S^y)ZduJ6w^;pMbCPkKN&aM*!=99d3I3oZ7U#B=Hz9 zy8QAI@r#3@KlKz`Olk)#BtS&rsQ2)m#M7aZXiWy1+3&YT4k6NQH7($#Qix;~%P^^$ zEF?j^^=hS4{x0}2SB8n{XXY*#Ay0;>JTyX25gE0!qsTHb=BAz5+39GO4ClzfVAuHh z%l{IKZt)g-MXL5?cG>ilC75*bRY;IZl8Rg(4lD8F36Fg6k}QIP^H~g(ILQ_XM7JOC zuESsx>Ea5&e^VaBVm>hxg8$|`h-HqtDTTeA5L8~#Cdc$}vvrR8ggm5DToh*EzxD5^ zPemszdb$`B(*WHr7{5l0sr-G6o?e*7D9G${n4Vq)0EE2(Q5vI?4(l|#WEvu9UO8+( z-(lUiJ8-9DMfB(P6ru?JO*}__DEqzq^Ecs)OWtO*P?v|YNaB}!j)IF-UVPK`z>Re> zbc_nm^E*Cu0sf1Sud7o3fW$*L@Dt4O>GE>r#IR95=>_YZ-e$ZFdniCJ-q}f_B__tR z4TxL-%<=z-jW~RrJY@fjIdeVU5ll?ROJkr?Z{^EVg1&cH)4YPUl_LIb3>O7~$3Nn# z>^k$hrFPANIF@P&ZZ~AfLJ&(D?Kdk9UeG)BNn+iqJq5XQM-iZ`YIH#-ofwiYxT9{7 zyWqq8-J(`=dFRM`TRW=DWSvEnfJD07aY7G&s6t`aTlDlAjVWD0{}&D zfGhwsy#aEJyG44a=gWb>1Rr;GV(66D8cB+2lIbuAAqxCAcBvo-oqad#f%p?1Z<+n z-4bH%2wW0kuGar>L5{X=>^A95C@}FYPRanuFB`;v^r{-6&^RF6|ABf!{s+nrP3Yzy za>e78T9Hnqj)5BI4DhfsbfD7&iFHwj1d?%iWqjgwmQhj?I;$#&O%R0k z8v!-WkU1y%kg2Bfs|);1M3^dwSD~~PbBe%Ej9$S2rXEiSq(WiLI64`Q7pjGF0fPk7 z7130INZl~?KM*8NW4mM*zmO0pm@@Tt1#?7$hQjhyALQfMX%aeq57On2UCyE>bYMCs z8vhiheiPDce^8UF?6Tpbm;U(&=BRQ zq)!>vZjm6yu?;ZffHNv}FhrCbIY6o03`M~dtJh*7=7yTk!Qnic2k#~VETp577Yuaa zz}QNRAumcCXTLaIi|$jT()e&IBLar;iwB@oc>uzCgQ%HFE`y_`XXzr3D`Hy^ zm?}ImYlAC_A?H3KI|ImQ`nRPQoI&)Tu}A}oTH*~!rl1p|S0Yn^2ldvGOC>T)nuWj- zkiq}&(e2?=fNpwx-qv){7E8IBEXrr{Tn7)jL|Evc?N3m_-yBNbsSM|WKc_gA^I)58 z(8fvPc|?;_A9uKX}_Q~{)& zE`+=)Gr6auQPJnN0I6#^zjIL;|8^pVHXeOZL&l5R?aH8dAi0zMz+-n+A*?dK&T>7`yg-vrK_2+k=s+H@gs*BL28& zz*fG@_e5HJJz&{0bSNiQB{*?(yWg{Isn6`B9>7t4MES;5=;{SAJpbUS_q87iVzx`} z_+P$8`u7ar@v{G?A_MpTXckpl&VfK0>4hHZ2JmpqvD*{$EpQIoGK=Hw8&g~%n#(oE zUu!`H5R?7P={dRDwNi6b8s3exOb?fxIV1Shxq$zIM+Xg8c^(+i&-#TJ`pSVz?08tX z^72$L*bUUZRBVkka4<{_fzmKr1XRd{Yu`#VJ|MHmw4q04QL{w>kNw3Jl3_n38EGX( ztapj<2d&>>>KL{L?$))*MRj(4x=xFZ&*(vNf+9I_%dra@3_dYgQgzn@V{G=V9qWqP_c_Enw zINy11%IH`Qnbi7o72ho6FK9pJ(3kQdPsi`A64A-K`O>Bs z!x&>{@S!`b2%pvSbfU*3N=yPO54WN%(rUEF>H(8Uj_wupJ;_bVaWouK1&=;Qgaz%Z za89{Rr^UO=Nv$P7p_H@`v~b9_!T1z6>;=>+VOvKQ)7Z?+q$JM)*DuW8SfhBgQ#d&J z!tOEL(*gX#{M|Y|I)WR3f3g$mfSxC#%e0n6hFdWQhbNC~U+gJOvp-k~OT%^kh(XrR`c0?*E=)8d z%j-k9;CCs&7N*@#g-|%;>^qTF^xw7!n*v*0Srw^NL*Nv8h1o%yJ0)dEuynD})t#pGt9ia78vN(b`;=~jEx2FSgXc@d z9Mw#j3p(7M4U^sAOqG#@-TTp@gmg`+@NM?+?+{V=iqM%==|>U?KdZ8N;4tD$$kgQp zq10d(jpReH<|n?TM7cpX*I``w6ArqLu-eu5ukmKEUme*Fgla#?kFY*hNV)J4?I2C4 zj8AKOI7w{|RtQ_zez-~y{EBB2YbX_abt-UW{TO|;Z#Z>GxmLFPbMWH~FLMu(n`IUh zU$MBAO69NV40o?!V#qK1P+wkaxDBP`AP8}FGW>C_7q3T7roY~YV+slTuQ4!n1#pc& zb+1Q&lr{&;beUiDw(ZV|>;nRN&avy4a4smz8q*&zGf54Qc0#6;2b0vb)|w6@#kEQ< zp5{F?>wk-*a{L;(-C%}zf=PS$kd09>XgN^Iu3J6#7z(eqKYwLNB$W-d25vb{H_sF{ zoV2iU4xC<3!_;X5kBP^wdTzRd%##RI^lP@ZJ+=$6$v1DcxKCLsuLQ-VUOPEXEyv;9 zPBc7f%`HE7=8QfbwEj|?MgJq?;zB}x)MO&(My=bHI!4@7Ry3~U$Za%!yMJzeYorF1 zZC_H~meQWgvS~=1AlPuZRopspPrrdgXPkD$Ufnmr&8fY4%B75We7o~_vH1L61Qo)a z=v)2fah6VWPouDAmOHg>zvI*D?yozg73|^4b9JvGyEfwNs5@xiD}uI=Z!+>~zsDRh zB!b_sGw*iCSEFuTg-4hDRbv#+_u!5{Q3So*ziEPdc9b>I;pBPy2VGxKPgwSSi-6nE z`bsfMKy?h6OMlTd`sX6K?4ooua?ZW%vmweo1g(r zr5hh9Z^7wypo!fz#FV-k4Y;<=d3E*)Ha0~2jPUwP_w>%?9#|5Xje_%;M$OKwyJnSw z?EMe|Sd?z$E~K^OgxVA(J;8RiycT=(m$`Pf0lY#RuBr5#HCkr3L`bOimg8aK^D}?$ z4C@1DrJ=)JEaeO{9Zp@!ZV!j2P3Y&1G|QxSw&aHeEsam>yYQ;wyj?lzaW1J2oR_PU ziAqvB2f%?Z-2y z5?e^!k4?3ntAhjhb8hnOhcq9g*4CRU&FFLfIL-x-CW+cA4CV-TcK#wU|NduQgk96a zd1sFVb|)UU%xoiXt%Jaw78ieiVr(*Xrw?-HB}G=#QRkOCMf6U>V+D!G2O?Iv(aW3$WEs?gfX5N>l0krtWlM5OKjY) z*M=}sJHZq~t85fu3~>pvJfr4J(EvCvY5YzJB(5f?C@Xe~0#pAtI5TGN(iq#0t^^8;^n!Y3AIRoC$` ztrwKLzl21bZZ7?7;g;DQTxu)cPkL73X`Z25T6paC{0%j34=s?BZuK z778SpS%;oQDk)n|0`q_BMT~Jv@Zzn0Yuc@5Qv($f`h>(V@}Z~C%%O3usXarT^OW(( zvZ+lx4L+)G!iK0&tKR&&%l`AV)!lgyi?%-XpE2kG8AtRSn2_F#UW1J<0k7i`IQ{uA zEc~=c6-d;}W%8MjN){K}8wUP<&sn*?yRZfqulmz3pEqk9&g%lY`}L-_>ZgRpm%YB= zQb3#d>@tkMJ8uRZtnYbP2Iy?nrdRLnh12ZHLcgZcXcYb7C~F@F?KyMGZ^Yr@Fm~}-Huyo zl$T*T{kQ8xSK^E**V=#q52taj7L9TG z_8zv_R#^q@$|EX}VD0`bj}Ff0Pok-kU8<=PFTp)`J%9Y=h^NK;n03bR7ETbS$CeRu zSz;<>_5nl0zW;`yW5ac5_qI2&2eHvI?vve9tWT}gJ^QWB{ix4$LpJoC^KtThUST?X zeu9Tq&wM|&LE1Gvktl|~j-PLHPnQ9s0^Rfp$olzYlQN!_*v9}?oAl%w(W@~)TX>}? zzdISxU<1}vL}}LIP9fhNU&|nW)$RzRO6bTKf3;btU(heD+O>ST@D?M#VA@&yqT??| zU7pamTR^eWCiMVnUH+e%>DLG$wRudWQHZ>f>6H#g{ADOVdrgaw(evXP)ds6Y*7_Qiz#>g}8@$Iv=KeYt8r&PS zxwdRTG$ra!)Y6KJ^xKfeJs{FkAmPo$&dd&L+P_=~{yh_dJZ%5@D8<9_KPi|1k5a&w z&oA_l-d3of=pqSO5ktfIyBR74$Pr1@AXLRBR=o~)BOa0Ki}6H`g^Baix+HIAu5@uf zVq$Mcj>9h^CdfYm90V*#aepUJvVAZ~Tj9}io#pw?K)HjCj1CWh1OL_IftDA;mWY0d z6f2i|C^ID3=!d5S)nfuB*H|foBowwUc5)=G%_@FiMk^9*xOB)Nk|B)_=18MuZ_v+Z z&F`eTE$FDFMP^VFlJvHT(V8ns(`z)WX!{cqPAR0)A95op4{4%tV}g(rVMt+jYNwr~ z*@$M$5-Ay#!zFiS(~M+>(3P8peSQokm9T^gF!1icU$e**SfoeDKnSH7Qy9g#Wi*tk zextCh+~t+56l+x=DnBpJBM5FN)$>Z3NW5KzGek3) zl@kAnAco%fQ#P(bUMHoaazY1_oh}*6%sc7tc+rG3Du^rc6Tmij`i0L3W4VdORw7e@ ziq=UcMg9X=k3qs{Cj+hI5EHSkB+z(NsGtF)XP*+PMwgj#)zrGmZi;5o7#lu-6>3`Y zd)2@x`panE7^E44_PwISl+dTor-hcikzrzKI1$k#wxrYODg8~*h#3tkGF9^a=A%U) zSk><2A%7meH8JMnYV+dB;&?UleLk@k;1G^@xt)92qdK3-5K zMqfy;N0=Yu+HF&>hS#9i6E5w9i?lz2lc~R^z8``K=IQ+L$w2@4&&WElro*v42a=x) z<2Y9HANSjC3Yk@i9fccRys|Y_IY(>Z7`&|3=nKD|{XMmf5%#bJFZ+IpIrJU{Yn7bm+-y6FB!7~{=jS~FsB%i5|jL9#8 z=Ody9RZ28Nq(sW0dmBa9 zt$00}*DXXUPO5?h^zm2RwO18Yf$~g1jp2g2xP+#lr=Ukiw51}C3m)6mUM@X-xI-wo z4q~oRWBGJprMfY=YUI)^84SQ zI7h1&k>#qTIbP(&)y~E&ksRTfPEGn+)D{RGPYaFZXxsJqS+!3!b2$oH2qh)x6}$Vx zX9J_KU4EiD-PM#(UoHzyHp0BPT+7}4$AyuH9R}!`Ph1(UkDGFwy@wy-VC#uABerpL z=)wFt``-G5;jV2)?yh7+{9CDdKCf3Vl`QMkNvKMpQIksYf*Ea4$yxb?f|i1^s3wmx zv3!4PM$QwprpHcfBl2%Y`M!=NcX_n9-For|^Nv|atLesnCm*k9&41_3(g>BDoqs9A zvV42jyiuIuv(d$xM!(v{UDknI@4>}>6H|TPo_&$Sm` z5r)v;74YZj*nO(+fXbrqva{x!)7RqzbABuT7Q0z-%=Jp`9{j|)NY^d<@2WOmboh&r zm92!@?MIxwvzcpazb{47LROXUO$h%SZ`quKMP3lLJj)ggFQMi*hL80YD{lM0ID6;l z%9`zMG`4MZ%#P8qZ9D0h9oy>IPCB;TaXPlWW83Dp``jPCKi+%KxM!>}s^*?GS1s%{ z3bSTC&x!@|!4Nq;kU=I(^E7NIQ$d56=)S!6;b#MCl~t7suZdwSPLzhG4AiM7UygaD zl${%Py?0v^i#J&5cx{htiOFF63gg!>6_zC#d-OT5+i+J(qsU}X&*Gxpk*t6asr_DN za9%TzSH#78RyjG0OUls|^pxS}_;lBF((HtE@q^kP0)-I*3A65=ajMLeT{}y!;-Z`j z7gr-?BVIGXA%r*IAeVPt4fLj39kO4wqWc_{hWg74Gua6qyret5cHuvS%>;g-bkyqP z^DQ*)aak84$&R_fYv_ix)t*<>1Q7xj@0W-GF7N6(=%%NqBA2Ai)doJ+OK^!Y9D_xV z30DGbi}vZ@M!Xf_<X%f26uDtzo3rGTd?kHa^_4@~l#=DIFf%a{UPdyQ`FqKOJMklV*>4y%h+)S6$Sf zLHhbL6bm%OGeml9FK!U4j)O5uo;j32HmNXJ#x+ z+SSsc$Q46YerCYIlblj7=burFE+{5cX|&)_j8HEJ`A{Ci8i|N81nJCokkr5qT(xV}!*ytenP_nj-m61!z;yjCDH83=m=Mt`!~a|&dLW^%gt2O@N|4m2x1**Uq~ zZu>qax0KsHUYmHH%zYUqw(I`bzHjO7cs=MSUy6Mdu)7fZ^VMy!&78Y$BBOHBeG;4J zmVkpkvsO>0t`b1x7jJP{65q4o>q{<3(yG~HZ3*qUiGf_xB{1r_WOB-JN{t{Yk|?ks zsy7G7D=V`f5|OaXEs!g+mgbnX%#BrFnPsS5i*Eo&%v{e`^u(8r@@B3t-rSd|>eW1SqTJ7H}dv1cUt1zT5>Ey2pvmK;cjhMxbG6U4-gKYcYEbn)T6M*%te$#?>(TdB2!4$s_t%>h zd>NO?mDb!|di`+ccG=k@t)F){UrddiXYNgHlfqvjI2dRwI~=1PBg}+yFiQx%R2GP~ zc}B-BaU3vexef+8hB@>FEHK6_axzSH?F<+#+o*}Ap7?j?WCWf6zDf!umGuc-upK<; z9RXmgs}~^*@{g_Ve};?5^}il4R<3_TqI9OIW39`H@doxp}z zCqo=X%yGSnquWle18j69Se+W%&8PGUUXzqxjQz~nSvPf^aYw>XI)UKnpN7gNDAC}C z!Gn2kDN%IkN*r5+1;!l%5pf7{=@D75J&rJxKl$z`d7c^4(w14Y#E5b7gzBRDje^of z2DzEKu>yy`YB`SAP3*xG_oGDJ_B1DOGIGUGLIx^9Q2#pGU&4#S)H3cPcMWF{d!R$r zaV1ctY}VzPm9-ID8|hc?&pt!cbfAI`9L92F+p;nG2~mI}1J%>;EgcPpx^`ZdMXU~j z!k{0PbhO5h1Wt^!Ic?dFlp{$L(^w`Y8km%e+t4_rC20@KL;PeI$_TRvuL5BqZ%*J9 zf$ItFjq|M)gBXt4Effpw7Xq3~MG~uLj5_Cp^oPPpfAOd%IL^E22 zAZq1}m1HR0lP4ewU@!vH7cBIzb!*}d_`~Glmh*#;!x1}SP;}6id@3tMfOTOieQBo0 zNMg(&It!v|CJTpKRODO68IViIhH306qz_oa(}8JR7A(ij4_E3%upq-?PC2o{NQ1qp zj44EIc#@HdN-xnZ^uUZP490@fF7K_-XpnzIwB{e*m?q2Y%--DeetvJq?#}XI_cDMU z(I)iv`(Cbr`Dp{uh|{rro#y z2h98FUtr!3Y~NmeJ}hVSR7McG8gHH_c3zBHzqb0;9E>f*K5D3{u6pW|DagG0{t<|h zA2a*7I{KonaiXCy>v2M*J1Rxr)mlmgXR?umpo>&Yyoy8VOePV%?8k3kq8Do?`&^d7 z#x%dgyMT6=GONOHj}ERdHuu9V{^UkHO^ItD`igrdxHbr8~VjH;H@OxfZ!i4j(Tz?4l+VNPMeSdg%Mp zz}dW7ozLva+6`yzU5#Elb4?~mXvmXJs~W+QmMY&Ig5#~xVK$%Y&My69Pb*I0?BYWG z932Ek6Nezrz@q<$8nMxz`#qvi)q?BgeE-nCbmiiVyJ4FXHp&#%@&eyYBg9PwIa3U*=U7H(OqIhpVCI7KrE6GhK5( zuy5RpeWb1sLkiaQ?fCS$NdU((dY^)nREZBER1llT1qPdUH%-hGww^{U$As)Yj&rZv zrbuOCVJBexv^+L1U#RBOs{V*oblE*%-B@CM_zgc_^6m9c6Isk$c7yN(9Fp&iAc|HF`P<45br5djc>GsSmyQ?*We~J6^3k12Ss=CpVGMqPB`6h+88<7OqQqh5W#jR!c;Q+MF78Uk)lS&|z_r z!Q0SWN^-IP87^HDGps%%ZM;4@qFmT)H?Ri5BsvmIJZ3}_6=ybJImE?eY|O7iVF|y>nYXOd3}+}r4My_)Q*ABCiaqsHxdiJX zewgHog13#&sQE-~;MKC1Pk*BnlY5}y;>M)|>3RX<3p4&%kBHDu0+Hd;uJ%V3PzqzbFrn<}9)==OQ8+j*C`cB|@A>sOz|+jHyv!L*Q{B@rQ3u!qC6%BbyH zl{6Zuyy3DJAZ%e@jp7o*#n23wXE2YlErvL`_Y{uhRwa5VoDJN&%x*28eA8J_)LoSF zI7^I0k)YgoBmM1_>ZP!W|0>;58=2dzr(^1e-Fqo_7nTtdzH}mPdPwnjea^Q8IF+Fl6~-`PIcNj)l)8*fR<=S7jZkRBElkCzV~AUu`uPeRQed(lzjY@cbhhg&dOjdtqR1; zA3O5$QSa!`k`Z!)aKSAT2wbl$vU|8#B}(IS$hx^_{kGpQTJqhoe$-seZc*hJM1qle zVaf2jimXIgWMKft{0_#Dov4op4YEOj7stw0S}M#l}{_;;SjAjBGGIU5BS z8(DEU($y?>(d+PS3WP|{cC{s7fzH(c|LdblJj+oE@VnADe)dXA&TqKoB%#DGHiY;PO%-#isz<&ot7axqQJ_!ImSWq1a@ky!hW}lYtU8D z%;rUV?COIZA88yjXF7TO-m<%0Ys~Kml=6nfG2C;4-!po~3DNC?S4yBcZ{34XYQBdi zUpO3Oxt>6BG8({~5N}vG<)iMbl`O9_5qlOsEI|U0IWwYgn!1KIy5F~#P;`B|^#9cO z#zOBbRTDC20X8`rYwUjGF%VRl!Px^0_z?se*=+5e@*utPz?TGS=-a%l{D03fAtThT zA&4U^fRLygnQ{I!1`eM821c|g*ya4=wD3Q}ul&C-lG#}QjWh1-|5^_VprlhqmKH=Y z1jZI_u_2=UMFO(fi8dl>iX6ZKMn=#m7pxGDSq}jLL|ZGn(@CG>^CkJtyb&ffcb{rF ze?dTi<3Nak2L}!tD%P2Nt46swRjO=&%EbiVlY!~&_ui_65F&_?fgACcaPUX# zE45E&&{UEc$4Xe>9~euBz}z{*70(;~LlS^97N3XBK`BgzY1mv?ZEhx0_9U3HQhy8f zoQY{n``si6?rJ&62}}zi0j}0XRPFm`?dT1Y8K$9pSh@OvIODF2v0iWCj+7wAa5rf= zh_$FgwzT|rbx>a+`Gu#{a{2~}3b66wU74QE`d}&3>b*FK8{I}cN+-`u)gD~r6P}@+ znQ}qHBQ*vVRbuxK&j}PG7JXJxUfT|{pYAWOd!iG}I*ZIs<0%Eg=E8&q59FF?91lGH zOD*xFH00GdC{xQOg7-_N=vf$)b>cq?QDm<&;HN^c1W6kpX`ptb@bWc)WcLT;4)l3Z zG6Cb_QdxVyJ<4SSbrVUYjL=fOB$XL3(ikK{##20;flMlIUG7b9j_*@u4s9=PtlnK6 zzqQ{Q+g2+VBL$XHGsh|-H>;;KA~jCukf(AQo)oaLY z{wv&2T(s(ESL;{P56maK>yv@|XY9HM636mAZA*FYZSfh>$8G;TswSNXvAPS1L?)`s z{HhJ9T_-YQVSB^bJm}E*9Q5iaT)oCWnRBDz_N5 zi1W=)tl&hP)eu;y3!jASBBdqgU4YSbUSwpec`oVrE4N8~o?3ZK7PmUT$D%_ae^dDV zYWv{ui0T^RQ2kBgmu36`^LxwWS=T(fwRUOVHB_s+N9c>E61vfftr z*8?YW_nxN89vk#anW-9wS!^57e9;NRFK?pycT^|ocJqy%r56UyEdmQlc&A5qhf?tG zr6GBF%Xf1rzIIhF=jsy&N*p30%W?SkEfFF-?|YzZ$hjUMb);>5L5^6Z_07kCV*ATk zCaTmKz_cQMK!-*S_NbzO3!IatJ);1FfSoogbxHanLiu1)j3>-0o#Lc?3mn3|D0}tx zhZ*RhyoNyk=(qXr0LgQn`Rla#YNhXCJOp8F(?Uf(uY1JJx3Sr8L7gH!#Q?}q&p|?o z-VK~^Z6pb4u*SFcwLE^akG%cOBBcB4o6FJzu!}^$wMhZz*~kYZP{|f}g!@G!f^c^y z@~yPzA)3!T5ufq5-6X%wJbvSk^~s$!s!wyzYnJMnkICfLs>3wAQLn~O&oLEfu!V-U zjLk1kdHOifFWCWSE1o``AJ)$vW5R%pQ`?A_@dutI8oi)Rj-=1mP{+^KBT~o{<4g@j z72+-{{m|mrY)g!X$+5n$U0WxrbzinsbotZSv`e>f9y>0s#mcq2!Bq#4n^&Jy&alhf zd!%|Uvcs2Eh*nviiM}v!1Er*j69*TDmRZhf>d|*++kq~2(;R%b?A=LcY(dgW0FX!Vr)0pst!9vWf|)h2)r~HnU6oM|DfstiTFyN z^^bkQe+a($zdTwj?EfY?=riQm-xGw12cL}BY2q4Es4aCqx zlju-o%D&9i43v=QeQ6T?2bD@`UfjzUhhQ9=BvBwZ?@nBx{1+xNYAi_938i!bk_ta^ z3kDRl#w?qRT+>F&z|av42t=tF$?2#H;XrZy0Ig|i8gqIJ)p;o_w&s09q3`OW`<$Mt z?PzWYSdaxjZ5xMAaKd%5X;;{^%6=~3cwCx%EyochS7&a6fuW^DT@e);vw#Z>1y#df zo8PhqsjF8L6Z*o|&dwyrpvvE=u}&iIp$Aexc4BQ~R+d<;a&DTSPn)N)o?7#hX4k!yIP7*<=JLvEU- zJP`~+i!M@ddU`EB1j0lGr7>Shrk79CF}@ZwDVdcE8yvVEJP4(@|Hb=~=T;oMza62t7aXYM2cf02=i z99SYoSHk8dHV1s1Ctw$da#AO9+JD%g8%GwZHIrwWDJplR=PifT#VRv40!V7kTg zx>Cq|mt9TBfc!(efsj@*UsHCEoIv0 ze|k9i*0rMl0pY-gk8I6BDA59P`f^PeTVc(yMKY)n9AKxvxqCexKHnSr9^GE6F(UF_ zXMbL)s|2){9c@}gw9&XXXaFjplUg!*t7u*xi}khKJ|*rybxlqmaO$i9VHXC-=&%o` zpOCL2Cpska04At*yZt|%1zmn;0iDP6YMWXwP{WZ;4B2fj1C}UZ|epqtV^ z@wyh6Gko60=Z34B_ec50`S(UQJi?X*k8aH)%z6BU;ABcVx0hM0e`mkz02Vd{)N3li zXyh9>k;&8!=9RxNPwrJL&_k!vB9`<|jVt?q1i8t~%=X_NIu_2a|AxD^GDF9nUl=${*saybC-OL>qQXG=gCm?ndw^pneeyJ%j}Y@8^fl3(M_9Le@%l@rmU?xgnI!M?2|nvnL_Z3`{7gRxJlb{ETEM>zCkF^a{+;!}--f1bLeV=N~le zV@Mk>X03mQHV(q3xrM14qdEIYGd40qk6xpA$t{UQS?B8(5=a4qST4hPI?XR@hWT`6 z5>ciUu#DP(`xtI31<&@x$hB4Q?IlD>c7snvL4hqx$HeF zn9R(_jDCI(eY@wJP&C>X}oSGoNZ2Y;fx^EL9yxl zK|2u^sD3u@09TWAZtYrL;@Lm75QErF68RK!S-4s^Fsim;_>wk?ziKpEeG|I;Lw7&A zcwj|s!va6GqJ!BjYc#VBx%%dy3%d)LxEgpkSv+-JS@G>{`nWl8k4E5b=ynj;bh*3u zyj~;wyMTC9V zsRmqSTJIYgLpTLz92%c}q$mt&dUhfXazq#BX%Re}l*2YHy!G9l-4? zZ7yPNSND=F&-0sR?rTO?iLoUXZjUYYlROu3>L8yOqGH79Mkyi|*nEF{nS<&!&>!GA zc<+`eGJbv8^nJE$XZUH!Lje z+uz^kO6~<*LT&J=a7|dXcIqIkX??Bo__bi+6(;s9M@jHSGKb(2lUcAjEiW)DM}lZ5 zROyVg=y_qr5yuf-%Q2Nb*AB|a-_k}hG1KC6noVziPb`IPjP!!XLL*%g5^?eVa5pq^ z`k@)eU^B{9q;aUvtH_J;uOn_GXc(#30~*mCiq}XogC z2E1Lew2E+R!R#&E^s%P3CiTU9wCY)vQpgcvY?Mfx6>e!Bm>eNhm(T6`oa5}Je7}aM z*3W6aRxgkl4bC&98G)N+FrTM?l_4>kMid)x2YS*cF@F~~1WZ!=K4=KI;G;};3Wxwz zHGwbP1hG2Y9}7iiFCSx`_INg)f;@TTy~h{STLZy60AKc-;?8F86YjU$nua z>ZLXzz(h1;RlL+Tm`A+y`@fQYdoQA7C83zuK7m+2)tf+Z7(7yo;9S)I|AOUr^2Bv6 zq|a$=Ap-~>)H|{NH$mx6YzAA*0K?}W1ydbzwY5Qqr!Wqh)k2HJe7T7KNI2mTpM8$r zy+YPgah9857h6hNl_>MUuvKo9nxPcS{C7e-M`wFIqk>9Aa_x;tv`S2HeNEA#B-%kvh>)(~MSA;4ueT)_vwmzR!I$WA?FZAe2)AO6hN#%hchH9f zWlv%u!Ane8zXPhCD{#EtjJ(@&V}0qnTi=npLl3Xb%ThJk+?v*#H)}TayFVMfii>)GsZ>~4tOiDN{x>ky=7g9)l8WiSUF=_0DJssWw z?-PdrflkkdUjFuRVz(AzysLY?&-PW)3cfaebDspgoW_{LMXIXZGJ>m1{~+jMLjSwn zOaZNzDwpkJBHfMk%{R~Yv3It4{?0R*IemrQJ}ye4FnRcC2SV-fJy)Ix2D6b%XPC7| zp@+2HcazNHkIY&@6NOd^{vb^3TKP7I4hbqVnl|4r>e)F%?u883S94y*WM%?-<1RZt(oH>n9@QZUx^YsG2MXbf%)_X@`-W?p|ZVDpT z7zrMlvbWmykJ@$}-N@|SgeiXyW4>$58oLWjy%^!~xy6D{I|S>rEZ+vsoPu*cZniALBejfnbfBL%@FY`gMyk1=Mc^ ze=7#@N&-r913xYWWxNj5(XeOX&F~NFK>Pjt?L%0nC4}}H&D>89zlY_ow!XgZKSqcd zSPAjtmY}m7eLjF_$j@(&zyEOv{2!qqf93pN{{k~m{{Ia8JOla{fP8%Kbcn6wVJ7Vr zF9mo}Lh_C<>IC0M_E5azs*+Ktl{Gm~K3_YkFMi=_eV>Sl{$r?D*fOVff3hn#F zUWOvt?())8>wNj0F{m}>P856-B=v$=g#)AwGi|0n!$JniY*D3+4C2dGXnJL9sn zEo8o13cFpizt&1U>t5jCgvn3I6&CP_!2!)_Z_}|qacStF740l&a#bOv*U<*0%f z@@)w!A$1({QEXs?s8MW`SD|5=ZBEr{lVLG_!ea^3pp>nmVWaq|k@&+L7q2-QmSrKMWVL(Z}Brt^^ ztFe$l5^_8ArYK0*gS|)#wk*o#0;Ay8n@E)@z%gWgY5%!y zFW>Tc9$Q_*XwM;FZ~p*TKbTry_&7PZc?8^^AGo`{JRHwS+%g&Z-b}5upU*%dAby&h z4w(ZY0qa}u-U(mM8}GHI<&vOo_X%%WC(B)yODgmX<|)!?s~m$ z0Ng&J4=$g|O@ygq6126CPtOHm_R(mFj6EX8y1rIKHn_dQre01oO)ykSC%3n^=bY%4 zdiCWI`Ddu;4qUcj%uKA@xm+z~>tlkxaw|(< zE9r>nmPx)r@Y9c@b(?q=DIC=+Ut5H!(*CkmG|K7~jI{h$u#Jz?8Q3fu^$p!5>;dL^ z+*PZz^F^Z$p*bnfWirVC^)LDZ0+rk8RUFtSM1HBXEr=2oM8fk$C*~Z(8Q`t1zNwGQil!-d&-HGH zc0_l4OotB}yrD zoDA_;W4axfC0dFPFdCD+ZIPUH_k8*CHNnEHdh$9sHpcr>c_c(x-sIB>?ID+3@LCeh z;$9M+#oZ+5_Q6`F{~Se19^nX;T>TzK#RwWpIw4X*oHT}tf+k)fp^^KSMfnbatDJ~I zWaE6flZAZTh!h6BO-yMTNWW#0&B{^QD3&^vayu?B7B+B`p@YD=cO``GABUM` zD;ExQ7*1DR70r117=v zsG8M!HGA{H3p=0o(F-KnDR7p+2D_D_&fxqG)E5CBUGos~o?&Z)D8 zWsd#3+lkv?PA1pCLG9Q3ZrxoU2M5XT>M!;+c2$=3VKw&pz8$Y#j~~Z#-}xWCPq-b^ zZ^tfTA75*}e%5~e=ykx`X7;2dn<%6zz<6)d$fH z1@cI)yOS!(1n!%)B@m(GiNhJ{wftqnS$tQ48l(`Sz2M1;v`TkRj92kncQBn-_bZ9M~ke>VBof(EKbvHP!6t5X~T1qw&k7g&I|tLm|3mHxN5NMT`I=8bfLC9OOi zcx6AxLlEE9%Z-lLAJ!Gy_5wq$P`uBxS%!#AN|CR+R|EsN^<8dtaWP2|tDjdT9acZ9;3{19yaUzd+LzFuyh5BncE zuNSgK&gOx*=Cc;(^)8ex$)uiK7ms&ilLW%qbSec+GUrk4M$t+v;OV1Q{R4mX}N3w~NEzm~Ct%Y(>4gH}>RAqy;fsq$<3+5AqTf$TS*a#v(WnRl#Hy7i+SGZS+X3vl;EoGEc&0e|lN4FFR%5EHJlnVZ*KF3ty~mm1b3D zAYH&yfRqtw3|2keKemB!Q7VtKD*DyPCMqM@bmQ-5{4*uaD!O5476oX@lLqQy{L@jg z$?EUD6DU`K2UVbpB#D%>6WCe))$jj(w*6^?Aa4I5QXXzmZtaF`T~lJ9EyJn>sKr-N zogAoS^Q`cKCLKSCV42DOnPuCn7#OPm)rkI&CjY-|fSNc7`wyzh@V%eYo60*0oJ!mW z$VBT7y;(nFVcz*D7+cW^Ki%V@6^glx6rA5)#TcDnVn5i96(ELYM|CZUS*S-P$n^yA zxG?s74OP->sTZf<1O&>Y80pOU`T57e?{4v{_KAy-f`p`(3>vSm9X8lz8q5$M<5;=M zS@@m~2Rc#yZVVap(;TG>M6U65FA4kX&JL>rAbf)3qfEDn=!*JE0?Q<+@Qr_o2?!coc`*D zPYLlOX}mJVQJDOwIIWCNldk#ufD(XrGKPaSvSd0~m~g?S*j1H5$APDrzVfKlw1Q~q z0XdV)Y6XL^$!3+H%2jaZ$U*%}^*f(@AVfnp!^7{iXyWpMW@hpjyq=>{hLp?#2}y^> z<&mI*dz65Jl&boI3@&^s)<2D)S?!iPf^l~F=}msvM<}rcS!oRg#%2O`!3k%}yqfGP zgtp=E+*T`A7c|rL3$sd%Hqiu4HWSCo^_r0dS>#^20MU^X3n{&)FfEl>_q2)egHlS; zSZlu!;Jo@4`{8%7E~<0V_}y<;fx@4_>w4j->2Dw&9fXCbqGbap=+=zw6t{kTykLRJPnI&7dJ?Eep;f? zClKb>>EZDDF|j1j^>)!>(DnSN$t2+YcKVV@#0V+@13LVN>uF1Kkt2tjAcEQnA;DE3ht=#mt$+t+C4JOzH z79^$>W0Z2!jIVK!K=Xs`CN*<%)iD+$MJ$|PD-TtkY8?dOCf+jV22Je0LOD^(-)a|O zgIu#g!_X*d#GZ~X9_|5;_EE@wuZEc@#6G=4pKdQxL&V$Hsn=&-uKXTzyPw60k2Fum zti1XVzZRX5d|wbsk~PB|4Ny#Yp{VH~K}E(LeIcY%s#g8;tge^*SJf%{6`ORspyo8q z<%~+TP28)hd%Q>Y=_GkPK=r*px9>M|D55JAoypf}El{xY4o2$tSHTtEJIYd$Z$qiK zFvB(%2g0Z~@9W>>zh@)E=KE_9>FY$Ji#}Jg7}ZX530ZWd)QtfZ!PC~+S#4Nu-IV%(-ovYhSS{ro7}^ zG`eM}y7|C|=dLK8w5oRGRgtZhmCoG<^HxZpAbWr1&F3msd7c$v&7VaDNlzS|qdj)b zCs--uAb6naMq&66_I3}`I3YbiQ-$M{l`~r8k^D$a?Gba%roR0v3f@$?BDN&%aSeq@AqTLmZ5`A6`=(^TR5Ye#-%jQ6DM8-$oQ8)nQUjYBg%X#h^J<6 zy(3CcUZhpW1dkvbp2&U3)|=XFT4XEK=7(TWM6wl>22ch#@=JJ6*LQ>dzVC}S)(r4| zhT7^ymWXhGWIj|2LTNDn_lhPn z3m5mlbLYtXb?1Eib?2aXwO5q4SNy@@K>k^0j4$&iEUs@=8#aI}#1wp_Tft>XcH(T( zM8b>h$k2hToI)gPD{u5G#$JGzehRo2eNmX1ZKQW$eC1K8Ehm3 zLzT8dN~@?oi{6HIoUwKavA(DD4>^A7!C*p_q0{#f_1~?`TvyX;q9FX7oTQLK4GtKk zV+Z7l8e__Q{_`a8^T|%ArI1N}prCjNVBg`@$?;PL^CUU)2Ze;e9Ck@mi+rL*;%(v= zsvaWPt4+p)@=V6++VWCu(lMnu?5aeVR=8-AY$$@ED0E0efJD+zd8thnI<;6cCCj-m z-b&P!;0usOa>Vt*;a}J-QBH7Zl1$Y;Fr_^qLeQXDIjF&I;3)9LHgiqBsF$8sp_G%f zsQH`7=;xWpR1LwPQPm00m=+=3Fa=3d;5SH9m`FtzB7A+G<`P6LO-`~EX30Su-GjEW zg8^%8CFKFLu~RlJchWFQde2|^3OjC#nMN3|LA}zSFEk>)ij`nf7?Kd9Xp;$7G>V}D zPYEtO!ihw_lCY>DsYDwMF4Y|MH_@G!19F1vj;IR*qiPd-sb@n)NZ!bIWq0999^28y}RF`-eLh^@2)MKzVEAVLC?D<#Lo_xe05u{kuBI}yVob=LoZ15 zvpjE>VdVd>-`@|#%)gcnd0gh0;Y^bSd2-&NIv!$983Ro{LWR7+z%%=Ge_?H>o*%qi zY^y&_KY}A+Aa!jix)t)iA%qS7IP*I5-ir>i;=SRA^SvWP!UmPF=0O5VG3sC_FW><_ zZX`Xu0ap)hK62q!%N>1`c%dFR<$3IW&Qi6+$*~1#W))$xJ;k0QgkrnTcyB+wtT`BM zba7H|28~w|@@$6l%^T)+?^R`40PcqPUVt3&=V+H;F`bG^_1(fMHRfV59Uc2U3Z44Z zSs`1?$wkl6MhokO67OEu_0IHroM{QC%;mhxu){$wZmJKTH?QuO#&*Ei-sg*Zr=H$f zHNRUyP3$?TXwIc^;bA=g#L<{!PRYxTgy*jlnwYzyrEXlSL~nykjKQXWbRNo6gYi?V&|XLn1ZURTKR3wVEyG^8_QY%a&fS{h5Vmhl$?rI=-_g4z1|cm;nLup1#xY zT6%Ocpt?`ZCKb)ZqXZTz&q7-c%vI*8Rp^c1-_ zzpB#}`EjJO>K=OTuRP-lmV&N7Rg+luAOH#lQd0Fa^pCCYe}qmsCE4Kq^od;T~c^aST=y;n>X;!jcQGw3s$VH7}4< zdYPa}v=x6xbRnKR!K}1I9l=4~)?HZ@4LdT8l$obIe8AXDR+&M$cs_EVm%-(MSx=&x zpSldA7Uwse4doZD)U%Bu>N_!b>N7J+_T&gN%F^lG`FqStyJ9I3jl_8N+FY@Pp9JN2 z9>Q(qO~wvxYStEGm*tE7EC99WNbb|}K@(^a zmXz6-kgI8|^e!kAre;(F#SH2%qCC7}IG11^Ryq9uZ}lgyUPMgpj-7aNzKT>9&q zKEBI74Srk~$nC_)ZbR*1Q=5FgYnOINmjWH0O+^9%UJkAf50_l8m(~WKg<(Ozz_~m1 zke)G(NZ{tdt_1}yH|Se3ea|+|H@XpXcJp<9j|co{vP%EK-uC{Z8@Zj#hzd1QlwKHp zeOAE=je4=f?Ic2)B<(qA!5nqP(PQ7YeY;w_=n%Ihb*nc?Cn!>d5wb;YccQMje!tmC z_h(iU4R#+BUtgzoMk2F&r!y6JH}`_x$ff|1Z)fKxzF*2|Q3ZFa=DnT#t$v!R{^WS- zgtq`Ia>?_+l55w~px(h4&!?vfarn!ft=*L+5oygmZq-E;{GTcnMyS-{H7s2u@+nQR z_>Fhh*RhY2L?VH*&f$;e`;WO@qZ|`&VteGFC1m1zf9Zav3?Nn1qXx&1R&mBj;Bh`$ ztViQe7fUr5L9GbiAHWf|;I7Blx-<06dRy2XI-`XSqmA9TtfY=(hEU;Mttw0nNfk^e zm*-ZhVoJDUe>B}XV}~}Mf4A8JQ#;*}^ta1sw0ho5ROF1l(uU(y6NmFCkwWW?J}N)( z(SHqEx3g>vp6Yeazvnd5?aKK${!v&Bzn5Z+J5!iV5jHm|BF`h778~We+mm3!eL#;palUHoDZFkDjF5r z;X)YT|FE4RBdt*X)!p;Bm&#kSbCLJv+S=Up`)mSQkxh4cBNtWKm(p-Cf~Em-fP(P3 z1=(oKH*(9(_)TTvI%~%yO5@h>v4gv&F`{dX8t>ckGDC!-M+02#@+kwj@?Oot zL7M7;@u|A4ONO$5+ovRqiukAd4vCLixyNt@s%|A{{m+;E zLelKuh+b62bz_YC#RHhzyp|)DvXG$k^pug@GR++PbS<3hIG7WD4($fYSw0QJ^Ex;S ztI13KZoT)$Hmy&e&m%jR&Sz^kN3YuhyZg;UyOz~z->t24yUVUOhfm9fwlzIJ@7u$q zkGs>z1}yXGnbq6nt~c`akJWQ%zwYf>#8NNSLIndH6pd;tDWy$#DVRZ zv0f&_d!S5lAg08@wtUAb-#p_q{W>-U!(sD{M5n{D*U6O1!F9llh(X5$xo^W|Q*N(* zAfx5w2d!ADDdNIj&hqS%4M>G0nmIDxr8J!V_yz#yz5y;2Y=gfO9zP0pL%r}7J09-Y z5KqN$^6Z|6H7Ec_zRtAXeHYjbR|>zt?6RFOHkc389M+T8d-+sSS)4}T$ygL1UQ?gI zIdXP(r3{j(IRYz`?Lb@PTM;(+cTf*ghrqj?V%B>ul6GU9>%08u69M;!PA46esvS#KAgd%_7mmaq1|c2B@! zC-n35WFvUztDV?=xom6dk8)*tirgh?EHuDtl=e)d(^@3ev@yMWHBXae%ES%Eg zS-%QFbK2$&mZ71hcthu9?ll=Z*nH;`dA;IEZzb5ajb5fBZ*+Ke@OV(W?Mk1uP{=4$ zl7?j>d*%t9fF-X5{nAr802-l)fGt$^MOGRe+(|Xz%p&MrnL1b{dVu8QQAM~JMKo`q zcp$HKD#$7~+6gXdLF&?hDF9%s)CWjZgl)2-J(}q$4N_x8DxN8i-$zNLAVmw2459n+ zc0xr8Qpr+5yqe)X2m-@alMWGSh!|I3M)52pAEMN#BbTR+06$ozURm(#9;uhYE{U~* z!cH_~cPMvAZi5pq0*_;|GF)3s#Id5VmCdnY60o7Qw2}Uc?NU-PnVOU;z<^1#R}Dj1 zP_UnN1jwf{U?Cas1w*qIS6YV5CZdy)RW3oS2VY8Y!-*NaMJF-;7X~AG%a#}cFnHsJ z&LJJLE3VoA;%Iz<2*wm4hvCVVd%EBiQaUL{l|x;@^kBH!g+WlCmRBeSOh?!bsZs53 z)lpF7*rF{7$E-yq<>v;E$}(%DHoA(#B{q+a_7$!>Z3Rg!W7RUE+F%aVW3BX++X!WN zqPAEmPw%Qt(nV^|e~>FqPxciGNsA&RiZR5~RtA9$_L(G-Xr!JqS3cMxe4DQu-2z8Q zgkD9~Qn5rnG1LXobhhMyozAAyqtm1R{MDt)5EHt+43&a)q#k({QgL6a)uF9h$xuDz z*TqkO$)G+=Ih|vV(c$gmic-?}^XbHmJqD9;umr<2;N?5Y? zNRuWKy*Pb4BZ|p>yLreMJe%|qC3<_&*&5QgzStc$^!PTXZ#c2N#bmP5+PCSIn9-h6 z%Y$7~H~(A8d95>xVixUsl>^S)Flx1?lJViy9ZoxB-$k~@(5+>mu&Vpg*2s%2sUe{x#>C$2~}}F zxO%hqGPS)N+-GhpO z&uNwZvWdo`zjCO(X`YCPOKb(kj&n1DfiUO8Q@mZ_?AY_(!=tq*ZdgSB(;klNPLmLD z+`k9$7~1tLlB3G~taZI7{p#7O^|2-}r9Pgape=nYeQ!@ifY?9T9(iB#l}pMl+&{8u zIJ~pt$(HV<^H*mFJ~#fRFaLFO$CY;m#nI{lQpfs{X6ffgxB5GE4~Wy<56*wIvi}*_ zn1l1bBZe%T9RDU_sQIrPRBQ#a2*L*Qm|DBs#jc|w(53`LJdnfe>uimmT?IGtAT&|1 zN%P<=I>?2lo=Sae`o7&z#MK5FkcMp{%SElF$ks>HOX+E(l8B%}P*7fofMcR_hn)J6 zfg5xRB7UKQ+t(1xGWq&L{>j)VRfP`EL2)60O;CQ}3D2Ee#)huU#>jfrngOQ~@r!35 z2(uoqRT!@CZeBlG2wH3w+_ww_RB8KWc(z=4NFVfm90bUU8KwoCQ5(8^ctbO5MRUrA zros>pE5?(`eP(4UL$l^&@+Xaw!LbR^ae4o*{%;6X4^B|r!T7Xe=c3~w`2K>I z#1);q9Q)|?%ea7`{3xkhi(J7Egk5`xW{R^=66-Lj5F5IL@;VJLRpSTrrWC&h)sZD< z`mvrkI@^#AWZOACR*2jgrX-j!$q?j0s5|(E2S^b_4Dk@5QPdhM%?}ioe%el6#(hN+ z?OVkWcWOW!9kOPiNh5ZB(=mE|6V+LsGavycg4Zc2A3omLTJ!yYO>#97ruc3t0ynm^ zg&S+29ex4#nq5S*EPllODmbbm{-?IVZy#(^Z;!L*eHC`5iCr9f^qhuLk8vIh46uG; zk&Ad`h~byh#q-T`gX^owO)FRL_NRr(X?0+JFhwoHzU?-{)I4ncDkY_2{48?YeyVM? z_h$d@{!AjNUr=*IE%M;B<*eb-bFjJ_z9I24r|VsqJGVJtfXGVO} zMjW)QuO6LaUrqH|HNguAsm&WoD<%1acJmkDVJk5wAuK`j2k{SmT2iM_#gL8y1v|ofmEE zk0}+?p&ip#@l|N#=i;so&yNxPUf=qmZ<0YdNaklEq z3#Kbo!Jp_neQT>J&-~~%RkyS5U#zDYl7gAxc(1$1ee|kLsZe);vR=jLFLcmS>#3_m zejt#qAgxQ*omGG?(P8y^kFU3mI`inaOhX0kWvRqT$F(cD$~JT#Ws3D+s=iWm?o^fJ zgjb}3P2FD0P&8KMo>6IC-zbOal-Q(gs+|VC1GDPkLw#KGMYOVmMK)DUL*cCd)-vf# zQ=Pgw9ofmna7?bTBl2!%CEj79tKSlb(8vXpGI*Yuw=mw}!5fWELAaBrs|_Tv54fpM zlC$>8K`R7GT{qPUjSk76lRrABVLO9rfhXyMVgNqt39*O!doIk_!h^V_;o3KH-f zv>txRC8yIGiqJvkhrFT5-oD(GBqpE5WZu012vI6tMnX1jo)~M85`Ge2iT}A!YhACZ z?|4~S_x9L2dTp=9p^E+7=v$l1=&GRWJW;ld-nf%E+K)f8@Cdxrjq^jb2%gG7D@GRh zmF3&6e33ctlQaLFFHa}CzSAdX?sf!}O?=V*>ThAe2CM`3Kic>I5J1fKKlYvZ-+0j- z8n(cU&|jUZ_c`Ij46JBza1sYgI66lH<@S9M>I3{5f8a^`0RM`icMr?_`h6K1_7|Mg=Ap3P;E(3EsA8!{H00Jl=@^Q1aWN6keM2}9J$Td z@NvIMQn6j=aGkCEAuDW|Q!!#?m55Tgl5`495T1z>Sb_plI!33$(Pd+d;(IvHBnL)F z%Me%`2&u2) znXwt$myy=Cpb|!=bt=X!PHfd;65{c;s7hZV)dQyLd%~P1ItPA5+E17AkaP0zOQT*n_y#1h3b4 z1i-=+S!deCBCFboWR1*>P&%s|TQe%)Z1zvgv6WH$LKJ*ZL>zF%xJ2-owi-AVpCp1Z zm8wF$VkTc$f-vkk-B>&+y|v)xea}{$$uyXkOs?6voJ=;D7Y$S02o9){j)6T_1Ws*B zC3FYVEM)Hit7wJ`Tl#T+I!Po32~M@Vm-3+&VIzS0_wH1V>5U6N!PX7Y+EGV?ZSkA*0!F{>-=@(wnF!-U+G@^eaYga z-7o!U_{T2X=n9lVYLll_mG1(=6q9n0)~rd^5S-z%HYVrLIGM5VXvEhM-uOfwy44)Z zDHTX~s_!CRtlQ;u=|uu=QE4}^+HG|wsDukHoz((`8A|hV&H#?Pwps}{O`=e?lG1QV zUuk*eaq6OBE`mVMB{1^!e1Ba33{eV>TTbC2V0T)qUE&5Mad|Tn{m?i(9BE4nqgD{9 zl<}5wSsTX8#(-&Qik9N+QgOx;n4j9p3LZi5ldDk5k4mwYc`3Vuccuh-*0|PEN7Sl-q2E^g zN^>557_?`Sx}b0KAikGsSUTYN2;QHXuD@NY-!40QCpTqUD$YZjMfUt%RyqkFm%#t@ zHm#HIKxKyf4z%Vl*zJ7nXlUkxQgUCL%C20h=pLJ1^mO@jge!IFgh2bT<+}O)dNw(1 z%s-&5QT3(xiM6uetea!vVzPfyPCaNZRN<(d++w{!U?)YW{@4v~=H&fUq@`m&onxm+ zH`7k-Y?7e`%NzEIJOE2NuzZ;T|GMwPw;785H&!o(X{-0V?p75KcmvK}Pin|(lHM*N zljaK2XA`00fvvU-_}N$b5~<0m=wQy9uyK~|mZWJ=wsh`2vrN(ACUE>TN0uqM;^<%v z^9}rxxNBS5fjV~7BZ_%kvLzgjelq4N%3Y0u5H3n;XBU+}3TcH#0>J}WCnK@4yfX?C zf>186Qjovn?2b#m)&f6|GW;goG<~-zk_vzM`J=BeGlah+wi`7{n9tf@81KfY93_a_ zBp1OWUVJ2|b+oaV&^WqBfpk9Ia{z^zrTZ*}A(1T|@YNx41h~mCC@LdE*7|gI5xThJ zOxZ?`?*)a~YwP|K%>bk_e6a4HEHwLnghFQg7ZzAnmVXPu*t7?7Z-1&ooST9#Y2}wP z$>D;Z=%$SD34}&BjgEtUSZjvfy`G$`lqMn*ACf+DtZMR^g)&g8* z6Pk%bzRY3LMaC0RzzUPujxySj*;0>`7XdUdBP9!oMN4|HhoZAbh%1CZC3#83kYT}s zXQYGB24^CgP0(}AV$qOKI04~}hDIBrYngJg3G7&6QH>t^spNB*QDO1T#Ch_CbOSc> z`Pf1x8--HZ%-K?N$pFbYAX1n>n<1K=#1WPPBM{h|GhXT-U9b{In$q_3G7m> zN%Is^_#hZoC27v06p&vlW`D%_OEQHrLq`V%Js|(E&7}$a4VD-(GGLHgza7CYP0^S? znZS;2o7~9tz@|BO2s}0ME=H-f4h)RKNho$GDed2l(_IF?z2f5<#T*lr;0r`UiK+EP zCuT9wy{H+d#8KeJ@}5EzxrWO!8L8ViCIpMyh|7fP+(<^gQH}j7)$2@u=jYM#?)q#@ z?*y_u*!`E7(Ycw#cthkekb>KMQ=sDcV)B0TyyVhuk#2YuX>@2+ir)veP|a^bzWSsd zl0w1!_Tco;>e`pQ@@hYSR;|@sG^++CXKKp#@;ZG8Zrxp^w8Pn@<$7%>a^2mhp;x3J zy<=FMt@};ccT(nJJTf%tdg7E7$X@SrV{pgZEWqLJ1_5nV=%Ll@pg&E{^x%@3P?qV5^U$u+dfD+KmsqDgKRc+=26 zaLe{CTc|mprEAc11B2v8=!Kd#`wjEs8P(hqW=tq=ldhgJ@?f{-?R%#VulF(NAZ!rY z55)G%or}rSekR?0LbcM+tEWGS_`V}ZQsW|POlUE7AA0fYP&sz??XviOFYdEWO&AID zp@Xk>zG9k{=Q4aex^&4E9BwH|%Xjj*!8#UWw{U2dJ11=mf#VZz?lZcZ3_QVJXb<2! zoDLJgDZZ0TdhWO%)=7IFCn?hYf1F(D<;oeVBSI%xu>(&RQ);ec7Z!18F$bik7I-W+ z!&n@*rwp1PBfc0$u*|Am#|JP&-gitbl$*HJ^(Z(|s5r9hMs4S?gf6hAv@~ty1&>yh zb)0@@+3;FCn|vT~TMbqpuIgyM-o@N{Qw4b3t8Fc%lYK&5b$GjvTQm$PITO3pnHT zc`LkQO7|mEL4XlMm3%h6Y#84HjUBsomSU;79NGG*Dig8nO;M9AFPz~h;TXg^(l~sP z&`8=rNOud7*Q>9I!2Lu2p|8*KL+#R|oP9Up`)o#E?ejs#klvOA6cGCU`RcKdP$5HB za>wDJq2gps*7UHxm9(@f!ccHM>vf?$z5B{1!&CU7Yg-Vv?}%4p{kV2k)zM@wHD$jy zXa;Unkk0!8{Hgw-?tc1%-^>v;Kp%U?ZuI!H)2_B)c-wA_Zp~OY3eNK^AIcju$ju2J zcGM2)i#LDHUOcKi=c#7dGQ$rPRVr9sD>#9XEC@{Jouh+^Ge6fjEP}9gll@^opZ3X< z^{fso;-VQr{zsRc{XfLy{g2De%=&-gvi}z?xUXg2sHONFVC5e#hX4Z8z3}L?5qp9)^Ke({~Hkt?XF~wIgI1_^EbcztJz?vj><*QDR0?)*{ zeuGj@lX9wXoH|km2nrDi6DrPcr{wXGyB+z*sOhw==m@4M5gvvqgI)Q%*s95$dlt|- z*QewKG%Dy{)TIHUh#lmq1%hhZnyDb^ikPVr5d;Q|4WzZDNu7Z|Acb;Q)WVeD=3X2e zkUOQwwcsJiwM<-wQ0d|idr;{DfomuNQR%Q;C=`B|1}BgT2_;H5q9RB)DlKS|tOgeb zNjpPZ%#ktT0*j^Wr%ZBY*u^YJB8KBS9_zi>^!#sC1aX z7J$`US_!1;RI^T0u-4k{KLbSf3;_8|6)bTU!K|TLscqG@u0%NKa6n!FQ90O305)l= zO5azUBuY#dH5#l0)bc7Z3nfRXS%a!hb@QJ#l{{pCb})1`w(*u^c2fk!Q8QK+6ce=u zPZiE`%{X(=MCoPYIcaHqMQE*3L9j?}v@6j;^n?Nn)fC^N)JYs3D=tYsYOkNtdy0sV z2Vn=5zC}6%YAofU6B#P`7;wN^es;6qQ89Zqv@H}MOL!bSrvDQ8oI6UDSs%c&r;rLj zSffYhtJ$IX?)CZTdTsZ;p|iF9)4ief>CemkUJKTlU-S5S###Gd%USz{Crfpg zjqzZ+K9+z~$?k5YbddSaOx@*%!av@QbuSL>A7GuW+WP$U)0_N~Pwi(6-F~mTEw?ov z+so^(llm3w??D211ZjHh&fYS;3VDgS>;2FK0vuV5GEgf@aa)wC~yoE%yFJ3f}wGi2O8eSt)IA}sUNKo+~*cq7b^CxIq!^vRs`SX+= z3SXTamg0dlvEk01WTzNP5>Z>kMA50vj4^jsYtAHD3&GWqCC)xuC!YLecy06qv%1ae zFLv5@T19T}l=c;RZ*C7z%jJ&x(RM8m0#5jXgwY0G zxT6WaB2jpf{OLoyq`Nf7T|D+v68(dB;8p1`?648ty25p{s?PbJ--T6(b@ojHykYL% z6)6|`5IjhKSdj!O)l=%{6Oeq~fA|~N2ftoHGCZc#)-&5PSp{s4X zl3?`Z$%B^WlQ{nMr()>{Cn^(VCgH&W61t>ozK zL}KR4&hCnmr1CM~idg=u^W28>i(9e?@b2Z$cQ?p>b>5wDHu{`mZbY|$&06Vh;C1af zdNF*;0TTU)cO+>4ql^8Y0sH<1<;=?TZ-{>W20`%tmc_yWgCJ-Z=M!B*&{Vd0B-O@7 ze=~_xb?4YRJkS{Fe%q^Qor@>V$=GB!aVr?Hv{PAI=7$x9N8{;r^@+?S`cgq@n)QfSrdUfYI?lixQ0kVpF? zbt506Y>5}^l-UC=GKolpZs%gI*OA(}bJ^IyBCqpXR_oTz)pgRR`j0oeewBSSX3jE$ zKISTtC%Q*Pwx~D}a6oI0CGcpHH`*pr?`^4~*~N-DYLr+6TxfNvca1&o&~q`3|BkAR zk|^UuNr@Gy&@z6N`EKdaqF0@q!slc0;^A8VtNr66W!}6y=krf()%+_^TT{F4PcmEA z=4VrOr~d!Ql*+4NUAI{Z+SPxfcKi$~l~{|D5A(f9B;O!pCw%NAW*S-5nf0l=OBMC%es$qofj}WlWM2t;3l+&W(=2i zTXLaCo6P?pFc@f|5U$Cxtnt@?3F3%odE_#qBJ&p2M_!Po`G@UUl1uAUTw@Py0z zA>+>Av@8~|)aX}c2t6udPGMFI5*yz*H8D4lbHYRBiA{G&;CgDXFvcQ3+OP(>Z?5+~D z2$UUx(qz*-_Z6Y;)^jNxl%kVg!zc%jD=jwB3`*m;e--a$@e_^z=?b{0Eb^!pqd1EkqAD*i2H*^bLz?i2yTL z9Pq+FFr7Og>?rEzd_psV*bka={iEUk&tP>N|Kdsm(cS-BdW(*~3OoN5{r0gW8k>?# zk0gd@P6_um=o|g_>nq$k!Z%s9Z7Bq&y@RC z0*DB}UlD`}2Ph&SSL9>nuHiyOMMNB%Hz_LRibfCv8ra~uaPs3Cw5Yj~Qqg|`sA$Ub zSk)GU#Tq8^)D}ybSOL-L!q(-ABo57N@Eh=ok|^nYv~fatjA_C-1{f>w&2b=;=x`?Y z^oo?UZQm%+>V@KT;&838m15HKD7KuMRIk zU~!PthhMDth$A@W_C?U`HTS;kAf!#Cx{uq`?^fLbyDO-cUd&r61#~o706N%|+IP`# zt>K-le#|Zm;92JfrDQVAj3ihL7+EDm2NhEoq1pNqFM-035vnLr36Zb|8-?aqjp+*q z?k3VA5pUJUtMiPiQJOMyekp2-3xcfF#8HTEG^wbc8Oe3GPR<%dF()U3rw*vX^j|gj zX>E*=0HAik!XJsD1fXj;tCEr|h=3$GCw&(zHrfSoeDvqBzkQCg>^D)udtXpwmaf!P&u&F-8i;C-X31J1-x54 zI4-19=P%ncPQFnAi7C83|2!_HEY}S7+2>#1f8@L$#)huHUu;g+JTA9S4)z}$m9(b- zf!ON|EgWtcL~d@coBbV6%`F9lhzo?myoftDr?)Aa9LSR!moI|dt-cv~Xw$MKxuJ=4 z5c_P-g(UFrPO|1xOER-*!nv2t`0bng=Rh{km+$uVL{&axYFF6-{CtX-a{>e-0pq&~ zHnt+oH~F-!HhM|X^cnIacCR9AV_+0&YCoI!lQCk)wVozQ8r6^}ACT9+aSz_l!)Ljg zannURD4mfkusLfv`()=SPs>qyaTbLF)Ml>{b57y2ob0%_c9gFu$!qfW2>1_hxSuQO zm<+E_pK<6M(`zaRW%m|^B1c&+!a66f>nmHhrYKEXe174d$WRRZqIf@d6vh#%--EQL>1@y7oz_WAak-1-I^<~q;YdEmHQs!Oidq1-o zDQ#?(D{&>19F|2#b;=b{#@FcSiIdP||B?6>lW#E{Sqam2`(59i_vzAkBT#P>(fMvh zz9LQ>hv6T5A(ZUL#&^_>4-G0Fc=&pF{isYGh$*KzMiuRMDQ zoSQDTcJA|Ik>tfCcEP<(ge*NfQ-AF6FlBV3BuU;a;(?!Ym=&2sA!Mu4f&mo%;ut*y zKxWIm8dJ)Bt_6Y~GCKD+sWqx_N>8>}iV}dPH7QYRAG%)aywt*HET%v>f7fY-_FUJ@ zXZ*MH0yH9+Lc<8&9}A>{)JkYBE|8iY2w0GBTFH7~RPa+q6oW&9pyG1LovC63%$#9` zhFqMIxuf+eGNakjO)BUWci`ygM#)x5=x)^$d3~cw(V9YLWyw;BQjF+;P|`)|B}QtZ z4mlA{n5|OU*)M-0)L#&hF-%C9H1n{MPsoCmPje#zl5ij=*l;|rghgv8ei372EkkPDV;ifHgOhSVN{)|T9WL_EVL zY!tUI8ir>IeZTS%>U#V^ABVyb({aJohyl)`m4Krv-14?G^htE{eZ` zYbil?qzIW~%s4UsQ6ouKHi?s9wm?xRnedqMkKuv87`NpOVm|OsNdk>E&5a&As{RVD zrv&Li891Z)r6Uzy*yihK$w+RLX&jI8)c-m}a?!nq8p%TnXFncZ7S(C!bcC3JIIu4~ z5H?I;@mLvF91ax!C1c~d?4IFEZxvSVV42W}dfyo|f<5m95%kKZwXf8(*b4K<>n<1~%hc=3#x#)Yg2jyustT zN`Jna%~_KBw5v^>*(=F;6<|O~aA@IQ71TAvl1_3B>>-N0vK2atIF2Fiq93ZSTgD^t z&>cRY3{N438sebeV3gEu+pO<=JWpa;!WK2Gig%kYx+U}ozc1(uuUB59`xITPg7Vyi z^B%?hy?YWhL!x8K6rSV1D^4nObyf6TGcb@haI6Lf?|vTV);I)o4Vk~1-~D{xh+EKX zVxlc!uxUV?J~{gfF^hlGdHqM*`X55f{@bBtW@Pzykqj+edlNR)cba5(O)@~rMg4g4 zj3lU;2PlAcslE z$9PC9bZ?jkF?7VN}U-R-!zW!7nAyWqoq^r;&o7bn1dL$|{>8)tMx)?Jqsfn7_vSSG=s%I{A zO1;Aks*VmZV=agdL8=2Q_nKGJG9y|`$?Yp86_w@Jqol@?E8M7YCA!MP9hcPDqBAE| zhrHebdB+W+BVH8YhBYDWy21Zn~hE3e; zUW;AWnh~WoMsOL*>@dNT)Y|o5mZ`O+gXIzltzlQ?1})$+=HR3T7o8f5<}~MNVqmLV zz76#imxy_f@_N-6(c7d;qR<pPbg^{`<4toQAwFL4rAs*S&}w!=9;eBw$?F+Bp*G8lySvw-{pM`<^|(*QP!Eh(yShMd$$$2 z6j(ec!&ldAlaapMcynsT-Kx9ya3x2GIeiQh4-Xm6UAqQ@l|Zf^odQ$>n!RycDLW6}4!JJ$~> zXE{XcOE3K2+Fe->N$nZxC^>W*-L&s@McjV3RbtoS4hbZ(?M$5`r5S&Cr84+6@>+Jj zU)@1gc=+A!JYF2GPj~yi?+z~dlatICzUbTgDS}`6ZL!NruoM&Z?6dyBF`r-zz=2|% zkD{IqI&s@Q5odg6rMMbki8g2hoyc-OM49mKfP$KMIpp#`fx00)#2-aI6pY!$pQf4) z=6a>SY-Kdx-jm#V7o;1Fxq8z{{Z7}!H_WstNJpN=B(ZA=Wkvmp^S$u!LNLZDn@Pem ziy*9ItMJeW7p9be^Uu;6j`N5TF6gGzyrgz9D_9%a{F6?u-SGRHO>V<0vxy}>Xx3)R zoldTANg*WaAQ$|P8~OP}6o3F2cefDQy9-dXbI+m05o}cGMz>t9P!b3AQu{tB?N#9N za!g{K2$>!2H%zX{<3j27=mMW4NtZ5p zd=#w(sc1+9j1BR+ze7mrdeW?_kLNX-o`M*Ot`{YTUr>fa4tc*UB-3_<(BLcV-o zh*K3-gK?zD6zanv%ls~EPV5XRtWM&LAuK_8U zmkwO3*?sw>EvKX9CgZyEY+ms zr#LDkZup&&Tvfd2-yN-XMLyU{!GT9ovlcb9MF6)r25)B{#&)VGpIOaj^%HrO75}Vm zhIHxK1~!9;^{)BWsd6i=tRKkz`|3XB0=q|j{miZ9k;4kXk9j=#VvDln)8^XYvtV=k zJh@HgAh>zk?;L9l?$4lk`&E$kQzL}FcQc&Aoo_ALsxNB;UgUYjS?F0#u>c3sa`)$2 zo0Q$~&3>#C*2Ux9(5T-vT#SOk$CM}JLYhE))_dgImMKmO+|WUQqlXE+lGb^Rmd-Wa z#TgFTGOU@-v^7|@>$|=DwC8Oum^#joYO&8K*AP!Ep@Xie&JS!XVl#OB)AeKQLnjlb zrbpMcS3el2f}{d3tgp$ySwV`iSIXBk;0(O1qLU#!vNKGR00)1w9b1^Mt_447Mn5-k z;%T(qk0giZ^79Ke2sj;8%0D_8|JM*wW|n`43D6j|10t{9F~C{}pu9%3eu0GeJ0=8@ zkTcPK;lkz~QPf5@hzjV12>aw8{Kn2yS9;QOl9(5GFl@-ST@k!!VoWA}L@@TbKLgSZ z4VzC>NZbmdsOT#6p{k-om6v}^KuiORsH8{+2lB1lFOk$K=mCP~n>0$no=wB(paE4o z_D>E>EIFYY`gs0~0GUzbU-&9A!YQN3tvV_)M(RCrzv7eV!il!<&?R`w)D-c7C8b-z zhn9$7tHfcidYz+G$Os8Nl_=xqV32`|#1%xl>Vn_t;qdZ26N0Pg>1(0!paT1bFg0Lg z!}NY)8Af7i!H7tfk`8vq)qkVuOD^bDxy>7ksKuz)j|8vDGi0#5k)F`IK?kFT@J~X| z7jy~Z;{wr*5`+0cKg18aAXul6aBBj&3hK{CVFN!#F$*GJ30NV;LvKz+fYpwG45otu zP}yjXM+DYlG!Ba9AeHA)Y*@=VB9jY62GID7Si#+ZTS#J?NU<_l(dB!9?z+kO1q<85 z{7!eKK!Ab9qeKXQ$qzT3SvfOmlS`miJLB>|fPvK}z0b##WInQ$A&u-}mQ_n*PJb_stz3i~sHWWFyzM zzOVbewAr*4`1R%HVU-cUyN*jBJyznDHB~|4mN#`;;`Vx{Lm*q>_6?84ok2j@*5m4w zmFoTHs&wJx!_Dwl?aOAzS$3E84hwBF?K_!fCDR5v=LMf0W&S&7-8YZ-;l_9O)CcJP{Su;8#?lS(|boR{U-+s(@TTRIY6O- z3?h?W%g@G@c_W2LpZ<+?*WYE)=jWZByQ9{|6~j~n!R)&sQskr=n{BEDEkEhpG zYr5B@2|L!t-n4cw8F?-zp}G5FN((;9S$5QicX)mKmy}obnAdh}dw(Ru8;tfaU_X(^ ze*}%#@*FgqoMnN~(cZ7r6l^G65fyh0Iu$M0ukW;l!%z&}UE;^tOuwq^bVuoRbJ9^ycqf0XN@3L5LZs|rxb4G)U8l3u#!Gj zBuPv%9gQ{J&-v@BDz&5Y9$DQdT_ zx@jfXtz+0MI+PDSZVDUNC!*7S>bP}fBVCETlB6*?m5DvA}=WVdU`+fn5LNlHr_RX*6<&9}}%p2D!+z{`37NY6FV*SU;BcAs-^X}&o1LSg7)Qi29O)SecJ6k@yi zp*^96tGLuU?Tq-qP}o5Nu4O$>hU%`6Vs45qV#;myQxSm_8t2gO4!9e+m;~5aAw~?I zpj;&<4UM96;cwqCBr3b>-DWRM9qtj^@1i^jtapRV}vrNs;xwDRMq~pSv#MhoX2$JDrff! zl`Nn9&6sOBOQH!Fz$i4*a>AZ$!Y8PIPF{_dP+|(#U={C^iev536xN`Qa6|9FMMq|` zMu+3hFEvE(rp_O#lPq7Mu?r{F;wKYG%C`)r^AbUBwjqfp1JLs@KJ=+UtD-k2L`EFv zBUKt9$IFpn3GtZ`iJ8=pwuf=+B~OQ~4xAf-phbo$+8U}8X|96kL}_8c_hGu2&>l0D z55xC0zpjM$4QZ*v_YJM~1ktTJkd-8u6Och`&v7!sYD1ce7}Dq=09GQFaAb7D{U{Q` zLLz4!?-5pk@5HdfjQt#unRqGOR6*M!<+e%{*c)%yXm&iUfvXNLq+id&nt`CRT58v-~@2?+kFPn>#hwZ>I z(u3XY*=GBz8;82UX4j@&_Lgo37Z2JkWsSSre1?DtGm7Aqo((U}}H zGH(FEJ!p%X8BuG0%=26kOW3cuo#OM746~A(uY~iwV7Oz@$F#-2FsbfR5965;EFqlL zlnm(r=LqC}b>O++91(8Hy{@0gZkp9=$0T!uibh$Wy%96Gaqk3UtJ=6AsPjQNUFZ1mj=e5cYiwP>#bTz z-?=ccNm-rQZRzW;OL+BF$gD}7w*4wOdt?XEmlHl6`Uqn>FxwcCcur;F*gNc#O?h;N z16hcYVJS8qN}MNoHS?aZw31(M{Vc7S;_7oedP1WULD%Ex)3$BBt=A@AnSdC1BN^$PtvHE^b0Un}Of z#zUT1K_h-|-TCXGf#qn%$!wB6N* zw+~-CQSmF$c|uMc;jwaK`4&lVDCq&UejYFSH#xvQ5$qsBL^u8^i(BDG?BVBoUiPxC zX%&=5GQ3Nq4@azFgiLJg^PlVMlfCs8B1j!SX1|Y0o@I=kP)j_C$68z5O8F}d`^m(#(6j$Kn^pSbz#@m=DhSIS|8-$A{NUmQ1xvlxNgj6W1`W~jk= zO@GJYWiAK$Yw6&hmBnCUm3Y<~tDEL6K)TQSqes&Gy3D<^1?hTQg+0(78ttVC}x$VB%C1$CLg_xcU&-q)G*b8 za@k_aY3HCfon4;e+MHn(eU>IK>*BPySEZ5CSt{XQS8Y8jZ+6y)F}j?n+}>A2K%m2; z=}$>{uEMt1ET)$qx_I)v0;BY3RvKwOzEPL%0m0{)Xu*T;xnjfBdy?&je@VotU0P^rXG@9^~2SS`E`B$E5nslKM>O0p$~}7c<Tkj}7wJx#4(20+rOb3WGPtTD`fUea><=b;)q$eaaU# zc|$5AJjwVK&cgWF_X&L`v6XK(3C4+fN$(eI%O8$-gVRLHP<0LpHSHieaG2swWXrj%uByY zZng;Lj1WFyCf~Q%HIccZv#UpM9=k_<>~tWZfaj!ssuHx;@^k0LO*JAUa^mF8;?N0i zwURwHwVEs7t)ue~xgAc-!+9X~ms_Y@ZC}2kOEWbehiiROFxS3SLR&x@wia+@fv;c;qYK3yJq#)RONnF+VlE;{!~V=zWzZp=H24`u=W1-COeVk zxD4<-vgvw#-a3DJ^XBt-zaKksc0PG={_yzN?@0#^_C6x};H=Z({oa%Ez879k^Sq^_ zSlV$<6P5jOpZ(Hi)A_jKJdve!F4W}aRug-1?tT91%(res7rs>&{!W=a*XsAXXo!UO z#N+zumbZ+}d)XvDe!`) z)hTE4mYv(f$x2i7N8PC8z z`wP6oK2bXrw(jkLbl^gc$lOuk6{~8tmfsEIQ~Eh^mQK%z^5@Uz@#@@C#`dgH?Hae> zo~X19R`E9O-5JH*0d{Ku(*`z&QSMxD=Po#*9dcZaoS&(8w~bsMr>n4kA{8x;qq(w^ zY00Lp^ibaTCo``^23)8l^y2jW)P$7$9Yzdvlo?jT!O$_-qb>_5`F3@GYzwCis|~vf z59k?l8FFE4hFaL9(nh0)Ro$Xm4M+}1h5-CRZ}!9dH3IJ(#MMJ~FLRo?=#KVe4Y?57 zf$IMCUfch~Zr2fxzIQ#e1G70l?GH}ol&5FR_8!_;`$%|ZnU@+B9 zl)uP4gT4&pVCw6HcoN-{A)3(JIk*$u2QFgjcd?-S9#(T9x-VjKZjDF)OJ2Nr-3eSw zhF{o3c@aE?tNF9Q|AAnv{UrZjdOM-N`~Cys9e^y*j7qHjElGagf>FM?&w5W!pEWuE z-D^8UV`R=v_=#jdo0IGQHAMEMcmh*XuQAwY1wY9OwT0@|N&7W9xs|yOUuK9)akz)_ z{n994d3%2DhigAdc|d_$P+|>ilEE*2mqLb^0!nz;AWHb*P$ILu$uE9@C{Pl-QQa6C zV+JuYW-K%gW~>B$5`@T}Au)xWLnehqCU}%pCiv+MCV1F7bgTrcJO`{#rSfVKJM$D= z{gM3?5-PfKwWE5rDJeah#f-z5b}$TKxppE95(QU=#%Ky<49ET>u@z76*L~Arux_o* z`87uYJ-w-^GSQ(Pr2WtBf4-~Xu1W>HJe2Zv3I-M#EQ~$65S&eHk5Vc-heOmgx|Snhy}J3fkKqH5?gYx z!3scBte{NZh&SW>!RMiv?MIkRw^675>v6Ru?3|7vO&?UqeTX)2PC|2J`?uWl%gK{;JmUG0@B%o zCLBCw7Vk>D6Wi*1i4!xd-$shAT?@(hwiS^EZ%*r)NO<|^p1iat$!-qgGB<*M>Nw4z zhukmCeVLM8aTUUMC*ipd;=S*8r1#0nn2G=4W-sGioq;cj^@Gz%W?=kikpqvb$l$=? zG$Sf=rsn^DB%HJ8);Yy0USM0Etuxwr)bBO7L3>uk} z=m(yEJb5_z7)^5!P<&3;UvL<}kf)-+SQEeXwLwl|6h=vb%r( z;@PS8fu;8X)L*7%RP}E!ECd@QTTH;Jgk}w$M-%Nt3j^SKn4cn6xMv67gXz+gKQ*`D2g!ZhwGr{m%fu?6z z4RWN03MlM|7$E)K?YY61IbIs4UwxgSZ0X70G4_M(s$#Oa5 zwL$jiT_)_kV(oHYwe)OqtW!t8=KU^ap!!qgyt@X<>)Fn7+y$q<63?K*BlxaKF2rFxyQ zAuB;P@#I*b$uM{$0YtRN9VBueIHxNN-8?EE{3;PZG`{uVO``9wj3!3mB6-JJNgc%& z@#_3Fs8B>-7fB?4hdgdN&#oWi#d3`{uj&{as9qgwI0>B-`d{4u|2l4_ektind&&OpsMqFJ!4~1dEJOQ z#C0+pKo^rU39 ztV-wFgJUU5&)BHYIVWXzjfdm~iO5z-cwSuHNG1FAbfp0Ch5)5H z?VA%1AA;wR^!M?V{ZEg%UF~^YJgTa%lRE3SO{yKdzmeYd@ZQc^TDODWuM4|M0arra zuA<)Vm2^)Wx2kM!bLnBmh;NB_Z*$2r;#=Kh_QherOa(&3W( zV7XNVyfvgBX9v9>N4+omJ{RS7;rv;a*tBuYQHYs)94|gTm)zL2qei{50Tw0lOM$ zTT9*xCUr)*Ucv9^1K%~4Fo1IaX`c{3_}_pMS*1zjAA?v0f5bjN{IT9pw~Fih+pW=m z7mS1bU$Hsa{!_x~_OvmZ3|8d+4|K`zn?Cb8H+m(dN}xqa3W>ys#lZD4Ayx zmkbydNu;GR85L3#Mf9o&S#ao&Y_%Ke7t*B`GN~FBip;PXnNNL7Woj@LDjG#&y-6^W zY^bNKGn&^(iWvPa!YE?ZZm80+o-CzUP;1yTs*I?pq*1(&2BrhqDG4(sD{%H5p#RDbzJO-O=?XG5c~QIar%L1`Ij;^=p>8b?J< zvXZ#ssyHQS;WAQ3(rslFO~4hEIP~;g1hoVLE_)$Ps>4J zKW`c4WRG<#837j@!Nr{YeWM+CII@tAN9(_ApWJHj1(Iokuvuw9wfGB@8r|3x`ZlNk z$uyo0ksvcP{q7@dO_G4S-hgUI8vJ=Ud*`=7Ot+jvEu93R&PfC#_D+dGOwK&x0aedt zdVKIz)nIpXBAv}n&Q(REC$NXPtt^UNxIftK7{H_9Hyp09RET4qm@XyNJ9cnU^49H?ju9>nK} zQgC(tRS!PRs|g;U=7|<_0ujJ^@QF_SFW~UpmDl{xL*G^w@qx9M$KTz)xr*oA zVOHyUJmKtQH?#20HACFN9!_mH1Xof2uwraybpu(O{;>9Kbp`@i=@B1jdp$orZ2uv0 z{@+cZe>8dir}uxFNM09CvsFzI$7c(f?%9o6E8g~F9Ji%{UnI(&x>{Q+EYaT{D!mwv zbO=70IoexaZ}x|RA1*2pPi}f97A|bobvj<&cUDGv>P}Q`-h+>1z16*8Ydjtz-8OH-6nCm~VLBpBZiN+>hz;Jv=D7^Zj^0{lRx}KV!~kaUZXree;sA zZgR>?^zhUuTjbez8n5?ocRswP*hZqAEl1FV)xO#gq@AmJD7$v`te7K%_6r=zFfDe@ z?aN1M^Y5lIRXsH@NxijaC6U4~DfCpTSF>P|YGk3$e~JNNKYxTT7C0l6K721fp2J_w zWy4do{wSkUH7Im!B}2Jb=L!Ll`5z7IPY&fd29S8vhiU>Fu;n><5&=}7ONEP3h@k#= zA%Oyx{=(qT{{JjO$bS{K+>n~ix((HnnJ$V^gNJx$ShlSdS@Ovi7!PZ|jP#rX@(5;B z>kaKSzTAv-xe0002dPI*phG&S44Tc~{cQpMy9gz0|4b6g&cXQqeu#CNIA%U4j@+kH zu(9dn>8R=py?v@=oC4E~j2T7*5d=--cXO|(MJpW>Q|FKuejB-We&z)_*X38ZIrGssn#bup#1#;sGzqC}UwQ2NbDL`CeMKy5Hu zn8y?Zh#bb6il8>QH_l@sXAK`tS%p&VPiVI@lCmP9GSEo>j{T$FERoUVrgP(Nzttqq zb#`c7Q*4j3A%%5owfIM5b3QKX&N9VEVtqnm(YIlQQBn+I?shddn&%p%Edi_E2~| zI?;VdO0f)jnB`8MX{MZCq_cKuIcX4vtxED*gRhijyk35kxN5kEI+EE+p}?+Cx~zT` zsMw*8!{t)eILB*K(V(NKk`_x%AFUDJ_urkAh;KO4Han3mRxA+jyhm^pIMp_bD?(LH z+jW0xm)z&5f2uS|^GTk~5JM)rxotNZ@{naaZqToluTo7-Y$Q*3u(@$qldQJZPakh> zi1a)kUNsXjsOl#MxUX5tXFbtqw>P&BWt+SQ-rYa!RW<0l#ut3Fe1E&T-ebgKf;UVV z+#E#ij+p?%g2u+ehQLM^7bV4ubr7EZ3iWRW}x^oI~HB~cj?c)J`? z+Pq$lulqVXacT!?b7%)@bFG7R+_>Pm$pZY=4jo7qBW3;_Fj$O~1q7`sRQ%km<-_GfG^c6>}&mXYsaY1R}UiI$KVqu@hGiQ)ppP>99xq#S*$>aRd)t=Q_xH==jg|Dak&~0Q;FFh;mzR~`m9}(eov!aX>+3q6 z?^Ykm_kYZP(#rumfBSR)FXK=AQ-RO=pWHW2Jgc$7M$0Dnv$QC}bi!6pM?NMC9a2_2=i|sut!6 z?&svSn*NZmCA0ER5=3D&HwOvNO8aSy76~Plc8TOz04p#TU%6#Ll@JNyv~3?7;ZDmY|WCby(M{*7kwZG}d68mg#d zI;bR7A5Xi2mC+);n4iWaSFd0!w6k#~1XOq+P>Y5*q`FUu*mCXD=f)@)ngH5Nw$Z^_ z)1IJ;Mm4=MT9w5VEa)$&;Cf$LJ~x)MBd$l<_3)5jMbyiH3ujha1%>S=ciA?`#6LqR0ZJ`CUPNVj-UKVjpi~R-+3*KB1t`9(nl*A;0 zEAnE6S_m4TW&uX5P2~!;DDzTv5~p<8yD=O~Mam>u>y1?iAsX7iWungMhLQjo4Pytv zE(Il_Fb9qQi2{rXPTG}61TP-KDM6$x6i1Xx4BLW5<*TpQ>l>GgQ;Z=(ize60m@rNX zEoc_RU^~CeAwCpjUz{_?9B7VU2h(77H?3M1mA~Gv!P5ltx&9Cb5~HF)5Cnv_<|qI3 zusO=2CF_W7oV~cSkBFl9QcSmsk?|00u`=Nof5VYtTGpYYAz+dz3;xAiwNKMzgBif= zRpipEq?!$l>4s%<_Y#dNmJI~*(=ek2#78jmj`|jZFZHYes>u74SxykeZ2>4a=pZ<|FGk z_7NKNY`)4T(u{+)4$8OLV-SSD$A|8RPk+?X2@`TyNQnJ>TD5Elkg_bJkx>J#{^vH> zm4LA_+MxJBnj@=ZBUB^RQrg*=IBr7yP6qhhl(QIESw&c1^`iO1Vy&uF(rkn0CKJcfeUflNT)sfgs*p;G>j0Drq7-YxB{{n~^k|4eR-9 z>}xglT{Og#zhwuCeV6;)-GohND^J4$=5>LfWJi(7MOCAYZvA!RK{Vxu>CdIH&!#e zo6*N5UGM@Hdbavjx&=JAaAomz&IgiR*m>!%us&Vcvh%vW>UuyT_0J5v<~>bqxlCkd zm4XYJ{4QehWiC*bsPa=j(8+PX9b!t!E7`D6z{`!H3j%!&dIbHZDN?lU zZO_J}>)d9~e#*Er8BQaUYUM8d#HVyjjttWlpOQgFz9q%Xc1A=^oNTK)B5@&twFMmd zQ(cOJfjvMDM@|}sx`mzssdyMI(LKPFzwcz=*u0{w|G58Wtj2-E7I|K*hF;h(kFXU# ztK%K{gIp+hX}w!{-`w(i1p1n_QO-PP0h~iyqOS(Etb9xGFn@*PvAN)b9CmR-+XjhRA2gZGUqlN??!cUSV9t-KhhiArT_AXl3 zLvxhxT-EB%S4$B-J-8O6$s?tYCjnr71#{566njm_XU(!^QthGXi#^ik8iRAl;fs1c6Q%)*!LjQ^l(eWiocgFb42m$U zS$^RPA#M@{Np(tO%QMdxQ^9rGERt)DPwsX~{NlK!&EpuuYUv$8*h^eZJ)Zc-^uK-%IU zyP)(nAwTWPA%`ICiW-*UuI0OZfCi(tJhd)9L^1kJGK~4$tH>uGqYS|c^^OQ&LWL0@ z@Oe|$DH@A$D9H`pvhsBH)h%n6`nfG$+}es=y4kZ+WXh=w0f@RWKj3d#D$*{dwB(Kz zbH&j(Kf&BQV$(7{INYbvew3Y}X9yx!L269lo1!F-%VP|`#ndbcV%iumXJ}fQhM)wx z;z@xybHl7(uc0|im3lp`UU`cg6Kn4Kbt;Zup9ZLuj}X?6IFu*tgE_!E|xYMolnND zj%xAmmvfHZ7x10xbXDt~tDb#VOXp5qZ7Ut#&$EhGjLOSm$^?mFQ6+{X%I->~E>ejA zg(H(fL6@2sFPL|--EC{2ug&p7N7=pPO^(JzNm9z*=evh+7ej^Trqrmy()SxFKH}SG z*{-wXk+qAL@Myq7(}+vwtAlf0S4+qH`^?MQ_4WDn+6TY))y<=oP{&DYKhiE`HgtK;ToFUPlI z&1oRok-KVT=XnmVYTeFr^2&=Mc*Jh4SH{Ila1w@S0Nd9XkF)P{QXF$N;gXfOd_LmNefr54}VE8I;yYM>4GTBYwe z?%3zna122Ek->+%(29$H<;LQ0kX9F<5VAIygFrQC_zcG<8hMwR7x-k%qGXp+V1tv1 z4E>I=iUj|9Gvd2+pA?g~H5W5gj$yCdh#~|UnUgOkp#mq!+U}Q&t(`kr`eY|I<%jW* zusBN0A+n;~S1%Tpu-56dB!-W@)S1 zXMo;F8YFCf0@5s6SW*Wa7}(-c%3El~_LN?P z^h%kIT>Ub^iT!j#B4-^K)`RkWh?0(OQaIM7(&{KvA4 zBpCP7ESP>J$He~QBG_%3KDXq)YJH@GR8)--0fQT}e5I_)+vV+y{-V>BrU9U8@6B(s zxYQS%K2k-9GqyJ{f#mOoHS>!?Z&7Zyl`dkz`N8}9YI*U8c$ZA1MJsB6WFm!V^4nSwd-JyET4s*X$(MUC1OwPN zi_l*y`E1{=$h{T_B5nuVpNte2a1jFB>_2SZUixg1qMN^$GECywP^XVzxPUa2fXzX| zgG1gYuWL|XGADp4EkW$n2KmypSo_(B3Vq>kNR+#Vj_O!4lddb9fGqT`Q&>sxnSe%Q z8MZ@BkcHa@p|bM39{LrV2Eg;1`vfj(-hYQT+|gcLD#RXHp7mQO@xzCQE!I(27_;!zu(#_1mOu+c_ep*{nJDFuMN!sFHwb1iL2Uktuh?C-Y&-O#7t?j{NB>Fs8NIdC4C4 z_xvWhmGw~n@!qDt3N;;S6(m-FQd8-QCvw- z7S--`1%>rg%zk^@9kQI0UQHryGCnQ9FIc?pqJa}lOP1ZtB_uz{3vp_8_5_tnw9-p^ z3~J6oSqx;(svZmNq2?n84WPh6ah`9u2HK>+hV>?Yg6)@H!iq4oHi}BorpXK-cDC5> zUs_9RVQdAq5DhHA#IRPxb-65=o6hRTR$1tN(LpM;XUtzvIENG>Mh+6)ro*Wz40+Y1 zlnEe)l<-?44iVM}$@C2(_7NHdn^%YS7;|)MV+%$HJhN3x<$Nl0&dYgbV*pT{L+yV< zDckQy{{F*27Syjr98v2GG~8;R+^a%uR9YxEMYBhZRfDd^JkE6|4N=KPye1IEx=(@@ zr_3n{n&zH;yT8FY$Blyr9gX$~;Q*(sqZqf$^QNB_aOd7*ZhgEHz+)w?uWU)qpu(yi ztlxlbXhXGc$odlcIgX>>oN-aVfxI^|+_U_(ckwIDIhIwgzW$_rpn}2Ns>4oNx*PJ; zIa<1`UbgJ8-P*kEa8j|!gbck~`6>R7=e^rf1Nhfxho`rj1AkqZ7cn2~+|$p;_@EEa z^`e^-$X<0t;d{R@6h$lYHUQRHwwm~>P;IN%-4$)$JvOK5k_nn?M?BdzUrv{v^@j+) zC_8@h;SpRSndJfFm3e%+y*|!H^3Ie^UhvMQU*A|?&FpOJ3*;rqDecW2R zJKB5OTLF45y@kGe=ktYnitFp}zKMW&tH)Yq69L}ZyxncIRLf9+kGQkI!CCswARpzjwVvD`6|J$5KfuFytG1R!Aziid_lAB1tScEiFrk zE)QEZ9~V{9P=C_JQ?Mc~kW{D@pA#=^jEk1Iit!WIyxD4;k5%EFRl%u@ufJBH!wQ}y zt%#6RFbFy(t&sYkdURlgbDAo6nX|t_W?I6c1#3se#B7XOfyEfw#7xVLWh#XzBR_Fu zt8rVb4Bw18(ym8b!;BJ>r61v|8JTt*4s$?!{q%HRXg~ZGX+{2@!Ilvl93#GrPq!w8 zGg`BYQ`2_TnvNn%PfJKfljAT81Ie#cF|5pJpTsonBECpZhe@_{Gg`BVQ`2?S+WsK~ z+UAqvG7Hz$*#+(`em17&1m_z%uc3F^|(xU4`$T~c#5Xe8h9 zhyofVm<&Wn93dh_FoL=Ywa6E<2C>VFlE#%PQA_5mPRn(-(&Luls^N8U`E3+^ig$WQ zDg;}xA)L4vbDf!(f~u#9xym5@TEb9YQdXdKWM`6pey56Gxf2@8LJ+%Jeh_KOJu5=u zOIjeBYR|FU4H)Zlr`Q)MqPB$@B{<;B_&MS`~;dr5E(+ zjc2oH_5~`z$Hp}TefID*Y)!}6WJlIA3GNt@8F8)Ys(EV8nlh5D=?it)2%--$XkTu% z!sY$L)g?pn>eS7;=!=vLoPRW$uStW6oLl2i?429?+9RQs30Yao2nVL8!}(DFniBBl z_md=SeOUpr-OAHz?2lVc0F#;JwT!X+HV(~#a}|G+>DASqp>$0r#%Cfx3i!eFs=Zxk z;r>3?7M$^+0KXfJvP;FVc_- z5M|6`!1uXQ(g=@J^DO-~`+Gx8OK|Tz_30E@QvW_z>>@-@y@Q6O<$6loGj^LFski|N zVl94nvWmT#<+}K^d)km+LZJ@12{n%^9~0&D;^Gno8=Di`b-8vs``e*<)_Qqj)YjS2 zSmuMO<>lJZ+|JBfHo%*^!{ey1YPIy4Hz|5`Os2{P|Ge{k>%hj_^FbO(V9zz=0YJdF z;gz`K+=L|C6y20PE;t3P>?}ByD`$j2X7?4105(yyxE@ua5`OR8mDZxOwA`#Z>7cwa ziv*_kbC?rKh^SyiFMgP_V1ZDsWk6h}+|zR{VndR}@UdrO?Rjwn?zBkkXLHdC5yU_o zo_sEIttJnv;wholy!nRxg#_hbjib`qD5n{o2K<>mQ^1psBLy}NlNyHxj zqqVbKsKP^9{pPQJ-u!UxWohTxv@<#2IE{Jp9;PgNK%i*e#Zld=Sh1tF{g2p%a|#OlzJKjhc=4Ma#+^iLnugh+o7UHM@3+3Qmuc84peGg=3yY9ZQOUcL(Pgx3DIpY@vn%(M zXzLVSOk{EG}EmA zn}R&zugiXFKl+RUBkf-o)-e{klvlqY`ahQ{yF$BPXN?|t%*f!r1I9R*cpRbRIq(qp znP%UyI2i4>W8j4{;RdvZNMBka}U<-p+EYy-(&m8Tf&HZ+1V9=&Ld62>+ z(UWbi!g@g8>D1`bXhAbmWF$v7P`D~=WU63aPmOp$wP_uA38nAKp8t?H^G?cqJ3EEi z$i}`LMH~P*p6sKFPMRypDK`rb?J(C zodr4pZ)lu@3eH(2v>c9X0%c|#^A*ZLJGj}T`@`U}3aH-5jkVSO+Xv_W&S{tJUnvn8 z0RKU~QJ(@-o&J@0mN5Ad`P&^OOoW~om@hj)@0UNS)3c%fM|Db>$g<3sS2G{nc{=aJ zSJ0%SrB$FUL5!wP_%h}@hyYV+ZA#G>iRLp|fy>`lfs(UpZU!&5i2oBHbjfWZgLw(Tu^X9 z3`fDNlhV+7!JeW>w; zX53PBpT0KcT&0abNDG3B50bD1YX{j4+YPBZu@5uI%&+28=~EAK$&F;zDEJ+;8q5}S z(-q$VY7ll3Jq80L<3PZex)&XU8iWu0)r|iZi#v^-M(3{Td=Ax7_2u5ommmuR1lRhO z%$j?3S8~;%xxl1#seV&tD(6uN^<`k^ZKLTTip0oKkf$Jm?uW*w6|hP4?gd{@g33Gn z$V{gy8v@`T<@h2TvK-lv$6KbL`6u0-+4XLvEINgyhfcC3_y;o6X2i6b5<4;Tp)59( zV?r9_)Ad?bSXKt!~Q}Vk)9y;o7@D0kAq}@s{ z+gDGs-wx&5Oegs#4%EkpZ;&o4xJkjK-b()-Gmm%Q$Uw*+Sx%^{#Qd6lO;r!33u@bf zc>3aP;#TT14R9U#MYS_I5Z^hZ2|xeGRzp`QR`PFU)qmw|$p8TSYm*Q754KtVE~_-| zh!SBVa_#KH;!|9N#!p;oX;N5eP{rOoB?_z=8F1X_T1V%j){l!wmAj7d<1#3E`R|kc z4UrT;NTcmRl%q)ro2F3YH2or3v>HF+qm7}JxJe9jg`+8|F{0T?W$+7PK64Kf9k5Xe zr0`G))JK|A+;@ne`1?h}LP%#3Vbvc6fC*dnSn8Q`u*ENiHI#rFR>}A7f6{J7gVXHz z2^#WUP9FrSs>3I62oe&U^!hk_O2G^)&&bm3+erGnsd{?1sUPi_=`f`|00B;-jzDVCkRVFG~~Pq!MeZ_7|U!q%`_`CD~*O~>o~Cp3@TUX#v<)j z;k<$nd>q;uH`Dsl0!bDPt#ce4>1G#GmML-ujn9{prm%D%d1m)43~6juTFW8Qx{1A~ zW{yAdlIe7rkgG7uc_FSQd2152t7KLoRH*zXz|P>t>54lN2TkPD84}5>YoxMN+{tV- z^5$5}_1=1u_NfmF78KxII~>c=vPC+g8mD2v1`fe$8>9P71m++3rMsP&g|h=4kypUn zQ)p+C$bHRbFyMZm76%@iv8nH?aDJf`sY;Gaikt9@Owv4ZLbXdGXGkN>4qWS`S%&yV zy*icnz_2XzTVy9^*2!K>&=P19@V0rZWtfQ;fpU%ssN!-Fi?n^RXxop&3J9XBfYSpH)*mP}6P!!z(!87?;khg_nMaT2G0uS%gAyWlFyoqh_ z;nvtUyno1l9EAsEFqUu)qkN^LofbXuqyQzWSyF*h1Ijclgsvv4lNquieGNn>Q^hz&ofEZ%Q0e9XYpJhy}B`e`LZk=&ZDt7^VC~? zj;{?y)_i*N3;D)WItsm3bQPDRJ}1g#EQmGS{F$q#CRO|W*mDG*RWj*)FfZ<7;jxqh zAFb_Xx`y%37c*b*4W-nD^fsGmo{rGuN>TrDKYP+aQLs*0wWj1I&yq^dy3Wh76|zGl z&k%5yap8v5F#{{hnM`s7zoSpjhfHlBX)_T6=LXUvSXIyBxXb5}FYHOS86@_2j z0K4s98s2n^Q%w9tsfS(~{P;Pp^eJ7XsQTN(A=c|H8E6w?gs|M7WhDmnlXmN9D)(w zmK^&`d#wc`R0i=-^XweD`b<}UQ+|ubkY!9uU^8bit7+&UwQ{nW5f6XSm9JlP*Jb$> za*BZlz8LkL6t&?f3B+g24^K(vyo$CFq0IyeY&4OaF^!_H56veh(k~S9I}1*WR_Hfs zLOPs^1YoK*b^zSBvR}nNrvlO{r&wAc;fRZcF|F(%oTm7P^62gcQCgAi9O4`0OU37D zu%Oe{T4^uaV+_HuCq*&F`Z;$J>B)-3nBjNmomgN zqTf^^vK9p&yY{J`>)Vj%6diV~X0?#0xs#Qq+Ustg^=FLhj->`+>)85k-3}&Y7L7MW zk-ShgxNnMGnEK(A+Kwt9frM^CtIRzf%YyBkJqosus%k*iW+Saa$MOug@#VzBkMhoLoS2ZM4`%az ze7k$PeZ9V&NtPYxX#<7;uI#*y$f5x0T({OQ^vA9q+=;EWTa_{HMCW)tz0&0@aZ zDX6k3;b{qe-BWNNb7g_aRFaHUHlxhbNaC8V?Ogg8Nwv>Zx-03*>vo5A05WHPp_Q}O zqCLSJt|ckWX2~2yz5cDO!I#e2A~jeY$ITfq8IX}wuveVqAgl4)s3K2X0rZrz7cY>s z3BB=sX$jmB$eVoJO5%6bkD3xQB5{3)97k@bA&!qHxE&BtHXSG0(Ca?Uq%+T%L8@HH z6WCr9V@+-Ob`Xp~k)<@!UY$6f&CcnnKr5gcCmOsd-T6P zoYB>o7^ap2-=ED!zG>m6fm|(>IyViQwt2eYqj1RM5L}KIUf@D5Z`%2u$1R zF~{FS1X$u$#=)e5Y9X&R>DElrc{=&IO zKx=px#AyC_$l;=RKgyIb2bgCv9M&#&`Zf#b)=*8c@i7Wu`JqAQYv6?A7L&(`0GCla zQ~MjOC^tOS?WtN;s$(_->|mFrAA7`0;Y$byMKWSr_4dyQFB4(;*?b!vxCfj;8%|Yv%+C!=Hcps)?JjmG`RJgCOJV%u zaQ6l{4SmVE$LDi@7HT9fQi*SY5IrG-*$<8PuFV$Jpi;WzExbLreGxeW7Ws^ zm!pfF^X9nsFn7GN`qd;z@zHUw2!TH8H;6Arnj|xjE1Gi7S?r!wjbpW&`=moFZwucxh2s0-vyO={dyUS99~nR!QD_?7uFV6BWNA*rJPw$f%tsUC8 zcBY0rEYgA_Pm``gt-QLeQi2ONx#Gcv<-t+$lY7+aGbDGv?GHNfn-(w)B3Ub8rqg`J z;qYBMvvy^#zdpskiobUa{z>!OO007KxANrwt5rQCBgcP;+ilL|m*PDqxt>PKcx}A7bf;8j2+q z^7C_Es0@of6JyA?QF8UEkiL9E99=OHmN@2A5t7&;ARs#UGj=h728R;MB%YJx^TF(w z)R?#;_{GI=yR2QaMjw&wLBuCX3CPgaNqBBujM~xuKElt2#bnZSLbT|PWsWxzPz|`~ zf)(sU)N<4`vReZ4;wXV2>MpSa>a>(lff?vqC?Nj4kwJr{R$%bMK6*ciw{pyPvHQm7 zHH1zx`HLAHr~)*K4SnRo8)AIqBvrBnX~{fc8*mfg%?tLSpc^zQU_WO&>dXD8ag+ev z&K&!_nRAqZ86rDCEYA}Xkc$!Y^OCduro3_UN(B2diqRi9nr$59me$};71y81SjC7o zkucTsVl$+vZqN1C#SnAOk)YWka3XSLKT3Yh$wd!bTtEDUrqeq&hGovbGs}g2(wCWkuseA)fdai1X#7eTWpG>Rz-RbWv zyc*t}AJ+W5dLKQx`g+;=)4lq7HEC7rc2!Z2!^_XJt7Vhx180BUC(~w+{U8K zhs?#8qL+t%lBnO%paOJN0-2sBU5;(c)kr_u#2Qda@Wed*+lQTR&R!lCQ@!vs@xvtE zEI0C?KAHBesow7u6x3~B_cho~1(y;|^g_IydS09yIt~Ssxho4hDQYj;`UDV_l6vOA$^kinqD54?=C!B*rKoZ(N4fcXwG#& zXu%c~?XW^Q1RosdQU{UnKCvO|3Yfu4VtKqSE;2XzPoZYo@Z=`9Tbnj#Y7Mv2n;LmI z;kT9pFUMTOlu_b|02QMm{FrAA3bV;~CTiO&PnBzgW#$MMf#W z#|~UTe&b2vz+)oSllArqaT7?vC`1ra_}XbVe|#AhOAuB@prTz?C&>>!y?ISVpSglU z!%v;@i(G~;QO0x6q@D5mDrq><_tFa<%y}qJFukn-}-c2Xl+LRLvdJG4>g>>a-oErDbxWqd|lQE5XOg%HnMYTHn7e<1isfN z?{d1p${#67y58o~P^DezRJ>48d6mm9%v;pV^46z%@WUP!dH4<%G+Z2(IUEE1(HfBasm(aE4BPM8SR2uXVdCCyymSuMjBb>DJPg}NfP^LkZxD&_VGmdd%$G8S zVwh0bESX8OWK{^WD1q%q*p`%K@K`({(EWbl4UEwc4^vY``G6D{6m(oFy#4w@h=G_; zS=22H44$u(5w<)K>kx}YRO{L%94s(t>w%aYJD8Ay^iY2wi8PUu)=2}>FREiOlFBS2 zz+}Qe9V79@1@y_8JruE9(!Gbic?+`^hXhZ~a6seTu$lLy%N!iefnYE28iM6YPy?he zPp`nGP8oPd`O=b?J!}nFOS=+UDE2^?CU}sIz&XT2lj3VQMWU-k7fx$US&O^m*5i*o zO^tIW0n8Jz+&VMgfKI&=hc>v=W|4?qT;>b9*(UT(nlUqFLJk%L9tAZn*k>vWdJ zV^ZR15~KHJH%cRv1;#?%!*(JM`UCF}O&Rvx>r8v9Ij+T*`=uWb60?*AA~648LoeXc z(O|YzPeF17Rj195lf!bBXz_rglC2jLgy|4*9p~|B);RUdogJQu1ZeBp{@uX*>sYd{ z_r>f{1X2-FU#H5FKk3=((ewUl|LN<}u8kd#2l8}wsvP*Om1?efcQwroOp+c=gDe(K};G!(28D+(#B250e21YXAd`)Ga!neegJE=ehr2cU;1FV zZ2I-`1&pZRF3zRXyY=0^ZL^X|_nNco{eJVSfXUTPT+cUJeZfRLSt->&jB!urXu9)d zdg1+Pm|JCXQ~Vi+VxJflu=i}H#K(&s<#4_L9+x=x+91O#C9Jo(rF^&ThaGY(w^}Ng zs+f>vN={fZ=B9#nPLAzBEfp|d7-|p!-eHoDySamk0V3W%(snl_l3GZ&X`_flcwwc$ z0Ac)$9Mcc|CHc9ZVTd23o`V;`qHp5OCb(~Z#C=^SGM!2#D@(tn=m_YuHmWEWfA1y1 za1u}fMyPoaQm}x5n7f5Qo?nbgCFwa^$bJb{a|phx1Nz0d#JtZWAEe83<#zPtXh0DE z6@UKk^YsY6JY>u9?5kEZWlF=q4s)4AmGv4Xc(@odNEp&|posJkh|BzRMu;(;lA;vw zQPsxMX`;)}Q9sBYgy|_l#rIHNK?rkYX9crL$5JoiN*Nm&Il88=7X^X7ab<#zm?H~J zI_j9Ifhc%LRKDdN1~h~XDRZJeAm44mYk~=BPZg|00p!g@l{5bVXqy$Rz$~`IT4Y4+ zF}}PY6W-OcA{F>jEv{j0n2`oD*x~e2p$(|4NZh-KBB0b8u`g^OxQO{jE>D~nq(XNZ zwV-;HQ=MrvXl3v(p}Ul8G$2`L#C9%0f^3J~#K-2GmRr|=pTODh5|H%uaGyy@>YjgP z>vX_Bi|)ikw+`y{9e?K^>=|*Y)rP6@;*jCS5^a3p;FQvy)Lrn^hN|3T@(J%*X znHictd(FwUdlB1mdoghP)<)GOc_bI6hAW1%K|_M=U}Vt`kfoJXK+V-uM8AtG!VRX* z1rg(vE5w)1ql(7rqJ#lDC_+%_X$!)|ov5b^DVEYPmKDTZ3U&&`d1Wk{l`K??*h2}6 zND+0HoMcH*H8ntFI||XxXmzE-6_?y<{8wmUCCsGH{Z$unL(-=lqWr}d4X_~CfZAeH zv}ae)=>BA0nEuFa*Cio#b%b+ z>N1%ev4?B>{>VlvJJ`&%TG6)|i5rIAuy|N5Lh>~O5spF$76jqK?4ZgoFH zjKY!?++5km#g-E(-c!fkqTCAg+3uXZiW1rF*j?@Pc)C>M2u7f;J`mUq6siPX^X2D34>?S(i47@{V&Zo=C^;n0X#T3aJ)WMRu4rL*lm{p`# zXs+vUrieGrcfm;isXkTS_v++gYaDmg4q`m{ys3ocu+zy(xpp__8ydso{$(Ly+E(+3 z>2WEIdUjUX*4`G+A;n!+1316^zwn_g7>_OPupkEKuqhz1MNLc=2<8LqwJ^84YPQb3y;?~) zHMbcP@jg75{^m6&_vxIv496zpzW(+R1lJL80;(iBF8(vLF$xFIDDA*UeApClo#>Xa z*##c!#F{pT!K{hWp_G||j_)1_S=3*=FJ)l4M8gZBiC4iTM2it=BUCc+(_d*H zYnIp@gE#;m=vDEJmKZS3Nz_C#ZK!{o(^&Ha z;N!pKTg?}MuNt71N9+_U!Er~gc~cK!vLlJF zp;Du_7IPh9 zHWCRMNG)#|fpAM^=;J@&1~~m9z^w|&CrK)K$tUS5k;nls7_izC(^3UU9aS*eYLUbM zd|U_Q)&)wg@gGspFR9>-y$B3SS$*VE$zmLP#8o_29i{`LOUoK+?Wy9ls9rB(WKWu? z1L8SP=<_9+Ip(vNc9`Z>b!~ojSVSwIfV5ThG|s5J70%5^v)j=lD=!zP=grG!Plwj$ zo9AaI6#XZJkuJ9=b)o`WE}HQtlG#REX)jQp$QvoZu8!~L2bi>-9I)$IFs$ zoE^ij!*%D&9mqaZlh>mJskcE?eE7V$eBQyLhI}jhY61(TLxwyH0xZ4{@L|F~6=g<8 z;&Ojcql#I0w6XMjT0ffhbjO2sJS?5>1M3l&W|!>(cIsJ|lUYtQ1yccK6)7!(f|iUD zlP_mQa`#$XIfs#F1*9j+jCxlXuQ&H{aPRpc2G9r@vRmsiuN)rr&oh9Pq>4#OJ7^g} zq?hq3KUJT{XV0Gd2_%5a5S{`{av9$kU%lyl$TSv*J~qO9^0Y}~1iYr25?eC-_PIGf ztIIbhr}oO!tjp!$v&q)Uv+?!fCpOoT3#hAL5ILAXFabZ@;OJg;3ohv$IjPGw!zd4! z=F~hi={jv{W|3AW$y!_BC8o)iEaj|N&iF(Kj*DX@awN)!vX|D&_o3ORMRiX_%5Id; zkBWAhLw&%WR*cmJ))3BZD(bcqsZ4DIso5wdTZtSpl3Vyg_W2tpeJcpQ`_qmf$-Xx3 z#zFb;(Em!WS#8S_R9_7X!K+zpn<{VA9`vy`@s{Bl&Ju_Y4*GQCYUN1ig1afV4?K+n zlp41lA&fq`%4|b?mfNpANV<+QYdeK13)Vxrz4#Wog+PTW_bo%dCtTW1t?2wx!7ATn znEA0!qww^PVY~R5yTViB!#~mAI)@$DZ+mBlYmMbUC%u(>(oY8i+@9J>&`>{u{ zvqP&B|K32@#1C@HwX8r7lUSn+)G=kyTiXEBYvl)(5c^Ep?As^RED|vG7=^{?C6iGA zw&rHIlhS4>oLUp`PIX#7eznlb;b5e!%HkdN~-z`C_ra>N9GJ+ad zq`;erD8S-`V`*mFzq$@Jh74DdE!F~mo~zJ7Y`^{rR?{t{x=UoGg!o)3-i2nY6Fu40 z;ZKFH%xy$ib}36fKy}RC&ov53EyrLPr|~N_?)T8UWLYN7vCt`bCc*i)A25O)Yi?S< zNG46wZ36p|aSLg()Dny^X#V4n2<*+WZU_OxvODgLbmWyDy`9y|>BHnxzc#QJP_efD zVm)O-jSZAPDF8lD!`rkn*0upu#n`ksWIrtO- zeeZJ6c@~ppP=X;H`uaw=0aa{lGZc`0VzrI2FC;3)3F}H|2CZ}sBPQLCr13Fsy)Wr> zqUa~lzgv~aXPMNfl$kCx%8{`nd0}Fp%F!#1>R#eWMG@#Rz(ftPQ5F9&15Tg=n;xEPQ zF;?o!2M47<*F9ZoU!nu2r=^p;SEUh4g=AxhYY?JtYaPOwWg3OK*1L}U;aVSO=3uNR@CSN8cy=Y$NJ zL0_ctEwq{ohecEo3@95{EMm(pxh%y%d8c;;8QM|#1sm2Vpej(LM&Rd`vO{P87*iB( zlVMXoKm!joew})$O9OXPja?32y!GZ}es3HCi^DAwSh5o?4@Valmr`Unadc3#ir)Vj zH9R@|95ou(B^z5lE}~G(mk(^nWdO&>tZJvoYb9D|0lw2A^QiAkhNb zLbB|x@u&d?jr@)Bi`sL|o%UfHZrL)|rAl@_bHE5VE*ddSWF)1{3!yUiIgb)y9iN`Z zo66OMKk7P59*<*WcVWIorR@awk_ios65(ZeJ^{wwfVm0F1f$B3X14DA!L`Wb^wZJh z*>r2f1bM9zFD~G`jNnT)Dzq}_m4AQ81r0x&LU5!j(WHXkx>}OseT0<9t+R{Q`{nE` z;oHX@?|eMqvh0`l`__$J^^p%I>Gl&HhKpCf1YacEOzx@5LuRYad*d_O)odKxSlhapIh%Oy4`Q}o=tkahgwXh1u2t6 zD|Xi$)?nW6R!&W(RK8tZT8z22Gx~mN8ITjpYpyNpAD&*rB_roEqFUK?pI56$XE8%Z zNS|8K^t-z{y9~vtqr+)7s&=}G5-dk%uH#tDqUNz=Q%?dJ6|9S8Q;rimSWeeK7nZ40 z-}DRwTrfF;53IYpIkUXYoHALD>v+iR5Cc0b+?3^aSd%^;>Z<$Ig0!sKq;vs7iY%&r*inw*DiLAn>KLz6zVOT}@kHXUxAGLikgfKK9_fB?IQ($%<(->ly`U7O)?* zA;;RHXEn518KW;Fq@P={K0D<39_~uyC*78o?4QC~fs5#jg<_ZYkiQQ3ob=5W3y5~m?p8TE*;NKNSwi=@)TPy^V$7A`J_-=y(K57FRJnWacARkyp z*ihlKdD44RNH~yUHzxA!Ou1H)%62B|IXOa)1{Ae%o@(F?$O#hA{kUj&Q|8xxb zH(6f)S-NLs{}(K;3yn?Nzw4S9pgxuXlzZ^kLdZgvaBTJG;4M*n=;8%G@J9WOp(;Vy z{C0;(I#z1iQaM$F`5wf1q-U~OhbHMdD7DO!)gV9BG|Boau)*EML%|`$2Vf#2X<#P$ z_I|cBuE3EVe&ge-Itd=eONpj-uljawbOKUz<6f>nT@!Dpu0_`T)l$hsQ!7aAARGeK zATb^E>m3O!8}w3|TDiD2gb01Z4_K0wPA2ev>iN*@lr&;dr74M}LN&lQcf(MLajHfm z?LG0A3mMB6|B5x9VSxy(U@huFY$Zfta?+(jni_?uVR~VDhX4g>({418<w?6s%*QG)RTZO2UFII}F z*+Uy6bW&emm|$2CLor6$qy4|o?Hd4ghz70k4RD~KN#;PofcG*u8YZCi_=e;rYG9?H z4eJ1yQIY9Xl7S0uO>}>S$y;-1b}Bf0*NNvsvx1{2+|)og@o5qIAKebwL0ZM21(-eU z+~pM2!Bp~?lF)9qELfmq`tPLO~PY_2?p z8Q-l&mt_vd3*FYG%{vC|iyu}|G3`SuE$&VU*5#{rTV#u5n?(Y?*IrB3uWLnmWDmFn ztI)p>o2tbrISIc+sn*WBmF@u^Un*ZiACa~nOSRpOwXbSB%7$;xUiLQguOt}0TlHwhTwv3RrizUaAL-NeZwb2e7E&>OWfYY1(fClG;M1X1_c0}ib-o*Qn^hD~642qrUB% zwrahDU2=`mS~KT_8gKRvp6MoA>=Ti>(Y~3(iy`p3dd0t}9yRD?+p3*U-=8}r zt8_cf+lR&|94bPIUB8}RIZmc8n9)_KwP)}Ayr@Clsn>{Rob zA{|{w&N%SN{c0d0r$qR@xVxJ*o~oXPwHoCJoynoU(xt(V_N>J@SPfKoxlONdB)sBR z@(D1}ieYb+_<2m8q{Yv!Y^UgVVzL3ZW*^f({k^ouVtVPX<56@BLbC0?<{DwJT&@n$fx*9a3t9jJTr0^FDi zls~{w;fyOO4)Bz;6wND9rYeG-;WS*1ft_@rIxt+mvj=Lr#A_>>^CwIRpA!#j;hvDV z%so9$Af92!E)g$oW^T9o*swkkZkoRT(HQN;cezWO#4)zpz%1*M%#o^O?2HHK*I9wE zeNFpVqukXTc8W5UxR88&y`UEdq)pfO_FmRG4*51M%cH%!R^?H>Y^(Xb+PlAX<8Ji~ z{tgbBylu+5m(SxTs!h?+4HKTtK-xSCqD)N{IBDf5ur1JD_x*l-bv~DewfD0-zwK#P z5U3Jma7+XKPqX*G$94Lz{{Wc(MPcefL;CNol#jo=QUWMR!|aHeh}$4GHe3RKh$9Ls zjNNWH{8|g81)J`h9mQd=so_j~Le6na=sleA8v8Z1anPfxrTNBth!0k$WQ3gD@PnFm zAwW?HJw)KEwFkWgMxv>S3b>6hP<7m4pfZ`CtgI0Wu`c>4lqC6toQc*rTr~_lUDIuB zBK}yFdc-RLvQ-lk4~EG+R1F8qWcf?v4z$fqLp`*OFki$RW?{96_7Z3TJr8DTK0VG8 z+*1a+DaJ-4CbZ3R!2vSyw1X6(0%-_+KE6!^k|c$*OOOnBmw1&7cVQ#4`#^@y0o(&}NfQp{S&SD~opAo`+6BO5JP4P3$Ss_{gYD7-)L9M3gK!(aqF$5q^wTxz#;c91ud^eV|LPbD zkge%e_~;gtb{=PzlOEbFdi14D*UtuO3+z{rdD9g*f;TQK5nomS6_;F`h_$xGyKR9_ ziw467jbPg3@b;)=V!0G78aaW>_V#Ag@@Uf4CkC7s%+YMy@2d5zuHi6S-+=An1t-xw$@gM@$B0hE>CkkE7Gvt~{_q_e{JYmygdli~!8O zXB!lbzTVF6jB!O=s=U?BKBSIX!>1%UGut;aa#P>^@i)k;W@EXE%%7$0Xq$Sae0#B* zZM+p3Xq7OoiM$QBqbP0{x!Y2g-bq!IbLeh~mT$%>p-;zh%mWi4RGfRA8_$j|E)9R` zt=udv?+#k&_;-eEG&5GOwio!Gnwvvf*-9QUXDq+g*fiVX*;xJdUcs|CZpph^G$O~K zk(ILc{q~h#_B_4Xu2b5{T1}>w@`uz_vsuVPIIlzBRdPkK8m(J2Rm!{Y+Rx>vgP>vr^D%-_#*CpA@=B45_M4zE8$k(Wy zmT~TtR}UDdP(J91tjP}k9YTY32s2 z?GB~vLOuBT-L^5V?n8TEbLjQ_yw*SIRo>i2J62Z6mFaek1(iS7UFKy&2;yrDgVF4V zYO>X>z`OVAv>xHd^UF_zA12%`=ahX{3(Svxh{PhYd9BG+}NnqNC z^RX<5cw%X4f+Hbr3xVv4t?DU4Uy2uQZu~Uy?J@{L~w6(C}6s}@OwY1hPk+8&YH8#)J>!DN_zJh4kim?Hc11o z{Q-c3Pb_rZ`e~7etZ|;{!HCh$WB^Xn8QwUw8;_@f^UhZ9SaoL_i+zWlpK7cNB|Iy^ z4UVTK@n}0RU9K8XG!yR@g$&*p%Hfd`vyi;ZMPJJFC)tH6e!G#fuCWn8GiKx;c%78q}qyv84 zD?ld<5G*vRP$-%j#Sg`vf}cM<$NG>FfbO2A4mMOc(nUcN8tX=e>ZJ)EI9b)L4MY11 z%FN2OL!WrlNKsBw=NUp#9*d0`+RtRNGX@1^g3&yPs-h;5hSNUPfgha48WZofKPVb+ zERAm}MiyX1D8|_BJvDC~!AM2mGlO?yOD-<0GnYP@%k|`~5E3ol(}o|dUPoz1CE3K- zmY0^)bd`7b;OXna`drba=^8cOHSEpZ*YR@sRG5WNKQp7>XLf7ZA=3Qf<2V-P=9q}- ze%);ESQ0&X`d^&c69+F2JNk0J)=w2)-}g)jrdVgp=UGo&+|7P%Y#r~UZ>)$jSznT99T!O& zwrNzkltt($Y{eRm4742s{LD^O%Sbx*k;9Ie@M%`fAw0_EIQG@Tbti%DLV4SmX5hL9 zAiTN9A*8oZb$w`Gk3LE^F#ujWeGYS5wLjM%o4pQtfMZbb)WFkty)wASE*%1&%4qbXFu;BUDEn*kL8am zT(LJWHnao>_+wn(d%1eDd`?Q!bgCzMmXa0^0S(?xP6LONO(=}Tuf=?QOaZ`!5kb5Y zgoWi`~in55P_ed&_T}oqZu_GbOl387xhXRrW#U8zj z@RTx??9=9_mm8>hZfl~sxR^S*EgIWZ)i^bqWLH{m=NC9M7fle@m%N!8m`@R87VoZf zl~QMoJRgY?9JD8~h;oO8D|h-+d!f-m*J6Hd_P1{KrwVi>kL}qe_?W0k=Q+YA5D7c4 zxwu^)O~#FS8{`SpdAl5bIvYEJ}?TefCtF%KYPt z`P25LZq86Y7Mz5Om-;bN{y!oGgaCh|fpS|U7sQ|fIJ3HKLLEzodg->Zl@!Ywpkr6r zW6h%H2JNQ6nR}Zorgqy%yDVlVUGq%rG!z@cI)Y4M;}gVMN)y*v5lstYzwJ*t+h>bR zn6u_Do}7Vp+rFc=aW2SUP1+_z=TB?3*1UlhJ)56EDIV2UkL7=w@Bcqj@K~7s#WcTL zW55=l6aF3JOHXr{2}NQeH8FI(r5E%?sqkfCSRoXZ9v-Almj)o<=vq1+PFDPG47@4Z@ps>Q712onO)j2U+!y!ZH8%u`)D5tkWxh|&FQR}5=BGHBcj>h8cnLpaWnvGIqlR0>*N@Fw?2Ec zbKYHUJ(_wqY+7UWyU{ZMuWl8HuG;+cwtAD(*P04a zg|jI_JH4`z(b6!LJvruB@->axyY_>zwKQZ#a%1dpe&RDbu4Q?pBL_`Ja3{bCYck8# z*S2+KRYlG6vc7LK2xeHh<>dnO&Lt~-C`Du=p=6{l z<#d6j57OjRIh7?a5Sd!|3;2^$2deQZfn9t>WON#lAZ=^DQy1WuvSlh|xyfELswbbcjPQTw&u;QFia4NlTS@0H6fH*Y=-vSop zi5|D2Sjc`SJ&ixGi&>J${XV)q_b&3{lJ~l2VkY{1Gw3DjrW7jBA4I8&$p0>rtiLMp~kIiK=-%J z`*eDEZ9X%&qBbFqLD%ixtXFy~r0kbvpL)tTER0e1$S}t2aSg@|X8WxoY;9P})NF?Y zqs;iE)Kp~&o;9WLri17zbW0IA9Sy{oQMS-j(CGxxefAh8lCacN*4nbC9+giw>)7nu zTnRxEsI(+yiifgTKRM~CqTvH5`Vsl&BEAjtSVL zmL$3VJ;vXE*`&kD@h_4ju##;>_77RxZt{3tm&YDM%{j^daNbx=-V0G^VZFE>$T}Nz zoW#D_)5_ddw%impWH?ZdgqbC0T{(5x$Kle0nuUqI-HHQjcX0-0*opYD!n*)$3XE#= zWL0uyg*n=z{&1DZHxBHSnz8B$ZWhN#XG{z(5;7)`&?zQbmK7Emf6Zde1a|l$$Y=lu z>Yy{eCtGAUW(5R#AIgrmkuh{c;68S~OoAA!-8@WiTlz3|cgi@3%uOnSG+MVQBk~R{ zi3aD!ZMVTyw44kueMY5U?_`p{zDO zbDSM-Oqy;8GUS~=8Nof)c}I9`vqWHoVn%P}My(tj+HM^p6xjlmrf@}$*bL|chdhyP z5IV<1t~AFYM@-7B87*wUEt+v--OVM@8=c)9PHpLi!74%G zkjy3NqiS$VIs^2RWT#L9GK3ii6cgy|-=ra&dEkTE z^+xbJpjg7KH<)13^xeS9%uZr_Sm-`CcyJrtRy<@~2=dvox(Fsw<`L-_2aS9p9r;4i z54fZqY}bIn`!P<@qHYQ`QDLu1@%S9&9qxp|tSHc5(`Zy60zGM@{aDvQXf)j+W5^iS zWNGG4H<%^ReBCJ=w@1?#C!Cf9T0M0=MUn*tYYJA>SP!ok=t!7yH%z=)Iewo{^ne-b zAnHLfZ<^3BsUlB7-X1kmwaSsbz1G)>lk3hd)wTv_bemZb-b}(*x@9yXd_qN?GkBNK3oh9oUl97|h(y1Qj3^4_2!}Y#}zyc{6 zDc3S77{?wLmxyoCAI5WcV=0rP#ka45UzZNcFFEi0aPQY*CWTe%Ah@)o?(dslW{;=E zuhuPQGMvT^g2tnqezii^+xwR`>iy&U$5Cowef=Z5zvHUb*N66uIr~IHXkWEvXVjt& z&&s-_m*_U|z>mWV1^NoX2f_}gVbOlyznlK8kLY{P>)=eqU3XT!nwD)1Bo7I3BZNQy z;Q=5U54hfM?qV9>Z)Tl$Wq4oi4;{OV&QU3?9%M*Old=2Y(ttO$c)Yzj8=Z?KTS2ph z$N}>34pDfVEqEG2(5#?6QFG=VMg^3840tM9h#gS_CxMjd6&H(QoY-|w8XgMpuhw_z zo51>rQ_CM}geJN-Q)MzZ&vh&T`V-X-`V$tlwuWY7!1~xqj7Ti+XeP(X6>ioBB>5q| z@v#fiPFV=BLLvvOkbJN5$X#L9X4ER_xGZz7B?*>t-tdilfX=bE;Heop*ATr)I%!6% zTZAU?)8IL*Uk=;1hPDOGakM>ulx!6?Zq-YbJHOdjLB1gW+dZMitr5y5Vq=LR;mI3<;hQv@4=?TLxUR8w6}#{8+KLszC9d=|!*l2$W{n3wKsu0Gnv(|V4-8Cc z+3d9kCr%4HS5Atw{|@M-`LEB#^{d5oKbtarTgMlXX!b{C*Ox=3@>O{$ebSvPXA!W0$?UFj?sB)PX%{TV>diI zOk)~r-}$eas#~hMx*c59Q#jL-T?x{AcDY;WRdZcqT;3~)yV{)q+MzkyEUtRj64qLY z_;r)hXTi;#sVfg}?)+Bg;#Es6E!-ivgT-+(C8b1?C-^T#_ccRQ++2l^h}@zujwJRw0p3MJ#r`_b!YEzwGO0Qb zz}=RGT8a+Hj^a85<(JdX~zvcz3!GQ}Nes>JUY zk|vbwx$z=vg;c<6(ba+(+&K!6Z-?`lU<_gt;pVL`k|7$UqWTM&ys$u)Gm6oePVNq< znKICIi0u>#Q7TxaXzUdzH47a$D#WA*^2MY9Gvr!NopR!Zrc0)DsPx*scQ~*X(3+(R zPL;?dum)!2_31cW*lWc~l#LtXU1O=mbCEhZ7}q`hnHl{*jVdv)uyv;fiiwdCzBkjI zs#4FNK~^Zd{jpGDelk&DxgE}4wo&}5@2%T`t*Vt2X`+A~sBX?q`K{$E`jJb$;f1!qaExLfa@l-q6$fnS6qXePpLNRHnX(M{Da!r+M%9=cb`q~8a&cTDZ zqFT+V#FpiZ0l29%8Bjy=X<=6~5hfaFu^`9h{Bl;9;IU3KC6r+$fno&KBa)AFcKPtWFiONSy7|F7{kfsojX8xn$DrEk(FV}#fjL*O~iJUodaw79LV zJ(7m2UGI;FM?*W#pY^3wby~A%561xw@gG+Kwbz}7a-6sMSqs&+7oALHlOA&^dY=y4 zE_e(zbTpbY?uweqjMfuzlM|;uy4V!u!?a+#!x3G*a`T7EN|il%=9KcqogLdoVR)R4 zcyK&<3QGK?PY%{D_rVGH*mHJ%bu^C*KR zk#J#=54hV~=bH}&(c25*WX;D<8p2+@9zJjQun7kMF9)IK&@XFj&A9Bi+#!+(u01wa z0Z&9SO6Jg^MTe)~M?q5bz;8L&_cSHA0km_8b|h5G)RL-oU3e1YR4L$3KE%~|h{I@31{16I3#V?>R_cQ~nDao8*^Vj)d~t)s)(fwIX|*tssy$Psz0sQxk*ZKr zr;mzo`eF><$p~B3=BRxzS?Bpvmmf8p8vFaIfw1PjkEW&0ilzQ+r?he2G`coy6r%1$ zQ-(p{Oa&6b1ETzn5_A;eU+{}LM?p@u%*}m@KBPNb?)pZ9^5g(*abV|H0&oHiLvTSr zxCoxJ`0*UD)Cta4Lg8rV`Coro{y+z z|M!5XiSNHnheu6^o%eLV_Y4_Z(D0qk#{HEZJL`3D{im7s-{NdC1D6YBOl{4bEr{7T z+5Uw>Mt7EO9KmQz=;y>2$0j%ci%CMY5m>+%ZcT$GHKU;wQmnuRY;EHjOs#Qj8UH`K zc8`+${7z=Yi{Ame-?GnW6Nls4G%f@)|E7M+bRV^wDJtuph5)F$VVVluGHwVa47D^I&r=VaEZaFCF*`M3aBPxx0vZM}OdA`f>S|TU`xb;P<_0#_O*moVX)Dx{?~ z!R2+eHW~qE3yrmCoy}4!rn(y@QO&l|q%8CY7iAjB*_}m8D;>&In^T6Z5;TTz6=g8HVeQrX{J#}^vML^zp(0ZPuc44OB_$9S`u7- zYH3S$QR=PE6Z#HgPIq=xp7Iogg)#E32q^Glp=>{8)G(N|72EE8=Pa?k^K^Fc#8}I7 zm8$w-VK^u3fF*h!`NNmc|QpBD0Cl1oSsvr>|wG zvdzg_kqzr@n(@WEcC2D_SS_kr{M9?BYg=QQVCuBRrh8%N^s{*xjboZw3G4Ou+N$Mr zBVk-ltj5&7?v+ZKQ09HxV#iG0P}zE6(CqCZhk))?a@A!QyK|-q!EA=@`5!i@40?{! z4m~mTx(DiOXWfIRH#()4jor_Wvvo)s$CsD0DBDEG$G4a5bHC@`eQ!^Fz0a#vbw_2o z7jF+$t4^`>2b}7D9)7QWt9F8~)lPpJ>;9a+?_Sk@c)lK8O?R#O`F@@qojqShT@woU zINbm4GPm39_H}y<%W`w$Y?GJwYb9AJjg`%Uz10Z8THWpGd6rE`*`BWQI#0SBL)(nd z_uyS#liO6ekzbdS4{Q*~TZ{eN*t9H1%YuE9+N`LqlLw6Y_FPWU{-NWTo-}TlwY}bX zvwwfz=J|c-srMkc=`demM?`m(^|3F|#@wcxtDSC5l<^ASr3-tWuxj+3^9_8)>#%)V zdy~I?5ig=yk3A|4^j>h4sI6-mXuKm#lb`#DswrL5Y>pYe_nLj&xj&6KHucNB`{C5} zTPM%`>US>EZ^6$+=vCA>-Bfv#sr%X8zL!&?-!OlwTv8OvuT5mm{j4OHUgfDgC-*sQ7Q=xO{PAvvRpC zC|?iBXCJR9pCiIECKzcvvTGO7%I1svicJ>82vcZ!CbAGCeOpuh1f>z}KN4}S)^+OT zWPNVR{XRzephe2d;rISiCXlT&vzp5&plyRhw7Gu9dSvc*J|vsm#Gk_|_^LhYsua1y zO8*%7gL%={#mDP?_g=8huFmf*FYNYqI&O7$m+!Tp>pHnF>2Y?}&ez?~%jxdp_o(po z&YowhyP>O_kJs-qw>nSf?85h2hJp6?_f6BE<^xM zpZDjp0{7F`5Q z3xtHgLkE=Ds}-N#sNrn5RSIKJ<0VrpfgdW64St7Snxj}PG&w)u!_PVmZvX*@60}4CVj>@(HlJ1{=sUFuHOm-?GVq9wV^9{5(`vd@ z(%wkF%P=e27w8M|4*P=f@byG}t}b7H0C*Yk+Gb-+CO~gk9Fw+$?Z4O`9+Cy19v314 zS#Fdc$<2a~L!9_5%=;?L%li_bgn0smsF|-1Y(#4&21x<3m}BK*Ao}p$%s0dRSYZEq zU=4M-g}8wOV5uMPrv%G_|33nb_2UDSP+9nZy8zilvU?u(nJd0fC?9ctj<^ld3Tj8< z>|*;BM49Abj>&?i=7wE4Sp`H41yFXmr_?FwT&21e6vG@V1qfJ#@&zq%p!uBIyuoy% zT^i5&?~N`!h7-w>melc&fQ`-H3tc0#KblTw?(b~Omc)q;o*SX?Ldj}Oiyuqkaish_ z^n#p35RT(lM6}>6>5E(bufT=nWzoTjN{E#LOb9&c0tQYbyg20e1$mP)1Fv|u@{cak zBH|!8f2S`ufzg5R3`jGF18^)Lj8NU#QDJz%FGfczTRQ3=z-cSqaLnEWU^-O7^;lEh z&WH5gKFF=kbqsr~^DDb5gZBmE#i8T2A2J|X2y#{GnCJ8_P**&rJ;T7kz|k=#@OK7T z_{!1_%KP)ozlzhu-qO{7eEUOKXzcSzC0XNFw*gsyV8o#OO4P2M(Sopj#Y)BPMM zjCjtfm1aJkk*PlWl^kJ^#NIzN!evzc{z+~gJj zAqS@ot3#55k7Zx%+&>WOVpr@;mVQQCb5qIkuoPioG2;p*Ib3-8$x^_y11S3g&nJ8PagcaEdjzkXCd zweDd1Mota$0FDNt23w5t||MJGn5x&iU+nAOxNqv)q z%P4ak@l$$V;(I`~AU-1SsX!ZWMwu3#it!ucgA(lju{UwF>jGB`b&cH~-y45I&cz=5 z1TQ}cbb#!zaTVoIFWh+0aXALVz<;5Os3YkM`mX{USs7DM6=K6eX_vC`#26`Z9K+k7 z=h?_|c+tDZB9jUjdz1T3e=n)I#8h0&_t6nDvRuSzoLuHkdymblU)#R@uVIhFGMAYv zhlxs*@k22uqh*;xGSBjmEM=mIA*@a_BXGNa0NLc?>Nr|PfCfhqoOZ*#U#L0aqqcx3 zM^omVxi&0ZM=OtU0Pu$l{PcR=GxIL^iH+o$@316ac`x&N6KDk(%Q*}LW4!QCFvQwkpXoR9ECEeDIAT8J5K2j|%a(FR=0%~f4I!J07A*q6VYJyQn zoUc8K+hvM|8q1gO%-(G3Tn`dDK5NU)URQ_Y@!DA5&$pv|jK}&vgmXu6R{uOG;^is{ z>9=#xlGNVY;Hq$qHeZ;~MK_wJZ1i*)V-G&?@XzIfC~d}ebr`$j%Ku36xtskp`+@#T z#!^t*4Er$WbHe_a9Rtq+3=RuzN~Bl4Pm3D3b0R_t6AFR_rdb!AAR+<>E06U?SzMFw zQ)C6+l2IrO1Q!efHlHFO4a5U%3)YY(pu|B3Pi{lM2%*;PYyL^TIQuNk0mZF2V)Aa| zy*&Fu+?D)F8#kgoh3y(}ot}Wtg;D@V1pCK9fCxOM;-H53cFV7u@`Z(ifhHCr93BRn z;sMPqh9#J}Q7)6hR(L#`{|xQ9Y9JmD)y9~Tw_B8FY-w!iWC56T{y(NJ@VCS#vS82p zX;Fq>vgQ-pI}VO)4s1O-mJUPqk(+4U6n^9EMGIrK7iudm`N++LRLK4&&qs}|U6QLm zK5zL-yXv_KEo|(U5U+cDl2f_?V)!^7BuU z%!;4XDx>8qg>857d3w`(1K1K~#*fH!%#4~I7swy9OnQNq-}AM&>1aPn?lYT!kQcZ& znZ~=145oOSV%QZLm!Vf-eW(rO8MT>yVATSy(X|e}q zfGR-sse0q3rJu-ms(3ncx1(Oh0W191-uLHv*CZYXTD=(rLpI}+91Sw>eV_{1E zkz(k~JCbBS6P{5#5XPKg7ZML2Xl@lz@Si2eLUkqCpa?D6@+DOohMI8 z0yaFqipjF#7>`GOBv-irX0P()UNuF#-GI??mdU{X@QHH$v%qReL!Jhp@W@atvYDl) zK$^JMY`@&<<+001Xk7gjlKum64BFr>w0UFGv5$@Nh1<`~a}Uwlo}2o-y~*3SZP535 zHaJ4EaRedyr?Rbhku*$@<^)s7X$-iWAagj*^dMLG7REgZQg66+wGl-!`D39{)JK>6 zS(`^N7O=};u*cy$AG%h72cJWa7B183)^4uyrpB9QJK{w8`O0{M=I*wSi}OkQsJHEd zbv;HC1NzkKbl+oz%TG7=`<;VJ_vwvap6?e{zJB+MtDNrk8(kl_uNs^h)Aj~Ft+ECb zwOvQRTyI-KHQ$P`hhg@+>4HJ*NBFzYuvg+%uTMV(smI6AU+&op_5v;Uu2r922AAH$ zQ9nr5@nZRTjUDT2_| zKm9$qB8a>y@bEJ8LLt<>V*9{El)Jvs^}PM^+Ob|wE5NT=&-3sJ4WeV$LGw=?VE?~Z z7-lw(f5F~A(*$y1{vkyFt7*pAlC3BaNQNOsk^CM8kRcMGmS0?_EAHrR1413*#PMnc z_ntPOyYB~cy-TRkzzMDfZG+_Ae@RGi;W@dTL2XuYn!5_28C7Xsa#U7vc|Aka;0p9jPCv0yC9 zD5HxpZlPdlN9nv7iAG_mpvelvnVVo3nCXeikfH)GzQ&!T1!rjWHq@auwf2c4$_ki< zpI6tF{MmrRml&Mv8`64A2&E6UljUF}i=tJ6yD9%sci)BSuocYE@Qqo?5t5Lv6?QNG zpyvB1s7I`*5i@TMpn^&=2^{CENDfrQBmxpOfIT!;SxIF{IgiAKrty2<(B3>o3xTAZ z(fArlNVNr6KGfRlPpGodYl69yX%x&>Gww1&k_c#W#l{oll+nXt`ihs!zzut3UuTQp-X!jR!bZY)r%0xmt}6~ku5^2GMCE0 z1}F7`Iymp;{J3~CJ!$eq#ZwmWxHRpuSn3?okz&o(`UoU^dpgtbdF@_~$Ta{BBQr3h3n+NEX755R{J;?p`$l zlv)pVvM>Wbg^xVc<;r=9c&VQLfS3Qu^UHD^ewNOZ^P0tJ8s*RSHPf8ysw!4uXs^A8 zv4d9us@!m*ySv0J;l^sbDUn-?c?Ue6kfnZc6}YbA9F`JcLTo<%?TSqWZ$ac>>J*s; z#qD#YBz}=GIu`09F^j*PyeAgwM%~ zK?6YdE>g^{p`97QKiC2{VQDCc3o{kW#>IeoBA+@t=Z!RCK7SmMFIz%L;ay*RfX@!@)NAEf)X7X*L0NXTm3|EP|yh39keNCn!Ce&?u>r2riEp$vJT()fa|JBFrL)?2Cr@H5LghSr1PfRTQ#n#E*eY2I zG2L#!KVWlEtD97g2V{v8z>sqM)0N`i z#Q(7T->nj6;9kl9zA1gCX={!Dk8u0HoQ3nwGyIT%7cfM5mE__*Q5vyG%;AI;;j)>J z*Qv>iSeNu@`6xY6Qk=6xJM&!6^&B0QUZE-8r0%l}3<&513^8a(42p1O>an=CuNcGm zmxb;GN~mpH(O+DI?|8p4c$vnX87t~-OAka9j@SQ^sWJ3TC-lg}#0nH^PriIp9)m!t zv!ZYjQln1~mgIzx) zJh}%7Rf~ycN>lQqJ)&8$Qf)w+T6^NlLg7skwzZO{R0>7CQh}z7Hc^t36oY1g=6DKb zRC9LacTL)Y3fPX}3QA*v#a82pc|tHP_?#3WM|QwIDB}Q>GsmDrlB}}O!gh}#oF`)QpLUWTc zut21Ks3a+}8HJtW&(vb;&evhZ0!?dBDY!NYwU-;v`LLDT;dax(d@}iC-0% zfh)Z0zu<*l(oBmS{}em5&IVCRb2vnlbxwda{v_)SI{=rUdS2Y(hLr_AhB8^2OQ^C8 zHQw*Vtx*1#lj6<@5>!F2Ca&c5PA+@=)sDmHTbbo(;qB-_r^YU?4zEVvH_dP9=OjmI z-{=`~Yiby~KdzU94Get9FpSNEw)ao&U+*=Jx(y8MfzW|LB6N-)A+4rCxsi6`d-&)e zn@Q3?%Sz$$6z-$TKAdkC-L9S9AKjimPHw!E7O`tNG*&B5$MftN7NSeD(rZ5-cg(w$ z@Bz~uyMarJOc;n1qV1yHtwb#VflbFD{mLwP{;@FW_#{qc2DF<9d#}c!xbcv>sbTX=qE)mHS3M_)vVE}gWO48p| zdB3JrICs1NZk@~5X2ap_c1+cF`i~o9Igrb?zdX_W>u%$uqz&tN}!Ec&8|#)dSdCO@%g&}w9+N#^B^zTS-r=v~bP z58v;p%~4M*RPgFF0a{w8-ua>pR_$@Gx9gdLXk`)?pn+a^a`1Gk;ePK3Wd<*lx?4!Y zA6gxJXG^cr0_!>o-ls;!{Fl|kk@pR)xdQsN5-vK^?=Q)eB$;nqci+hho#j#a$s zM3%D-nc^#CH@{gCf_s!?O@M`%FNrK?C0O*$F1l9J8d>wi>wlwr`aAe3nJ5JUk8Qd> zu1>68FEX=f`;!j_!{@W^Z>n9A$B}(~-|XHW%o^S3ro+3hE~UBSQc`U?R&4Tg4J1p) zF`ta)SnK%(XRyPMFL&%3Y(DcXXu{Y2Oi-Oq*u0n)0(>0IH+;D)Rtb!q1La|%tJ6v4 zLPQ@4e})DR8_4LfKQG#OxiIxH@LNubm%0k(?^^ijCllDE`Q`KMjfoC6}w3PUiMm2 zuoOhifpLCBrMFP!lMZq$b}*!@*U(%Oy5E&QYyrT zLP@@*#6;(JWg4N3*zq=y%rMVsNg3+itc=^xdOf#27l-bRq3(5*i zIh@E7mSHei@J%sBY-q>>C(?wyGwGp2&+?kBVp44pz_j{3&rKDwiy>uJdZX%h*im5i z3@)3~_2`co5~_>PP4=;A-~lZGz;Oj)G>Aj64;d@5g!I@b9e@TdOD2Vi#mtSe)wQI+$d`h`)J)K!2{&0P;cSP)R+%`JE%nX z8kQ+NSt!1lkJ#XJ37Nq+EGB56lxof;0Ea(fgBk*a^;DQ=yO7hmB`%N90yw01$QhYs zV%v{t8)R48)&Bb2 zKW`MA^4IKSEIJdy_4QYC`_XedxY}`^SDBU0xZKCP@Z|OQXm@So-PQ4{>*d_8ODo%! zQQ$IO-{xbP-oB>R4qMRH&OPhA?Wtj*Xo^rruSXP{K|Rl&V>3|AIm=Z+klmLP4QYx% z{%)QyUB$IG4^2MgN1jCA^=+t%E=fUX29jm^>iYfu$+*0!pjE+Mfi@Mc?~2MnzW>Fj zaWZ1^-$X1LErx!zwCQM?WwUf$QHO8VS_-8M!ue8>&nXb-96+`ir&(qCmMK5`V4nmP z`?M!8rS9q22+DuGY9ZUs4cWhQ*yrt{+0fsHscqBcwU23z@GElD`$rw|-(c7kJ3$zn zzmyz{4q`XDesV*7Xe4m5zD0#}~IrPmaJ`iDlj9cUhje z%RvcRzLeFU6|OEei$q%K<;U@JznOIuv?Ww3i^>;%OO}QtYZJRrDim(hX>!?X6qF=(l7`_+k-(nUvCV-S);hEw7N zU_GVkT)xIhFfS>T@{|fN9$c7f%T1i9x6mq%2_fjXaynovXSJT@AZWYP$(e!IC^XlksC8b-5kA0=`uDZQQ$QHlA#IYwhpF zUq81L;DD*TeTfbST~Fw9Ur|e?jeYr}RTXxBLCu@LhV-DqkG~-1XXW&-NMcile&zq>yJANW`f4?iR~v}kZtPWx{2J!LY- zrcR}ymtBkN%WGN3yT8e2ujZv=22{N0-UHv*EuYNaNPmnP%jSP-kNJTA&5v|5H?v0pJAu?rqNH>?7KIUuG+o^K4}09t+^jh*4ms@}rEAWwu6v!e z)!uCSjKDc{WQuplz%dE)TRI{+wj_orc45KJ2(;KdDy{T}5<^toL)Zv20%Al?NU_CL zewvC4b8_Kc5@(8DQbk!?3Zh!Nlxw3qHy&J#hx)ggI#Rb1>S($eDAC{ZWgAXT%{XAl z)6x*2(0K^z*jKDVIIzM9P(6hJI8xMJ5^zcib%;8=7=}9P*q~y^-$&c5FxE45=uYhL zSLzjEdfV07f2@V{>2p#+D$g5=5^OXG63aNB$SGZw&<#0EmJ=aVL=%h43#5M|Tm3GQ zRbnMj5|$3c?9=&E@ePpLu*872Td4uFpC1`Tq#H4T!V_eF4=t_OWZM*tg@Rj;~hD2cNcQ&X5u~eIMkYr)Ek$OCI(24J?1JD&MSs;zQ zXDE%hv;ktUqyl9p3~;#T^s%TLg&ZJnpx;9Ee`R-Nb!okMzdm}owY-`0|0AFOy{=P> zQKNBFL*ukEYuvzoI=zvzvQeQ{-!@wC?QV1_<>@$m@NoYPEU2dQs^!hk)3HOFr}u?x z%(A#@Xd!z3B|XbIL5RvfF-22Ha51*@g&)_O#SL)nnZ@4eE$IDDdgX9#D2}^;Bi1LO z+0p*?P}(}}&+E&SB_EGZwgv>sT9$v_D;VH;Z{b~x514L@D1m@|{kk5}hIuu!jWB))7? z-moaC$>VroevK1p)oQg3i=BL2KVVAYSRNyMjsq0s`J}|Y?9ATZFjTZ%bHt$G4-k&# z!h}gxj~c74I(nH1+UQM;Ln)dIz1p~weMKYuT_QRkI>Da5zXlT z(QUTq`HEvllf0szyJzOds=E#qw+s2)w%y`Q^DnP} z_RXA~$FYa!&{_RuziAeJ5?=dHeJj%fKy@1OPnGuc)SLH~$&ZoJl-CF$W6~L6`b1#i zT7ZzpO(tbyJ5n14y7}8NVJ_tX=6}?TwxS?eMgHOC3x(wwwu`KHe^UIjgZXdCGhin9zi?A@YUwr%MlRy!?4SL#5EOs&?F#Mem+f^S;n_O^%Jc>tP_$Zb=_Y-=z z3*|QnTp&~s`d8e1It*NF$ub+=xKNP=cPVNnfhYnjew4ASEeM=oSfvE!?-puEa^zxC zP+gj$EE%c{S$hK=06F7#kw8*fB64%;DDfN0V2ffymSb%;Lt!bTfeM32GEi44)MPxh zF(?8UsWhqByq^CT4^ZCp`lxn+94nd&+(}Y4pROcvon~}(5&96I{ zpg8Liy^s%#TzaOlLKQ;`#$txd>#8s|h&eS4>=T9RDXz8oCf2Z!i}g^Rz(JDZW9f~C zeHqy#Z_}MMoX0z))?7X~ijYeB)@}n907xPYz976)eBgvT&QL|yy~`gInO@zXQj4a9 zsBEyI_h9Z_r3@jLzY&S}?mE3NrUgiH7ZZy8QpYZfhF=uEH_+I#fL1}LL&mLxvxFxG z3U3qJP*L9;11Ii-z>ZAHTE0-oj#|}56eu;g|6P1opwo+;x01g1J96V@CQ_#Xyf1Ud z+w=V?CCaCPq5i6j7T>N)MC0vX|M_Egr}y>nAabGdN@CgOO|SJzw8G=~W%j$}bDe%o z+G5asu4^~nM`NxY!>8W#<)t05*;w9t`%j%s{kFM;TS_VPe%pX?TuS;8{4}JEg z$5jtQh+Y*(hdMq>JBLV{wojPW6OTGRM_2t={v*53t6xDlF3tP`)~4Q`vxZL@v)+to zb6j)&w9lqoRIzRREI6x)e75p+Z+z-hU#2~uo~Ss_ERQGKpLFZ`^Gk4~k90XC*hU)K zR4@778*q3(R$+Osq>{N6S)RQ(Za;IGT}HIfF+6V&{p!g4v;FhCN}7}Num<*-(zfC( za*o3pYq;pIcJTY+J(E7t#XH{cAK|Vm4u7`d#^)h$*}jk9Go-#Q z>qI`4YQ=Bj0sS2IX^%4|*daON$5!$tQ{(_QPWt}N<=@pP4Bq&vWIoS6jWfQoq~ON8 zOS5`YK-YOxR+dp|Ow+$RA@sv`aGluk=%cLFD(7OAUy<@Thqnm9Q;nAGeG4BG4-?;P zX=niSw*P==tbA0tXr+3D)o)4Q3%6$fXQ0S?R4+h&e5gve=9cmhg* zIl%<^V+|H6mp8-co4h7Jml-)dF=~a^3B+v-I*qqt9t=0(N$|b3h&S{>)2l9H9`gfy z8$ZnLiyTlB-d(#Ed(blX_DIirDjk_IIO{(5C2acnhQ74mb^Xxoxua-vyi)D+2_!HIAwVvoYQ1GRB&lpMnu4FHLx9Ewg`Jr zDG~b#fdg_}&Z_cHZQg$m`NH*I;rhVcO7{QV=Izw9wMYN!gQmTgp~dWE=sL#?C?z4TW#u+BMpM3yIEBnr~4U z9hD?i*3pYsw?G?mW~e0=_^XNse8h?>0rXI^0Ae@E2{JOwSP34gtwIcZqhdJ$LYfCc znr$ojC-I;*i6Zra2rNld^N|{TRP$bn#si5OyZww3Y4RRwSu^!=kt!gWyaB+e70msK z8`P`FLS~E;R6$|R1a(iE*b9IM1Qyp*pf)P14|Y~tH9%9COGT%y!>T)loXC&x;RqLS z?dJ=jIul?q=mZ}im^wKh}Q zXkXo2T1>r)84KMw_MQZ)Jvl>WfdYi4zG5_Iy4^z|S5{wE-rV5w7adjY!6$v`-xR6j z&4bqQXX6_$$F5GG=iv0hNq}3g)9dlBJVL=5zy4rn;+(IVf_luR{`=eTa#1&<-!sSj^7_u~nKLR;-Oo+oN=Djiv*`;)R+ldi<&lbr$e?NMqJ;r+?I&r7a?!Ob(heCFLr+~%+T zAJO~w;mfc4UyJn*>a@(deVcB5{s1h)ZF0T8SFO{m#@pU>0A5jV>WN>M?oGOtOcbm; z8Eb&aAkyfjbjsKH(9%wF>$Z>W9-rW*+R#(dLa(TA+ zeLt9xT*Jq}ZCl&VfsEmsUUnO(z~GFqyw|<%YdGuzVdwG?EXSc)b zg&b^kf&oVWfzP)Wujgx7Am_U#15aZq{xoBHkohNF6;POv_yWsWR6^wee4h&@rr)F+ zHG^SI;j{lo4u%e)Z8agm9LI|66q6IeR$GydA0qvRqOVU1)pTy}9`;H_u6R)WFw&2% z+JstLK|&1KtWnE7D8eGI8N>W$qQVnlKI)7GTZ>}II3<2nCMqZ$c8|Q#fqTn-TUmTQ zmQ2Guj%?ry3TM6JHGS;~ZAW@wATT=O4B7&P`Du12I6H9U&_cKFn z6=(zgp5P!$Il?|kCXWdL7+oFkbKrtQn=f5$S%s(Y{?;bCMHFWFo~Gs8_ztFQ5dA25 z`-qXMeMwC$o0WB6{RXYYQ@&})>phtvyI}olWSb}Pu)Fe$cv%7X#XIkO#n0w-y0T{^ zvp7+B_ilN8e^KqBZQk~^(dNDHI(YoyuV%Zk#|ZjAT`m4iV9bBJo>`gx^=e@a6y*D$ z4f3-r7?t{-$QFds)&vBE)c^ru1u0IEi*dcpla8SWo9=8ysgDb%y!MV4?&h?8}d$_9+FUscsmy(hRTth1gMnh|Ylp)>t1!O~OhH7jVMJ3o7y;Q>95`H^*LRVr6JoiHy z1@hjKP8y$L38Fr8y6zX649qP|!h!McM|~KvOa*HFzirMucU2 zDN?kCR^8Y{SS(c%);X^&7f1=?^u@e!;R5p!$d7}`orrNz>{32F6-(l7dimP14^)p9 z%^J?d^h$-SR{Q8P;-8qF6&K&c{q1P-=%@S%Mt)MBK8`xgmNl%gJ^ZC_`8JSpYITUZ zY3bM`c3!?nwWZJAL0UHL zI=LI)aiOtTX!z6L@G?9u?XmTBvbtZihO~!(r`^?~3EgFD#zi|kTvha{5l9n2W)mJJ zPLpq+eb^4c3(68Jb@hlqcUdbq23}GWtz$h(!S|_+M|U|B*7@?ZLb3KMO_TBV3;T@6 zk?=icy-v?XU0szzk^pbKJ=H$$ZKv#KUZ|@t_U~{7VslUNuI$pHmo%#dy}Z!fULTx^ z{sA9?iQNGoyovBgPrQj?zYkvnj1NaWj^zFc;_i-)r<(-9&9teK$dYIS^W!*K|Ek3- z!)uU_Q4_*4!3AKT^=Jk$arwU9(s)?cUpKyQgTOMNV@5OId=(dZn!L=0Ovupqm}NhyaoLU-D2RS|f{2M$Cu!~f{}z4eH^RIvJTv+7&~ z+^1`$KO6pOvY*IdqtGwu4e+@;Z;^WXhZC$7pZR(Nk!5uSm!Gk*wDSI>_Qttcf8C!5 zNBX=j&*-S^^g>YAULenb-cI9i`TaztwR2CJN6O~c9c zUjZbn|5`sv#+u--@s8L|9$xoec2NUnqM0Pr6K7%*N)UqV)QJiv238-qw^{nLqSUSA zd|fLuhT%^u|Gl5wB6(kSwtM7faHW=z8|kT%V_-%8$(H~Um&70vr*dP_LEeZyouJ?< z^WXb8kQC`s(>;i~&xsT;)*CIp7w|0N1mH|A@4L$uU>gA%hE zg+*kPbWdhb;nxwdN%2NYqL+5cBpU?@J4QeLm1TIBGm7;-kFyeti2s$b?QK_&mxYSbD#Ya67I1c|An?mUeK#z=D5e@In4IdCLUj(8gw6(3Q4|h&9&*!N zG)T$5p=$_5{3nnGE18)Wk!4MtQLnKTxUT?P_Nc7LX0@az%7w5$3J_I@>}5SG-!q`J z@GT|VY#JE7;1HyY+%jo(4Y%X&l1$1FDsv0Rv%drmpnSu5^baFJsxQwxM;+mx3|g<$ zsC3Ok-tg7z+GaqgY}IgI-2Aw^c-<86YxTN}I#jnp-ZXG-6bmHQz}CpK=iL1E*?(Zy z;ko4F`M&aPUrLq1fbm4b?EUfM^8Cd3cG}I?+u67E&tc^zv0V$0`_;YXzg)E;pzGrY zdgDr*w6kcsQ-Mv~p1xa3#c+a<;}dfy`114v*%oy}!X^Eq2u;_DjB~ar9@912OO*9x z?wKB(IHr5@DH0yRRCG`=gIr6!Ba2syZTS!7QpkwSwR21UbOjzO;eqgLiOy!UlB zry`~u7xp)Lw-$QWAo%Ra^p;}vAjN@Jx)t_C@p@tcr5Fy~7&j!d83+HkuNzb@5hgsb zhe8JQQHJzh`6^hsOePI%1wLN9t4@;!;wwMBgFMZQA59O*Y|4M$k}ID$5}ue(Artr@ zBRpZzdLm4-l~f|sp^S6i7gVz4t^G%rM)#!4*UK^O9eX<9dFPP71z>YU&|+wFEz7Zy z+|=@#^$xIw9thS%e%`}PXQWYoM-|1hm%2!4h$Oc|C_9c7CX zL`Q^MI7RX$>#BO6AIob_XrvZ2i?}^}GzAyc=PMW4Z|7K=)2Ii8ZaAUMAZg|*NT5kn zRl!oK%AmfD+k-Y@0iHRn#Gsy=aG=CRG1>yYAVnq>VmLKvB4r|^CPcfI7u4MxU|G#+ zMiwNICR{hk^v<-=6oR1Ajesp1qAfKgA|NNI3Zv3TU{!-9_fpnbHsXnKB#WSEMvEZy za9Cn;Fi0zf(gQ`Tb;i^wZE&k)mz)~Q>M+@;ZAy-qIgBccspdnf#iHSK$b$P8!Y>lQ z#TRh3;b49jYLVd5fmO1fMjP`pCx${aY*70{GzgXm+Dkkzk{iz&E`o92*Oe zET9fCQ%VfAP@E!)<~WU~sGlMMgV0i47zZd$u>%!pS>zKx_9LC*a@J{3U zGvlLEud^eKhOEinSR~zk(&3G=omDP=-pD#~eEgz&&{8xR$hZMy?eut=WK&$ zT9EJU;q7X_>F;*vGVZ6(iyzkwn>+zoikef>Vt-6_Lwz<{sbg6j>djZ~$lZe%@Ydv6iTrJ}PK(Hg+g6>J_ops%W% zN!yzFLEYB&A({4h+nPaO@#;J9Vd5*Nny~7cep*%E+f_e#RHt(KNoVo$wJ?KKC(f>I z(@c#NRPVR-o8XddedWHm(lp=ON^?4s=Z#Gsf~k{^hGxLzIE;HU+TU^i|)SCy@W&+u_&v5dz~Q~&pf@?+t26a+hZ2dBZFSwE|?f8 z*BN&VhbH>_rq;GeCr4=T$4T8idYDD@DTWD&EX3tE=Fp7RB2e;7B1df*`0Kc{>&e`* zWE`0nZR2i@YgP$%l^NPYReXVC_)syaqTtYhtk$MouVxp}r`?auQ+5lFH;qYH7%_5x z0zP)}-`6nACcgEzHt&Tm?m*~r|@__GV@B_w@;v)L})o&*gv1x{w*jB z)Bo5)WaIvqigN!?$eQvOivJt3ra?#ez||I;1$mUzcNK8I880D){aUr~XQuOha64z@ z61`j@g<(V6X$X(ezssl=3fJKo6MxGFGMJ+b6A`T}6+t`}g&3M=%#gqmqUc)EihCEl zVjqz^)|3UKP}>H_>01IUpxc5Aum=d*AB9_hNS^~kPb!45+T#O~r%KZ}BV8B*Wu>DC z$dRKxxgw;vDWh;%0W2U@jj;)1!!K_=t&lKKQDTKuqdoEK-OVno2 zM!S6Yy~5UwqTi#+jaURjveSw~GEmW?GEtLQk0c|LIggx~(IT}7G#9{~Gw9I7OlA6p zinYj%;F(ARFk4WnzcbP2s;Dd_#ahAXz~%qooZ?YW9*~uiOG{PH3>fJ(m6OCAj43l? zQKs^xtvuU)|#ifNHm+Hrsq1JspKpr&+t$N6vKn4q#;%mCd;N&RJje?l`4 zAu5|(RE{hg#8*Vr=!^=Z1a^u!b|@tj?#ec0ZKo<52Ams)U2*2*1}O+7c@?fmweCVb z<{~pv@6aGSv4qg#gp^5m9f0X=Uz(2}ZO6nx425XRpvD6(#wLKP5*hHxVwwuW!%9;h z5veusus3R@=M~J}U9CSt6f|FX919Ta@^rQRAuV?RjA=dq>d|vr_%Cf-w04}&&z3s# zOZ@95^d#N5`1eDZjl_PZaxF!Oizeji_-cXO@xen0TI zyMNexe|ew0KmU5$x?W17S%3)|}VA;9PDTpQyP5j zz(aJ_>ru5k9W82A*6@W0>tknmeSY}$CiJI6qWmt6z(ikUP-f$Il6z{d7w>mrAnkC6 z8`^t_bU=Z(YCKa14}_hKs1MwVuTPc`f9@?|&h7^iqLr8AN&7AuXW2Wra%uaP4fb3z z-_A&H;#ti8Yn|VQT@64#=%Bm1b)TBwt8ce{aO;|kfOnV04YxDGZMfeKwF|_6uip`^ zZNw7xmq(ZwWrRb1f~O42ed@!!8BmDsrG3Lz;LWuvejGWgb@iTCO8}jC_3g>#^}?=| zT|ZaQ--Q_B_KRlkL)QAo^Lc8SsGUH()}UrC@o_YxBWp&~&Ow}=0tezx{rz7|r5%L6 zT<+OzO!fqu5v}WILZ*F(3|yJ_e$lB+oOPmv(=1lx>p?ti%ccREpvJH_qHhIZrBL0M zTea>wO%xGfPu4|Z7FR0J{$0VOX(U8DwdM#vp#C z#MO4D?m>O{2VRjJC0rkQH#L@ra2a~{dxTDwLL+Xi80^z*EQ{UArWys;r%{w20}mCF z!*Ql_S|gfoQQ3A1vT~hvp$=+p=EJ0F$a&NoSHQ~?UAX)=Nav;`RD;B)ctcjs@isB# z%FsVncK5QVp|4T=&#hx?+bQQ@{m;#AD82{xq4=u_u0r`AZPgZ_K^-Dpf^~9eNS3HOiqDx7^K(@hT3C<5g`_tt>3)kwzEP zm&3%vWys({R3QoQ;AEK~)CLLgd>OYLZ%)Gx-cNggl$Dy?lDIzbOD^DwGA|hX46;^6#Zsaxwpx--CtaUoIzd z_CSHscUthfKSXGg3E7M!F!_E2U>)T~yho%*!jY!^0kqgba6o}l0;lwj27p2$gl*J; zu^=MxGuPe(1H}z#;ceWLU^@ZiH*CrDq~Lt(W#Ree9j0S#SB(6EfwYH6C5PWs!dqXy zieSUTeMwg=bO<4kRCH=T(sm1VscwT4Q*`aElmvz+f1BtH-5R6;7rh@Fsf*B|1cDo5 z-NCiili*=a(r_tVX>B`BhO96KV^!c(0ePYG#wa32%{akA>N3JXnCddR1yEY_m^5NW z%?M+r%>*S7*~GL~y6Uue=`!OrebrU!Q2=$VYN$vZt!iTkcher4J@Ep z71Ak|1RW00oQ>I_ov1Hl*o+1&lxvYxsws|GvCEw3BHrnf5`SZ42TzkQfN+vTaB>T< zjz4eXfI-svK>Q+l%&ZY+lsZSs^DW7Tl$)Hpq~``lSVN0mUC*?+_?QW?QJSO@vl@w* zugVD?M60?2p95L7HBCyr705*Wd2JS)hP(_Vyv`*!bJHwSE`h84I3sf+hn@^!&6WfY ze=eQiLKJ~bO`D!$k|4saL$K4}Ot8a3Z>gg#k)(@ip*H0tR_v7d+38WQiL|)ZaXSc0 z{MyN-XKVNCWBb056MK_xO84eX(sHN~|5uRO*5HoQ{e|5-=F{au*1A{6FR$l6BB9Kx zL*1As_wNsj^Xqg0tsr-a?E^d^JI+t_1yP~W#6%}lZtu4rkLx>5@56SU?%s`BWxm(9 z4{q)q?a$XAR$W}{`oCVOjz5DQMpxBUxWD#`x(LnVvsgolp3}R3z+>xe3W%0ZmuC3f zLNRnlh^r#}KC+j?=V2EE87I+EA6$?=G7U&`rxg92u%Axft?R`+`Za;Bly9G#29MF5 zHgsr^-wwBZbGoesJw~tQ9K|`^I;VGKH|acGBpzNJHGrFwc(>58e7speDQOiHe2SSoy^ABj{wDdQJ8zjKBtVRJEKyQ#Ke0%pTh9fQ6@{d-$oIBJdLH9IdMzo zgVfg%T@z==Geidu^(&>dlwjLc>Mq3@-}pt&Q2(Gqa6h{xja&W-(Y_dpQx@<#j2A3vUn zJ0rRwUeV43vCo|I$5}aJ?7f=I8CF=ai#Rb5CMgjET(}$qJG@ZrLGJnDJd z3+qvTqu@s6yv@WXr(*2yCtA_l8#478&aU&NBz>wWM^?s zkz-`Y4jShWS`oP+}h~%BOj!>?nZ{A(}+aW3xY-sfTH_Hg;IemNg=K1pL zwoCT~4)sB=$}s{D{DUtF^Fzl29j@}F)8$dg6XqiWKtZyf(k}G(4)k9t5C1DWC(A!* z)B#Z+|E)X(JW_@Pd`HK=C@XWD2v>|k&c_S3#wirOyXN6(Zcma|pHL_EZFt+d>fvMQ zZuUN=^R2ugxJ0XIdVLr1NN3GaFZdEk2x=6enR+Ek}amL^Mvsq=x$dzjjIKeXdC8 z1y?k_pd%$hTi15sp4>4)%~;bm9WqJ?A|-2VhyBLW*j{r(K33VZNBo?`_LT-&whA3C zNWIkrQAmPCgo05!Op`f#kkC^~Q#@GyE;q7JeSD|qD37f}9vQSeEx8sj%Lf*EL8rww zx?@DkMFO8XGzYS-4Fj{T9Y&bYduRgAJ><`Qh9w?4h**Ff4O=Q~l1v1Kn1LnTGdxnb z*9(}ylwabE6qQ;EfCZo}!7gTkvc!uR?Wqi$cZW^d*#3jGX^($=qfnVbH%}GaWr-KHkrXE!cT*}WDQP36)Xo&n zEVghsy%k+YQXi{X%03xeW;EC={IM#Wuy}`gdM_h-KXI78-bml=zFNJx8DWE~=DD^l z4nH)^NTjkrzzpLsQ0`{v!pZG^|9sWPQcqh9i+7(4@9XsW_z2x&z?wBJ|Bm}^!F!>% zhU?Uomk2?&Usf&yzFq(O>2~xkL;tJ2_BDRGKiw4vtzdIPzWL8%J4fF~hpC&6>(vaL z?<**Fb8zJC1cus};awt!f$X*li-O(;KEGf$NgW0(EdT{j@~tCwW$V`^h}WJaJ=(Nr zfvLGmr<>oNwvpP#_R!=S+~-~8kM7lT*IoW1lR^W}|m!laCDTsG?TdUcqg*?xLrp04A3czF|sc*x!r z(2kk;D;BXUb#&zT-s0*pwBB-5iiZWj)mCQS>SpQZ&+XVbQWXiHaxv1L+KHVHR9U>C z!>u4%lXB5n97E!MSaR9;aMKiV@I=mCI*D<~V$vQfQlTVujV7@>o?70X*-LgSc1lab z$;eAP1Zm@^t9Ig{JKUP76wq|$r`()9xj>lQApa6>qQY~mvPHsEpT6B!BQ%nfue`D} zdA4V#Ic9_BntJ4>=~x%`qilZSvT5%P3eVjNKpy9*A(HL#K3w^U7dv0L_?^n0&6l$+ zjPY=QnnAMnV;qm`&esTD%^|jAZwjj86Xz{AmYH7t0uI`c!u9%;6cKTgB!)J zh3XU^5C@2_kLaL81!ts1^ah7uLkp{-#=%#LC#g4ypHQwu;F5ihzx}{f&4Vu!cmR>OZUWMGT*GgSk+;9Zr_p4DpCG@FgnyM4A00ba*sdtVJsfkV; z0f&l84GxilF3AZffWj&f&`VEqv`ketG2GXxYN2&l@G~)#QFRQZlQ5n7mex$)1*J`7 zO7l%EswwrPsNS0p!Ki``Z5*>{58a8Ws0l5t7CK5hO;>u794FRUOI9Z4k@p06{8Dnd&I zm97L5S_hG=$c_TWD{zAZmC8t!D~rTM;~=FtQ*I-)$)UE>OewcfTC1W*2bVrhl_M+- z?Si3__A0b#xX3Cb>=~fxm_CX~^^`O% zq~18M&PNh))r$`d?1btAE`wag%`t@(sTFJkDwev?ln6#mGW?^0O&T2#Whrb4jI;#p zG_ElRQq;h-3jHmu2f4VRbOR5y4Ymsg3FUAD&yq7Wi(Gq@f2CYWc>PKup1t2L&sJdj z&2_Ekslm6I$J=}DQrGX~{MQ74)X-0Wt+dI*AobQ2s76wpB)XL-DQ8hkRZ2{6CQ&YGaR z|GH|QQ90%~W|nu#OTT$8-7=FJ!pKhFT)lSR71bYf!*FjZG=(he>w9|`J4W#Hzu8Vt z%-g;A-0JI3CeZe?;WABlucR4^Nr`LD=j-fzatUYD7~FDtTk`5HEr;*@JPRVDT8%`C zqsY5NP`7WlhtKbHVygR|1v&6c74l0yLjw5w#nF z*EpmA`c`%+Nf%lEWM4Xi=ZF7;qjGd9={st_6x$KJKR40x8Y_mQXw^gs%#4`9q*#&^ z8aF!#D0`A@)w~bbB%m2u!H_-#0K*Yb+pkgws20qvy#;+E|7uYeW*D_w0)wZ1E`)cJ zty;*1J4)r3Ozk4u3+bv*1?bjNw8}<#Suk16WNR>rWsnykooyrR(lVf#h3MpE^vQ@HlmXphXimjgn4z8?~PF7MX zb#dfhXYoFO)g_Xt)6-ZF&n%SX`i2C4{IP{(a-G~+swx-15IVRsK9FO8-&!{H#s!9z z0SFQKBOzQ{K_Z|F^99zrSo~&@yXd;=mPHXUH zLX30yb^$q`X#43OHxaI{fYy=ZSb=}Lw7>p3JNtF@e6?T5rDD}CG!8nO@{R8D1>Hb_ zC7czUB~rB>)GSY$BU*B7RS3hX^agdBw8>t|^2u0=zYm0Kp|YNgDx((FCdJcg!i**q zkXxR@u0Z)Q6FTf+9k-$+jG#=K4U)Q3@sx5-`^Deolfz;Vz$}1{sFOmp{X0K14!FO z69ynmF}K-FFoy^#!-U$j6A>H~hA^MXb9~9(Zpan9yRP;=T-fg2H@VbGRF%%If(t`0;&B}5gGqZ5#VA}R3#p*vPgHC1tzSSRM@ zFPNyNOqvVCL$QX;noVdoxzj%e_NSu**QJ$Jo6usln`jeiR!t_gLb27+X?}&s zpQ9MYMe}!H1{V>M(_rh$N-Z1^Lx?F&*HCs!N-0f!kk@G^Gr8wNN~hY`HEd`Ps?Y&->BP`~9g=ECL<48Ux?EccZU+#~1SBczedr zy}i5R7~x0(4&v!6M6&vdzESXba`*f4?q>hPV-xt)=yH76n%5n}n2mO$+MQTY4>r-$ zSt=xE!tan^e+$NOJlH0&fU^-1*zq^xU|@Gf!RUl4bu1%;D!4TRMQ+RtE6}f{&qNSq z8wog7_vNZM@MlBt%gRj4VMTtKVQX1dg9ObpcvYq{(Vy@gR~S5`h*~ zrTfK#EGr8>nO0P)^@YWPtjo&9KNZK62(zrH)c#Xp#dyLABdS7Yc#qBf$Fh0hyW)Zm zsLHgS9~Kq^WmrYxRPlKRlveS_@Ku4U*w*F7g?Z6sSUDFjDoND;zgD>Fper&iA8p)+ z(SM-?bYeWm=crkLBpZ40O6b0vSfhajLCm*# z$=}zx(J!$3-YxK(s*Xo=^&Szt+Nq9>+l5bb&?*OqZNr%lwPvz&t#7JoZ5c z0nma!M>fn&-JWeW7W=Xj|5oj=^a|a0RbC*Y%Kc0 ziAh^oKg8a*s#$@KV+H)R>_NuYsCmGrE!OPjLS@9f){Z-w=cQL>2-Zk6VE4^NoH2)KUebT+6OEKdq zEe7Yln0H$`X@A1sMv;{q>QlA@w_&Y0hG|iHW~Z0$KUbKvmWGegV=dXsyoMgo)G9UF zY~Gq~rbZbUJb%eBeF8!i-8Lp<|Nfr!FGZyPCsdJ(>mLT3E;5t=ku9)e{gZaLsB(O>tFT+rskUP)F6{a`M{}meS6_kinq@II&_`KhH=|x!t$k;D zdbZ-D+tmflS(V*zxZDbK8h5rbaeFo^Xdl<5gjF+6A9b*XX8SoRk4hO=ur}XNvZp<> zAtUswyJT&=p-gxS&Xu}?r%Iblq0G)W5wZ#gV+!bObXjS;XTK4`=uBo_7 zip^ND9e&vXwov=zn?W0#fi3#rL#&J%DHGVpkp{Arb6AB29u?6BZv(%GsDzr$ingWk zJl@#!W}l-H2eODQ5RI07g`M#%WYwmHcR?2uR~1h5eHmyF9PV;$V{7EuN>_1=vnkw9 zPNSW&qd~j7ffv~B_R81z?V~`;HScV8S#|_jFVLQuMg@brfs%(GwsE{8$A-K#{0RHM z4I95#Z;)vB8VgF+=L_iTebgA2CMPUb4^in=f=emtODR-*XPAnA05!sxI&``}yRezj zbP^R(g#JbdQ`MZKp>7+?ZM~#fJd5pm+cR5Hmr~nSw{gwBQPDN8iqndoXH^_dtYZ5+ ztnnKrpFs_ycr9lwTe!d9Tz;J85W%hN7bT38O7=7GEGuJMq9dRp$Ox_g{s~dv*z%n= zE#9)!`G?51qqS^U726BV(MooCnYv~#Q{B=Vp7w<_;|+AJOe^mikvvPDkT%&?Of4^I z>#tfn!9e`zG3@~q;G|EMgU>$?4YzzeJ-neDt*f72?z^|u$^5&!H?Q&CIM82)oE+be z->+W}tscid-nK6{yGQaF1o*bD?5}tH{QaA?>GA9I1Xid`lY0r8^lkF&rO`NVbJ*vm z5|3T)a0R^Nwf!{}CPt!XuI+M|xAKtBB*;+#=QQ7vN*;Pp6{kGJp(<8I_#WE!VtJwV zIh@B+`hg)y_rvY|{OThR;m@N@4McT zENPX-Jf=lCJn$kAJ_#W$xdpqNK!uZ(P>O98*o5<2&`xQrS#t@sBSUQ2zgtrkCUi!4 zy|hydnyp(5?(3Ft5NW%GP?h%Y`65k8SUC|q%Fq?u!+8}Z(ZXiTz4=m{@4mw+uxghE>|cTTs7JzCsj47hPJ?L zf*xL_#*Cxds*GEu6+2N-_0@V{0p2ska$q5F-7Qg7uEw0SQi}#XGmX~WKU<|h@`D{x zT1{?2vDH~l?vP6Tu9`kFDtlS5CqrsJ-L4EyAA{xGgJtnd>HfE+nB@scTHf-7rltRa{u) zR-4+D_|ELgtfuYCSncdq#wOUBi>yajG*xT8P+$f2xB7fKz3(<78c*E!x1B=NNd;{S zcHQkSWfI4RuHLVX{)9#QuGb(dLJ$)|Py;xnA4k15*Vu~TbDXixt;bGna=-p=e&M7*<=oLD_x48Fjvq~Lh6*_z(HwP|R>>|fCvz%BuSPz*yOh?Is87YOcs!duuVX)5y2+=!-R=LJ+w^96GIjTTz24s)+pZ7q-rpWN z&c_$Ha$gP4MxgI~+p(p8+1q$OJ`UeTICJp#aC^A8SYK~$wqIRu zufJp&dZC%81VuswMJq_gvfdK!%OV)-9q!!MYAS*i6@*m{pq|HG?|7$;VzUdLy9|Hj zW7XDT)0P%oQ!3J&hHGRU{*EXkLR$-bpQ#|b8$_i^?G$XO;Q*Py9i0r8$CwMVuN%qo z3hyiH`fZKJjx&f$Q~vlMnxD&vA|%5i+F1oG{%)GWisD3Ehy$ie3Ci(1>umC@NDh`G z@?MFpz#S(OPI>aWhvg1~S$&ANbd?HyS@Tkv&h$IiYzJpz&Rq-+Q}F0w-*1u^G7J_A zS`~V;c}f-fqw_U$9UoB6Qt0%TEXXr+Ps;R;|9r=XFdep&VzI_Kj+_(=t}qod$m63TXq~vZ7}8!dEWZ3JN_^^ zF5QD;{@(ZfOC{cav5GkU>48dJ1^|HrNI$^5ED<8dGl{ssf`FtqMG!gYj4=36xhNZL zFda&T6$1fMJkFug)djUlh{$;nhKKf?M-|d%+yzgG+kD)hpc7;&$vRT-b#Mc*3t^b3 zC{O|bst6cOY*0u@><3~qvCBBMQfiRYxITO2fl*8I1u>3M`gF3Y4l_cN`&V%wbcW=sHx932=onaS&*sp&4YUaW^ee-ui^zfr_f((*Pl31HjBy6uly& zBuFwf%9zOSELL>uL{?4Om7?cKHhT;5VJ#yx8Y8;kD6~ekPHI$Z+)LFOCLC02aJEFT zn$)7sq>G4Sw=8h<;0r-qHA+(ueP06x*PvklFJ2Kg2(+XEu{5ENk~DB#zBu>lB>hziv@641|KfnpPEs}!KuntQ`SU==mW6^-{p!B+bvQABGs4)n{Dq;1(jQ^d?f=S~ATIq}nW4quBA3NH%7Il5?gumBzFd zcgzv3?>mN-P-b^RUg*sa@WmR{;FXFsOqFbri0iz`Y6Bov!ehft#bk@?i=*(|bh1V& zRuzm(NX(Q}KrBMUF$RhuOx0mpDz^|L0#RooGkluATBVBP*j9&!D;lg6)UK!#YiL_f zT1V&d==54me{N@wFhm9KO^uA)9p~apxa1U+Bju8xc#6hDx=b5Cv(D?YL%+GP8A6nA_C zG7U}fO0Q4;Ezmg}rJJX+6VWVGM3-M71Z5Ua{`5-Gd2kLUyxS)SYAhcx@OmY`NzphB z9bP!KZ^)_A)u+a}96H}7`V^9?;eTp7vPAH*5Ct86Ua><6p~pL@ZBK6Nn(CC)Uf+qV zaQlF!_YG;sv|CkE^PtGkhPS%ru(0N%p+;wRtCZ}~!O1z$JT$qBS0QBR7_MAT4$|Go znP?8Ge8&m$nH5Q%-<`9uJ9s9Pl?M0h7Vw_iowKxi@d0{F^QS|4c%;J~mpXBZ8wtoK z6%A6@@>hM3VzhkpMbpzBa4*FHu>EAM@t92=xNVU42#@LI@Np z&W5wLafTVL-VbmLK|)io*ZQr;d%;mozfLOb$K}Hrh02le$WI(a$DqBi7f$yj;C}rC z@2SQ;KHnR8co{NZ1$+INnO9~yme$%G4d#_^S;ML?aqWT%J0-?cNQXPwmm-zS<;Xuc zoYj`U*>m6`f~D{*yfc#EgNVT^CCTzCqW{$C)n~9L6^qOFdi81STfbU~J9za->rZib zk<>`NRPTPDnrTg$8+l{3l-hhm;p43Pkoi6KIr-5IP=Oi%ZCEdS|651M^e;7V{|m5S zVfhEm#9uRHf5n3N0wl?CCC?#HV+%~ zUB17feUEk)65~pE2ylEN4|=}533McYi>9NILSllMLMkaao(L(rN(LplXG9Y^9H14F zkXuFIqKQolQbvqPDLE~u3$2SOtLo57DY`;~nO-Bauz}Hk6+3ZCSYvS$s&8v!ShH}- zk0eUAk4?nZeAX$cDoMx*E0kX}MESKQ50^Xw1awFXd{j-FO$J^&72Cv~txEG}l6&){ z;*17RU>5KrSQVCoN`gi8fUeMYWi*f>EiiEjqJn{8MdYuYd8kq|YuYuFQ#;D2EGG7q zlz<2ZQd|>zP0z;rf`j(d{3vDzxk_o!uQqW#{I-SUY{~sFn&@CZZ4(PzJZ&?FXw7Or zN?>ctP($07sRH;-_Y;<5dPimlc8pZ@`~cGBSNNo(!jW`Vguq_Y84>UF<`M=*?*(2k zzj@|)LX{P}(R|Frq#F+O9*=$Y_`;K|Gou<1uCt5-8LPusO>Gil5xZ%Lc=S1pIW>P> z-yPbxZ~=~~=)2~r5rm;bGYtm4`ZlO?{?x(#>~2Tz>y(SKp=6`<<+i{jw(uUk%r%^~PHxpZSFI z`{%1QEU=q+$E)3~lRH#*vZECbTb{<^m35Ye!Z?NT8d@NVoXYJ4Cb=}CVLNR-f9($3 z)T0h!I=&YkKh}S;hIjl~NJh?r4f#Y8OQST?pY{(NR0lcHpu zbHPYB8hQpHi-1)?!;JI!W##yGc@hwol|GD!`HgFqb99}CoDy3)Y}!317KN6)C1c)5 znAN0@O(LATQwu@ue9+3TC%<`a<#YW-K>O4D{L|(=e-W2LQ`Uqj(HCQ|uh*atbk;Fw z20R*tR=$CAa4#g-u}kAn?o-yiJ?_xU`NQwtu9<8?XF*L$eE$+$rY>?{M}TMb+XySq zs&W7)2SyE;BK)-OLw55;b5GNw=IK<*xYLMt_|?YHX=|sOD+`0Z&9rxAT-^#l?)W)d zSm?%pD|dl3ycw~eP@h@OXSAmqO=ZlwL;}^0oGfwIAR*gf%+#gQZc!j1*p37sQm~Bi z8J*OP{BExNvddP@&FeGhG`zv69--Tldsu4kz7aR>sJ|v60p3 z8}c-%qs?NRm5oCiW}q#~_-Y{0Y0&kJNLRUslb&fw1;g&^E1;m-U*pTE^J@A@R`;0K zk2Ux%XYoPaav|XUF#TJ%aJ| z_Z{lpsvGQ$FNXD^Id120zPosfhnw=decm&&_;GSKhH^gZn=X{4^HH%4-_GDaUl$&( zUPRZ$+^HSL!}3vX*XsX;5$NEU72)q)^}m$2{qO(lEUb+Gz#3Aw{U30#Dd>t8={*c8 zc#iErl!;}7$w_z-X3kL(gkEpX+ohp#r@LAY$lg*yIi} z>i|I_M%W~18t`&Q6sGCD(VQP_!ba=_WOhbvg4|O!MAbOWBgld4MkyVc>&O!}W&o^l z>?3fKxB;<+vsK|fHD~TU#9)=Sfd;WdCZVNH9SXJfk+5Mmf(QpA4P5^E&I5fm` zT52o;gqi8S>I5~8Xqe_KMmAExw`s-*oO~2vL>N61c@08rVj8XnVPcBmar0bNaxea# zE}n6lyrtOnprfg5$#v7fx79Q8#H$>^*$^7S1U|-SZEe0d@&qnCC`WJCo>T+6M2gUw zc?u#scY2T5DQl(Z<-FSyRA%jIh$>qA}a;8H&w9 zGXe33s7!rbi)|T=iVW1`8(Fb*SS3%BMQ z!d`02+MX$HNDfOGS*b|y5JNn!LlUK~Ai6&ad1^`PC#IX$*ALg?lg95DS-Wonc0gfm zcB;GUqL)g`>Z}psP<%s)!rLeA_2EwyjhtcV49Q+l1-}|T6QkwP-2bWwW z)-OD^-QJfD>2xDjGM4FdZd?W@ zipTMlBDwTl(yKjhc{RRdr#Hgwz3%ZC`98-2PO4)@_mct){m$0bO>@z|yqi0IoH_Gf z9kW3|;*f0V;LzH^8!lpo_lX<_a@f)@4tOK_Foww?an7^C@?#DOLKFXPbKAcE>8b7A zq(T2-_o+jN@u=^W*bp6pLX5{g^B#V_oNnAV&}(q`^$zI?S_G@*+|h!8gtqwU)KgYs z^|MCfb#Q1#ZZT*-y#9Eb=+bNa^nK5nrT<{x`lK~Cw+?-3xqI^q|9lvTLJMD6jK0ub zWVyC7gN`-rQ1H>M-8yDF$1$UWJg6amA3V-ClfBblyO$)_Hmg{Z$>5gC<`cP}d;nPqJl5(z!2`oh6FErz>UsM{%zt!e{+! zR5th~p8p6faIK;l|K4N&JKY`t_xYb>?o6!z6eoP4`Tvg-1`Ga>+~T$-p3uXqcvO zXS@Lmx_~UlmIPJo4kAo&aS?-3MJ}cqzfR+fXjIzvm?AW&u$(1eTI^f-(qX>Vs&h;j zPrI(dlVZU*^km_ql{$1xU^u&z~aN21YNCDa(CUd!~x~b3S~7BI9MNq(rh3d z5M)rGxXRF=@BZd!PN_7}O}xIHX5)T7%2YUzisK8^g-yST;6R@BQeHrs2(9``^CC&X zZg*3bVtUOP%uHh2n@9oz43(*{uOq;cezr*!c8Kp#+{o-a5%K_0V(L2=SokR4;x1As zXJAnq+r`bvJN+Prs6Nm{tRX**C}cj`o{knh8wdoU1|%N_W^0IsZRQ3{cp)1_7gQs3 zVCNJI#~qnafqn@()_va_xj?&asvgm?O18$aedcHcYmVSwdm@QS#8G+vaMK$w5hm(+4Pt>r&0^i z;h|_v7P%L?lt(XD-*&g~KdV#UZsO@~M)0(~zPz~Kd)a%{htGfad>h*DgSSu1$54Od zEja=n^?Yj8&e7G=ci_Jo)!d|vi9EHbu5dqSN-_r zanRg>J8RktV(-}pqFw(z+%Zv4|D)OHilJXe*A*Ps@7ZSq{S@cn=Kg5P%FUDfC z^BLRA&U~zT#OZ$X9*e=kub|;ah)T`s9AV>+?OUgjukZUuHQBv-c{%)Nb(B+wQ&(?h z>saj^`|~XFd`A5I2sp!{4cqSz-6QL7XSaJ^WfFr8FFmuo=g9!iJVHewQ(~46fiAq| z)#L-peVO6ZSB3}(sr6n61?D!Kb3tx%sVMtVJ})(Yu?>XRZ_j<{Wg7HJ*)0ksrA0rY8hVF;c}ro#X8#( zc^NKt6rAlHSN)y8ErF)3<|yItUL|BW3BBRFe0?TJiLlB z^_+3kYMeIPrSn5L~RrHm-9naum zFxC0#y1)yk+a)K2`V{Y>w#>6nf7>G{-R50;+HLayH(m`@h?L9K3NzgN`k$()5pFzf zm0!sxEfcT?0dcz=xo!QnRaCOiD$kOs0szs7NXwGO-`@=Wo$BttrrVeq z{~_-PfKFjg$_AiQq;(7c$LfAi%T!AajRD(g5F-x**Ur;og#k#R#X?4(d~;vj%7>Bh0Sva95#E?)>;-nW5vYSK~7(w+3PGD2-CFa}+ z@gip$jF1eHpKCELP)CtNpr^<>ky2)-xW>x0MMX_0X*982kkWT#xW>vlM)gohX*e;? zCee!z5Z^GTuu>(EBT<{2+;wRI$y6oOI_9Br571&~#(a@i=QBuBW-1cH0VCF09F9Pb zE*WZd(A!3&LrWZpNK5WO6P*$xDr!_im|a`=DoP`@WpEV5oD#tf_ET)-poPo=WEn;^ zf?vs4u$WR!ujwSkU8n$bT8t12My(JsM#@0YKevup%fuD%^ksXS)*tnuCG%W#Z z0!!nK6Jg8XL2Z+xqa7gDO5#DKs78H@2&Qx;MBU;!bj4Df#o>Z52_5lBVgYImAo?=Q zM7k_)(&H{Hj?V~%^|Qv?l7l*!1SbSm?s4Iax@e@vE+VZ)SX8D8PJM)eOXnW!XM!X= z{z4PPL&PX)5B>tDlo{ilSRGa&b|0$iP#(V4twFn{)e%knEriF?(btHK5?Ej}QuWj- zAOaUOnESKB z9Gg?DO;?;m*Txl6H5RNo3AWA+pN?%makZ(gUi|0RL4iUz_wKoV-^W9Rbba2L`zycC zDc_mL zo0o=#VsjOfG1P|Iswe1zS!Lu-uXu^b=_nwuO4y0EzKk0Bnw)!7DqJjhIHD9+qznS~ z5l>u4io!M=N&O^+4q-q08L+3`JXUhh8&G-6D)?U5@!pe`KS8x#Y#5f9Bb4F($WC<=tKTnqA9nw+&?G*6KFZWoh#Iu9g%Euv*>Uqwa1t zXGxc<@k!5&lKSwM6uP;LUeHz<*l%zfrzJmZU%%r}wpbQ$`ma&&Y#c}Wa%?c5y#8)b za5LJCf9QU>yUr5g_uItB96RLxJo|XrFLy6N*iO-=JMQsYiQhQ#dy8U`y7yA&nY!C@ z!V-g1(7Q}{L4$q%3FCG=w#qhdaIUcKb=B6F(*hT4>oe-M-FK{A=nA}m-3)1|=A z*YaGUprTsO4I%8`RXWdHz-1)tA1dQ03`<8>Ca{g%3cE$iG$i>O#b^s~CeCe}=sl)oBwDw zGTRR*{@zdiJ8>Nc*Z+BFW@G!O5$XR9&vm0+PcwxIl%qmTDkB1DHZn~pvO`@sV6`ni zd|m1EXJ%`X8z-#w&0JmUON?Kio{UKhU}n21{fkK|#GS<8oDe&-)`<*S*9_&Csp*HY zrEbqfT1n}b+e7GuVoQG@pKDhJsMa1t$h3}|R`zJDGaXnp8rLmXbRb*zUEq0CG%!b0 zVls0FRP6i!>h&tF)~7Q|A`kFNUpdfXHHU0GG=-d~ZjY$+)T~mf&`Yz@yn`VhFVU+U z73x)vjrJtSt9ar~wXLLJR~l4# zim+ewEcJ)Zn8pT~8Qy*a;m-=a=NQf2q}~!OkYXA9rR-so`=noLKMmZVg?eetrnGfn z8oh$usaBZuyy!=2^bGxA&d|l0=BKtaDK30zf-k;CH zXTF@WE~FoBN7E#Nv+3LF+3)&o`G)JET+?5heq%$Ca!JulF8^i-H%B4ZmvHuc2|&D! zc|*Tt1!yB8toWaC^3_mD|0aA}Pu`rG3s3sSOsCn9O3NY#-xC%tpFE|WO)I1%Ae(EZ zcX3^}Yrng_U7fnBRe3l@RnZcr0!f`mfS1`rCuApNSmmcP)Ttr>yQJ@_C;cUh*j-}+ zZpR&H7#PR^t3a%j3T$VuF_<*>IyH|P>H#Cv^7LJ302E5?JIE6{8yTZT4fqKmB`8!(YlC!7zOX?}Xx`}a z9n^rv7r9@|(}Y0AbS|QO>E{`(4NY_L4d^kg^Dc2^E`KZ%H#VXcU~-B+XT-H+Bcoy+!o{;esKWV9*Kvhwb20B75sAa9D_f4Q=u4w11) zWyj3Z!a1c}P2Jv^*X4*3p{DNtR%5QVdN|NN>V8C-udka<@|;yzi$0=2)WC($ElTSL z6sW}2vRpKP3PR#=QG*T+fryDh5@Y(LUq0$$1qkoxMjz{X_`;nUCAWe}&V27eT{otN zJztpu|MoKa8kqtwYOT#Jsd5v(b3t3-;HQ%Cy%1wF5X9msO86Ur+K9(h95LCVlogN1 zxXKKBYRT-p2!FeO8T*dkK^C#tqN-IEtV$H7oKj`+1Y<$O0xLkgG?YRtuA+Ko!3;}j z#5$|8Xfi+rCjNVC!DIj!O#FV!4HB^o5p%&=!h;Z&ka6XxjVGXT!Y(~9fu|cZ;#eaa z=k;w|SFFifP~)24QCjPQ7||;|pOcWztrznAlHxlmh_UUJjc6j^Fs(Z;MIEKhFTxNy znh^$g0|qpv8UOP$+EPU*cS{N9>Dviq&Fe;ju)DYuu|EI#Yw#Iob!Esg zDAmvLY#EM4uGX6Qctc*SGUuj#sEa69@5PAa$ z170~%T^9)*7esyrqu9fN*1^%X0aPL~ky^8y=x%1SGb4tS{QQXk{FZ3}Ah&Fq|N6*U z$d3S3G(6O0vT!BWb)>2~PHH)I1>r?82jH*a^d`%R(bx>qQQzcX=q-6CGL>8>4)Gbf zkyX`kJ>W8WB04QmI0Fi_TmXN_Ox0LZVK1#GE)U&&KS}Bc`=(v)E zSrbdQ^;(67Mdf1RhU+7xL^}=8Lb0c-(Col=TbwitVOW)##9-u7Y?xBXrQ1Sg8x)L3y;$)#IGYi4dLia1}zN$OyB*T(6PTE4Iawe&HqoOFU$ zAEfoMDt#DPVJh-MVTVa)OZy?w)M}m!8jY3|ne4ATmq8U2nS3c6sU?HbVo9=5!VxTr z(7NAfDoLl1O6iD9C8Xjw`I>l-PP^`810ZuaDJkut+PNAirIZ-C42lHLN{Om5MX&8} zMH35p@6d)q7hJ+$eyygQ!#+H@Ki?L6??)W%e(ct#UUg6Bh<68oK_9wz1$s7mtIKbP z&riE~JTte35xUzI!u##RX@# z8__KT2x|omYQ(PeJW)W5>B4}6dO!fx#*ft5fJ>MO4l#uc;Ft9ytTk}T;(RB%G%%JS zehg+wj*XZ}0 zx0jT8KiaX+U;UkJEA6;z^P|1MG+WuQVclTF4p1nxXJ2d4UN2L{v|-n1)L!Q#crgo> z>rRb5`A?H#uaj&z_DePPqVPepU^Kv*)wSZkA5ja!qZ?}yU+gw&O=@C;XToUQXt1n}cWpW>XA>IP;n{KO*8Z&V{r5s!sbSE>-yo^FT$OA{!UxAem0at@69K%ZEfRMLf}=# z$9K778JRmF^I~eKMYKqnFMh_lQHJD9Z^rML=ttS($L=`%FqTP|ZL84|YnF{}+q|Ae zw&~Qxb&8Si+;*yJD(z^OEzvd#HXhwJ^6Q1A4dWdHzO?;gcwvqAb-Fcl_PsojYM5&G z@B+8|F7N7@Yi8EaVYb=X$5Fm~?x9)3>Eo_Oz~tR?w3qX&^uBypY}2I8bHU9k)o-xv zBhIa9gr)6R+qhi))ju8s;n6*w2e10ek$$i*+`}h)+qbtr!y_X@C_sPjZ~vVt?|&J0 zT%7;JBvN09!UKr%&^`xS$+X*uz|tN{O*TVJ3K2>XaJ-R7dvf*NWQ2$$8C6erYL6y-#`EFvMRm|AQoL)D^*Vfd^{QbmsID8u1L z1<6VkOeOV_@3wIv`|yIlszjoiqZblX8UV3s6sR_%@k*f4^s-ES78(TTxX zkwk(D+h#z7Xf^V2RG=xX6_P8FTq~k%g6+wCXKjLS&4LxKjXEk2sdWohwAK{4RM{XdL&J#R;y?23tOA1qIFqX!AdJSc&&&o zV9VBSFi2ThtS&|aMVR=2wHo3cm!j)v9iu$JIJedV{4$i#s^=kF-_VDw~e*Au3 z0>EYG-RJ%E`);3LZ0LS*W^A>7btQX0e@^|;Js%%l0GA*yUf~nwea0Rue~YteM}gou zW?0E}3HLtGPoO7Tp5=|xhjXjPJ%8UIyQ7pR$um{7WuWEcVgKDR5}Yb)ZLcw6jM!Bo zec_XZgImjoUTwGefG^)vZ^WyEr+sdVKz-|Ct7!`DctzLF*=~3LWp>@oFE0C-);W!> z-PW-erR377dkkmJp}x%8vd4!-R~EEi`wae;AzmTh{#xPHyF0fW$JUYQT(|zBWsRa! zg{S_)awt#T&CBq3OU*6sQlR#1NH0HmC%IP3%U9H`bGg$t{rtYtFIJlwK_dH#4vO!!?f=IA8B6!6vx)Bi@RHJ zLU4Bv9z3`+xVuAef(LhZw;|{t!GZ;M9o*ds5d6*g@4fH8uj*8ts{d8j?B3J8T6Xu$ z>fLLv@B3^IQiUCsZbrH|q)M6_oD{Jkd&&R3zJNYqNRejnT^W1Tv&}G{^u85b{_V3> zYkKwe_UZO%`|7R{B#e+_O+}1Uy!?# zso=q>AHEuVlT&elq^->lRGE#dCUHm;N{K@l@PdoX*jzuyC4X{u)#rgBkc8{|OchnF zOI1~^NR?$rjXlt=MN*V=G-I%2t3@rAW2Wr;)ki-cq?T3l6UJ43-XLc)Esj=vHoFRErYu%19EdRY zrWVf@LJI*ku%`y!1(wCfz-g2f0c4GqfnTJi%0euUFJ}S^?36G{krg+AI*mpogwB?5 z9tkW(~OuO;FnTDuSk_6GvVr=g7e?aR)J;OP5c9->on(oz2S(i?mt@v0xF(-C|opEtXw_26&oHg|8TrL z#{HDO@^hSEl3=pO(p#gqO}2PyZ{EO4kDq|5ed#gkD4n-4O()5vxUgp5lb2*$VBA)} znWw%clI6fuAiYhvj|1cdIN<%#>~X!|8<6|axp={I(-zw2ycXAy$1!_HkF7t~aA`93 zSE;Terz5YqK$B_31cNTmjuHP53GQij$kG&!bCz;LeQq-M=x^&c|?-F zcm0O2+_!gaxU+6O99^8c!QT`cWBazQe(W#^>_J@JyH46G7Wvqn5LCXGe=FgF_4H;M zF(Js^Cw}_g6YHsGAi(cpC%)}YRXb2Xf8sXD>*~y|#piAR%X8;Fvh7KBJ_Mw_-S;s0 z;vYeLM05AM>}_)0`BvcMd%I%G>&I7*ahqy6RPG&^@$Hr@n;=V_qTyo8X_DiZGNS_B z9nV}3!^UQstYl&ke3nO$W%gVJ^As~GcSt@S0~^+!R8cbH1ANgZ<)~K(wK*lXSlHit zv;PRI#qn>>0&Xs@e^3rO%?8Bl^SKUo%fouheT7rkWH!X8W8dtA5O9ApQ%S2Tn^@GP5wT*?~r}lqO6VrqDD(K+YKL4)5X8NmpeI2dX5b1MT|1Em*Ep2xBgxzD$iM2ur1dgS;$gq+pn2dzVf#lFV|a{F%g*8 zYQebGNRI`eIc^&?G~{GC;#K8nP#*$yl&d_FTlF?+a}5(v^dFzA5Do7{yazyywRO6TH2Y88^={09`y`TzXb zUvRm+)#;BriCU2s{o`{St}SVI{QX2wBNcyfLOZ0^>cnqeYgN$S;2~}G;drFQQvvwn zy2hYeQ@g9?q~&_vQli>}L>HNwWK{RxHN%?E=3)Gn!Z^f{f*&AGGkvQ2Y&eMEc~IFaE%uL z7qd&;o`l4YO3>ac#0mZFg8$D#Qggk-07MUz#P-PSW~9XdQhWjb+E2Z};q1O<7mD5d z{lcJI)I2E4HX9P=sT5@#_=VDz;TyUVShxZdJju!6Xg2W#M~Rl z`NC;*^Be_7giQM16V40H%gjU#;#+ic6Y*|bO#LsNkcb{hqUtfVLg1L>Azfqsdxb80 z1rJ*a$8m%`gceH)00KVNLP@eAJbI-)P-Wwlb-6c7dWD!1QBMqGlf%TpKejaQxBp(FfrakM?E0)0)h{3Y!GbCa%1S^hj zULej^SQFZeBV=zYxgXlBmiw|9?nJX~^x^e?6;1eY$ibXG3SP>lMvAA^$njA&!3j_f zG=5B50AvT6rqs)p(0l5;ss8V2mlcp=N=pKOydnQ5t&l|$5Z+C+Zq_eK^-4r;(Ju=5 z-i)@v={Gu+mI}s%yr_1*u3eCK`RozA`9IBW>|C~mWkgT}KHXkzt!%sv-W&N{J#L-w zQLMk-X_7 z|FUjvPWr)!QPWz#{VR%u$&tAgSSN)7D1j6hEG4|b&2VJMf;jHfhq6Y&09Ap(Yy|u#9hcFhksq6wilW4qVSrZ|= z8D+|sl*E8#&L;dJ@tf+e?giKZu$l=$Gh+BY|N4E9TP|AwPT`vHEAT4tN*HBR3v&vS z5*evdB_|6HVs07cUBQ+L5>;@iaH*jh$dza#2>6nWl8j*uz1l}~e)wG|IG+traAJx> zLD+P#=*Yyx_72T1hn5~Ts7+#FurAZ1&4-8*v*IuH5)AietZA*Yqk*Qm$}02BmFu3Q&}Z~zhO4qnFU)!d_R|Z}^hX-S zmgH^hen;$Fxj&ZfeBW4Izgo}Aag)8TLZs%AOSbM(bid!WitN%uhu$&nBfV_|D5>9N z^^6A~htRI7`9@O_SbmmeZ2g$pCMGK{R*JI7R)-MWu+2D|3MQ;jBmvnJ^E%As)HHC+ z5hX4CsSG5!b*eU_@%TaIpd}+%G%IDpWqZ1BqgI*j(5L#en!(n?z;;tTl_m~fQXroB z!?XaQh@vMFrC3#wyDBmbSt)ZfMHk&RR(g+p%02|~h*DE#R0P{>+SZd=s+#Djc!3Zp zbDA-=PplE0T<3$PO!0TZZ}mC`v?h=>4pU}A0LQ|Buh zh&8o}M{G58V;QSeEqJPc(l5MN4Kc;Bu7g}FV^%R1`&yXz0rqn{j(1d!gm$*T5|hYZ z^2A#9z!L1r*i>%&nQtV&9E~FbJ{gYy62qvV?JN#M@I1oK6Z< zX>0f+tT<7pqwptrn(^t|I#G(cecUSX+rkI&sv3zBtd|S+! zFcuEp@`!ziOpr{FVcxfTQUn4SH7jWh;fOq{Mk=>p&dE;|vWyBiDK5tkn;%|tRS@k(7LK1AX|@pV=PrGMKdp;scWSMtA`fr${ZrGy|wd4 zS-^CLDjDK{801Mt=_bVm8s|l{!1~SW-w^;3P7$ulJ@&G5Z>Ko0Ln|~!>M)q$WTRvp zSgWtUqXWSv-f}9gOWc+>!S;mMs2$F7Dx9K|H|E4`sZ*a~S|J7_DoF;_i)N4^k;6$v zCBGD&id95^l{aY=O&~+^*qZA|R$m`J@}rX>(qt&UL#&7GflaQ-upcn@ZY=Kr&)QzH zdtvo^T`cBzVQ_mb5fQJR>Ogz@oA?7MxcDp`fm!tvzXX{CIo(SR)0rG;R5e)JQ$MM; z-D!PqOjWR_vCv?xPfdZuq^yh3k(XLqPqlEQ_Lc!{6I%aT-qw#13Ks`wZ zXR0bB>_`O{nph3ifmCj_Hau+;)EyZ8HYja11saeB7&oJ-f;qpXQopI@BcZ}_?_L{< z4Jtzgq!_l|-2p;h1j5(icWB8P_gjkoIlpU=uhL#VEn=CnWIK_28V!(LWqdNq-MxmY z^l*!SXu@wyK%Uh*+4<|DugSv{QM2ozwX}QVdyG`h|W`9!UjHqtM*(lx)#-F%g zEU>Jf-nT~wlJ})EJ)c1ZZXjozhRhf|&k}yu{!p| z@^Y3v`1aByL%Z<>)+p{1Ez$S0KCX-9PZs>mMmJ-y*%fGucUzfX9EvzeS8BcYgA_Hw z?VipvAHG8>W>5H&V=a8D0LY>xqI2-4`!D+^mv%xSkV|&DI5?4Tu`LSZHCiEZbSUy( z${}Uf1cX=a=Q%CCs=U@!^l98!J^b=e%V(KqpFe#n*!$}Oxpnmh3j7Sruw`8-p#5?M zxl$(w&nst&{mbn0t9Rn}p(u8c?OemU$su9YlJ5qxG@J z1@Y=PmY6X;jnV#i`({bA`vvPqLu8siUmYx+YE2H@=pL)euJgw?-tgDEQBe`$XF^lI zhu5_gOw5)02+=^ldxnKG(ZGj?tF4oG(O2&C8mr-{Khp_U#f4jQ5Ny|nj&-ZWaIua2 z=@MtT`a-q-D!h6|DQ5C?hWODG3Rx!6goOuK8}c%#G#Jb%rjNGjhdM3fyf@ zB|3I^cCm{yzwB&QqWjsVK6Zl-nzIf(e#`-OCeM!#az$E}R?%+i*rq?WyB(Zo zgNk=;Q}kii^x7M>#-d=g;9ezE&NvMz3(&uPtj?PY;TG_k$P|d77$4 zO)vMte{EmC&ziG{_>4n`<#*SxeRH+-Z0NIYJa3MZ z?0^q`z9RGcx_A8Xou6*5M{aMEZ*+gZ?C5SpS1;N5zd1q4IHOeleURn--6XQuaWxJ7<-7H62bYA}F4!V^_p?~D?C-@!DHK}E z=J&k(^-!?y35Kbe2*4#!F;gYf#RHIV?}so!WvPjR@tBSt6+-wBU9V|862c&cBAL8* z)1!D19v=;)gMX6mo8~k!$E6jNK$D5f!G-PmnR21=(AkG48Yi}hJz#4mB!$Nbi)Eol zAI{jrFG-ek@K9Kf2}PFj2a6ZbeLIyzPB|MANwM}zIK_WYuax$wuFi4zm(1o@R2CdB zZ-qu^zh3sVzwK(nf`jR2j zAiF&Oir0DhngnZW_!&ZJ>|!v=J2Zr>8gp*|n$9S@UNDY|ds7H?B{z0c$uBXL=hAmm zNyIks56qUsVwa|A$VC~2K}gqWmQ;(3CGVi}ZWJ-YgVZUXIC+E!%Wwa}Fx^)W80Z5B}g`^nO!@>at( zlO&Q<)F|%oB>b%uHLa(d<~IIcnrku1t3|pE>iX^@x5=vot6twm4I3P(cKs#JkP(p? zA_kF17Zi?1lNS_Ia#A`TooD^`&hFRF$^c*9**`+Gk#8^7HnIo2?p+0xE5 zAZD6E1J%KkPW^w$PRElNNX-ey3;A{tT>!n#c!U=fog2@C^slKGnfN|Knxz*=bh|2{ zl7d0c0&q(Ub{RlMUkmspU2O?|^mDqXjDo04zh0e1Z%lM~>z|yhJ=V^ThXm*70kPuL z|5O4DR8*5huT~IGi&ng^+s#6H)?``~?j%rSn+-9 z_iahlo16Q!qxR$oLG$Xq>DOrA=QpP`F!rF8CVy`a4D5XPoi>rWmb`x&a9a;BRO2D? zv)Q})m+)|=>}2u5#G7Ld!Y}4#-5Ne8N4AO?^xgEx$^N_NU?b8r(T_f>(CzlxTWPM$ zEaRRF6EQ!`J`Z!;Zt}U@LVp-QU?z zllq-Iy9b(Cv+n9{QG+N1Y#>&QImf|H=$LUwFNGJ=w{8~IfL_@POvrB_>g37S`=ujm z`}n1=4{woSG3Y~VkA;+%m2t|hzhcjxp5yRBOr)Ke7NR(D{(qe}U7K|gOJgr&6}foW9xKupfn^tLm|225&49jjsrN-z}c9+ER8K+AtE0V zDnsjxg=6d7g_+67S=095>@se^U75gmTBikEkolCJ<_%hL6?~rO+_ZH94$5bQ&UhGa z130|)xOdV+h6P+YW}5}<$PZ&&!8F704K2(ujb=`|W$Z!=b%rwFAM@`mz=g{!3`5tj zq!dUG`VDBugi9Dngs>Q?DOk>acpfPO9hyTIA1mv17HXZD#KS6zpGj)O%$-uP8d|dE zlG?H~%=aMk*sM7mkGLuVv?oo_5PPK_=ejr9I9jq;Lr0|8wL)AW^Y^i)kG2buUm!9} z9pkceUq4Ff>Sr!xV?tW!r!}+=Q^|2hVBuond102Knn#xpl( z8abR~v7mkFqtab5UlRf2NrUn3MZn?7;Z`na*;**pn%O^ll#k##tOms5o<&{_ zH?Gh^<0`4|BwO*B^p;1H*XAgKM5ke!uC%8t^PBe2iRdD1d(`LcmTo>X~_#j$&OUJYGoa(x)CEs_mJ zE}Eh!1e|3yYx9&{5sqawZ9~U(tdRvVIeiHQZS_ERcIQB_ifOQK#g51ncWbW6gcdIO zK!swU;0A+&;=)BTNO9pYoEVXWL|%xQXZPy9i~@V#O#1rU@LsFmA>kLT3Y&0Up~h6Rm|O;- z>+uG^XrjJC;@%tu=?5U!@e|~Z+6$hUhpR}|H6Au46PZTD3G+grX7%LUZjkonj@7wM zjXhL!Qn)&JohPhc07UNrFRLDpte^lT?^^ql>D_yXK*`D7u<6MS;g=!Slkz@6mVyn5 zk%&Q}*(e7+O8-`Q-{w5`R5c;s*ILRcQo%V4CM-es!zFjUFhn5bn4qs}^`#h@pv)_t zUKe)!Rx5EYFnkXX7BN8t8^2cPSAEI4h=pOR^9Et6Kw&5E`g>3OpD|VN|C?Qni|e0~ zx&EJyv>b7);d{96Y*X9Z93Tae6gAeXWscTnf$vkVPa;CashtOV=gs~13`VC`Ag-Ik z%tYyB)bH#>b}+AqxW!PsQsUgK2?gf*O2G|RyKwkBxcBsWlHZYB!;8E=Il)9C=7FPR z(87!3f_mY_r&Ds^gZiY=Gh*Eg_pwk5)adMTCLx|JE=K}$s5cMk=2jvf#&|)MH_pQc zIao)EwoVFX3)x;3pRSIEX2MuOF4&^Z0w2~#8yFW{lOR!diy>^jq+lOGm?skJH(W$pn>A_VzZk--DpQF;h;uK|xf-n(M} z)aE%WGAw&A103KS6$+_&+XK&D*cTI=aEn6q9%ZI4^f)zyP#^`Mhi+$4UwqZ`*1_^ zeAc3dN!1)N(vM>Xpfc~9uw8{Aw7_HfW~UYcJBQRmLd)dB!BAFFykyT)83b(yS683h zDhu7%U=lDUg@;%-@IKPCZh+aPewp8Um@R~**s<1-B@N`Db91r{=R3=~4*Yj7Q8(w# zDKX{s>39H%eUp#&g15h=R-%xVE30mCxVMbh@V`kHachhF_3-x5OK9~bDlqb zz5jUZdFB75{b*r!pU%G=YdQ3jaG}9}_hCUV1}!Xx^9HED2ZtW)U0(aB04w%uB7mk$ z+h|q@pAH{j*@`6Fp(H#jdTcv+EqB36{;;x7kw+kW z&idGJcS{LFL9kWs=n<4Y^@!qX;txN#cxdRjd>wun?yfvNB zjhQAgzZ0+n!_~U5yn|z9EX2LIL%Oiww>G`nb5Y@_6ulB|su{V(weIe9Kc8p^q4goF z`|9|$Yn^)+~rbhlO4G~?`fpPQRAcr8J}wNZMOauG;+#M+jq z%$wfA%1DB%_N=|t+1Nr{Za#gcu%VFQ0;*-{F$ne~5iD1}A z8Kt{%QRSiG!L-@CmOa5RZUrU#SKM4n#s#jt7v94l8H+bCTe_M6%bwDsv!0r_>-mU9 z%kpy5;$K(JfhqmQ$27fRu{rl9?8_4C$x9%8^r9MGmiofAik6SwLZ!}RtNb3WMMhkW z`enpuVr_2EhT_oGU>cYEpQIBA9*svbgv~-CMyNTXusdDH%GfHBXxTTj)dBGutVdnaM5e^zC229q0vvrU*&7q}Wb&|2=j$Rk_lHR~z^Fpu7dgS^sLYf&h4?j< z(l}6vxp8(w?7B|{eb)-^@f!K}+X_3n1OH&w-bX9-nW=EMEP5T0>r9D0jLE`@_v1KR z%sXSarZb5HpObR}Zr2Z>kqE5_!sgLfwnpq#BJ>S@JX5cf(HGop zQa;mADpnUz%xS93Z5lpnj6GvwDC=xKYl>@{Ov`3zNzTni*bm>1lT~ZrXWr1b|3Kk> z^ZFOmL6-iN^zYsEe@IjDZ(79j}oYjt>w155@O0DX__(&K76ILBD3=Kyz4%29ZkJy8h^o}r7eqyT~e7i*VrUSVG zTl$40j^FJpN3ZaBc9{+uKzw$d2bNTEApTnS`_a*Lpb3Gs_s?xYX3X9RWAB9VesRny zd+#9Kc_cC_i?H2{pL0ttGm^Acy)FxVPz`7e51+Mwsn#&A-|YehPIQtPg}7iy(9~ee zt2=x^Itx1&Yqin1>0Vcs9=^A?@lHn1o_FKh8r5)zyGN-f=@k)H(Jfz2y0!Rfjrd?# zW8afYU#hqKXzl8JWb}Hx3uGn&`=G426%U`uED>Ost<_)4lC+e&ln8&I5bpEDGQpe8 z@cWHcEGzL9F>{=y@u+U>uP&(QQ-1aB@#`#X(cHIdw4(oc$8UbJD%QXF^soWZr;cuX znun-}wjC|7cK^QnWAMeh<(zc*1`_^|hI!mOF9LMv<_CHa@A0c?HH_PKK0MqNwXY2& zdK0}n*!J}gD1>c4`Z9TE{@-r{5=%AZ1L+{Cg_wvei#Pt!gKA8^T;}$Ak9U}Jm6bdF z!4J6+*T}&{O09gD6=NutOTRApGZ?Dq&}mI>Y4?xC^KfI_%c=}%gNFw(%E(R$s}XIq z*y}5m@gPR!DAFG{*BPl};%iv{)!Ub0lA!Bexs@tAK9icF0F2;VV`7^3y^r=ae>zDU zzH6!RZ{Qxf76E@8vLsg_RUuYc?`Z~U)m zty@X=#E$mTu@qfAb7NXew8Rqr^2Em0SDI?_U6>|9H=-fcB=3+xT|*hH{>V3Sta!#i zWC=Ihovn2nnU}P+)YIfksDAr%5~Xad&ue>+{>cn+7K3+D!Gf_d*yf%+kDk4fv~h)N zj$kmt-Ir95-_gT4@9?hBvWcqn(z?<`kAXlrH`0fFfyd#{ee)>lf9+_R~yq=3o=*FK|+WpMQbin(h<&> zEqt6b*UY627VwPd)tW=DLTnVogRC<7K*(=NMs1`3OQwdnqf)!A)maotj2Gd9Z<5c9 zc^frr2reYxI!`VYPjeQNMC*r*63R%seJW)kT40B0WroNu?HWt zP^MhQxn+4dp5uP-nPm@lSsU|?-FpM97H%N}(SxN}u-@D=eZ-NL8 zfq#f!bm;s)h_jMBF)U*U;>=~Xz;jwMF+}};Aa6@ksepK4zl*NzMtV^v}5UHybVd+^S>+C<~NJZ9WR!t8qQud)eQ%ndA>2!G`_zSPH^e0_n26 z(MZfFaC+Q_C8R@_hb3t-{iYfQ(l<~aIJ3S`e<=1I7K|_@6d!jLcwaO+-%qbA!}}|P z2En-LlPN+>TFE0)OxkqhkhOdsCR9F$O5;#bkvL}wV)Af3q10}X`a>XgkW?kf8^#;evx3@Fn`K z1&$f5zqgxw&VhUCJAIAB z>5sB%sAbAYjcPds_>2N5u543WdMUm=npPZgXnXs#=jcuwj?Ew=otx2p;c_Q8mlx-! zTTF_shuiD%eKYXx*U|k!K$pF4|LAzc;EU1X{l$8HNIrObbY~-C-07*kZ>{f4Ii9b5 zeBkx?W_GQ5O*G={W$mnQzkRLGq=ll|$&RmT`m3~__R@%K?Ahx+SlMYhDOqKoRgc2<_7UvutehI1YUi`@n7HSyLgQL_@C z^wejU?2Zq3$l3&tpcSWzdWfOFQzqMUl|vJKlq63OxJOL|s7DlFhibBEI4Y8D=X@SII1Smfv-?>pqGKgFouxxcqD&@lq(Kj1 zxI|eI+*(*glTLhIKG+w<1AU&30-Cv_tA}5|VIKMCj%DAc)sK!3m~SZFxzt_IJ#jB# zCj0X(#V7l3+yw$rZn(f?N;d&lXFKERa(8-b2yXIsi@ zF<4y5iH`o!87AZ-{sj=oWg}S};FoTsuaz=S22t{y5ARa)kvE`-bp=u`X}ZU8xf4&- zTb1oRVdZv)v%k1lX2xBpyJNTsQW@12-_%0ELfPr;i~PNB{0~VX{>`Mu!TV47&pN9A z!+qHRZO4*`M*}Sd{e`6NgD!xZ=uYK#PfhN7u{5-BMBrNhUS;xGqZOMJjN9eF;pa7wjj5(MIfWaXPw$2ESFY z+rI5%8+_&+jqCKL9@LfGI>o6VewWUvozgM-Eh=~v=!dM&YMVZVPaideKdU&MS~Mni zeVLY1f(t{aOpu|$j{olGb{dj|VngpHjE@Quj2!InAxzK@xrv~LC<_KX>PCDXY0c51 zU-l|+-$lpdvG$2UDW1YIozjn+A-C%C{)`B zs_*R_aq?S6;wja_wUn8sj+3n~gdHCWJaYzUH2)fT2`M8;H!N;Ibl6guFK z_1=$sZF&5#C^R6=zD6LaGb97lq2bW%}k^Upi3jL4)bM*c8!0y?u``zB%mGeyo2tS?Yx2>#a`}p)`P}*&4qLAFItrV?pWN=^ZK4WNRcelEv%(rhMyzv2?HyHa+XO+jhZ!+n( znlWhddOL;Zt!#vmlAS8*?;-To@ZIQGA$>cqv@b&UM>6J&ym+)qHly_q3P41uDryyr zCqU4aq@9HVq`1aQ0b&VZ`4i`Z0Y$b2U1nI(C~BGiZWfgxadfiNpi57GmGxcR?&D?g zc2|z~F3`Lh?0WlX$vR5s+jRg`SpJ@W^wZ?=$!kbBQ8@AC%W$6KS-GXxhbN;X;cpL3 z{?W(Mry=AnaLp`b_M@9S6J5Z7n)B1q0K>6;2^Jx)$ADPauo|YNJQ77mqi_c^!l$@g zne|rTSgH%`heJVoI_Tn@U%SO8>?3gRKmH0)YmO8%M%7kbVL#67tD~8_3A@@?o3zeK zzjzI}EZ-DD$;IUp*!lU;<+eZ--NWmVB6Lh*bLVnmXEUzyLoX<ha&1E0z=Efiu+PXU}P$|-Ba)qcb1yih0=`hY0~{{=-a6s zH8u1Z72U2`&62TB1o$HH40>o2f5KCwe1lOf{IsoLuOfkrqZ~FIMwprfpVa%Ij@tsP z0MiPd?17JwkA;yd)S=o)v8b1>+>`Y~L6fhEG=sb?!)wwmsM$`N*QeF1M(d11jF{>f zaBC%}eemkFxRK#Y(e$zeG~Wb zPLv)gdy#^7c1y=!4S_cO^np|skbSpWAa?5PlysDO%HF;U(NO@=!9{^iE5+;60Bb5Z zxC*064%s?MYQsVID7poL42+Z&R+Ok?@~Nybh2pv)OKQP00I2$h_o?AoeJDNRFY1&i z_8xuo3rKqMMLK4+8uh;l*cb1#EKFHIyq|QFm25Py?1=E9Ksni*Savi_wOD>Da!49q zzHw^QnvMG4BWP7&)v43K0&(Qu;o6l(*Hh(dG7F&C zqBj;FY66k$v!RDkH8@a|l4kqOV{Q9H1H1I@uQu1(=k37Ibv^)wZROGq;q>vc=)vlH zy7KgFilR3QH_+Ou{e)o$yEmt|K3T4Q?%SW0Y%7Ymz{f5~$du1WnKe6H^wajr?V0E8 z!T7-4_VM%mN#pqO;r`3f%lv(IVDkxt2EICVIp50Yo`H82c`d9?n}1+;=QID-)Yhgm zqQaM+3tWtlupO)3s|eKc@Pv8bX);;kN%q-5dh#S-@1=rEJMD1@|MyW|9o)-F=)0JA z>0as_hTTI7A$(UKoY>d@KwbQxa4H4@?QLosXqlMG)*5I7H(3?ItKuF(qlR15$>nR# z`|iRv`~q1*_3a7w$aILw5t+`{g?;tN@m=i+cPN9jAHy@9XM*||c()2CarZlW>ya@n zXh}s5Wkcu6;n-sP1A^@IaUtQ@@==7!z8QLsPr|$v zmoC1%`jz{S9TX4yWB}7MZ>>)x7hgOwfDxG{GIvwf+i0pys)<`4n?<*Vbuirl^?6?9EeE?-A5Lx7sZ$Kix^>4Tq`lo{ zK6<*7$B!sJ&mEP@MU>sXT9d~*aoRuhr}4?d#oExjBBeIJ;Kw`@A@OF#Z_rwY_Q8Ex4YyYHwta z8|j3hgEYVK`lLG~kwG!axsMZjl}S$+75+0J);!~*!LfE+?sVq1mk15}nelQ@6KsZ3 z&T&&wcRNHwJ%*2j*c0mv>!)$GIXB6kHNo_DkC2G(&w9EX&vgU)fIo?TyyjO3-B^eR zTNDS@NN9zWoc#)d!(Nf-G?L({%Vh6qbhFs_~>x@fs)_&E642S<XqZlG;FEpk+IL26?+ z%LgPm0bLImwR?7t50-h0K2R5Bg=fM|oe|CVQ+MRc>yphK4ST6IWoR2W+eVPb!H|&X z(%(N0{xiM=2uZ^Ka0_#B{v*D5MTr_BZI7?uEmv|HH~K}PI@K~+Hu60je3AdRB5NID zf=GC7qLSSUH~l@{&$H~aD3XSoCj1WCxj&XzjdEPp8EZ&ih44vXYlr03Ram8^RlSvC zl2B+lMi`SA=&e(^?cmfHI270!WsX2m?u9V!QA3f`K*A9;SYyixEEB-z@RA`-5O4@! zWIPuIQy(V#RaGyx$Xb`TSFhpJHWY*h*Q>W+|Djj!7A-ucc_s@gs5Ip>8 zlofhm!9RcnE z8Zj*qhDe_tP9PW1g6JEXovv$Iaf2QLd?iS*4wREhLoO08qG0%*!LG^58Y-ko&*Exu z3K}8EP**0)1S)0*#cn-{82B&(Wf#PCRA?f(s}&47)Im2iYl839;~b0L0x`%j0WIik z>(ZEDX}|IIMk5gM8PVdpko#>>PK5ATJPTkN{u<_hYp2m-dT5Fy44x5CWl|SKB?6ZI zT#(;yzy$*nBnW<*UL_gDM;_Rz)j)rSsR@>{tixbesMhYH#iu%RAo!NL?-iNi_sEF? zIjSP#W5+uLuWi^#xeH?!TbnRYmImBOGP~Fq-DJnNDXSzcooYF$w2<#;L{nUB+`$BP zI&V7Oq#XO6KWEe~*2nifN^NdW>@iV=Co$Ui2^|GK4hCbQ#aj+WCy$;p6?dO}*Md+2 z`@eM^tr+J2whwgic)i6$bP5o9+;{mNaO@HINr3_!R^4c@n{3mdW zk7tt}v$m)~Zc&$Ui+CT0<>!|GNn~`2^mk03uk&k_+rh8be|F!BZqDc19gd>d0|O2x zzNhE9vXzk{jM!w9|KSC~|3X)u6#fwo>|ACvu*ROsRTeISQ3k8Kqp)M7W_n*5!;lXVueDaXyTj zA*WgQB$2D1aPfOD1M8vff+)9;(RbDfg8bc_`uleM&r_NgG-8232CBo03v&<02A^W! zg@wlwJtUjKK7r+(Xd=sf*PzA0Ee3sGQnKtGyM>0EXqC6QHbwFB9w|%u+_7Q>`5)w- zlxQtY3IU~9)id6Vt{+y~!p_OTN726I0)ug?XWWFxcD}sxutGG1#|pmWZ9FmmGHW={ zlWxew`)ssyGbGHDoz5Iuxjvt}QikzEt9Dhd)0OIW1mv?fMytEBSbe=-FV{S8dcr%L zMQf)o$%24O9Hq=YexeYErke!PAy1->X+0}<@@XZIsYGxh+4HZ&2|YtH%;#SkoHTJM`8gGB=xf@k zCDcCdNU`#rbkWm7sA2Y3^Q?4>9B&he+FbnbE7MP?==~_MzxU7o8UF=T7sgK?8Mjm;OvedV~-T@ zhz3U{ix!+^w75$qOHdpqKpsbWOgiePCKO7r!kF}k^jKQw5RK(1HGYxtlwi*ubdy|_ zync_K7Ay3^4>evL)NGb)IYstEm6SqinP3vx@`=mI_z7O(bA9Q$2 zF|dE~(|LURYA=m9OLR~Z3f<^!cw@>5a3=m`Z}Eng3`Y6<*Xiq9Dk$|PfRjGFZ^TAo z;YRC6S~$*uyHDVWz8uE#K3L zyIM5B1OPvapKKD+S(A}AO4UeK`fK1zY0U_xxFs}U?DZ!u6rPGFp_7ktn&Z_Ku_N+i zve*fBtOU;|{pl}DC;h`lL7>Y_Kq7`ADL@9D63b^OW??q;^J%Cfpp*ZhA_AOcbgXN6 z(lQ_*TDN~)*Q%rcdbzK7gWl=%tuxgp+K1^vTyMcr4MF*H!?q@|4iSGhgJKChP-M+x zqu`~heZ&3a+!pIxkDpmd`<`#()$8thG5XybhR0pqtCybDLCQqZ;k* zbWy&O`JHs=1P8GIgekd2;eggPKY^3iWuygPjMvA@151~y*2h(rT5mccAB)>7hc7R6 z=P?Hdm?tafsE-d@Re+rD5XoL;XHt07B})+G#k+gkz)`{n|6O_xWGIdS_G#;WlAvyH z?QHx-B7VB*siCVGw4G?1x(1ZY)bq;IVQ;xtd3`fg6WZcfKy!}Em}_={jJ97cnVOFN zT0}EW%b4oz3nk=lAhbmkG9CXpfs+jQqY+X+h}%ab=0Bi#8Uay3^6h-=INHeDQ!Bd| zbfqKdpm5n%%yzr5!+gAR+9Sn$l(>kF@T&}L#67z*Sa_;sl^^=3R}`6K-tTy#1S z+;-%lK5Ku(mknr(Mzr!li_IZ`2 z5wMsn^W)vEeg4b_jDkn~^R=9U>BkzTAMei7UY01LqWQkRazMi=$rJ7Eu^~U=;p>1e z?nFeC7w*Jx)FWSpg57UDx0P4n%l8qBJburPcX!=25;m<(>dsS>tl>?BOm&U-x_ob# zhVR1V>;r>?nX7r;?wp?KASeC6nz1gT=9XBF$ z>k)$3f@;IIx@+jXI_m3FY`?KRlY0#|d}&GK!nToJdQ6pyD7bGFTPs3VTY222j8(;guoK(O`?^p+6KWW|T8yN=Ra31os$M95Lkyp=N;k6i;Jd2X*M0 zXtq#s(H+=FF`_w_Zfe<}oU2;tBAY9(L5{uni(#sGRkrZERi-*sn^1p-W+3JUwK3NU zas-`0clLf%lo?UR7q(NzWH2QdEs#~06Gtu8>%+Fr&8+l6( zB#>U?rIQHL#7mdza8hNL!qQ^b3NFA87QRlaNBwxMPKQK>ODHQReAk;7`B)Gti66AO zhzNo`zGi{TZ)*lY%o0~P-QmQFA&2K{C3eqNY_1tIua;9$vanyLO$ehqxW8-VF&_6m zKc^Gw_Nsp}bMwD@+_^kIc^Fxp2KWkhLiXjhey|#G=kV>SY>sKx%tgj2y{9egCgW~N4Eh5(D0gB~V72}r@parvj z=2g|UN&y-?C@radX00R8uh_G;xREwZmt@sS#O07|U46f{b>E<^xwclqW0hqK>i*Zs zbb*fN!{(TpuT6IsJJ&T8?XP{WL}q%;Qv9K4bfKDcyo1y^~4+vR3ib9s{vOKQ6EfeSR&>uwO;qcj8sXXipX~k@*6mDV?Vp~ z$TElX*~n4Wz0y>M(}b~mW{o+eRsKU*!jwtB&>t_rOg9t5L87!``l?GEv^G3+Hu97g zb90owd6>ew!X-api2C4jJgs^B`k*XHLd9I4LXo&cD6`Y#J7Jq0OB;|a-{*K&5FY)) z;c@-*B(!E^N0c1?9qQ?s-jsMzX*=mF8S#&Zg%$dz5~Sfs!%DBamLNx6%r-iH(sp|y zc9EyECl!Gx%p#&Et&;xJ>B=gNbBZ(9nG3i(69<@_oyv92Z0q<+x=28Y{r;4q(%yL2 zw>iPTA=l#GsKLPe-l=)p_WW|*k|_K&H{5-&AI_7LdusWy8EHB9%}4I(C2RxKx-YFQ z;0pEHF9VFe%)4`riEH^2RYrmEDlt3++tU|$Zz3OI#q2nvQcrjd>KF6sjM*M5==46x z;fuXXWQPE2 zxdpf#IiO1bah3E=h8DyA%Epv6x3y=y#9==*S4< zOqIgw1>n}kl~v{#Ch5M)%=a+C(I~vIH0lH&7>&;;KAP;pHN4N&pW zl^TymX?!xZD`Nf_l^0#dp-dXpxJYIExlwIVy*JFHzHY}>jdi1_DdZEh z#sM{z8&|S=X=&~bN)f}!;1@!u@g4q@FBLc5-I(MdX-6kt2pufOq#_%3LLJn^Nxr&i zUl2ys#4E#g2v$|1gUf!~ih-SK+Q>#4H51Iq(i4wEl;Ac|mV~b;FJ<5yFYx&C1`QD| zMdHwe8L@KNL??d3vX2i;+)Qoa{<@6@$L7>S6iEwDjKIuJ6~Y#LUUg+;{7C{pRpW6SrIdT8Nl84FnmjdIsB^a{O+l2xTIR)gpl6= zzveGA*!?*Z%?S@ z#Tf>f>?FCa_z{TDk>1R*p78{c<^qK<3v91GwR2?N{2IOya;-5$55XEqelF}z`xuRI z)#pd)=x4Q*8w#W?WNhKRiS=wYM}9o6gOK7$dUL7wB15Xd`Ib~TW8u*xET%jou8GHa zv^H{SeTL0;Jx!-{xQbcXzPj*$%P6N0p&`|4EbufoQS9&*reN+VOBYgX7T&^DoXIIJ zo02M~6cWu6rT_H@Q%ykLpWL%oGMPZP( zq{iKZ_0HsTB$Ahwfz^h4`-5{Qw@}dci^L@<=3!2>F~W;Pb=@SOZ!CWkXUgFvX;F5>rxi`EOTHxq>0F-OCEPpt z-Z?_HOSUBi@dqN&7!6yaXI64XX?*5u|hFQDG zTs{3y&ZZZ)>aO=Yr|T&Gyz5iBcIoHFHB}g4>tXk-&HAr)J3Z(RhHdWWjke8+;96}2 zt10!}9A{gF3O|16A;t6id9q5&FipK|bK&e_)^Kh)Ycv_N%eTqsB*ivweW_AsDDMWJ zDx*zjYBPp;C78I!fScj>_+s#dVbEcTU|;?zz$agB)>>`Uj%d(N+p!^2^_#Ua-xZ~$ ztWNsLu!h8$PP>U-xol&IqoqeB50)*(cl`@Z3-&2rO1;-{2#Sp$ zMzF)hh^&j(gbnhih__=^z+EAjIGo8AXGU1BBy9I z0pyf;^M>+=U#1>N1J83^E8DYE=kt<LXwHChD}6AgA0nC z5tj+yAcj~MeP^l=2g53ii*n^aCkQJ5tgjVQb)z}b^nfdO+)#bxpuoT0gS{3ox*kO4 z5^qeNWu_FHh0L{0ga8Q{q0Yd=U|fv}c0fvUjDcwxUH9>n*P%jyrs75MK8lA?&3vTa zjT6+9lnhEPi)u!ftCG*=1opc1abpXRF}juDo@yylP=<}PO5n-i{YS*X zGvF~J`Xqs>sGFW+qFa!XgqatydC2z}=sL?0S&6XSIA0~It&v@X-8i;7{DCYvnsMAd zRav`+oPx#Jv@E|oWq=AhXE5>6-f%qBOSI^i^zyKc&- z-)Jx$)T(Log;7)>gFxdQob0RS{9m+_J0mzU38L7;22r$*k_gBbly2f}!9iRFwk{Mn z`5$Et24hy&S5F7GJp!sNEXKQ+ZvRjPS0BdL@0{6vn%ndZw)J0~J?gSM^>h3@AC(6T zM%}-!Mq!VF3OkG z?qykY=lI`x={Q`d2hiCs2rFz@ex>;4wpD&lfp1NcD&?xYtU&5ImUO&7)8C6J{8gCp zxtd7gXy0T$pHSYCq)5uucsT-v=Xk}{6AjS`E{I~5IwzheUu3vYg*sK8{N+7)-Pc-m z}+O4@$po;QecUq&mED>W5sHDfD_x`|;k7^c(b#1ZjZqqm@(){jr(yTx*RH zu9Wv`&QC9!-i5wr#c4fiRSt`ws~4sa0eUPf5CmDruk&uMf!aIB^=<_JjoJMG7SN~|d@X@W6Q0Jg zni3w@;?Fhwwdm~icH#Yc9A|rSZs+ga{i`M0U`*TPptX7WGA-SsqB!QJvt8Vm`hZPQ z-Q;x8`t|la>0njGK|IS* zyHLduEXR9v?I?kb$E4|Nn*8Ouv5hONY{C3<6IYYU&WNk5x{AJ2t{{bSGreK$eIn6P?GH}|> zs=4neiZHyjlZcAl7M4<~m5-|6zhMezik399WJvtsKptZdn*903fJduPM1n7f_pk{w z!Wqtni^I8b{P8o~_d#KueT5DrvOKl58lLc^u2-exC~MD33=ydVzuo(F9605?+VSt2 z@wU9%aXuI8qf%dfJ||Cd%(Xqc7C$L{j&ApzT&LBO!B{cU-v*~F%JlvcIvnv>TGfkD z)&;$^YfZ*?&bgK{x5Q3Ma}p|1)9Bc~`}n=L7%_ zm<92>=I>+pKO-3adkTl^KP1=B0D>Wz7(n$#@K?IBZ!h02|IVdpAb8Ce67_3`%k&Zy z6_kNL4*PUjRd!Q}kG&Ix8}hFheo#rw&5=kjkXOp}Qw)Wh zu4#zDQ*5<8aY6h8772|o*632Oj3HH9>VmX911Z%QLrN9KU-_CzSl*k3{9OWaRfu@H zuHg7W?X<`Y)k0Ay{!D4-cZNKD5YbhbP%tUN&WZFJePA)wKsqKmliX2oix@T*mk<&P z9=G#X=#(*3O3{e3sFtB}yD-B01!k$ko?tTf$is}zp2{I?{0}j)O2q5dj@a}X_>ku8 zL%Z~l2NbP613-3$1<1~PMviHo8$|AK?47fV6TBAZr$u*Iu*(BlyCosJaUo^oC9nh& zVD)7fLAHsyGs-AbWu9H!=RgR|L|q>xN*=OsLS-I;}Uz- z2X!b^&>RNo@;qs!&ZXPH@~fgbKdOmb51GFo)X~TwNX7TM4Zm5|Aynj;(;;m04L*3U zMQrsXtbw7l7|dfFw%J}_EmVo6Y9fRQThUCsMfD|+%7u;ndg-$v)F?TEC@~zF-e(#G zLu(X>WFc3W$@YEz{6V~f)G$+PDZ|D@y=b9xX^fH);EgJC{K?}47YSl6+M_xQu9th# zIujdoXja*DUtJ6@dLSZe)Nmj*=lZ`sttzDFRxz|Sqv|}|@2Vr}D|)tizqUNvxxC#J zYyJ{q`|bPU*Zt(>(cJCR^7{MQyWP97-P_~k-uiji-!H)9x%SEVxpwG=76#f)28n9hDQv zox`cWt2=Tf5p~m%=5}k}I={5%xO|{^9%^gqD3{ZjStm6kd0h;ubO14t%e1B!vrxy# zambFQVLC`5up1mu8=Ug6ovM3*&Z&*2)KeUueA^aHnh=}3^&-3s=zjCTZQF7#gXU2c zUS1sFQR2T|{o4F~a7~I2x2Nb)$~S{JT+=V;W9WMYKTPJ>V`T|6z$tEuofFsA$hQSK zT}gD7&sZMsJ7f)P-LnkZ1e)6?BMcIdz8^$PKA%5{pY+bUI3yU@IuLs3U>!Uj&->(M zLycM6cCVgy`?aJwr!e31om$mQPrL3|-M(p47A=hNF0<`a?#&KWGm=spc%Lcr+VC$S zo5$%{n=F^0@W>?S*_$kzAQ&yJ9B{b%VdvNX{L|=cM!u=68@D_2<|~R&IF#$} zls}E8@3fR9Xcl<0R?ZgTzMmoie_aOqfx^XU62bb`NG>Z9V_i)^x zT(NJLXR)NFFMX!94ri(k5fd&WhTF?t`!UH_=OLnv>_sk5hSq*e1b4k`b0Nm3A$782 zO@Lc=s;#9T(^Zje45y&Tq=x6%a@+4zpc34XA2`3> zPMnq&MFPYszR>?LyBF|ZoXLf?8LBmpI?N7RNl=F+g((Tc^R$H;Uxpr|W2y2s43*W& zloim1TZF!$V|lft=M$!2l%=>UY~05c$`tw2h|M|usWAFN-t&&)^@;iKWA8s?3$p$% z@Z>+sY}h&Ai~ULSTtkwr;U%1VC6!AL$3PS`pup^c3m>iNiWDWQmWTugBn*FDJ>(ca zr&qp&^OgFV)A;(!Z0PGJ;pw9e(np2(WH9$ZAS**AHYzk04T2+~0v!4@m3|^Bv47;E zE0r|WWdGQ9wkS=Oo}w(8FX%&yPY9GR%U#1(-v@r7152rLQ4IcOec*CJtz~Zb4G`G|kuK9uKZ8PSl;PORZ@%q3% z%$T(*AKtpEv2A!@60KUuDXpcOxVA<*lkt8`jUQ*|5XeX6*U?OeT}sb1 zx1DN1$h_j4_kv1!#R~GgDl;$AZDfQhXdFvuh;+92iv+8l_>&B9I;jNrXR9ZRCxeGI z&jR-q73aCnckSz0?ThK_?XT|5PgWl7-JR`^zkdUNLxI3LOsdBDJJ_cK!AEDeyG1B1n1<1@-mfVLA#_ut(g{|e zEiUHEEr*LN+}+{qA*t)DCiQpI5<8?svp)t?iFLPtz6r zJ6_Imdfs_}du9eXG-cV8fjmWW1A0L0NMHMQ+Gof6CAiT4#Z^Qy*pu`z z1t^LULZM2sCVN1dMhq2IsQt8p>rTt#o`Zr7+9}6(dXu^a zx&v|xlE<%(0!dfCKk0l!4WD|W%e)dXA?H05CeV6|T%c6iI5+qrV}eKZKFLF%P2aQMa*h{j|B4isX9s>7#|sN3(ZQY`KqlLEVlBBw_&(AkudLi=Pn_10S=xEQ6-SU zma`?KI}W+4C@w3BGMsA-IhM;Q^FqdgoX_I96=k~K=>7R^D&MOoCKxzDX&?Vs>6e(_ z815)dG?0(9D?|j3=7$`?AIU|D=S@_(9qsam}jU zV{t<9yy*n>Cwu$K^}Vabn&=qm(xp^h=^-aF-<>~*9l_F<WgxqZ4>qqr(jR_&|;d zeIhCi);@Liu532C=bO;SAY|35j3C7|DxSznHYJ!ks}bY4f|JiZWWfD?B{OHH=3cc> zW%0^S0Hf{J5ZRcWsy?7?g&VC&TjV{6oN8VrS_TFZE{B}O$H3HN3lketz17TLfFYrw z16zdfzGSjwo(U1GDmp^XDD3;r1O_m%nau%^x%Jd+3_ENb#nK9=R^8BoY$Q9wB2=n; z5Bld1#`IR_C-~ev%CgWaV^uX zgfSZM8m~lqQp+%mtk8{Aa*56xW&P;<+33P1c_rM061_c&n%(iPFwY0?Yhjvt zPc9l0aIUxmS=A%v8{*OZ795jzNMNG$==+K`xU1)BbdETd%EBdf)dGc&|h2)@{(njdK zUU^x)gXn?vz0@)KdR~;v@x-y96|Eg^c!T4F*5%6TBYwb%Bd9gRZ#BKJua$SFe*n{Y z7f!;@Faa;*QYJP5hBELPsj0zA4+(E-1@`_@;Eb9OBYpFMWK(9bKYTIkqDuEeK?mbH zDP?baKO(FS0UP3=!Eyzh5?#;`(PeE1xrhJG#m3hDg~AHPklzKrR{{axO;6~f@VMo$ zf&lhlyr$9hfOr2f3C*^d&f&MdpC+S#v=F|){rQjjyWy<$#__ucbGLRce?Jm(msG;~ zw7NI{BJlZ$T6}Aq;rAI%e4kHC%AGonEy_X)nVzqUCbxd^!jWq3{COh8l#uL}m>FAG#7N$P$^Xv*9X|0O=)%)^{ns&Q|C;)u zduE%f!u1E0J_5B)iM#bAdJCDe#BQtC|F0jiE{~>cU3!u@aS6SOY;5TYG$LuRIL0EQ ziX3ds7m;z(Ll%3tBT(cHmJGS*u|%;Br)eL7*K~O#TbF^3MH*@z=MFl6?#h_{qm~EC zu3-54#p9nbD*s)h37{7Je;1E4&CM9RKXf7pKdbyGz*Y}fJRAk`imgzcHJ%iozVG`E z_uf@wW39b)k;b|EZmoOUS-v3OI6vr|zZ~k|dXa+V-!hE79XSJ(qVF5Xyu_AN+@ki9 zTY;$2q}$kM1P4RkzjLvF2$5O9j%9cr`fe?Zv+rzCOIsSdFRd)@-dm^~-808E+K${( z_3b;@;{tJHa~HKFm7-fQ71QSrd+_T(0~r z%|RwI8QPHoK_ypNT*+3KRya4H4b!Hbt&UWo5;-aLQ^-&q8gY^JCsnN(L2;jCWd&I+ zm1#t5nUGc7zDS4`@oBlHOmQ#qQo|Y5SHtVc2;wE_IW^|XPT|K>ohxjzK*cxc)QyPB ziUQQ&zO^V^#nLD%xxgw;ojT<5j3>Ao`7}IWO=8C#0n48oK*lH@H89tco7=52D${h%j z-8~uI4xd~kg&X3IIpO+i)>PYHIt=9gxwfroEh6fBSg)FJby`ZrF=GPa|oZ4pvMaJp0{6Y^$4%19wu-VeV56zd+lk z;T(DT-uz`KtH1bW#eHj0-rgK%81*_*r(HLfQzm*Qk&W51@i7-d?(kX(=hDo2FU~VQ z)pe10LkO$n6t5T0}EH zH#$Wb$z#eguB5;J4t%+9f$Zp#3Ms$WUsk|E>;!zhGi6V3;~Jlg>}CXqt$y(>@%Pq+ zw}-I)oXd4}EFj2+MzeFh2tli=$alq(=izelaD0k#?EBIm<^CMDpm$XgiU#ycv zh2t3_r1zIg1BL`dV3t=uSp>@dOfK@(cX~LM{kb%vgR}f*K(a2OaDft2gEZ0vnyIeLE6ywA*!%;+>7f-m`uni{4_TuBdr!c^@gHeHdNw8iE$A;1 zR6QGUQY(n@;OF=Bn->gn(nQik9e9)wb45T{|07~ujmrD+K5PhSoc~dG^O5UEJex}m zbtrbW2U+*NvNEzBb0WT?Vk*H?Wh8;Q4lR%x2f{VGIGBWB^bE?nwj&CBQjAhz(GhBJ z!9MD`wmS}d-S}t$`tS&b*=5U-_6Lm_*RWkjTrjM)1upb#$Wszaf zCbXSylH(f1$r9kS9+T5;bv5%%X-(~utI%D>LEy=)b#Q@AG|$1VF~|#X`D8GHd63|) zy%{JFl%MHgrSg){>6IZuqzo4ZZq5#1tY8yu!|c?uKT(Mq8GkNmYkv1x1cR1bnFD-U!Adh6 z8dfCJQ-&m0sp+GNO^pd5y3iF>D1koPBJ16J{0*pAgV^CO{k2|HA1-$%Pwr2~FSomX z-JMko`gFp1KfJ1a3W1%*bhzXQsJ7SdPJ_N2*aKvinq#&(me&F0z1&NNr5MhvTcmc6 z>E$=ubk1ds<`{?N=Jh*s+b-Kz%Yr>T$hVBX$CLiaC%B)O_I}qrx$Oe*`@hh}rHl%| zZP807l1LFe0Y}t>SmRGM*&D}2;z1UpQkw!u`&Us1rbn)&N+T}&rrWQ3g7W-1+0rDI z+F$S!<{3EG0e#frs@322d*b$uR-{J>1QsZ-o8UTUO*@J7x2EFGM zZ=uVe$zNrHRayI5kcZEEoJSOo$6$=>?ZfEr6JoCVz1>LeF!+DoefkibL%Y%0?BVi& z>K^B`?Xm4O&3m?m=(FY1`%rD90dZlqhScSO;3HjBcKJ2FzFVDWd0d7%ONOyi&%eH_ zW4)4K`Sjen{`LA^7?!sG#%ykWq1xc6Z$9EO;FN6x4^U|#F44lPUgMJ4 zUhJ9-!v8Ts-Rbnyi;q3%tKs zTAY@;Nho+0s6w@zTb_r5vK@`Nv3Uq=(H-OQ2QG*{IA1L|JC^`oa|Su3TLAV*kV-uJ z_qit@KJ&^!e&yXM$*7Pd;rVn_C2q_0Ve-L{CO=(Nd=SDX`$&Rf%8s=2T#!1k{U+H2 zkAFUq0HJFNGGapGOf&Ya6AFJqqk?0ll0){!pc4B5tx}+{~7i2->Z+@%>QZYEMr3|@u&LON|vbSrsj4Bo(6gF9%j`xZG|Kv zF~pr7FT?~YU-0HqMQ_nsYfq>UrVepj|IESrXf;`w~Sv}oShHbSck!)s$%!xu3rx=aUxv1`HaN3!Xs;SxumfUPLK zQ;Cs>0|pv0wcd^U0}n4~?4wHZgactlbDB`W>zGne2zlfvvCRZmUNGZS1}G7jG*)9w zH)foWlqt$C{e-KOYM!uB)MX2A%Vl0Gj~p~s+#)A6sG#>TQ({ey)A37>Lyl?|FLkEY zhQRMgS+E3wK{t37bAFUZhqht;Mc9fa^Oh6MB3J`e%oTWw0F3`GN`$1 zKAmjwL?9{Cu@xd{(X(%AUammWNqL<_?CP-op+9p8HHH;4?m##i&M=+=>KgA$tz_$> zpymBU9;25QKf<76vsoNQkAd>_V)WqTb@Pe!XH(T_g~Zcy!%&G8LH(Vsm0lA}EnI{) zv_EFf#$7)&L4Y|=lsA_liQ^X$N~7iB64#dOEcvxCG#NOO=>jxf0s&#l+%NLs@|2Cp zbND=~9!%u~nA`0tDos4IuR>DnNBHE`kX39;?Ykex9 z*7eC^n@3XZarZB4gn}G^XJ#s&t&Qh{EUTPzumtGW5pA7y;aSp`t8}e5D#mGt>9VUe*p(TAN5X4 z2~n7c&D@DzA99}bK!vOh*mjlWjl zB)X+MA$%h3T}dDkIHxFV`e0J!A8|=HV};2d*O=f?xk#j#KPmT zH=w^r(ui!%E`Jusx{t!+YRf@$%@u)ox9$)L;6OH9p9p9a;oh6i9($A`iy!r9 z4Qux-ZSSPkEd?O&_7jB~nigGM$V9cuj@>(r@=*hU8>nU;xr2M41G-%63d!_B3vE&v zZZCbmf5o|`2+o_(pUJ?>*I!vx`h}Xr`Ro;OK=oA)jdnWCjo?GSwL1ObRzk*77W{nx z{%73Ef0IIC;rNdbzRf>IE&r9f7?on3lLRMmd<{(dCRIvsqxj?)H9how?Enxg{Ui0i zc(;U?+r03s_~hEXIJK<6nmKDXkUHaqb->w{fkB2PtSHK0sxyw*k-wW44Qm{cuPVA_ zG|P_KX$b7Y8ikKg$;4*Kn;Q3_;gd*NXjc#3MMsbCwtsXVwDDYRM_qJAtVUlP`OZ}? zE{@fq@fiSW=wMJV*9zw+)3F$#D+BRYTl9-wUXc+dV*`B~Dg;FcapIx_ibL2!*bE{( zfNpgJZz;!F!OESoSs0h@3(#MqKL)mE}u z#Gnqyvy~!xGR%{-(wZy_MpZH2b3D`eX15AL2g89Jz=ni%0%0`MJy}m2sVNhZB1DWh zt4w=spJq8J9Zed5CC1mO0i`v4WLNS0g{fAI+c*o zQgmfwm=>!j@m6%&hagW{OvcFOz7t_@Si>(-z{s7}9tNBnw78$y+I$F|}u`2n^NtMovtYCL|02D-s^ z$a{<~OnDtf(rRcQHMlAnP)uDdUZFz|GIbx+VQ~OS%za?Pr~al&TPb|44(Y`r!-^^W3b zc2?2$6;*e1CWr4~e65<|B(iVH4dQfJkLd=;IFwESK6j7JHMyO<&%5(Y`rGHgoqA~) z;gzZHTPR`}Az~Bq3qH?&yMK1xUiu&EO|Nj`NtOtuyI+ff#n_U{Y#E8C8?D}T*E&$) z^lN==;attF0w1qf3WR)hE}|>y<{rVsHI?C~hSS4T7#$080DVyyb8pAtjYhJpT3@k& z3AU9Qt&caj7)Ba

    MXu-z87*9#^v)#tH38Md#n8)ZOPJMpuSlFOgd+v^28KFp|+ zSDnym@7f06Dj_!EYd>VXED3o+v+*LZ&UI)2`N_XK)c z@oe;4xde{U@f4pbOaxKuC`d;*K~p*cOGSSF%<(T69v^kpyg;yA#{~{H<)H z{(NepxKwX)BGc>CN+NN!&g0zVI6aP>)H^*6KH_%!H|6k#X`l@a1nI4v*8z6>u_d%7(OjK$ssyX3y5>U9h4?X1-snz(K}Ts!pNc_WV2RQm zPyx-H3tyD-TQahBzBnUi5ZU*A5oj}}$U%60ow;Ly$N(Y*xBzg=$rJZ-Md zhtDhKpQ0Jq;`$_?s-)ZEw3}b<2lw7$mYZ#gNeBw-8(IV}dOGI&2_v)!TJ&289L>xL z#}H#{lu#|xa5(fIFf2x+Nke6g_b(YyOWlx4{FxM9#+i!UEoix^=PAi^Qmg#b)V|X9 zi~v|VKzgS7?<4I$<4SV!XrIPp} zQVqoRoTE zy`z;27M>^m4i;XnJ_r^amkcK=J|9?WrDy}MR#VE(VKW9jyPPOiC58w|(TM4?n6;2; zSglwkRnGQ`5M>-@O%GLA)+qa7QCog7hYZnoJ_|q8u%U8>&9sI|qm!HKU6YbyprX|i zBG99pQK5f_M73t=+GEJpI3yRM9kcbbyfN9KPnjMW9;2K+3K-_5Ot>*^MSM}a+zXkW za6c33+M0fuFVYpZjQmvFy zgeT9s2Fih#vp4RV|NISYo~?YH(}8NL7)~_gK^G2m*qj zv*NwSpVD*nK=*@(r_lb;dkjGr6rHw)proN>xi@A5q= zn$xT4Uc^^?Tekcme?Om3`nRut-OewOA6`72oIF?-=<>Q>ALV?jI+;AbNSM4MfSkja z*+YM6*vvNA)Gg=yc}|H>h0oXf7cyhmkqX}AB7@I(Z^s6|PGU@AdWQ)bdyq|?-y5+| z@}2PHSxx3xfc@KAjr{Ko-;HX<21^G1hx=cf_q*=RZe@Izob9jEoIkYHbAqS$PF$Zo z0{qpX{I~Va)t(uff2=Q_ZXQR7+#z+-k1Z{0q;t|{anA3aHgPs;eb+fKnxc!HIW{sz z?G35Ff(brW;VC{8%D|94`^kqQtI358vzfKRcjXd{vVF{g5^KPNeX*73CFrHjv~cVz zkU_1cfD!8*SXDCotiIg-x;S{awxeeEd1v}%Mm>Z=M!Deq+1M(c5m zDrsSxUcX;;Gyy{g4I4LYm=hWA!tNbv?Db<3P?MtD9f;qG64BMmE#*KjZ(K+JrVbj;VYL8Y*-D!;%LLms7e(c>NnIQC6~U~X5sF96C}|G~Dh~&NZ;u35X3hXjT8b2}je;dcsxjH(|6a*k>UQ{FGv&ell(vUfYb&VN<7>7?!-B?`$^t z8@0S@t9Y{;FG_28Gc`R%hB-NG>rX3vu@G|kTRH=>+ZgfIEn4c79CBCID5tD*7uG4| z8x(Zg{FMK1&p=qnjz9d6JNyv$HhS1IGd5*>@2~D|>Ob4uk8?s3e;<7R8KIK%e{;G2 z(MF|1bKG8+6aE$B{boaqvFM2ym{8!ciPC^v2!$Ay5T^Vd?gwQ&Cf&TpXXPSM1y;_k zR-sQ?WU&J-ztD?Yxf_#(;kABGl5k>3zpE|A&BOSt-D8OgNmq&rWvW-fTr@xpYZlO(1#v-t?BQhrDG!2~5P$T%=HHBC^oNew=+qAwH`#GI%}tK}tCiBT_ZT%~87^G_h`Y%8?u$1zdr!ld28jG6^8J} zRcROCQdmZ2>~ucHvR*=!ZkY_x>~m+xk?ipl(ddcT>%XNWF1r$8iv#ORKtzra&0jTs`_6yw%tR#;XTSAqJ9z9_SPD2u$e z#Tvvl)4{M2ZK7GyL_)pmidGLKOPxjiOcphlO5+Z(R?cu_&^ZVSTU~{-T&ll9hpR7X zG7mfOPb};;&1VmZVs9iZc=@EfSwFF8h%YS~_L-`{9UbFHLfy#u1WtND;p>_IYEDi- z%j2{C!^v)L`|ESX`03^Y*0KjybNjYK&BY&7N0*mJ9dEne-TkqO(slw0YJW|;g>ubi zOc$}$DI6n%+lK4)!Nua^`U94M5nEG?2h%3W&8xtk;z1d2FJ6b?3>;hs%%sH)#8_c=&qgdmFfR>`4CL zM9jQXBA~M5b;i;3F@u9tp!(zL=ZmGFW|vK_e)&7)4P z4~eg^5q{yg)C#cJR{!K2>ecNH!x`XT_f$*wZ9);qYbRo)lObf2!EU5){oyqb6z|e4 zdY2KmK;*b5j*qw?S2uxXpCBbhSZjOry6PFq136u>duG^8aF!nC$Fouc_v~A{9e@Aa zfA61r_*mACyo0+WHCcUfAKY%GcBIJ?Oz{?&XZb z)*)Zd-@DgZ=QVd_cp7fw3t?^LaMdw?J`f~?aToe>vDyQcV*#xX9fXTkNheAc?X=fD`Q%94#5sH*_b|`j z^fnt~u~$FPLG_FknP6cRZ#8j*fBSO4!#CF$vR(V?(%19mEf9moJy!DiN#$ND=k-&@ z>xa=*^4#C8Z40{2c&m2k%}N{MPI!YrBk4u|dMrV7+rnha{-aqsAD$-Blz4og3RX5u zHq0054~$5#g5On=47yWek$!3w%z5&Qvq$ku?_*tWztW zG6{&z|K;80A7`l!)0kmfl^>m;l&3nmE7;~;PnM_&fi7}ui3#z3erAPOTqj&nE+NSI zog}|hGuWc~$4wb9B69Zn^KeZ$(055NV$A{kMN>Gj=65*s{OXNhP1qIMWfytY?9Xg! z6}B->ad5r&@+eVOl^)3^Y(qiGi^N6rTy-$-Fj3CHD8E!NV~}UiA{}Ot zIz*%Qq-n9EzJlP^eFAaRanu9N(n|zgbPhU~J}LEd*uL{lt`FUMikd zk9D*1DGM(#YX$L^YHusbd@QWWQj3hUwn|x7&n&av<+cfoq?9(I@e_d*e$U$&Hv#r^ zv|2xTt0OSTtoxwS(R^g+RKI1b-xx3x&RGK}L}+L{KOQi3;~%E?|3BW|0=SYLX%{pz zGcz+&x7*Cj%*@Qp%*@ngX1mSI&}L@4&Ddtz(|6v?KQH#io88%n4cSsEOH#@5DU~8m zeqX-bE%SFV_)<=yv70x$GA*X~iyt`D$?pWQNzoLy4dI!MXyW zWo~h|cX{)%p6B=a@wmKwPwkC&G_|=Nw{EBS=J49K{>^54U6aw}@|T?76$j_KpXaB{&d>Y#*NdZ}`Kk33XU7vQ@R}7-W$-Py!u$+{gIu890LPr7D$51* zYZN4Nco2kBY5U=Vaf`6xo=Q)GQwM@mX$14B01@Qxa7<;a%=w@YK}Pbw41l`GPEg?7 zV+3%NGj^jv#{lhHk$DksxDeS;L3It{=_h+xdi_led%fV??P1JuAhbOtc2MAqV}Ri* z=^7|$fC=6#aUm3C7y+qi0`OQs{yx`33VT=hNx+EqYp&{z}^JktI zApombnC+_mf~hbwa5GWwBRo|JRKQutj3p4Hnci><_6T zYd;Kp0GJz}iWfnwUhKu0t1kE)4(KOPWe`TakBuDM-k%BfeueXlN(U@}eGD*sMYR1e z@t+0QCjR{uuLp0vd7u+!2M4rLlnT&CCrBF3FirqFJCF@NXijTTo3W4RmkRP({y6qD zSN@;b9m@VSyG_WcO8#&9`kdmSefc72*};8x>xpYst$v}(Ubyym&$SmvhloAedOGkV zV?o^?p05I+?%u6BHdW_JJX{)F@YNgZ(+qwP)rzY6$-vyNcB}IUH-JB+_UbF5$8|rx zi^wOg=DX$K?ConqyoK^?)YFF=z>!&BV>UUWtJ< z;Km0rRivmOAar&stQg78pyP{j|4?J&KpYAqR1>K!G87XjYqUb^Xi?(vB~N4%tEupY zL;Vy9Rg*sZMn)1`Er_t4Go^+2X!@j3Yin*UVLQw6@o;N29(-|gG>u>7?BDzKt;Ep> zv6>oox*EulU{mPPiP@Do9`4H<9Dra`VpOJ4XtLZ}93g{Y?QGY9qhD$Ay zgd!!=FSpNHgm$8)6vfx7g zutSOd3W@ZZc=&noe)HkW@8-1&%pgx2P8eIbLPzaTD3gFJjDqNGmRu<%~8fA zuuLiceQ2R|n=AzPvLM?T0DV>y?`AsVX-!RiP5f5yQ3}OA$rGiONl&k)SF>4FN}Gh_ z+==K?1BOGEsiq-FL4EYBuji~-M zt3&Xmy}D6NIxh*YO7>b)dh_{csVZ_7W(e2p`G*@!lw&*Kq~kAf7XM~xBfvUAM>B(~DNFtcitTun{eh*jDY?u!nJE@6r?@oaDn#w~ zx*;LtU3jTZRpqJ%?zzS{@FwRJ>_FpqX`2U=AttU4f^ACdph(UbFz7LQL$T<6!$LOA z`OTwvA)5^px-s4X1RH}NWJc%~DkF`5IF0C!V*^2HgUsLW!~YeukD29P)?OA)uK%zP zpJ(Vr;kP3X^+*coqKIRqRD4g=XRZ<=8XUHIK=fduh+cN-yLnWpyMKWs#L0M)EMs!lho{IL-Pa6A~742R_^Di;q!MK%t~nri~6-BO;g&i z2VD)_NfwLSLyy>`qXjh=#a439773}}L()mA8igERtD9?E@(O-0V$(h@Vo;WtEDj_$ z()BV-RW+nLjBm}m<~Y`OTPoEUNR*PNR6Z_#^_cBGoucDa&(Ky4=kYj4B4=Y|tQ4VG zZsTuy)3(xE9HYNi$@)6fotdfeVktDiYinW7;L}{-v2FT=o3W*83BXJ!v7Wk2&YdbYX=d-wusk5J2o+GYTJ@wl|MKE2mp)Q>z1@F&j<+U7a zm|ByeN5!=qO@@GBRZc45t3CDTmEf&5cotV%GcKBGx~n3k*)8>Alon^zTq;(*nQbaA zVChUPkNR0HzEqiTvm)nN>U4)RT`5~#AfGO9G~;D9-C1^M%F1YdH%;p%$ijd9DCE#8 zu3fi1#>8q;!Wm?)jGQ4j3I1p95>Jh`Y&oMpKGTpYde-^Fv0(=^+<>{T{V8z$( z3U^`d`8GUe`Y5yzx;|Y{%em2#(yeRSkypf_J=TDy;nlb*#Vk(h_obi{FOyxH8n*O% zihiTl2gknZ*%>@%=*RPVP1c1^*X}TP(yDD6b7;4&nOAvBM!*Fgrb9egqt2`6K+F=& zugcn*+|JRtkLRPsp3-!!d?p{S`**u5M78a&A0w@C1YMn;p6{1agr5)RjC|gYrIo*u ziZfvEq-M68>^ju9b$q;@POf(WpzeVpG2ZVe4Tsl$&?hHoiUbJUn0rFR6Bhdy!UPB> zpv3QJijaawVM0q(M6aP*jH*Z)(62@NdJr~0?T zKpzVWA~fLN3g~DsQ4rFAgkEudGA0zPKUE0QpoG3rePi5x3)!Hn1U-r#Y2S>u?0+U! z`{~|3dnP`*L_%bqwwxOSlQrgmLr} zh0!3VxJCRNQL%tzP%;EHydutbw*NN@(Hlr}M@?2LEBU4TDnLMv1_%@QahzoKe=5El zg8c7_e{0diUQ~^3Gi7cis>QmNN!+lT%xl%c(Z!k@I>UMOY(Y-&yh-|gH*Y_qR9p=% zd)wy9iI$^r5+7j1S53{!eoZZFC90cj&weENV7{dIQFqcfR%cF8bpnY zK!3)%gm9Y~gl)mDyWOIv7elTe{nt_TQ1n<;YBSrBFCL93sRY$U${Z6j8-S11e%W-) z^g-fXhSr}?;;I5kTJrS9pO5BXY_#^|^adOpsL*Oc;>6lZM;23j3uK$f28r!W|HW@0 z&ORA;8cbVb#y0Q`5Zm4Un8rZlL#|(Jv<5nD=ma~e_CX-U+HElDg^-B1EqQAb-hT<0N(E(t*UPA2i?M`aT*L_e3yG-%_fTOPp8{h0^>!u9Rf(wtbrh~^U?V;0J*G{DFF&Kg6O4R zm_(Wkkg>rP7yuLi?UblF2S`*%gs{1?U=a!hiUA4o3d3J*ltcdN^lzCH6l)mMzcTs3 z{z>9VynoB8b=vG;IZbwNX|Y1uc%fbXmtYR83&a0Y zVeZVkd(V$ouYu=aF^O7RyVp^?wI;87tEaoi*Tv~c2+ohU^L2&6ur{qj2T^&6zq+M| zEg4m^n@yQQS=%ru4z(PJRZtVY2_~_>d#~ ze0gcr%J&3|F#)gcXu-d!#a*v?wC(V)IJOIQf1Il2^Y(t7zmFrdD;PtTF%b#xXn=nj ziLu@vARMDbcD|qE#pXItTOZ|zWbwbtRISw!b_=iZAO*!81*)j5)wNKAK+>5Ig|Hw3 zRj+ipAMarCZ^qzY8AYsLQhfl&H>e)a)G8iwm53V9T#v`VjZcNMvPr?9^T-2?3~DsC1G28P!7QywxzLk)!$?mZN_S z&T78Gxkbu~wMqt8(hO8HOW#H_W!lxkCJ@l@#G2m-gc=`Mb-x`+Dha#BZ5?PVD(;D*0*zWa5o*m!E+xhjj)|!#B?X*!}Zuk4lNK4m9o~>!M4a|E0LX?Gl9KX;FCWet_RxtdIHe z7*nwr5Nos0MQBIg!P6pOyIpv|KcXsrF`_k56I*?~(rQbO=xVLEig^oVg}(H%M(eCL zqN=XN@z=m1%_e^hOj||&kAeHw8n!h5R|_x&z@7eG(If}S;~ETLiR1;`niSBb6$Afx z1(_n12V?g!mUpF|R77)N<)?3rkyvE&c&xY^&ja2Lm&Q}LtVl&?4LgpT%d&XPatuxk z=q9`3p-c78w#p7s%TC(`bG+l41e#i_m|>kwm9m>33Ple29WW}oahrx}ZYf4FBXuH! z0hcrxP$RbWl^v4(ewA9I{1BpG_)*s#vb_09e@@o0q$V_ff3p7XpxRu_|FR9UvHb@> zie!L=178H`jh56Q0QC0vh_{5ocPK7w5e1Mve-h(ft^~nwI>M*t-?B56_l;tc>l0F? zI-5H4N?NbhDv~IM@~>(_KMB6`M|dWKHZK&Ra5WPw5}mhWe1FhxfhhmQ5+a9Lv+tESchh=`qfVMwzXEI5gs1{YG2*^r=}B_y%J%$fz8 z=TdCZP~*@vRcX2aTGOddb)e?&DjBP-W!XuEuUVi=a=Q}UV}Wb9o^QTEJO zW24tKolo4(T5C$~nk+HVi&$$qr>zg8LD!RLuozM^tW)+OxA3ZpIf%kkt3Ce|%<}5& z`oXb!wiw5mch&u|d*8~}^LlhTr9S>J&*}DXoJ!E$;pyb$cenoF;O2LCI53fRJb0Xp z;QXVz>-`pB$VIHR`}8@UYJpgdl8&E=)ZOIsemgsg3lj^14vJc4=Bs@@IeL_9)pK~e ze7GC9c)!StJ0(3mbJ}avt^I8Od_9`q>Qi<&ofS>NGhmwb=)#^34$$t+&j*d6;T(G_1c!EyN<8h!YXn5Cgro9|1PYaY8!Ygl2cfknzb!u?*o<6JeCnc% zP#s$v58(PCivd6F;;n?w4alNnkfjF~{ta=9e6@6T?2_&TdPT~vv-6-; zyI?bm15Y9>7JoBKcIzTlkxKvKa_(*FY9e z2TyLnb+z&}@nzKzJpQ!Yb3O#ArI)WpSf&m8tEI=U)Z*omg6_84wKKhU&Tp?jYwF%h z9%HU3x3N13g^rzbTaU*+@Xg+0-6PQy1e1{sTnEp?SA$nS$SzCQ)eq8t-zfHxVmON* z=gsmp4{;j~Yy_i{XH)G8LsLq6{7fQ|&ENUH^Zm=d&x7T*@Jdl&=|2*K2g}MBK|rZL zpw8eyGtIC={f{J-`+Dg%43`U+3zFM#kWnuO@mJb1WAwnNE8+!_0|C@^Z>zj`-BJEZ zbD6)&DN{yfAc0@si=HWKlr17m^i3jE^yg8s0_bV!@M1T1xAJ_beFAM(gtQG{fhVM@ zfGVY|K{#sbpuNQ79+`Y)yaR{u^SpN8vK&S-Qq?ycQpqvgvgcu7)kt~Iw9M224oriF zW}2z$=hEviuvaBuCOhzg?m_Z%DwJH(RQef_(~8@nayb;ufq^$HSFbR3bb5WCd^ z?pE=`DwJ(xKljaC-k?vQF?_gd>Y{NCbPXMps-)<07w_Eq;G(X?&}LflOx3OVO2{$R z<4hukss~&8_g*n~0Y5Q9?)ykvT*9<9^*KC4uC9nY12AZ z`CCZWa>0YJ`{3h(;z*OGGQTf)b2PrGk)PuaA9Mt4xANx9&&yV3M#+;5`3>oX3089} z8;;3}S}&F>Ocz`wSIU(|kUd9_lg%QGlhKQdw|^1PSt*a_aHvpjY= zeAO&m+gMJg>!>wpIAxU{^^8|ksx=EY5J>TS@HAbJk}ulwQCW&mat#J99bHXNSJH>T z(4$|P#Dley%pOu(fT@FsrI*UZJ<%Ym1J0^#?~_@rACRfM5(~?E;BP~}p~JT&2XSp% zTq#9p#Xj%pwVvD7Qg%_E}j-!0x}YYY!dHc5^t)|P?-)tcErx={fZ z`@vf4A1WLK);|?#HekC0KoczuHWs+pO9t4VoeyK%Zh9xI&Q2L5;2KO3puz_(avPT0 z|4tVVUkfmQ`pFI&VmwGt*1H!`45Xz`u5e>*j`R};smEfIeIFYkDIxF){ajcCc+IC}G56@3t zrVTk_>u3Ird*^GL`xijO(vdx9hlkr8{khZc^k14?=GFkwD4TrJmJW2SepF8(T1<$3 zvHcA=p}MS-t_@7hbNPPV`WhRwZA-9#)YGly!`*WOZHGbmi|?|#8uLnR`3wNxQ**8QP!e=R-@sD(`<@fD6jkcb)ceY|p%f zJ-;1IXMV8)bbbv})B0$WXR+OQ2-$luPJ8Ebr}l+m0)qY^4Eg(~@V`XP{_81ZW?}jd z@m0^&bgZ<+;NEFL0fY!9Vx$(|0IsgZvxc1PF;awr1ROU@SlYO$^lYEqI2NXjUXMKO z%dT+1e9zk33DXJArk8ZcEIe1@ABjcZ{dHK7@WB+5@#+fB10h9*z?IRuV5wrl|*(7nbEXK7IWpva7oex7Aaj< zVI+SZ7M>Q@7@|jPB`W*SAatfAckwH}V}ofbaC7U44VJyGmr~ogrBFR!l zst7M)deHun)(JOerU=CVgc;HovQs387XF}zk}g2JOs%DkmTn{9C{R))zd~gi(m%W= z0b*cu0UA&z@+Tvgg6K~ztVVBQmR;juVZJLD_HlYRQKjS(9Qi z=UmMd4$iy+azj)hAU+%yTowLi5!pxDE&yMk zRq}n@(iL$$6lGUqRemcVQ{xNdl3w!H0J>T#flXND5q};TO9(Y8!A8Xn+wYCg?9bM{-vYfxJbh|h7K2BO^#&VN*DZOML zM3Q_&HuQ|28#dWGUyU4YA%4Ri9exncI95`>BwtYXYK{3gJzvb!`aa#=yG5~Lc6q;9 z9;}*lky3ki0cdwRbp22}f7UQwGQzqw$cGG4MCEBhT?Mk<$aP`aV3Qux$i$6N1uilsUES-Ek=7bni>bu}OEeC@-Z z|1o!~-N$?%XHjeNNKMQ^rpA z`GD9cZs`TfpEgB5?Ae}vN{gLOi6Lmg$r@vJeWcHs+NUiiGpFg=SthfU?qqwp6O=#A zzo+IK!bZel0^q-uJ!)62UfSiH%dK^6QkLht_H-_Ei}qX@UV*cLw{*UzyIlQwl-F)% zkd^`_7=CW9JIEg_0e)teD@SK$H*R)eY=-Ec9v=(UIF7J^+;8Pt?8(T!Pthv%UJSDJ zmZz#iuF-=UBjNxd!HGKe`iHo}_*^UJRtg9JllerJmb#t*ppCbc6oj_@2Knu; z(Ga%bbqT)p@9r^0<3k`8-dwXI6he+c&e*F9V=b^re{&BNASdSUfq4ibEX4y^(2;ik zq>VQ@b*1n$FS)edgEZ~8b)Z3c z^n*}1g|$UxOiK!m{HUB%RRw2Xs3O5nJ-HTs9`onNR%A(QyF?kENuQ?n4krF6Hm99U zCx+BgB?;@UVFwR!sgJ<#|4sx`EGUzQfGZ7S1;+!*oE7rTLDCc=jCes|p-K%l29aB% zQ)5$@PC;X{1OW3CsmE&gL9PdVnmXE$v`DW(pw3#_tuMdH0v>dlN{&M&wskx4jf#wA z6vjs2T*P1+qKLH4$Eda$xE`g63rR*GN!;AZ2uSRJ9)drl&v14SX-HDORsYLOzAZZ< z+^MRgdj1cG7&W&zE|n0)NY%!wTryR}DicX$dKMqWDTJaQM>GBbvS8d^+#3bP~cGo=LCz{3OB;A zVHH1kogtRHs8)CM6H+D}T1EqLB&LkZY3pfr^REn>uq3_9O-tQNaEyPBEpm~ScIeSJ z^#9OUllbAr5hJD5C^KJLqO}o)*%4(yz16keWe@-7)W>BT-#H(BiBi@y=%;rm9|v%G zQWH$elTa1`;cMJ?j1L}a?0U9$(!HTw_q(%2#*vUTJ6(@D)io53BMHejej4jUNf{Uj z#ZCzt4r)B{u?$6?oRX$JZVM5Mn%x8|ZjM&Ee3zi;3I`BS@@(MQUDovU zd^T-&ebe@R%l3V_=plMI{q^z~SmScp(yno&nJ0BWu&~}ue!N+gy#96~#GY4u=fI?P z9cD6DDm|mQQFL2haatzyPkpcjsv^|)g~BUM>HGWYXzo3I*1O!c;`VtZ145D?G$;{_IHF3i*bv2y;WZ_xi7BL7LhV$9B(nE*z-^M{gAqFe{Ss#O=U z44`B*Srxpy`hp{I_8#B$D5wYH>mW)yg*P>sQIv(0{>PPRJKt|gKP?e^&%aRPXMi#- z_vmWXd3?V59zIM4I09={NbGFkF@gx2)Id;rvDu)!2%cBa;uE=NeIjbjO+%8g>TiZb z4`KWI7t4i%3)KVwx+X?|(e8$%18xx|ZO9g$gcdj*gwc5pI@FqQ(6SI z39q3LT21LEiN;2iIrRCd#!tnHKFK&s#(TwMA8uNh;4K(B-E}Z1)56Sh0;1A#6V{;2 z)OzqU@+y8F-Fm4(KxZ2Nd#L24k>PnsA{Ik*QUihgcM}dFE+MK?N2U z%wkcM(!_*t@P(uosTmS7R;m1|k=URjj3VjqGV>&cQI$T0`iH31ydlOK$B2Uf<|#`Y zJ#cgxOBW`Lew<8}bi?Xcj8GKZ%-eXHX5n3GF(Fd;lb|7T7YL&g`CacOI`M#bxN7HG zrmA$$st0u#{9@fiHsh)^I&$%032Kol-c*p}ARWa|nyB;BHRp$)do(WC(d32B2Ru!M zXhvv4^QjINO3aO!!x9Ep&i#`zNsG+&`kttVUiJX0fUS1|!C40PM=4a;<`4BZZHzvQ zsHmaC$6CF|#3i-s;(mJsY208gDJ# zn;To3Z_a+rn%T9Ce5%J3s))oqThG(JTdQ#+uX}C}^ZJCY4|hL({d1n^Q!jgb%3>Od z7DFFS#e;Yft!IXl%;q1gzqxQ&zoPCOXl&#bSEJ`%uW_90)gG2EuHKtAyWY+%A7(Ay zzk41kYS^WoO|5rSE^dlSJSt+ORr-^-G!h#v$g5{KJbd!qw8JNUqnbgHzSv&jLrm0)KWU2hL zxF|FjuO<96LsEi)EQ92fJTd^+X>?Z-^!gRW;um+-$NFRA^I*iWCNNj1wD-C1$I-{> z1Omw;ObJAWDLLK`F9PH2+(~t-^D}|a{UEcmsf+vDc~i(JPpkZ)Fb%b#%h(_Q6y=B? zk$(!>Y}f6<2y18G!u!-%%vP2SGOuMa75JM>@72NZN_Icp+q8`|=o=Goj}p3*)1Jmt zPQ?M_lXqan0@nyvNX0_Aq>QL>YP5VQ4ZO23#W9pig(50SMC0`rl?ceE8@6w*6^U%6 z8Wn*#6=;x879bz0VObRtxgp!-lAtQMAw2z8%J4F~g?Ru44n*NMm}arXS*{VbkcyRZ zoXmF7(SQeCta_gHI-c7Xlta_xTSFe0M@H zKJ@`#6!-XfgXhweFcZxX^gYPPJ~i`rk9r8doV-taL%d2hadPiS8Xa{jW|e zo?vfaZ_|9c7Qne8`jJrLuP=tVBp`r3O~lfT!o82!seMQ#NhC;)mr8UtQ1fue@{ivJ z9GHSnppl>cc&Nr(Y_I+OzW!h0VE-p*5Ifs{+Sk=}taSg18Mbu{rU~6;HAWN;4Yj!T5VWgyc+lkbJfX z5M^@|$c0jw#Q1!U@=!95Bq|8mDQE$GTV1H2^bpT#QvvP;+X808BMQrS^$T7|AodMm z1tfF6G8#vxT0i3AqdWl-RwKB0_>SSwz>+B%)p^S%_)7{)Hqm)YHVnWobxHMlW|k_O zCVLMEa8Vz!^3OL(7^tBpZlX**YMMw3YJHZTie9)9bo8MnmB+=0f?E=D~$33Gotot#8s&#Kak?LXxS%K52^V)uwc5G84?9%1oTd%4mQ)$3SJ3 zi5mt}RFIq!*cN_-w0yy1Z*O~-6wv`f;Z%5659K60AZUKk*>rr zwr_DWw&yRZ?*opZ(ARXKHq=yEuwKKFWb46FIVcfbZp`Y!H*XN{sIzbAxD2-(@P%t9LWYeuhg+2{djimJKQ$&};lq-`r1) z(hjnfTE~~ksQIx=uemy&4)8JGLCO8f?Nu_P;)FLKXU4cNuv#fofRVMgSggvyar3KD zk3m6K_UWx3lN%CG76X_x(9*ri16x{_b+`B{!(yi}+?4 z!_Z8J2f<)1>dpLRetJUct)%zH)QQ7eLZ#S^fm^Q=g6~)D&UR0~a`W3(Hx^^}>tMuf z{4Vb&C`7;Lk(QBRv89vUGiPI;gSTUB0tS${CFgU16ssBtWn<>TIpoTONOgUF^l&;8 z#buF_FOIf-daEH?BEbDA*?*se;bY9f9!`tQIX zJTX8y&G8+Jib(=y^vS>=x%@j-AzMdF6co-&0EhpnXzAmskggN@sXrwTKNB-s0W0!; zb{M15#NQ$ubt(>sJGT)wQMx(Q4tUJM zKkEDXKyj$>z@7Q^h&c}B#Qtflu}3u#p+LH`)UK7qfN~ld0*V3$gbNjQ+T&>sNtP1~ zfWw$eK5BVb9GV)sTF;xvii)#)TuOYnW07sbFkn1a{wSqQsKfL+r8Lvd;0ozlnBO^w zE~S(;V0>7Rn@%sW6N!gJ1??@jN~n7bn+q#RPfNXcFMtazad#Z0OLaHRmF#>>G!)`y z+}sQ9N=qIQ;#SKQPkc=5-;_*6a_>XKCgR$Z3(0;fcCAeDr8y8T<>Hs8MB-X3mjh#F zAhs`@-|@uS2LmyqzdthwCI_3=#L-41%{HWWd8nVPOU-TuJnU(ju-sM=kl0z~^aoYs zfBtI$jj5+VeJ2xDXlC>yw#^G>k&USa{zP&__Dd|Tl?}nY8WR@&`O<)@w1^^&6*;f%Ly@?O>9)=4TuqhfaR*JEeYG!5!$&C49y6BgPb4cZ`CPKS(?^D6XX zRjIBezRkcLpg!T+d&Xv>@?Re1%P*yx@n3f)0UEnzqSuU$1*`V~+R@|55NNPz;azS- zy`#tWXPq}C*6>N23w>WsIo&En%&%Qsc;7x;U0xsFt{=O$oF0LH40D??)_(k0Jmmd! z_VHN>zLUGs-6o7X)9q2hjP5{OY0g7$a5mib>8=TC6r_+n|A&gj#qbjV25!5D!~Od= z(0>O8{x=T-R+j%n?5MVGc_51Po)X$g7QcB?(XBGju%k^Bg+Ee-|3Dnf)REc+F~Ttb zme?cblBPU2YUl|eNPy8}9{ZzGHm4@)`^`6Sv#Oi7Gnr^~Fi_dl!T>s}G5utA76cP| z+_W>zRcIIbKxoya+2CVZM+z9i?GZYwKC<2Y^x%e6xO;D7$M|OJUPB$}RN}=&FbJYi z8k}oxm18)tEt*-}@LZaM`N3%PTZi%DUSt2lDilIR+2jTR*pxInp`ViaNQ&g7Y~g#N z)A@~yF(L)1+-O)2wmqCX=xwry(ME$i zvL$+(#)?X8aA`s~?3-%{n=+)LCuCHbREqC`aC75oFdHAkx|m|O`Dk})R1ip7^W};@>;U1fyX{CDR*(G7BqYs%{qErWRO< zFOogD)5%X@HRAJlG37}k$Og6J*S$`769daTdYmnu3~s0rtZLOHHoC{)Xw3v z{m|a-@u`Fpz&5-(%=6Nz=G$`9D|qkrT5-*~^xV5z>D9~< zv;F9K55RLMcy$=7h_uMZK*g1B#Y`o1+7)}M`TJB376##1(|J7JtG4I|Mp%tV-Cv4@ z8t~&k4FILKJkmN0{}<#912{gHv$Kvct)=}Qd}jBR_JPf=)uT)LbDaGRx67}0t{v;P zN4%tYn**1`_O2z|&*ucDlmfvLmM-FbgsE#$_onY+?HjgBa(R@}JXJ`s`aBmuN)g@G zXMSyNukUh$zvGgG3_G3v=6r@ZsJ_WynSS6hGOCR;^t}oiEC||`<5IHI>c)jkh7?vs zKDNc;DuVarK_fjYn~4=q(cD%H39@;9!i_(j(8#DFF?Zmp{U|e!X1#Wjg(X`%~?Hk=Jr{oc3R%cRF_F}RR_V{Zm5## zTdrB+GqqahJfsJeR6nFU(QEnildpK#&L&nLIXsTFnpZx91KKd=+pHEofEZ3JS<;`& z($6K*pENQwSqoSJ*Nr@U|Gc8DWqrQW+7{Zs^L!*d#Pr>Mzk6DCy*F@bJzPGYiCf-0 zwRXC%_bV97J4zt=jb@hg{&iJu=2676M-KLtX?14iVLq8KRD;`#)1%wcXHV9rUyz{a zLHD7+Z==UYsc}lIeh52JaU-Fo_UON zd7sJIpKMRGSIS_hnmqjN{>=VgqJRJO^&vOFK>mLw%1NL3V-z3O z6Jd<6`bZ=}0<&M2(r<-F0p&&Gwa%2$@2w9Sf-v9i&Kt$Pv~Ij_;z#5@Cx4y_KM+fp zlib(zq9qQE{In{ongzj?7jLI=5T7aw!%IpG)=s7hoz$TYL5Xpsf?_KRo{K>$Fed++ zgdBn)5+Ot@%G)F>i9$u~02eGCq)5a(9#DBS4Ni@_IGbcTL+_l0q;y=kYNV^kZgxOx zO-4NQUE&dnY^u35UUAXcQxrR~i=MxwmHoRRwt;JTCfZzR+VDk@>Cgs`y<-rLi3@63 zf(i~nMB@+Wg%!tMI;GYH#5WxbeaXq61MRpt!Vx)u)k2-!rZ7xy1uB?^RG1GC9Oh7Q!ciEXhLU z_ba&b$1~{hn|L3Mk@J@-i5G^OAO&R_3_~;POjAc-qC?dK>&uy7bQ4WN!F5n@TjU*8 z*$1iHv03Dm=^y0*?3YB9nh^yH=1|QQHpq16iXFrYnhLuh&7z_5MP=+l7WK|>1)@5Y zy7|LnNS4x?%D>C&umr0yZHUKJhQDD$vHv`zMz=JaAM6nkrc_R_T*x6eN)SCW4RM<5 zA}?x^NNb38G|?HK%h!nP63Grkf7ly3`ZYE6Ff+m!_J`1BVDWu(By8%jBW%F7=h?*1 zt{BmG9>5 zd(F_-7q^*mFWH=&uaDiT)Td6a#siJjX@_AUHm>UpmRg%F)oY3Cw-q*S>+Sty4pUJZ zsdCm6*jlo-S!y;>>q{!@M=ed36SnKi)?*!llsaR-tbGv0^_uT%koXL|*f)tsCeBV! zxS#GFMtYx0N8U>>-g}<<+H)E5Mv#1992&7^zI~g>iA@`F=V8^w+<&gTad`h4JHy`N z(Yz9&>%?8|@l{FeCWpvoammW}1=eY4$t`d+l77S^%}H8z^`xBJy<^LF>!Z8l#h>tQ zHc!m!&GvEEp$8UyMlKH$*6by#5#o#WW0)G^m4j;V@QlPv+{trBcU$)HwsW17A7dXw zAA=sm=dU#2pWc=c+ekxp?R%U8H(B{~c7gj>XnLD&BYJcc>!~@BNq$jmpBUv0AI&>v z<#lGKZd@8$yVIs3W31QfrPs_y4hwht4|2?(DEdIyneTsZL}vg03Ww$9_z%9K=jl^c zSz<`TpD7^#9)ZG~jGT9WKxhU8Vp|-;Gy+Kk$@gI_?G7k(KxBrQX>r;N7(3W5H0OH1 z+J_7eSJMadC`C&e;?Uqv>ILKc07v%mfRq@?ozxi1_yb`2a53YrjUvV{<(k4r`PRr9 zff!Mv)W)|^@EW`%VS)q-f(mhE1)_20E6E~6Le&@wRBZL({RQYzR47ypA<1Bq1VNHi zp7Ic$^u<73>58EBYDze>6XneD;aDh4Us&qOi8oL%h1$rS3rRN=Nrl>|y;$1HTT$0b z&zn`-Oc-1Gs@oPxD5oP=Px z%*5KzS&ZHeai(H+Q~{$mXX4(oipMq+iaC+C-D3bV`$ddxr27vg1R-VM!ZLAF=(8Fv z5lzNohu`h8BqqUI_x&~`0inR%tLUE#twOP~$g4wQ42%`=m03!he zv^Mnmz*!J#U5fFbxQzl_3@dY~<3Rj`vTyeEe1hPAy&D zckj=9WVwwC1-^twiSQPs{Zg!Sy7KS?UY- z38^e|C%ne+-_Z|-ZfeJb-J3cXJU!bwEZT3E4tHlSTOhvbIyIkw$eSH67EY~Qe?{Ij z)zGo6;cLIYOmqjcF?+E2nB-EVxhsq(LP zu3f9$9&H)~Ep1!fla*(OaqoAquR90Hd3>No&6FK&Jsm#kK0(0~N7?6ma;~{o9^Jp5 zbCYuZO7D4{pBZn4k4YgqGY;s{9kBXRgbByH4-;2gT~9ZsmA@*Z^?y8v0AhmKY5g%&f;=?vIxpp* z$%EOq0Iz2@i)0!giZXM>B$!!=eoH`$`<`wMGn^^@ zSp*#*zygkCyw4xn`$S}99_SJs3C*pNEU}F#$_Dy1t4o4|1%@KRHkJbTm81*Lgd8g z9_?0DI7Zy#OufZDFykUszcWcaRh)@sJ2AS{AT&e2Q66>CI6>bKp%{x$$K^b{A`S>{ z47WOq*h7k%h0HF4TRHDrk%{ zm6I?H*X~Z)M<aJxPVy>!ZTNFkYvUp#3s*G8afOf4+@iKHOqwMy zOGjy80BkAu3V`IGO!$u^df#|zwm4IfN4Us2)RIAh-N9VUmT_X~(WE3Nfe8^l=FAvb+Ak!9!S`F#G!xg8N&bqFjmeaN}>QKw#mSviER#zZ#(ogRe+nfYBFHx%Y$u!?NC&!? z|9zkyz=(DTia-UtK?jUA%5?OPE(p@}Fm<@#Uk#ymOre>eK|S)puEWXwH9TKa*l$g z>TcC;&0GYO)jIf~#ZL@L+hp|nfCmY1u#<7jhP(XbVtHKxY__M>65?Q72chEHXV2GZpP0n6ZKSncFy z2mGZ&)T{L6r0o#h(CTf_8AAd*e;I(d)PA}lZNwvGdj@sQoO$!+?Q zfP~qGSJU$?TAbGRFRVY_OG++MDt(04gfO>PAqtT$KCwcum5b8+aPx|i7l%p_qsA)d z^=9N`Lq{(Ntfw)TmH8*!Hd#|frj7eSrAaK8kRQ_F>N!sknKMRYPWzQQMq<;WiztdN zG}eTqUmTLUIkCG}$W+))C&)|6sHjhM549gdHn4cK@NQlJt|jfw*Bm zG?a={A6u{*txQ_V><99hz+n_+cxfHr|-r z93jf$p!t-4r)lOnzi5pUtRh$=T833z*Sj?jnR!cIN1861)b~uhkC6WZwX!;uw*S{B z;C}|S`Ys?NV`^vaVnN8v%>Hk>VqR!{r(jGVf6%~tN)dJ~seZsm2_XcKx|tYzuR|IR zt`S(HHz#I{$A3Im0DhER>*!iujWksg+!^JZp7NJR`+u(B*H8;iJN5Tn8csio1bT8yq_aiAg}(jDf3S^>ihKlyJmOEh(QK8paB&oGvlg40C?PV2ikAMb7F!Zo?TmzMa3}zV+ z(Oca{~F%!4`RLCpAGe)dpBrSab&so`K{aP@qLYDna^$8>)F}UfBpUa9l-N> zE1R*##uxKEd3aUgODl8=nAc+Yfm<2J$ zfj#qq&;s&><_Rs3SfOyh-~%Cqf*27Ye`rjP4_aev*WZMOP|)#O?h=8T1^GqWWA8Ah zV`DIiBiRgW;&t!s!b8?^{arrgFTB;Pu9WSm(X>Zqz*sOrw#G=XK%QfNUAro99V%uFp49v zz&&i}uvr!cP9bi1`i@^+vT*HZF_#`OjVpbES*s}X;x8sTaU90dxpaOKyf9^P5jc}y zv`DN-chT|Y?Y^A4D#2pdvl#F~LxP~N+}SiZfe;veoGPqf@WC)7hGC#$BJ+ZcEQvYD z4mJe=4kl2Y*!tAPDDFZ|~GKN}}czp!a7XzJ5A3bXEKLb#+e&o<> zSyBdFCHp~>;g^{xIPoHvTqnB$n?{t4QF&XMbHkfnKw7c?q#Sab^xL6Yc?C=63-^#h zO0n_GZq%2f%n43TiJZpT;~6XWE6}OT+`u1j^~j>4To0zm}z%m z;fA<`4}8Q#!-g2AdYL~M6|W42R=w4J5QM#Z6+Do_`aasr4XPyF{8{n8`s{!0puB`| z_HCy*pEtyOS{S0ftdg>0OHg+(nw+zxTaBJe*-?AocccQniN#u z^sZX-YVE{>du5rkEmCV|oDu+^sh1sS)NjYJC7Jj>`$N~{`UHN(L$kd2JovoWwg`Rf zNeifV6Xx96VDd+-WO+WN6EcTS9CuYUel2bh`a8A)I@iIbyMRmZcT;y~4o#{htgQ;H z4yIRSCM8oBiY(VElq;2{*c!QZ7wS>f#?XfpYK8dvjlB zlE&NdW!cs@LSQTG%Uyrfw|ugzX>L*R<=XY-ug~*~Tc5JyU!S(EosW;BBn2wW-sg;7 zzfXD&+ENZwZkCgnQwt;=8BB`RjiMlbhM#=_SJj`J=`1IOpPkxr3V+7-z1-zbKa3~- z#9erRcs9Ju*0S!f-&;N?B}}8Xw&#-27yjrO9p>~3m3qyZ{<<_GV_$kaL8iVtd8FDX zv-J89dKeM=-0SXX4`KAOf<2AM+-6=bTM#kMUw}1clwW>G&DoCCQ=Rg+ub8Xg=U-Q0 zvs~bth`U1i@@fG1zMP(29Xl(YiryB$Ci<9P33xXfPxjo$Mtqp5K-^~zAnHaOMav2C4ox^hl^@r{04v>kY-FSL%e05thliR@zBcv8!-ZJ*Oy z!J_C9ja?VUK4zcX)$`hZj1jFGrIgqIP8%>ag8-x4X>$&>JS&>tPc$=%8p8Rh6`z=6 z7-^mEV3-%dC1;(kb(5HQCf!q?3-h?Fpfk7Ggikv(y%YVvuG37* zfGysBFT|XdNhxM~In|<1&!CpFzFTU6;&5i_Bp1L(pmtv9Bo+9g=m)AG0QLUYk5vB| zK=FUZo*Dmb#{d7Q&vz&J zT!~PFG};YS5ULr!Sy&P*35nTu5jvo8BoIB3i-T)AnQm#6y4Z%SJ`8SDn_<)7-NgtS zdQmB4;jh>CEBI-E4ezm6{GBb6A2%(xIJexaT6RH_0n-N1x_MtnqbZeOfz8NIES!3| zLE-^o!w70H6t$?7HLn6IPj={4l;Gic!M$ z)o+*2sy5{!t$Vx2r%44|HJ3*2&K(_p?yq*w$H;UEJgT2)s+^VV3kT&(_fc7o>f3&O zy<64Sztf^DvI~QB@$+`{d_NAdFt6o%UkVqqy58oFIimi~@9R?Vi>@f z7K=wZY}(ucKenRCW!y*j-0i~keEUAabTYK$Jw(2r=ti|>G?!)8W5 zckB;C@!j#(keTZp;AQM_fugc3!2a^g#M!U!<@70$TE&-J+4uJRhbLF=Yx?wSNI(D^IV6wFS!8XN%`^9C*z}oRnwi_(GjoXd5E9z2(?pWH?aa5 zT0y0SINc{}wzFK^*&Nkfq@bcgaFOryEkE_5^NpsWqAbs{O09aH-)s?o&+CIop3qhY zc7=Qy|5mp53F=COa-N)Tr>ClKXTjW>mqBOlEauEhA*cSsQPhUq440m-)}!T0nQETf z3Cj#07CM>O#+I1xMuU7zr0GD;w)XIckbU;!Zwi6kUKt0Hz#qs4kb5$pHVf~sB|G!T zQ9m2#iK3x6iHGrnSzfef4l&anbFMA{M(t?lD2w6o62Xl@{VY`5gkSw1+kUh)<= zv_k#MxKG|=S6l-tw|R87W%WE$wOt)+S6gSNes{1ZAoKM__=yjB`;U7!XywiIUt8k; z5TwfTzh7>dnEq`RP`dU%_=2&%$b5!Nk*>@rR+QlWr@LfU_=bN#s@W$0s~^iwZ&AYR zMJV1gr=@&>LEqoLF_zbuqrw#?3TZSWgO8bj5mA^F*+ZbU6fh)4RS;n&RnVhlmDm6v zSs{zLtUHCiHfEqbD|TB~>qod}_|cAPMk1DMdkQFNG+ zrC^beS{K~)_QJPt0|POkY4KDPpu&QZ%8OwX)l_<+HhFP|a%OiaU`j1gD+p0t@|2N6 zYH8yWCChBO!9vzrU23|75sj48NN~-RRBzyAIIu32%wqZ!wRxlYOOex#I_atsfs|j4 zytbP9Dq1Z)4NuT&)(oqW3Ky&fmxT4`tj=I7#7mIDsrsws5!J!fTM{N@CUmS! z8_LTBheoYynMj?>^fIZ5W~F)`6^l-d;|i7?_5>;9L$a2Mv=Azp8Ul>eH5%?sPqnMF z`zsIydO+m5%!M`cCAEgJ>SsmEuXe7zKf?U{ioky-ze}O*W1_ZbI-^2dHf%cM_*?~ zU*`8?qP*n$OvvXH`;HAO=dMqHk*=*LC3uk+@~60+EBfoFuKrigRZpH;QKs$`!GDKTq^fZa{ZC;LFSL{+B4P_l%Uk&7&X9 zIrXWjhtlG5*1*0H=hx?O-d3KR?UKpcq%8p4t`S{*L>0es3x*uLb{@S0QhpdO3DW)c z$;>@EuCxW^) zx$seR%;^=ozq4B26S1BrF7MAc3i#N2z1!c~3y0LlkW0<+i8JQfrmz`!gZG#KN`u?~ zfB@W5n?PpRB?)kMh+FZmHO5?FV!gd|dVg^pU%PeN$(m#mrJ+wuOmK>%qEGCbq!S%K zj-R;3y3Q{SSpaUidB6$GLFDtkz@HppN4ATN!w#|UB73C#P=;AW>fJHm`Q&3G^E%o+K=)26L#S|t z=r*!0JtZtRg^-~MEOXAE#q*6u1o|TD2a*yG5dGJ7?LWhm{*SN~6YIZesoJeE5J~zE zrc{J}f~c8JvlVViIO#BX#%y9XaVT-frj-Rw#w`C-@a{Q*tjo*{kaLFMAxBr4`#`EG zuey>zTyRf6Y45^RB}D(XP|b;`NNYWSBMLvB3k?#yGNoHY#tJ-4$~S5A)=m9Aei63HgeES84&2n(@TVG~ zvu)Xv6g^lgN>n|QWj(PC8KoKyE)0#f(5WvYOJv4$3?7)ZgOrhY42`MKIED}cOd#-K zG2yDqxHnS^&tVYg@>9YvFs+!ZVU!lRryQxL0!c)WP?yLeJ(#V&Ec!wf z?l@jy3iK{xVB6VxUhyC zt<|VT+>plWE;un+!a2#CpGFKn1W1c%GDHnYdKC$taMoarJIF$|rbl9RxhlA@g*4JR zXVXdzD_whL*r|MkTqzQV4_h0pHTsCWzB;fJCsB&(ETX<)MUzTtq)I(2kHfz9%k%Gf zeaFU!@7ng(_NIL=VC#q5+f3aX;Jc>Lj67nVdltuAm3*B(tPj8~+`Z4n4{gS7dj(cW zvghV%6kX-~X@8rHn5A3v+x9+ptGHq;UgM8JGVoZHe?T2{@kN(kj$V)hj8u11(9+acL z$h%*k?daV`?u6G2Emm_Br2&J9-jxpgs1`{@5&C=kJDcV1d<0~ z14z@ezQ66Q`VqEN3YvNf1-c($3_S&L18S5hxyd0&4Z6>n(4OZw83V_FMC|ZX~na4?3>fTLV+MqS2-nL;uGz2Wa4zt273e%w#+qV?*#dkOna6Q~@)oIglb23RXUdAVLx2 zO>KXDhR6v#n6CD|6%pmHs*%C|6(co>+ElduE`*F=BA%dCXb}j6reagp69wSm0_Gci zusXN_LJUDxNi}SgFe&WDfxTZ5h%-*D@MQ$EoeF4k|gFECXF&n zxF#WBpZHqF8*jOHBs^_kG)63FMXb;RG8(v87D}m1TsROItWPs=hZZEX{^SMf@10(sJzPR)`!C+$gY+6 zVaxSESa84y4b-89cj!32QrON-sJ@hh*bQz&_N~3RYY5grKK^@SQ86Ir2TdqI?l^fl zKx^|p(jbJpj9SnOH*QnZ;hd!49tcSwfqS-!f`{=YZE?6n0^cEqukXSj-+6y;=MJ~GS5JQTmsg+l0DHf!9sH}S@1S}6cRb>1r`P*4 zdyl~bsQ)NYYE6vSL(iVxURFF}+lKxrtP2tF3>l!8yw2P`VoC2%@&;h&^M5={=tJA?4AKTp#p7hmt{L49u$-|GdENcjmuiI>SD| z3SBQr%CaeI6V~Bi@a=au3E(-J$@-4zv}#EY*;6Wp4u3PM_I1V{`)CZQ%m7|k5&7wj z_b)VNQIFewq_dzworF4=cwdb#{3jK8^})PaR|p?+(8oV?19mwhvA$G52&OI`fS?PzT&jF zG-)(amSW~?S{9W(XHBoDG$~Uqa|zp~Do&Q-Ti~)Ep0&i~qp$a|=rUN|b2?m#dhE)6 ze2R(pn?gCHVW(v-PN7%eg*V?v*%@N@2*?4#p+vw?rRPe+{^XR8{2asqLC_h<|6AI( zQ?6GhpYof+U6sOw|3{K5upt7{G0-X8uC#wQwbxR_j|!30*on?xyrAQ52)naDwGU}s zmA?tjM{=``8|i_LfH)!Z=YT$u4S*~bzWV?5A@rZ&SvmgSIt5H@|1L=WUBd`gvOq|vr0yq){n{~EI$$)&2(;autrk_7=H>}YV5ku(j09zdQ zW&{ aP4#vt6YANk658+(cpKLk#A|L(tG0V1pwgLG`JHuL;kU;SeH6iQCfy3r~p6 z#S#f<3-xe?(|V3XoI0ik5qi#y9Mf5Uc!r5h741U71)x>ppy5NRCF5DU6<XnqF*mGeNklC>pXL1QaAiC>F8~>=8uC=p8~D58oIBRjg1dIt^pGEqEtYP+o$E z7O5piO*8`{UPBwMb=e}UNYV^fI65#WTBC8wJgKmDhk?nr8VlVWMP$tkMIVSj8!$Zp zrA0bUdNG+0klfH%e_<3WE*M|}=7hZB3WAXyO=xA2Dk(~u(@eT8!Th5*ZTv_Ct));o zsIVM82M5hml-DAmg+FdXAsI?r8!ZGQk}S#G0ABS4OQnXs+~R4)6Md!$C)>&?z!g5} zNcK^@9*&I|Tj+er$x6}UbG71Er+5Vd!+QNPk+F4?+F&E6eqV03PARS3IM2bfs>%+5 zxq8wPH$c!{ewG4LpvP0WV^Y%V9$T1zWEU$y912Q1_y)azJ-ERhYROF`XmhijbFyUg zkD{Gr=_@jQ=&~czA)Os%*X9nny}5tg=Z1`*{*L`sntNZZ-BD88c~o4NJj0Pb`_jnA zmebvVPe(@G*Vsc4G9(FtI9@a-)~!CSqh#yzE%z61SFXH$$jQw(;Lq*5TT3oa?eDC0 zuluPxaitC!iSpB~b9FCc#*bz|?eCnCiIOXMkyc;X0Gb88ZQrl^F7@j<|9M%c5W6Aw zp+`gK=Y2s#tL$Ms?qFy+kzF~j@h^7WR}boC z1(w2Qp<7!8AFL@Uy4=i!&FDijQVtTX*gwMmKcSft z5u;ARQDGQ}bOf1W^SpuPW8+L4s9DxL=MJ6Qn>(|$9EfL#$^v%gmqzY#ijT`1d4;)z z#Y`a4$nQ(RmhQW&e~vi?{O;44c<|37UlF={1dchrjy||AXCHDLaFCApV2<@T5ula` zLMkvrfeknF9IT8lcpBcB8!VrDilY!fO$BBj>{>oqwSC_F_bFnfDPR~h!aL|*x<4kr zTQ>`Ndgs8S7)0+%Kt<${%XHa`o3Y#)XDtzwnO>PgF8sRrXR-qn3_8e=QTPSL2;>@P z0`{+s-+u6eKuKBs6$Yl;r4pYVlE1 zwB#7~z(O|u2=PPxn?Rix^x<>bPGnh!SJw3YRoF;!1&m~w=+|T!kd<-r1P4;BLT9rmK&9gy?REo{FA(O~gM5}Y%8E*>xxvwb& zM^V_nU-|nYdD9pP_|RNxGAYu(*lOHHOMDm_aRYN$4jdPa9JL#`S$W6F7OmCOs%`x;70N+&< z!VLRFC8I zw3{aExuUWt+n&bJ1_=Ni5eAKfLou99K}tX_XffR_vxGck7I(f*Up9o>;3KJeIXY~k zky(#ifWdreIsCeHukpfa6=VGoUYT>&rk^3JDG^jX?xf+rf~m4W?cOx&j;A@fvF*;yozK~sY(%GI3?h6#33J>~ zFEYM~CC$BV&qT%R3*krJ>kGWZT<>mM92SzBn%(pI$=(%;TgaA%do}OkQ&-%Fq_>Gr zbLbY~CS_^E?E;Q?0w<()1U|Xj6C~M_QE%p#-|DkIKkcf2w)4Ve>fWEUm*d{9KU*9R z8)tW2pHGG>xQCBZGfgFU5|c(YeEK#1dfN_;w^BE*$(eQM>UUvjGhg;OQIEvYJF$dc zmN(nuA1q+LHIpd}Cv_$255flo4VGW}bxhpfrx^+|&$;?g02m>YBnefVF&IHZh$)J&QI28Zypsb8h z!px{ACDgb{>Z#d&1ZJJabjUtNV~fVSa4SYqdyoyCSO!&Ni_Hj5V~dPY%nT2lQH-wZ z;V{nFydotjcKwKcBc3@;<6-*nAUJ3|SQ^nlTDuY>QuklMg};&U(eAzDW(Nc4ntC+$ zQM61ETeRWuFU%Z`vwyL2;``6fg5tOT(takwj~01|r>5YO5xp=R2KF0~{TOE^0YhqJ zVTclo9ZN*l91BH>XrX6m6wSet&!4J`h0Eq+exXM;N}fP9H<0VT?eqg?`P6*m4{IJKI4>n$%TzI&R~1tSnKumyZc%q(mLZ znGugFD>?QD)$|U};lXq(kOQk&FQO~*?hXK4juc5m8hhip;~_}2?Di*ct{oJUI6B28#SKfb@gE4L`EiPK zd;2)w`sEW;d9_R6o2`hbj(IPCWP4Q(fUT*^vsURDLpe$zwoy z-T(3Wt^hX6_N;}|5^WLn=7mM9W`Bc>*#B%EJp?i#2kTR4;E((xqV5eeQ3aMF0=9!x zv<>LBw4IKu7+Xb^46ksdT#c`X!VB0^x(Jwd(!&P$5Wj^ z8kva+sP^uyX+Iu>l)wDCI@Y!cu!G8uuhiHvy{BV|*1dALDrxxS)a)q>3lJrGNLKWr7 zRVtIx72uo`e}o8C*Mhfr+g>DfA1l`sCmxWZQ6M9tQ`0Lkl%DZmzJGk z<+gaqopC=R^K5&p2>IH-$kC~}Ij7Zn6#Q|sE&>npLS>NBNb)Dr5-lHu(+H@53@5h9i$D&UoHi`gY@<2MLf610wE-guP|CHdx2bLq-D79ot!wJiP8 zsu~hWb6*K3K*!s`76}4*8Gi45kamYoTaV{o5_t|+Rb8oou?EIIdFmBiv%St!cFo=6 z`0gky!`Hd>Xu-ml$PUDKc%9V^mRG0p|)VYiF z^&FN|!We%5lW^xvV>v%Wd*WV*|h3E^GTv==86P74e3 zl;mrJKradDJlCoGxq>i=cSqv?h?(j1C!o3F6rg0p6iDOIv~RVV>KCeVcyfMGwcmWt zpYp9G6w|t4E_=$ex8vw@^{2Pzu>Zo#-wkx{@J#OBRh3iBwb|a*-MZ-I^1c}s&{+E8 z=1$~Zmj$?Ucj}jo?QfoKPRvuw9EDwyUh>#Q`0L(30BQwEcwk`opt*pbR|2l6kF%!| z{`xmvF64y9=(FGY+4T#8{}$(U0bxbmMWRf&`ufyBJ{ar4^h2cr#mfGc;=bVt+n@P| zb--Wc66bmK!gTmJ z3n@v%o8M5A{V>haq>7ib$E^ifG(-$`s%M$w(%WEQ2eiqJubQoFvtA<1i8# zd%;mQGoE|+JiCEV$!rqHcghT6)AD;^iK(jIbji%L&^^*g#umZE_*h!~@WbNZ zmP5-x7<7pQ)a5`GWI`b=%TNpG9BK#)a78D`dEimF9>O9PxL-s|#IHo?Q&R2Ha`(F6 z*0W7)78LTDK&!k?>4eoG7obK322DWKA^rTj*pV4*{aUo-g^Ux*f-$&>8bRW+CFTJp zy~Cvi5*cs<3QSA!@V00o7QX{P7m-L(h-#r?iK-P3A4-6t_tv0Hf)dHosf8~{=OU)! zwTWO!8)upyXnzxXUN*4}u5|feM#ozl8qjI1#_PZ{?ToOYNFM{H_~nmgZVZOZ%ZBA(T? z@_cT};@!pN@9(kZ@3rl-F>7zH=H677)n(iCqCGOlPhXq)!UhJ8KmLBL=-ji-x<=W~ zlz~rBKa(e%n-)Voa(eN1@ZO_{`!D9(3rgm-n!exL)k>VX{)`-0q_M`G37N3Cd6WD3 zOxVcf559H7$jFNBDRk?B(Oi==lLpOqpI#4tfDFqqsaDDi^oO9o6|0xY?&X5lOUIa7 z$R)&@S+Xsx_5^Szeo0m$)yPsZsykQyM2wZvO2Xgt*tAh7d{k{G3yA6|MXz!ffs#?3 zZHDIeT20z4_I0vaRI2t=48wfaTCnU45D_iT*vL3l4hh<(eVTeY^mJ^! zQ#Vrh=6fe&dz6m;l&iU{0pr_gZ5nh9Dk#6#DxX7as4nn#)Pi0N{vu~tf7`J4ihOp} zdRNFBvDB<1Ie;XWo2u~h&cR-kztLW`yM4&krLr#axTp21>Bws)U3?m&f+RZ6jn%UK zL6MigXxgIb^IlR`iorPkp;PcJ?$6IXos9Ysv<1pc1E6OIaJc_$hS#Hm%tZYA=S$t^ zppL6B?nPWG{~C8^t>zP3K91pz;nM}qKCA_|V~Sa}KyJ&jZue1lhyK`Z%eATqcXY~+ zCK=ewRzl)j9y1l;h9=&ISMrB5?P5S)EH$2m>w1WTQ&h08Ah(%B+j*6K7gV5a%_~L- zKC+3Lg(*)juJH)HuvNnP2=xzR4=}Hv=pZ{TAd0b2V82lnL_qw)`o%uyhd=M!OJ{fP z%zO!d)>N;PY4?(}gih`I5(>)0@;;|M^_>*;`;*6~g}=4?K-uC3ZiqZ&ZTLL~@8GX? zIdgCj`&-jh=c@IISihjX7558Jhm7?g$xlAFk4Z6KhO#*s3_Yv=+xDK`Y2S#M-YVa z?o+a!akXycS!PURPgHDh^xz!{bQI^m#YP6|fofDhBntB$tAZ*(gDBA;VC)36TvdN6 zNbLD|M9m1p(}*ZhOc#;RiJ7e>VPed>5+F=?wZrr0aRSO2wU8NMl8_{RGBSgIWL{`K zOak3u84;dvMKe(c#Y!Nfg5zosTAFqnyQ7RSaJo?xFf+ko1*Wc3(vm|YkhPku*%8ax zilz={)e%6zoB^nQdo6t})Fay0Q1&&sTLjI4b3^ zqmPp9GA^Atto~%ubA7{p8ms1~Xo4*NXd7>$uB%)(GzjaEs@j!9=&Mb81RNb3Y3loT zmmonT@mWPuVZM!$#CupdUPH!~S@&>U_@Z5JVRGAC*D$So$ia5`_RQL$b`GxnzNC3> zmTdz(G<%PF+nykRcpIPLUbhr*posp(Krfxd70VDEa~`)&fr;*rDA8YfVUabbn&b_6 z52b$FqSk3bPs<7Wl*X*=Zo{Ohk4m9T`Fw8OBpNH4-lx| zW3Dq1O%nrXI*>kiLLz_9a&Qo~9V`Zc5r z)m^-A#EjVsy}5r``QzjE-q4$Ci?s0+1OK8TJJZw?8vo4Y^4i-0kmqaw)ZJSEUKyf2VQ~~F!4J3b8H@`&9{%oDL5b=--$ERcc*{BWH(NyRu`*wy`yOMlPmi@`s@FciL5>U!7<(Spy`@+ONw9(e9DM_=1g9 zwxlgbZ}^p#6;Oz?)nAtl1)mK4K)RVw>;L+=`VV2+%>O$LikXe&-#PrWwC#1;kUwd_ zUKc@P#AZV<2^k4VfHS#e;Q&HEz)0dRTZ#r#;8lUo{CBtx_r{90R<5K-)fqe!dRchx z;U_xcdAm@88t>&U`ZyP0mP;TCvk1tO@f=0g742R}9Lp)a#yV8cF6>1PStZ3TRB%u* zBWRd0bEzZgr79^ql5r;Kv#z1h)iZYvbuImr9R@hYBH*mbuR>u`LZRu$~`1rA`^^TL`n#5UgS7QeBWi_Fa|K4bQl8o|$BX z8eZ9ISlSFjr#utv z6%gNjS<)(?jA}QTRfVjkEZNM_7dEv`f;bi<>DYpf&9caK&Y*@9S%TTYsFb1E@E=j_ zri@!Ks$I?sH7dZW68C#QUk}HUuIB{&-ydHON6PLwvXFN8n ze(l(N-j9BMIDB49y&fKfwZZl-zl>+=_kP{C3HUrNMfGh?eq6kty&s?L9!ET#?Jqr! zj7?<~^_5j<kbY{ZjH><9UvrU=WnOg~M~WrD4d5}AY410!y{dhvJ-2s6YGEx0_?{VBle^8G#?<4p zm!1QjK>XZa_V%6b_tWm>v&4{&Z0Fo|UO+V3w$N|OC)htc{ars#)4+`x1f=|fAN>Y9 z>pY6k?R|Y3J)du_4(=X&eEnQMw*CNS{3w_vli4=6?sBS(S4HC5TjSpnT1FZMuqP)5L$TNN}hbm|FD(kUj z3s{{GSf8J2-gr9Qzv{;ZYqj~lyeu6Zj#2pgfBwC7!y{uVBN$IV7UbXQ2I$z*@7Qpg z-|WJ@^m0OH``BkQb1wNhjyB{zk9LGF_|D`Erg!NNRnTyHMk`-MD2s1ej&M@drgzaA zp{+XHIm+oqE2}%4MRuHr^VF5koXVa8&$39}PqA?jorc4j5HO$I&M(D6VZg!S7rknoVO30vFg=xKi zG#4hQ+CxQB18wa!$p;}7ggFf8M9d>mc-}+(i=y%0M65xqVf3Ry{f26^%s`EiCLMhm z#H>0~g4_#&g?WMGoVRh7PwM^I7UAJ`DYkdQ%PL!$VJ_<)ds!Fl5orUxi5 zFurAbq7(3w0^y&(^nT@r{TE$(VJA$4%LuT@LwR9;Q@F(Jyod?&nZ>J?TdHBN=lJ}L z)0~{hd{F_UJupU3*b2i{t0@;;RBpuj(5eWcwV1I=d`Td|X#JnPG&d9Mg}A@TuLuqC zrY54)(2@aI<#E)1K)|BlqQEq9nCtUFl!EpCi*kkKZ>gvWp+^_J(IX1y-bOh_!~tHgdnA=^gNMX0y=U_D zu>7Y8QwefQjfd!XC;;mNh=z*<`N>>hEv>J9jedvy6qqNq29fY8e1<^{*b6NP-(eG! z8t4lxT+~2xH2U{XLDB$uh#x`852M96tR*xC=aY%=3fwe3q$hF5W-=enMs^mT#=bSZ z=!@Poeef1nHylnx{waRN{G|2Gj3&m7zK8wMaLA%Q#{Gd4hRyxkN?qVlS+bgr|A)1= zjEb{cxj!C}irw0$J8|4?LdC$7tSr4srq`m~hNA@|`=$h!?CM`OdGG zu|m*6h?>8#pbVh5eyJ{BF`yIbIt|kq{-75}Cx((f+$t~ftDF|=kfjym%wD>U_9Mo8&o<>0!L45X_8_#%1%S$#MMOwuz95h?)Ih@_?XQ8{ht zINZDiO#Rb?h(hfE^ekAMPf!CR%Fg&YSsxm+KdhGJ{@Ez-8~FZF=Oy~W*zGPVZlB?Zrw8DJ_eE zqGxLjl;!Kd0O3e}k;WSDX1%}M-S4?LhVOy~N>AxzO%m-7iCuLQ!^?83_dm2Yt4u^f zaq~nFZ{+z7=2~;Mgo(GT#9A+AS}(RpNspFoYw>^iarmQO+7d25&{x|+H=8zK ztpTZHz)Xv|_Kr_huYVKRvPa|H|9)Zl{{vY4KP)W!b&dWq;Jy6AfCs{pK1QZT^03aC zwG@Xi;E4lTZHQpx5__-79wEIwScyHnT}MK^V(-ZNg@mYM3oGmtDD$sl?h{GgxG_lk zsp#7<0&`C#&5zvY6k@80w0`g~(`WSz-HBT0a zu@Yd>1Dj5RwRKqvB(#~tGpyinvI%rh7Ze-T$TV@bdTqHGq8G|jjLVV9q|@Mud=g@q z*eesFB9Xq%!t(x9k*ilgDd;_fPMBS097kGVQsAVY#4cq7HtA-tyZC~VB(%rgLF=(3 zLTsxGc-amz0h@>l#I!X+<4DbH@rD)qK24~RLd(7L2$7%H4MR-;cF5IMmBYT=2mwcNKd2?QlDpTZEbP+ zSzzY=@32WxEhuTufU92(hg>aisU6;;0E)2IkjkW*RH@6ZAp*xN1tjEwN(qP}&VZx{ z`(MTjOvzxc=}TqX???U_Hgq}Y=r6P*%lLFUDq~w|dtRSkY+UOI*`B^!DbI`$-MV!p3Ynxx;fnbInP83@z@l)k4mzDL!(0b-fbVe_bMDVpbxl+A|$ONrKR%jB|Xh zzMy=u4cY5SF8X}Id)?Nx8Ks*MiJHQ@yr5K^EpgVckFex z>@~o6>GABQ+oSpPqCt4`R*yIN3D{)Zi63ysa`yN*w*Pp3D=uQxA$xFzM)g(%d_uV~1_si$z=svSqTc7m`dlI;zGZ{MdDSP4<+`zdax+3pp z-$0AYZ^i~IjuCE~>DKK+2QGPLVHXR#FGQrNBx%-Mq8bHfk25)AmwwSb1yg(0s+IRO z}ma*CZB_f{E%#;)?*8wRxYjA#;p@ifJM`?FI zl^AYV4f7fgXd< zxnw6~5Lw~om?ACMdBWL4Ko~|*cm|YZh_(t5I7m@daz*S!N$T-B+v+g;M6h0FilZUM z%UFasJTf&9-DMP{U=}7~FSD_M+*(|#;M1QP+G(UPmXd7Qm|}VT&;hRL)NuJaJT{m% z*`*jToD5R%i?RfArW|P`rT~8N<>4fGtfCbziX-|X=3oFZAUQm}F%*t^B~C_-f0jbs zCHX@YQxSk4upGeg*OljAJo>|6j7PpP;VUsgN;bV7|2+`WUkK74V%CadNWII7wWkRMoYXNt_C1fPN zB}*P02l;@mKHV8z|AFC!HVywO)gU7ANIO;=60>+XHxmrnUJ$o;eXuSz2W;e)4SIq}Qnva<_1?zdv@nf38jXN{QCB@zP>s=%;5?m73Fh65VI=yj=q!XWzel zylam=8K{`ZMBgMr&(RhZ=N@Jin5|s{If_(OH(NcnM6-C#52ob0Z&oHJb@0^|W!ozx zHs^r{;X$eP%gvhm=0}RJg{#zuO_xJWgna%PtIXi=I^+~(^yIm~y>oVQctNMvZ#^!j ztEERHbg*DNtxy{bkjQ5B*s?%YiMx?-KFEC@``Fa|_oYg??B*no%JSlern4UjboP1R z?Iinijr@Cgun3JyM&p?Vl_(ClzO(aGVUyNF#9m=ngyzaL@Ss5@ydwu|nt$j#kh?C61eAK?r(f4Q&L9?6%$ z8vKwXg2|jD0+Fk&!Qsp?^emoCOm3Nqp=OC%*7}NFI*4uA^xQ%X6hH+Z2(D<@l&EL$ zt$+tqqtWnAV>{eTve>^qp{AT&t#BsCnA{%+-w2NV4l558>A*rS?aP|)+)^h}d-5!0 zB5l&2{xC|8KBgLUER(_c&rNneSus7b!uUQt>~uY|=YDT^b~btC%l%&W+z#dv$$!>G ziwF%mrcx!GPJ&V*{D$~G4GR%H%&>V%q}`lkv*x5E|Kai76ESQu6!(Pux`~DjyF1iD z;koyBxX<{eD#u+1kOO9u&Ox0MVQ}-GV~AH#C|HSM5KNqG@U}gZ7G?a7z3JZI#k#-~LEe1?*W})6! z9tNR{yf?p&!Y{;NTXWgIE36zl#ZdBXO4mesvo9Mo4@#^wu!qpOR4(tt0d8W2VWc>c z0|QxfQW9|m`M=m}shrYKq(i0k==mc?l@96grFUH#J6HBbhhipJafkHWffq>S>m-K1 z0r*xedVl=BO;WREu&!vTTcCs1e+X{9bi~8T3h(Kdsd&ldUmI zKJ&_{V1*+!MD`>~;OUMOa*Cmo2f1?`>*W_R$tdH%#m&wX<^w;}FWFNfjyIzkF|RNs zS(2fSa`HfNfkG1~_7hKTp0<|5ew9;fev1Y^@-y=H3X zk1te5Kg=FpcLv;l<(SmynCxD!3~YY7a_)HM@_ibc7`it22K+`>j1^`pra-2G&z8EB zx(Vs%JBsBM^_g3&*t305LG8*MudEiSsd1=Iesib1ce$SOy4=6MI^90(do58_{Zyb_vMNQb@+v-_Q(c08lKaTru2|Afc7kNVY|WO_TG_m6#N!A4+vUXZ+|>@5 z<&8O*<(^cVcSy^^i>^y zC+6E4cv69$?H(fY3`8@wURiAA^b>?uuhbA1y?sK&B5W_O@m_}Ox_4UsgXgTUpL*92 zc|0_rPx4eOux~KK8JA;B{O=|p_38^>;S^oXKm9SpgtaF>b-|Gn;pwny=JSifT zF^z_rB$F6ot$!dxl5g`uWWy{tO$5D9>90>Lwh_9&6uH+%(%zcn_Z%UKM66o-0A7sE zj5Yhr0lHY<=x7pjcqM6ZbBuQs4xOMdWh%D6sye8}MHFjIUMl8imK>U^9_G?^t-8TH zP+Nz0E!MS0ae?1m&oo&qc!;|7e4H_hlcB;RcQcCK4OPbAy!%LPLFsA zQDg1cb$*cCle2hj!b`9i>i*K|UzNJ6$?r7YU7Qz1`u5xDEhCLn3ytWyri}3*#vgKC zSw5Xfmh8HQfgv@<|H1UlXAYj%7sV)dnAg`ymE0$7w#^pW6TRrEn7Wx5b5^(5R|BhL zUG5Mn-9cenWpB=OF|)k&BeFFJ@4lG*oWUH#I=Jr$duce58J%E~J}$ZP$92jlA)Glym%BHh*uB>(QsHm@tn@_O|g| zQt3-w%gHxel&%j9e!4cV5J@39Yh2-f{}1E8V+w!>NdF(E08Y;T)X||U{=d2Wz4Xwl zq!p-Wa_Qspg;7p^kQurZbK?;lhTI62&4TKfepJqA2?+JxENk@y(l$Qc{ z=xzHU>uYB&dRq`~s#*XG!28*;Xa0H>+=h8DB86pPv> zZy|~b2?VDhCKjY;%ApIR9W;xJ00)_FFghh!&+^bxT3Me-sDBvGfDI_!1FFr}Uj~-q z?!W?=29fi%qwuAoWsCs@@jCO%9yGSV9ch3Ct$KP~;(}UJM8{Bh%o)}WlIRgx5@j-E>%hDyhlH)^==UVXiB|F4 zn%vXMj{2cna{8*XPHq*ux2G$oK+qY%#-v|-fsR$pLB&aqai{0i)5^}ukq2BzNy(D9 z%I?=mtAp#<9K2ZeE`57mliioS?HXiH?~iYvb$#nzzdSs*#i4%#QqRJeBxZq{$0{BM z7L_(vbW(+gVo$zJ16&##m}0m_?+S>N1G?5N4o0-Qo@nQ3shhGFNBgNu_9%8p@%iut zC8IkL66|UZdcPxkvns{72{etJ>&1J|I85y98sQjQ4w8A_rVV!v--QT~U-=AQ=bdj* z$Fg4N%j%=$)r$eL~KBfaqZW5VC0 zaI2Ra^3%82<+7j|L6khZ6X9=Vb|;;|MRq4I5o=91YriMgxSn1*e^~@yHHQW@i&|yA z<==}rYgn; zY>AOXi&h@CbB?aDjqd%;3&^#TpBl(p_I)@fV+D*m-;@5D7J;Ym@wZsbKdPn+!io~D zExDd}4nm_K4-vO(c zU3e<@G~vFe2od(L`RJKFa-t;iF>z|Vf1^TV0^40R^aB3DFYW@~^)AQfM0<+?)URvu^OHoqZ{$T=Gk@1YoE7yZwIZq-cC_!l#Wiy({Sf^ow0^ns zS_5Iq+fW*Z`}e`~zeKqIOR<@Q_df*Beh9)H0w{XHh3e!Ab)$*LVxT~%6?d`CAaV^P z3#3}+i!q~U3Oc)u>t>U-ZRF9uAux)%+xA`F`rRq3zD8&+f52#)GKj-8cNE=LGk&0t5662x==?TgkFCXV1 z1xF`Echq7+k`Xa(nYDFz*&VgGCOAk2@)?xFx*lhoh;(u*xH17NOfUfh6r=3%`^oHI z3tb8u-v#3&ypMv@8=R=fqWGBhg@geqa{?z^q_j^vuU4xF7m1jrC=T$Bk&zCGILLC? z)kEtChB!wvJ!l|lA<+9XRQ@VHi!EtgZiA#NL4j7iiai&;7S17##u*o!7M#mRS{k@E z!U@>0s=~QX*g&s3KQmC1rItkp>ABx(A#dH$!GlZUY_>1a@(`^ zp-ovS#$nXbk<+l{Av@xhoW(N2qgujm$dgdcC4*4h%yJW58hu{{SmirKuY)?l2>AIM zIS)M>MKnvO7enLdJnIQ3Ax~)!GYLix>mCjVXjY38@ZR4O70;YirgQGj*U693Z?mlH zZaAD-@w}KtFxnkr^EHZAi<#e^s4Kx1=SfbrNAM$4Jm3jg%X{5xhnnuQYDWmD|LGaL zzwPp3>E7mg-$1V8@5g-H@TU+p^Se{l$}Bb-&k@CgM#dT4=1}nvxkYoAg%Tn>@|U=0 zn{jR7JY^4`{xak_`EoWIcNJM7A^98oPZ0xcx+Y$g{uFNO#-)`>c{r#QH5NmEXuoY_ z_8AE(ynYKJaN$){MkoEmbMsAzU9p6V*mo%<y9_nz1-pL7&8B1ff6DHclK1aEO+)uZY-XeU~8P%2QB%7NIoiJ zc=h(7ia;I(4}WXW%PTx&kGSj@&d%-)!4df?vj217&>(Q^CrG4B?{w8=E-{8PavFQ~ zCrF@7?;Mh4>Dd`qS51Snx=gatFi{g?q30U~9V17`A|t7o-I_ouV(bBt&i_6X{(r~2 zbN>hN`hH!-ziC*ACe%M^SoOc5@SiknkkGUw28>EQBA%Sv`z7Js%~UGxKMrn-4OMoh z1F!6omrwW8b}mJMlp)M-{7&j>66Lw2aAAdE(7BXoKY4Otm6id~P@lDupw%^~$>cgU zQD}%p^BVI@zafR#=JrR>tMT*)WZ0Imu zk(#cSqaCzCD6=}H9gSXZU?t6`t#A1_B*9gsoH4!HP`F42RXj?|2q1zR<9ETGEX$za zDy?AoW&Mxz*_iRTfj@0AibA<)vRuS|mewLrCnws9MZw8a=mayP4k=_6A|sb_#_=#i zQn^|d@68Gdaj=kYBV6f0+4YiUJLYh`gCMnKqB0T;(K_q?&LDFQR3lsHpsPt*q=K*n zDf*;Vz*B%XIJc;i3GlkzKh_REd&>vxB)KPBD9ey_iO=Ls^OvsZlw zv5LIh-ycoY7(aihxc-!RwqM2Bapu=@VB&s|ihukY-TC*~)y4Ypz|zE1!_Cn83eT>| z_VLu&!};^}+(jz+q_%1^+olokcV8y2(y|an%X{NKuXaHQWpp=jadT_gOfpnLsH_f( z(+I`7LoHdw$uQ34gwn#j$(R!#=1Eim~P{rwrlvd*p2NwsU6QbD6SebaWyha-iD zp|2+kG=`jzWnbmcATJHorV>nc{c|Im=;W6ThB4Krt3H$)#IYP1mV30lR2B~tq3>l5 zOp0)=z&JdVRu)3$@ZI-ROFLm7aX)M@!yRDXLS0K4fl;`>$Ni8l`L5?qs3L#%xofhr zJ?sBWd`9<*Ju??L8)maz+H9V9G7W&LEo(_a`=l()yftS8j5UJ{n$wwf7I%q{O{9*Y zEYtZ_Pr>Yy8Jf7}_jc4z?3DJ?r>}*x zUzT=a_-*>~;A4?fO6u?0^@BOWHL5>b4n0MY-Ui1=P61Lo{I0)~6sMbRnM_fNxg)r{ zcsM4)b;QPn{`g|Z>Kob1gYETWYEYaFc`=WU443&43c-eU*~8xio-szbbj`BwwhE=j zKHk#my`*CrgjaWPhll-7u&k(j;GX8%^>}a4p2!p3)4tK*5Wdh>QNF)u!}y^NUu_wY zlLPr=2{@`y5&^!&ck>$UX!EK|lmK&eA46a?CZgatjHJ$cN~d#a6u z^Bku8_f1V_8sMf$^1w{*9rI%FiD^owfPvduu}e1@z0mCu4pd*j4KPK?498SgC*!9mwfe2ZTDd!^x}+$ zz9@`u>?1sn!^u&%Nr(PMUBi%6ND&&Q3_y$r08r^K1Z)H9G5HEBqo65eEt3dbG-SjH zRS1J6qDSK{?YL#oqN4!NK^(SW?__MCE1dcIh$4N>;LzO${z z4PanQdJRzSZ2HQ{A55YaA&9dSwjX67CgDmuAv+4kU|JvIf~AWZq0f`Z2{o>l?W|f+ zMrwnPHx?pYHRup3jco@>5hy=_Eq-c4EFYAs&9@Sc2Z$-x?@HyKS)?NeG{;(Q;Kbv) zQ1m;5()jd(*x~Y%aPxoK#zBXR2g_3s<1~S23ra2R$z=gdt0E~j0C`(keX-oZjUH5QE1-0(^>I8veMb{ zQIa6FENY{~wf3x;+$e{NSowL-4Toz;lPYg0s}t=*u2s_8~w~38AKI@y$^JV`il2A?8A5ww5u{D^ zrudM*ro$Q8SP_9q8TbnZ57i#8a(V#?*P(rZ3aek`vO|gylRZv`fY^4kP_&Vz^{?E;R42oy<klFKW}@yQ=x^4{Ir3 z#+9#faI3Yz{lnXzg|`9F*zMo?aB?-)N8eNtg9ky2RW8ZG zvNP27*>#$VRvWzZokzJjvN=MEhS%pMo1$F=NnYDm+gH~u733HjYa(m@sY^>bZ$9y< z?y3HFs{UP1w_5OT%5YD9cNcxI`?@IR zZK2m!b|(b3@5N*9RPnhS4v=qm0)RGBBK9zj1fqSEuZ@4ri}ZK9>|cgl?hHeJ$MhG9 zA=loHWoP*6)@3UC9V1mHi!5lT#G?RZo6=7=euERT$(J>b-(Y=RW=3vTRM{RXxQE|z z{(+DfxIBq}I`rXbHf+wqX>FHh^quglu6AKd6gm3k0qzm%4!KVE>~~C2(xFZ5S(-E) z)4%S&rHfKmDw3`|2p(KPZa$% z*&?nvO4Rdt`mc4!=USKj8Mn*6*2mukTqddCst3~dQRzRqL2i~!MrR3x6#&bVjklczpZMAr9t~;L;58O4Rsjy zr@{y#Iy-idgDjQCmJz3s_Lc)Yfd$oKyoWq@ijl534QIzjo%9 zTXF&IGfe3tR@4MPnEEnX+kFEPkQ^^E21>$6YVJO!RR_&bb2&y8%}2=B0V_*wfxY4j za_BXtN0Q~Gu(C3eS{A~^f#tM4Y75lF<@!e%f%<{<=Hd%~X4J-Tf2zH~IF`1a4EN8Y_MwTiaGSb8}sE?dA4<%k9SN>GmZO|MBbxD%QoT?n}8_G|`LG-A)DX)N9A9jjLAo z%?-FhutbLGOQ%=6=iAXO{O=kvH*n44!`1C3@ry^pgCRtkYDks*c>6%^nmRgkHsb)c z=$ab!y-i#07o@~51aj9jMa~Jg?~lGfcBNMQ=t&S_z;aqG@!7myulDx0*0vSB-ObyN z1tv`b`I;s@84Eo&I={XcnNUP=0hEt^~(A8pNWXi0D4qHmrA4 zKwpg3gP+*ipN2wT^iBL%*4C;;&mCG=Ur&dMLAIL|gRhB^&pxM`$_g*DKo>(UXEJ8SFKFccwSLNDuf-FLM`$M31TYq&QMoRCG2<1C5 ztu+x;7ZD=pr}1XL9Z66Jqm3(YLna<^jLaDj+YHTTZp+`EM|80{vqqu z^%!S*!|2RXiNRqigDR<-NV4X3lw}Z8nL*AQO+k-MA5iyAJEog<{2`&R0JH7)zYm4~ zC3yH>r6vwu&i}h?G^A6@@o%>DpA^AaM1B_n3{L3ZGl;I@#65 z6SV?1euW25TJPr--{qqFd%1{=cvYU-y1^YVu{H)ue7?0D4$TgqW>R!yM1O4!eeWPc z{*_+*#~wy=?2d}z%s30_9x2Pnnf_fS1zK3?6`(dXEgb`b@c<*$2gqfbN=QH$WmnV= zD28u12RIVQ2EmBaC-o@H2>o&H+^--gEg-F=xaY@Z=z1-R<-kIu9=uN0_XguXP(UL` zoV9!VP#`_YA-Zr|sSx>m3C>B|!|kXKs73^8mJ#qc!99E>d5X*nfG`QqWQNitM;vp* z(iO`2epmoP^RPPQvbiQg^Db^6i(s(nn0#u0a-~GAyms1*2!N6(sC;`?R!Kn|NKmJ9 z_)eOVc)z?=Gggm*KDUWpn*d5N2#U5(JRA`ORi#U@oX_$ijRu-ovZSXTT5Y#}*T=vB zV}OR#lR~43z~hWmx6BwU=~-p_nSyFU@}V%pmht7Jb?|4Ys75(Pyi?^CyBBN>(>HP- zErk4B4)$d#=U`ouPKnkiy%+6#Bwsy%L5xDno~C7rFHMt88FNZ5#?$!Xne=jQ-U4(u zq9!lF15D|v4^I#O4b#^Q+kX74d8c2TwG|*J@6`{RQ{V0Vz#Xf;U2g}q51;)%x3;yv z-n_mn>@U3Dv>!}eUNldj*!gWfn+Jj>$Ix>bMYBwZf%&jo1IlKj*;M(iITpF7o!_q zY5z+bch9C5r`A>Cw?xuNesSAER_-RJS*kL6iR)B&^*IG~OciEI)4>q{0)#etzwi7i zH^u*mWYEMO<$dSFls@(Dz^Amq?g`LjftX)(r{=Jk%o#mQ@M6-m<%mQnd9Q-j?d z7R{L7R(5lhRhb~q!DbyCutpl*MoU|EYINw@ zP!Rd}S;w(QoCukZ^%gTR`s`3p?EA8c82F=|p3lgiR{oypAeY<2ElQES$0KTTTEi;a zJIj6e{JzXVt1K^g@XVQWjV8mZn|FmRwPYNYPEXY<>u!~cpW!nv<2s_4Q>Sg%mbqg~EZs_9}(C(8xUH2&+&c1eAc)%w@!^39Q z$<v&7NQ7Z^;L990kY2@HdRZL zo{W`QGNkX`?_tTT?*&YElusYk)k-I(AZ8o;!%1`>xtM|-@>V-X<DXNyzTfKtn(G0Yx~mg{*Z;n(*nbG zJCLu44Jlz~pokREC>ci4f+*dFr2)?0bOBc}yVVgtF&n7bdUL}JVI+HK$Z@1oht*sN z8}d(GxcXx{BNPTW%2G~A>9pc{oB^Zn z=d^D_Kw%8;{8_00gvAgmViyQAZh{DrBo6eZsJYhF7Fk1jOx2>9LHxuxJUsE+x$_nE zR3OlITr;Lp7ogsu_?{f&p|Tz#7D8D)mK_fq4XqMmy_||Jih>%DYJi2B8+|~V+EtZ| zEgg#yr#TFeS33Z)sBRkEn^G@sHb#hpMC={Z#G*;;=tgdBosK!g`6;>O2v3<_Z6%e?{fUO-PTncoiXcxJ^^kqN zCNUuZ{UZYED5-j-+My&wLD_ZMlw)`TU_yq{ix-`*pV`<}I~X^vY3J8o_1eQ+77JAG z)Uu^a)_7_HcCvWkck&`YPjrP{QH@1j?~d5oV)wOg>U7J^g)Btgte@RYnY`W)-Jn-g zcxjR8)EKV|v=!x_EL7aI$7Wtki*|!AM8+-}CN_Dmu3cVqUQVX&$TvlO8PVUA$!;HB zb6$?8*4?^0+g~6N*6POm?l{{xdle|4rV*Mkq^2WKiBs0q{#KOc_H-6{Gtrg2nlzW6 z2q_sgBtq*mejS6=AZ~#F@M{f$5NU@~Zs`7n-<5>WKV#=$HjfNn^z#^%5Y?*>k^f;~ zrcK#9R(z#1|DQpa4{KpUoUcMdQ)P3WA9kjO?kz&SOrP^C#bd*ARCh{LekduzI<=YF zdM5;7W^)XG*g3FxUOwuGzr5ynog5!~zjMce>?8HO$K`igXkh)1UHio4sNrl5U710X z{rivZ0*=6E%NS92E3()~(UKHc<{=aJ@uA_pUkQHb2A`7RZ?*eyzcz*z+DWX8@A&M9j1pC_;n z@2$d9E$qR&!$!uOa z^%?Wvb1;rX6GYdMmft+$ML!qrn%9r~%)+v;DzD%Dk~FZ&zBD+XFS^vLZlAV<*VWiu z=G$v)GXO*kzW2w@SUuqI{dMvP+u>)@AT7b{IN6@p=i0PUwaK^zR%ihGtvX&@kh%T5 zDY==jdaT_%HM}3WBi_c$UPTLYJ){E=EzIX|J z_Q^=J!IqOYp1t5B1LvH5Y?+t-1FUp@ULq|2N&F522W6F>p6CxfJ)sh=rDOmSlF%f- zxDczQxV4a`6s>L@NfshM!WFH+C1zlFUB0#Y4Q9d!E8-~ar%TK#s7PGsJ_Ja1nut|v05JtLEq!3)lf^QVu@PgUMS4l()tM?lb4(5&OfaM%qWS_Io>voRFbxRah#R72XOG$@ST?A| zt#65A1Udo);?pQ;wg!llONbJ|&kUp!aq#%7u$)j- z0eik?mH}$U0Z1!9YBs_;7ogSha0}PQQ$+1h22>JUu5x>8^2CS@C4Y})fR}& zF7yLFYd{zev*P9;*}~Jk(}(A=n}dbZn!SPJ?c+Z3rGv%GhNq1Xp-0gs*Twb!k; zXO&e=M1Q=Tu`l~`4E`T0Hz9xbwtJ|vhKhwN~+Qo?3>DQ{Fb1+^p^fYBOIaP}qMfIskI>c&nun~TCF}4$hIA6q+0v=6o+iay zUficpm()Gr#coD|sJICPfG^@Bw9(P}LJp$!KjIQj;#@p*i$tj3vo01oGub-nIPhZH}o@jK? zm7PEkxMj*j)7Fe~SUDef#GJIpJd3AbXpr%|?;?p%9tr+prkgQ3W0T2RRt+w;b2Wqa z4m}45-Yy_D-Liffw2|p5-e%YAm|GVHZ`QR_qU5#VsjS7?g3WVG*D;X!MLKib!iFTV zhRh6tmU|JOFv;Dxq*BnH*0aG^; zLi5Cu_GX=Su z?YXA=Q>tCU@j^|!#a*KIW$>li>fWP* zmItW=&shvE6+d!hQP38@JH51zq@KjqR!7a%&GjVCG`?~x%cw@FemBd8QQ=dLhD>^4 zFdghu*=_J`au$5r9&e6)oSQgBL{J)b2K@W3-(&u9kD}wpWxIIYT9<6!;(xue;qZRg zZCQ#Xcej#W?FjVj^yt=Qt)9f2pw_M6LA)5Fjp4cWUHM|$z;orhjAD`XMU^L6py~QG zoAxEzfYU#7gfC-_GV}Yab|Rlly1O;CtXai~Sb_ZVKq1(HGnUq!K31}Q0fCj2z{(w#=w3sD!`Ir5^+*aqEaTcuS_x)!#@RBB;wI@mfy00jJ~5 zWMwqc9o!c!t3OKzW7%P#Kh-+((9Bn6Y5Lk7u7X+`bQCa3WCCvzB-4h|6J9#(q3 z_!(Qj5zNHhV!-WH@JcZz`cDvGlx>74y)IaY5p}AF9}c0+Xb#F1%ranQ4;~EOuW8}| zyiskE$}H6#3sZ)?a$}~w8|%;qCkee##jA7|8p=OuO`&a!*jKg>$W*HB%Ig=CaojKv)J95s zrw+V9NU~ECMgBdWj`zRA)A9YQr;YbN2^aMLzrqEN5a9w{HXIr#X{Zw7+G;)GLc-fT zdN|%T;L0o?yHM`SU4fkZ0kylxC)ZkZ*PQl5GLd}xa7_xnizIEax1fla03En^Oh)8z zfZC*<3?Se#>QFI)Fi%^ANJhpC3#f(gbGS_I>6D3h1`955pBQ_+c+}|f zPdpBWL}^SEeL^SDM*_x(zZkT#J;BY{tbW#hD zCA_ILd4bcGD0?9sEK^Y_UzsXkz|==0$rCv_ow&q27QPZdk0P!Y%S=Frt|gbGmR!txuR{eT-gFvegs7f-8p!gsk;ZPu$v|?E3W3@#yaWS9 zPmw-O)6NNHqyr6Fz8uq7a--IqPqh$M+p4r-k3I-A?CEDGuSq)yll7Nc(>as?N5xsN5thg$nd)_yBg=t~S)!u_Od!l$NVxAaR4S_X{^#g?(C&?8^An=URJ@}%no`aQ?pZyCBX1&s3g3GlrAuTG!& z1q{FYC&S90b+f+dO>JzlPX2fp_+e1yk-K+U+pb$J0Q=|p=`W1sGo&ckkx5#mB03_+ zJCIsgMc}dCT$R;rX`j%g^$oS|N9;U#Q|M}ENsTj)TsI;24r;GQkf%IRq=k{ZaYOTA zs84w8ADWG8nkTnT-71sKEO%bJQG7Hfw^iS=si}v7+?E5HWZWvsq%1)@R2#Vp|8mcq z)IWMjrt|~i+JR;B@XTXLSOMg47TC9^s!s5?F`^FxDF89T;Mk(&Ex=(O>6GY^KslW} z120*10l{%bcwL?*U=}q!1SDB~^V?v_V6tt^MNxDvR0K!NoGH-U*QE2E; z+g5l#;6&jcx2x{fH=$x*<7HUOir+$Rqj+NEJ-q>ZHDfZQH#5ep|fuZh_li zDp{~SFr0W3zWFl4cuwpd4HbA2Zg$v3CFH^!Yarho617GeNJ8&YWp$S3pQALF=_0(i zrZaid{z(|*)AIhjiAF{9H#({9dZNI5@<8tNdc!(a>cqW&Q>&2)i=!W(*X^iqX31C# zPx2@2ZvDz#_dh{|FXoVj7e-SmV#Pi?H8C%%CokGn}NBpZX`C*C|_Q+4*Lg}RyRA+2C?biPZQLa7+ z9W7C?`bu@T+_MdnEtFU%m_k05v=r$&`oUMeBvN&WpUnRp?|?Lvx(H>xT(G->wFLY6 zq+%>H-G^LNLwG)c-UN(%_0BgVgY6{pjQq*C+1Sh@vdSNHAtC7;gm}E+?KS)K{y1Pp zDOvQL=KLQhE-uZEI=i&H-%byE?+1cM-zdJlA8wIM()PS^xt_V?R$lq!GIjdf%k$P< zl*fq03l+8L(sqrLicA_LP~ceVS(aQgJ*s29$0T>$7)?q{$D;zuTIQ>B4$=05`8a?U zjZH+yrDC1c<-GVO>Mg21IJ>yq=Mp{Nt4rU+hU~Zz(YG=< zzw(~6;lDx>MR=*K|9UM zaF@p2-QC^Y-5FqTcXxMp26r3W-C=Nt*R$_`?>X;}{qBj_FIF^Jm6cUpi(XVUmHB;1 zg0wSkwao56p2R$%1!K!Rg^6L2$4-3-n8U=hC}T<G}e@rlvy3nDCP*88(0z6_;V$A~_v#9&*Sk6ok88_->I2TbzlonGq2h z+mf#iCY8oqf~($~CPwkovL}(HiuB#diNOYzk@R6IG>#*J=P{Q!`X9m0g_^EWsf~VI?^l#!_oc-zYX-XC+jMn2 z-%VVFck{lpybvICa=qFav;7R?XnuWZdwU4*jXGrA-&8F>9n1gL z^p+L5Ue#r7@=<}FK5%_fJ#WnE+04V8Tr90EmBy@IZMigelY zIv8SeRp-0&&n7;dg`4MOJ)O7N%oC7Y)+Z#b9M6&X3&G|QVZ#~C56`7>lrt;c4@eE>wzJE z#3N`-kA+FglSRV2d1t~QK>_$i3)AZ-lz8VqpQ;5(?J2fnOl}$W$6C|80WxY!mP^4{jt>K_Uwxy;=Zh})@AKJYqN1F* zX+3w@j83bJ>*6n|$ekT#p6R8{rN!M0={{?ukDTc~_~|tv6K?37=Y~vNMmK-_#rXH# z4S&DN{v&8213losp^1#l?EisesX|@b7N_+qFdXqU?o+E({2Mq|MqY7ufi%t9xoP{%qdZekz@U=0*^0bMQoimL*N=^rbchuwph?9;&tkf@9 z7AToy2Uw(s+(TFoF3hnMDCp9Pa9=cUwfcUd8K!G4#n~dmXi8W&)EH;FSY>JD z$t`!#=@(%^By%;(yHLns(|Euthp52Bw-oMHmLy=QQRgY08=gp7(THZc zktJPrZ@xY*d`a~6_4vr1ecg{4?p*13+P|^6nM3gPyt_SapSU@{8@POb-D&sjcz-)6 zjPKv~Di)`s!)ZRQX03L?cYRsE3t68lW-6jiVF@q?O)>A?8)6WQK+x}^VUkcEdjvFx zR*KAXUPdIk;~56KA77}Yq9Za8@fU<9q3V74qIvQ48?OiiEIb5cU|?_WmYy9w8wL&t z{O1rq!5+dbL^~)pqtdh-fhSDQZs2XV0K z@mZ`IpTXxJ;KXP(Pks}SRy=w)pK<z0<;n*+} zFUga8Mc=riSW%S!dR}4Wg%S|``vUs{LFu3ldi^i)fIpJ)1BKv_n8kh($p3#9F#gQ$ zUynD~|NHRfhI+zttmb+>$j8 zEQD_Pk!ga7fglVb)Z)CIXOAGn)C} z0_ssRVsq{Tn2<^|a@_evp*WO}iq@+aB&XVNS;F z$k|^dd>$;d+$j;b?+|#k8-lg*-bMU)T{nH_c6B8@Hh-dE#(lBs6z43(whh$JgU&m8>n?*ED>_S@eWf$yZZcl^X*Thsa7 z0C*GiE8aY~EncX0bNd&spX@J`O$D($>=os7fDD-Abv&PP;q$sH{eRknO82zaN0SJf z`WD<@g{%*yzfBe%-<-SnzAP%-ooWuv1(j|eOl{8l&j*9P_Q?*lxgwtFk$IVtW#LKR z>N&U7Ysk>>ly4sGgjW8xo!7Ro1)Dc3@+2s_Wn0R2(d9X#E8mwIZEt;kn!Ed)mvt25 ze2dQdBD-21xWE47rV}Si>LhzZaetA3dhx&9wM>EOXO8v6YE!!P2 z&-;M_(Ol#id}-w?xLw3aO55ko}1*m2*jz(IR?LuCnK-k;M%Sl?WZQhSxBQZI-hg4U@!uem>3 zmDXuugm1s$K}c;z3y0J491ckZfWC_%vgiX;NEu>izvv4)G9V~bS;~k~LXzqJmzDM( zD|cAXOD(FjaKozHzpUi(t8rW>mc{lxl7ELCSpBZ>{7asZ##MRi%&nzZiY@X~n@|23 zixyr<=D)1YDhgeptIZ|{ZJ>@<4pAqTQ!Jr$j8y=ppsYbo6NRy(+v}#%j)A7@?LrFx zRu@6B#jXmVwU%Jpy|J((iycs*g;#>}KpTrXalEANc!{JwlM%I!xYkHVl{Uw)xK-s1 zRKTwxa#&8z{h8WP*n!hoMXT$NDl?fO8>owwMPSDiOM0DGRX{QDO()ZCd_&Z7mZ=RA`&W+3ymxHvQ4#`l`b2W} z-?c(#1PhI4^uL(xQ}n~*K;%A)_sWx#L*o>-1=I3tE5cBa|G+5@h>IIjlV38fvRoFg zu-pki01xgA*0N)3K|FE60E|1MYJVy#m)G)@=wV~8EYmv!d1^~z-fJtfg8rhW z!g3EzO@+M}Y2Hp40#vatDjOqH>ZK|%kn6c4S6@(F4C5)d;vVssCKu2bG!9o<`W1*$SaXaK8kWdTiLFeMUl)tg)Pmcv;-%E2B=f1<+RSkY zjZ#ydPte$q(n@~0Whs81KOwiKG%KOB=GebTYe;&ObYQ-Mg=_|z+o!{`Y*8Kc_r<_P zYQTBcC2!}){g5vHo6p<&#YreI5YXciDe1_=wXu8k!@b`3?dtcQc;6>;j3-IhYfFq) z{ozmF#_6+K_bjWhZnz$f*WW^1UsxZ=th|gQ^{1V+R_!e?=zDUQVJ$G&dc-U-YW4d+ zL(q|nitgJ9vs+o2_cXCtnqhpK`pz6NO%IV3UK2dikqwk=s!{2OI{^;4nMinU@QWt6 zD-_%QhcS!vX%FsO?BkXg6E9r9aYh3iCL9~`v^YtCm8uDj2F0JCQ-oFefXka6$CmqF zP=8s?YPGI$C95P@784_IL5A1c{3R`Ip*B0RMYA+LEglcvxUScJViU1WT?IIFWyS$V ztC(16R*Zwp3T$KzvfY>ho$6gt>|?z=^gqFa0*-7Yi z(*?@~X(U zw2u~T#9wAfEIA(?hlpi$ZJvtz-p@m1FS}=^b}v0~4BYI%5iT>=z1%SINc-H+-LKS{ zc{(CZ=S{av7q|71?H1yFCCiNLaP;CCNh`z2FL66(=@{A zWecze+l3nZ8u1N7)C@;7y_&dZT`^t zP9E~Md9=Iz)$Qe=y2|B}brrtOnPQSkyp?!K8Q=AM_nL;WSZDt)_F@_Ad6&} znD7PjXDPgSf?s_Qn`Dl-0LQ$)2()_=K|I(!Q;;I=4*6$OX!|6-crcFn&!T@d1nrqZ z6?63bcSDd{nIN0^qpsiQPh-Xc7k|Ie{4+p3@Lc)-5Hqo`vj6WPqG$89HYohC5L>EO z*lSCMQ%ed7VNnE#LV0{B1c(xX1>`6l+#f5&hT$Z03R;Q0tREAsSCf<+#m*K5kEOpn zA@Ug*VZ(;$f3zDp?C7Tkuz5-5$2v{+6ALmyi;qj;u9EsC@+kDcbH^sonvX{z7c(S^6fi^<6)-?r7q8D2V46#jB?C-iG_f{@zrmTp zi1&T}Ir}?GzhGNpjrc38kmLYl9@#Dix+F)Gdo4*2^FbQfy?*W5XM$|9@A3AFT%X>- zE|_Hfx)U3fKc`t_eV#MSYy<^ZqUNe|3-MX8TiZ@kQwm@!$TyLxe_i<5PVR`&_EuUD{Q`mi(Z@;*D$+;D7oveh9!$c8;M&BfbX9LbB&fn z*5aXnt4~g=-UW@F{VilKOC@kxxE&=%2bV0~09fOgnYH5Oww)z9& zory(JYH1J#<_n0DOhuE0sRRP;t?ZqHm!O;0x9_($?FMcgn(uaPzRsSW6#aRhOlt$r zV9raXRl&7Ut>k;^TTwJ{P`#8xh51)4Le_E8@KK-3a>@f}VCu5_xF{dX5W@v*%W>}= zF+{(2Q681)g@ark>WPBuq8iA@G_C0KLUL2yD)Xy?Yoe_9z`vDjl?zbAtm%+@DZG+R zF-<2Sy?9-W%CkU@ukGnPx?anG4)#~!a`47XpXdv&-=Ut`0_rI|HGoUgH%YXlE zFfaiA1Cr$IfBY|A=6=L0gzT@M0zQRd=kRMSmT2!Gq70C3kb~fiES2%yT)w!NBuZdc zNR5Qac8NugWxDqb5tux!>DBoGMyMo$73A0w0l(`=gpDdxjUpq% zaMmb6{29SmWGDpaW!MD(I_v_Bz!!@S`&M}3w>bU%(1jWYp^#8icjSa`2B-`$Fr+GB zc|T<285zh&NzJMCMxS+v;_HblORkBhF^Q7FpJfodNBy=g_2~Bk1fUkG37x}YQK3dK zn*7w5z=M|f8Byk`18J5C5UnV_XhLYJ#4=)Q65hi@lvKqMDxJo2NDeZVhgey`@LJQF8 z1C}h|km0gqm@%Ba+*JK!p3cD~f`zh#{4rePahmc;$G=mR$RV3}GNQ<-zTX5>Rm)=1 zQ^F1#A$5xhlUCDzHX#%Vt8D}!gk2>-iAl0n3GHxgW4Zj4FHqx770XY1UjgK@9fR-- zRgdopj3hCyhtwc@;=sIK8O{{4GrV6S;Z{muDl%Hp~zv-%)>xq9r>#9=|l z+wJMv{@(sxbV`T$qpFDYX4$}Dg<3`WW!hir#X&xGlgxJWWtg0$XK;mAzd7aY_W5-g zKUtO(om_-kF+N;fRf5xry|s*Y4M5iPTv%G2j>e}tqlI5LYVIp7L0z;G@^NP{?=$c) zHL;0*<*eg-oYkeVVMbsZ`5ltRTM*qAjrB&@@u{`Tnk8>b=j&p!OvF7>!Iy%}&8mYj za1nH8&8d~=`x}VB%f(~KwJf?-y1R+s#?wmo?3^YR(-n03JLIU6`-bYc6Lvh+rZU%b z_}I!5MJJ{0vb$Cy&lrae7T1Rao6Lv3XxjB>IYfNbwmZUH zyBMd_cs^m#+;$0jW+If2Ti=K(H%p`DLx}iQ!4;oncBKHOADw3H~6<$#? zGpE6*)d=iX`+R#}pEa|!>_XhDb11uzW%%Tdb#|?d1^o15GA*J(?rNvUvZn?s?6pp} zn)dzW8W~W_?r3`qjPQEp^{Nem=K&aA(kw`GhvzFMce`v8>%i9wG5neVuZYv!-L!jN zo6CzZc3e5YTeFWL{*>#2RJRSQ)sI#Ek=s+7zH{VX4->(3=5+}1l?9)9`I_QWZU z{uzLn?SFEZF#zcQ<65_B^T*eW3gT|=PfTR$2L4}5ox)Iw;vOM+SY)R>7+YMevfsfa zXU2BhtOrG1_qn4mBAjWpFRo-7QeiHScKT%jjaw< zNK&jk9?mzpUV?8*gl-~60x6w}3?sHWB#0P_aX`TqrijR|LCBH>Y+z;0vSm|Ee2Ju&*F|Sq7m^oqS2f}L@}FMAVQJ?sRS_; z?vWn_+ze)P5@Dm{q=d%mF~p*P{U9<2pRuzVK}-lmR+o%ZV+3RO#nkw&F&y`eTshw= zkHVr=EsnelB>*i2im11QLKLcDa+@m8S-es&$?2(Z8yl6_cu%)%bbDSd?loI4yr z0`e-&0)CW5ifll9-T_qDX#%b3fV1R6MT?B}ZbpmD?s10FTrBftN(9WYmjPoMCi?JR zIC{N%DxlIQyHnZbzDk|O$HV*iEczzf)O6I6Ow;sBo{tovm5z^fN_6@#%%8V`CwlFelFLFY)%325ryQ0YeyBuHeHvi@7&) z{@L!i1I_ol`DcrCb@YSV9P|8pB#r#bI93j~@U~Xnof7dcU%8wPj{N0IuJjcTJ#;*a zf89Citz{}cWC46Dx@}GHSA1D+%J&VJR+rl^`VO_P-r5frCxkga z1OTHviw}9vo7F=#Ty%8sv%H>==#npc?{BBpt2(|~HeFe92vWBypDBht$K`e>PApn2 zrn*@c&y!|m8?tLUpk_{{DDGvkvI>eXvof~g-8CA@B`*$&;=AYJ6xaRRWVE4AC7gLQ zRm*Ptmv=YuARkK3bDcDqPqKqtB=nyh_ZOR5t=ebm*?%UGxg^>>5OAyOdVe%|^sBF@ zi4>Uc?U&z=wOJlxy4rCyH|+AYbFAK63-IXUOq_@GPQVVjNm_Y9%-zEd+Sx&PHfeoz z$O_ZTeh-Oc=A>F$$F{nlov5ORbq*N?wO(5v^uPD>s$ps8?;$86eA2Uqh@Eb1T!HeL zhFZf&FIw%$CDgJ$y1Q>Zq@_7EeeKhpx&zbPcfP*P!!e|7gn0?G-o3|=X~F~oq@nIQ z*6_x{$5HmTE#*!&C$|Xm+omo$w7AHO`lF45=xUG|cSM(YTbmW^jZ};EO^y<*tYF2zkdGEq6be;5p4Q)Uit4 z4M2ZOKdaduN}LR9phJ2`w8qK3Q`Tq`t`Ch2akJG?FA6zYSP0*VbDao6kqm;HNQVwSWw)PyZLntZoc+r>7rygaz7aY+3;5dPMT!bOZxUf|pmAR;A?8dQ;RUiKJW}*Ha?sG;D{4^P8$pEc}jf&ch#&3XYfFecPaK!x)a~($L85-$e-9E zaDvr{zx}_D?H{6~|3$*X1oVK*5HQfw(oOpY(DQ%7`!}y+4=#iFb#IFfAlCIk+TQ(MVB>8=PQLI$J8Eue~ zOVgjOAk;wOpD?U@HZxHIbRx!wi3FyA9{e&dFgOOli!GU3M7GTR!V(0&O%pvoK7l4F z^TZ0}vRN7&wE@5yGrzet z{e}$VH(X|$%JiJMgjkQ-l^*gXq3`<}Sk`>dkqb(3aGVX^JuK4G2Ihi5Jj}5<0f)9W z(4oCPHRbd8w*OFkm`}~n!3HE$O_K6(Z+pHvI6oYUj{M1{_xS04@BX51bJ2G_GuAxs zmGJZlGYf9E&9^Y6jq&^tH4eXxUcdE=F`rwh8fn;*nmuleu@#97O0wVpID}AAn0=mF z6l(G3Qpo}LycRsFBKd>HA+Cf0k~%4^&>`Akza9nqO!8pC0VGHxc5D#jfuVXr!y^L? z$}HIRp#88LzrDhpk+PH;Erw`Dx);&G>0T^$Ob)gS0H$Eb*n4SwZr|>Eso{~`GOshE zliM1vGb}FcOR-EaeDq6kROF98CXqje-W10^0>_TR1`d1}H{w@f>$)-oY%W`LDcxKg zU#9McaJk{yssgIFyW4zjt}(GdFXpOHGcn&&N;Op7*DiFLRUc%N8$K>iRRa=07H) z3Q3Z1HC5EEX$h7Mjf3wlwpxBNvY{m}?Jafm#~HdGS1$%!%;$~QY+%MDsa1o|keogG z)OOZPhWp^6*01-HlbHb{siW9|6Q8+-AU& z)#%#xNEX6rJNi8Y!=o#IeW|e3nOsI!z9yCE(wU`gyMKDr>uf+6xtTqHlEAbtUh|68mtQ&-h`OGREVfCa`&3w zEpIS*S_+7!IUBn7+kZlxs-~t==34bp6i_z_z+oyJXRuf}pG4Zf1-z4OCeU zU0ua@59*gpI`msp9i>`mau~McbNewri00a?e7Qx}6ngnH^u_K{{{va?lcUpT%)eia z{~=QQU#l_TKbb1|bex2-(;AhL4#d-h%q1y_DTot^Wu^qt0Wi?e9YVr%elB7I`3g5Z z#u#J-YIr#n1e}Rjk1aD9XGxria=Rb-?#i@|4LP$6sm5OsQuD!4=^PtMPK=|_l)J`f z;!cv;H55KGiH-rW;g|(@Zs`?f!yij1UuQtl^N2J6P(2M=a4zeien^SHo)BUX zm*Wwo^Ve!2!=v=fWT1bt1JGFt%D{AfF>nB6i%UhJ$z3`$Ad=wT2gj4em3PwU^u{k13IT8D1zIbxrP%9OB@==6yBbV7}3 zGO`kr51ts!rZHT{LW>H#qXdpVg{T5cD&xM=A0z%al#$u&^W&&>7baI(m7lmo z#|lU>Y@I{6>PEnC&X#xbYHFM!S;H3#`%|>en#0EqO-;dnSAEiIrI6FDC>O2iC`A3@ z9wCjjo3vPK+=(Gv(+*{aGe)e*U>{P8>e#ih=B=hoFC=CCfO-C&>9C#RPa*X|TaU+V!+8kXuXil+`Q%;-e8E0r<3Z_yY<6~^*(Ohx5wXCW5u37?x@;d4!6flu3nyP99?~1#`-2K zt{l9dd=kVfQr*ObSeft=MSo1muoIt)dcL^3cXE8xs8>q6S=?QR%!h>BjRJku>Q$_~ zo0}CEtX#7^0|Wafm*;oLtyf}gtwmqrY?Rhzq|m!Lv?=}U+Z^(P z$D+TvR3hz0>38c?CHp4uHlOYv@`~zc-Nn7fqnGJiFD49r|ID4pfO8@QfmLmClpT>{ zjknv&f^c&Kil0iB>q6mcmjtpo+_G7iGxJN}gE~a*hK+4ez=G`}yLg&SQlJ~sV4dIh zH#U&oWGVX+5&QRhYn0Lr+tS_)GtR@5ij}pY?_|H+4VzoowwGI3$mHZZs@^A>jC$O^-xvNF zex3DyyfX|;?5zL$xAe7tPXOA0Chq-}9He1A*!nz;aH1w84?)}$+36_mr=ROL8tyrMWxw<)EBD4`MsM z)s{fv*uOM96EYK5*FF_lOuz}F*9yyw24#-?DlZZ#I!=xJMqStdNjB38u^&?F8-rojTwkAG!0AQfi?u)xcx zEH1IZ{1UFKvA40xzl zwxYS*#?~(a80>lhyP13e6b#h3o;*1TT)tcsBCziYKVncND4Jl<)WGTlTw)!hDPxmO zu7LUO%<{yRh=6n=dzxh=+YIIl;!AZ%@ioRIMH-L4$c=zW^=gS3>Q2ytB7wu2Z^Yd4 zrLm$6lMG9R+XqW;wI=Qtv`6(Jh?ilu(5R}IRku%U~m;jgQ2ptmv zbNVaj89FAs@b3qV28#xm-X+sa_+`@iiHBvD&jO;bA+S4#a5Ah@bUf=m9jhI$c2_1I zUe2u6+8#%DS0hf&?U`DoQ?BT%?fAAEGOVr+Qat?=Pq&K~PyC*{&FAgyuB?l^n_ZbK zQ_Y9T*>Jk=s64|r=uSM3$GcBsjRyiqS@{+h1ivlqJ6hkL+tCqxyq_XTX{J_mIqR-F zTD*9@zrWv0=ydtIzS(oWwfZ&x(5&zdZ?xqXTqqiVDO!qEsGtwS#T|%hHHe%T?ESwl z&ZuYQPC-2=xY%61|C0!Hn62mEulv|Y(2C4Gs?oOF+frq4^QuBeJ$b^8mi2f0t1ic4 zZF%Sg;eqcY&d*8~$05f%l?l@5h;4ptH;v3IJCpEOs`an{V!I8<1+@59C$X(1a24yX z!C)7Fu`_DlLhV2;YR*FnFQQU)gr2wo) zXAtfT$Z+BI7@6QBwIIuiGNf*XfL-*@JxQ260f)M}WlL)H5!;gC%Cy|K;32X*>JO*X z!6VW+>i_aDiTS5KNBAp|aOb-WSEo)3qY&3ge>$B!*B?3e617XXBTtsK;ehi+1+$EFkS<(bEtXBnA~y zVXFy*T0&P^xLo591~mq~><-ecY_IH+Udm#3=O7pNl|7U<`p!fa1GbAxH(f{vD{U5R>ls{mvBnNT$krplG_n2u3Fp@A3 z{jZ4oer(kA6`?HcW}uMo-euZ&tNPM4zb1|E&y|{WpE^&{92A8_4Bw5knhS1V-G=obwnsF{3Y#rCiWsp`80-p;52x#)f+Hgtp-4N0#fc}e zpj3#LK*6d(VRHBvAU0RrK!8Bs!Wh%ZG$R@@(UQYL$gvWNe-imF?oz%Qs-mYrZdHLD zEBiY~Zpmx(SV;d%!g5}Xa4|ZRrV>eB4aqnPL)f5rH|iaqqZkps8GN0u6MrtUd!+!1 zw_p*X%(BJCcXEp5eVjPfItd+CaDPfeBz5awt44G&4&Z&R@*UJn603JUfX7&Ig z`Mj8TE^C%DIXW2W_ZhcK6w=bQSGSmo3s4G_rlac(xg{bhlqMl|T?*}NfU{Al+a%|p z)+CyP`zH41Czb~Gzo6}i``tnx~ zt0yOkpMQTZKF?G2mDr5oXgE0Deky(#>U)ar=Hce_q+r$6^?8}wz4Z0HU*8^CpeP7x z4$sn(@HV|Y`+fU(@8;Rz<2^3vlQ_*Hz$-Y+3B8;RHM0XtcuwLJIX!{6BO?$dt&m0N zU?hL9l`xy~pZ(D3% zX||J4;K>QskoSWrT6k^MJO@=e2=ixWW>I-+X|X}u440?x)wA2jdB|?%xFPQn-M#;y zt?8qFbC2~9+tp2|_HJ%_1YH%cj`n{4wK3_3W|v@PaMHc0ylTD;Vk2{yYd+xuIb2?s zh&!US`V)VHF)GANl5z+39ZfkPbfIFFk4m>*0<_X=f)cLbnz9cHNloI`U3gogc? z#lICAftnc#ss_-?Pz$FV)K)B9GvOu?NDcjp8Gn_fIxMsAH0A$Rs4`}r9-Xh)ic(<= zFUI=bK6COl09zYUNUBQGbn*DPy|brByy}{WN`{q#Q00ZSVynrOP^ijqQ;jnVdGJ)<(4 zPMzJMHm2Ab(v5(rPO7op5BFTA>InXpey+__*R-6Zlta!NnZ8A&8!yuha~n;Atjlq# zZ}@Z!Q?3Vz=CR2sLz(Wz)3=i2yOSM^`OhQ=_NF?Rwr@x`Elkx?^!h*C*HTpn%WC?$ zPR6O~b+iodoDUM^d1x7;Ic`QN2>wfcCZhSPzWj}b0fF;ov=;mf>7mB48ppkdwibc& zWz-(}4C$lhrW&VFZ(=$i(|w@d&|>v zc-g%LESb(kV(f<`U0D&v>Zx)NrpVgZQm3vkXWx+vQxkdxyeWo0UA{FPj&_EALp!GC z{D`!D2!6R3s!73{gJdv%=Ano~S*zFA;vtdv|EoB5)Zgzn{}5dKul!L+M})(@;;bSti|}iEF}}J{Y*b}U8OHRh;cr%zk77J=-zEl;pfg=#eMLh+hQIs4 zk)Y@0oPAOd9QX`f2471HWQ-PkU~WppO8tziI=I`PN4qWB3`G(2+g{+yq@NK#vRr%|9_ekv_~+4TvBX zCwOHlAc$Fx3sOj-3FI@OLV`_)1qWx;{C*&#-;{=>SqkrEgdX*!wBnU<}4sug&B)8!nRB_Ulz$4pcI2+)P!r~hadB_it&Ap z!`=%Dp}VXtN6P@lA1+RNQJcNuqqZ37i%I z-w2zbqK<|gM!m^cG{#8NK1jYvS+uq=h;2-$@;&r4M1cwe#4bV5BF2)TS?DXhCPg8? zNjX13{X7v`og~i-M7X3DIGjrIr*wv@CNOb5JvHzENhlztm$}uMcvzgpq_+iyK?;Th zX>9rCA{utGG<+d=za^%Iw8AZI{v%@Gn0!F=7UK`jjHwI_LWKz0!|8zNJ%_LQ-nl9 z8WRzakieh`a;Mss0~A5ciTo@P4lIH)r^-cx%ll4mL-H%{$A&;CHne;=X&O;bWsnBo z6WY(pc!&lwfks4|OhAq>@~FN*g(exC*-VfX;Tnf|0GhPe9}`lu8L+xPCK^mzG+qb% zH`jdwtLw|{(e0W%_U~Kx8K9ZG=@MprYwpf($0uc&=5LoP*{2^#-+o_r%h;PT-zle6 z40ffFmQSnR7|lP-JNPn}t2)=UJy=-pbC%au2af;);!-M}yEsOaDZQQJ$_sR)9TI<) zi&w>YFpnrJRFk$6-C4v@o#=cQuPX6GC2h^SP)^wkYg5&aD_`n_iPsVaHkX$Gd!fz) zd*uOpp_Zw}Yw170khcE3K$UX%zD||02h*-fKZ5F1z2#G7chuWkzTfAn@Ss6jdYKO( z?&}Ls@ZAc>e-IfnsOLoxfC!i7vSM;;{DGD}qsL@%)@u+C%M!kFisp^Htpn z>p2u6lKL(c&RZx@WWZoFe(EdWRvr()_+);R&t+!RaxcelE3Xn+ zSI85d8y#kmK+~xX>#d}eZ*&dpr_3x-!?8t2Tqj027Y4UvDX8N$Z4wVhTx{|_pY#A%*dSALs@o` zQGV-|D-99qqexb+n5^wt8%)L+1P$1}a7fAQ&v^9iOqQ4GMUxriF+t2P*u?nQ1VQ=8aYlguA z&-pkHug_<~o@o*dvZ>y5Bx}))yP@eevCrk{c9+8i`}6rboT<0u z`@;j>PGNuMhoVDXE%=9sex-xoIWIo_OaCJ8gL1V)u<^W>lOGxav=a=ABEo~;sgxDs z2y4-f4k$vsqF$TJ0d(xch+ul=hYpqK__9r=aW{b=Zicjfm*f)SJkIwQtdmOmm2W zgHt$ZuKN5Ir)5D(7OKqTKC38`MyI2J<{L^sa*59+1QLHK!_Y{m!Wfp}bjbUEr80&l z`d$YiF0ve8R&-0C-d@2FN+JQ>63)2oKT-xGt~SAsWsV9Ut27XgZO{-znypz&5G%)v zi(4=dO9eb`^^zm&z?e%Did(W5NNCi@V41@Kjkn=MW;MQ07Hmga5>0#~uKr#~`s@Ky z<^`cD#^DxBJVCR?EsrMl%wd)~wUvXJy~tlLL&ad@uMl7&1Fa#Rkb{~JRtb=pE|UvT zVInbWR0tSclLV`bCCy=;wX1i)fq@Xt1bm(lN1kRQ5vDhd1@!(Fo&`f5S*8fkM;X&L za4B?7rJu@J?Mm89z>wt9NKTojPH6eo?gE`gA_3eKZID>e3&ARFaKvydFBDkurM2%v z^7>~yWy5~XQW?XeHAC=Y8Rb*=27Q^uqQ1H_58MlIvP^SI{YH!))U3}gU!*q(Zv1^b zA*VJOTsB}*K0u{42Ude7A%~e3N>s`+86wS*9}4nDFcpNT`S;oKaeM6oMwRT;rd{oH z?ypT*x{Zx>pSM1`&G+Z$^tp2D*H7zJp3dPuPIu2vH}~tCht&!lzR`Wr_%^)U86TZ( z9)PI$TBlt~s)!-ubqskILrnuM(p?EdU4slV!_z_OWDMDDlHkRppyZ<8NPRaX+aoAh z^jx|~SyJ23f2u#Yu}lxkV_Jy0N8%l^CM9=}Gc(xHi7c-r;~nuPEkP1SmVYNaP5C) zILs`Oq~dRmaw^5;fQ|f`@p>5QifwZ*em5QW1PqG%&(a@_@wV z!|UUC_qaI(0iSnm{oU*1@acAE`(S-;xwu}|)HU1teQ?!froCGrZj^iL;D+~bZtT*x zt*h->Kr;Nbx^VIE;V|T89skg~=M`$)7=a!SeDKS(%clth5Y5(4H+XuSFjDASS+JFO zU<+f@C6}L*@_WXn%~nAh{*vpUAzI@I|X~FvP z5K&jGbQi4k&*P%5XKZV;vbJ?q#nM{%5+~xY@ z15nNhU*L(43c7mX-!DY}zcGHSOw9lLiO;x3ZxjwQFyvBFYs+uJxLwPbLIWBRM~pxo zsh@C^(0_!Id?km3eebf=#eJ02(na(C;q0xW;#!)$;o$BXf(FeX!JXjlGK0H&kl+$r zgS)#8?(PJ)paa1zc<=-We8YLa_ul8e_pEi+I;&TARaaN_?0;tW?&|(k*-$&B`^rwv zgZ*kw%H5Q=qh~!+cO9Kc8AXut7z7yF-a1n;m=O7vm$eczjwMhANA}Wc;FC&KGVo7C zCX7b_#8PEd-GVbhNK3~uA<22O+r4mhah<(tSJ*Nk8p0BNq9Zrz`1(eQlA|FB_yxVU z>nCreeBZaF1??xJz3qDIsaw?l#_as9OI1MMkl4+(Hp}F3lbue0RkNrkh7O-R(^tT& zary)`J5TJ2F(ycz26xKRz2lUmNs(95eZ&}uM!9bcBq5#)(3Qm$tr45=&Fha+BnFm= zVJ6ntIWwoV)}zqBwYQ^T`#!%;$xAaq5NVwCk}MH*h@ApI(()B*!+0MfO@Jg-2dTC( zB&%AbT9-tYsx>PaBariRy=+ZH25LwZ!<)RsBYe52&-E}U8r(+GqL4h^$T7ms#70z6 zetC!l_1G9;0kv9l9BExWf-&P5-E*G-qzDPZsB1KwXP3{5dB6Sx!Dby9wh8efx6lGR zmlvS>eK8e8cN~a!4|V$2i5U-M0r0t*pgh=7c9}b#hrzD#CQQV52kOa*#Fel4*ua|4 z_(22pU6TiG$|o&KP$bPbMv~T|0!q2s$f_9*0G_@L5W;kZ8}h3!C@So|Sc-70;WL$Y z&~=2n^7|bB`zzOrU#k?k1_s@o9@j_rN6`P%(|xL?p}t@|mTBaTqH?uaKIr;n`|xn` zaNqUM>fxpHIBo9l>!+QtC_~3}KM!x;o4JP#WujPzoSte`D)emDGb(f>lmG$ab#Y{A z6m|MRq2MQm{PW<(AeH+I;;k7DV=M}a)AT7M?_WR2Kg3t2S>(9we!tCfQF>g;vgowz zp|@O#PxPK@q^DocR99%oBEH?75OChFKB&%e^`BZ_%5i5ZviEAGK8QMwJzsCTFPMw! zEOd{0u;kQ9qF1f8Y9kwRjydXoVv-{ak)5yjW`EvL1}yIQX{ibZ6tB+CgZpJ0HIwOQ z4HhK8^WY4tWG^6IRRJ*M?;Eiin*!hupkgCxS49LUUz2MVa9u&C697!6e`y?TlOxTi zjBzmtza*9|WX;iJFhwSomB(MvWH8GmTJ^lmk$@DK<8oE~Xa@c0k~D^L3uTv&_sPv1Kd^^jNQ%foR+vg7AJ9`O9x2DJ{UTMX>l9ctHO$pK`U-G!n^!eiF8`7POwKBZPqngeO-SF z3jz5wFJ#-(TIx2LF(%n@B~%I41Erb^MnG5)IVxQOGse%AtE2pxl~JSoDU|}F{PC5? zBmB{onIrsRcBShM=F=lk#`2gVzegW_wg+xy8TuEm{mn^HTnv8uJ^ z>a7$i@)PCBH({MR%*>-`;s(I|pMez-3&AN`_OJ93(!93!`I2ZOkdAeNLTK0fHuaD9 zq23$f30rx37Pe`u{iaVOsc8pDS1Tphf6*y{8QiN!qjk>?sBeg3!q%Iynl*OJ$Y6B@ zCza-GU-eT*4qL4d}`$!c#AF(1sl9`+?4;B?@&R^>uO& z{KUQTV6DMW=o}OzpRk?N);1x>*l$v;Wnzm(yjuCLosuRU^_RTs!4gD$?`H7e=PnIt*Bd&8ya0>`f}NYt8KP1$mca$c;w7i+O&mB@6FO z=nMG>W#)PlM*m{S`x0jVVyycTAb&B9eFxHO(SpCSGJ*Pyu8GjLAE7Ox*XGJ zG)asnE{ra4{%E_OhAeJjlfK0JBW&Uz^NtoXl-+C*F0a-i!5WM0hH|E5;yRa{|4F!T zwc&A#Z70SMt8t)NfShA}dYK~S$bQ^6`eRc3J+ZF0_KeG<& zS6Xj=F_@lZ4|ww<{a`gc&+3d~qc9&_=kuHv_vjsn7C$&S1j>ZH_CDCmq0sKl7DoN0 z3&kx;bI9BN{o?uWaEt$WT*CVg$0e28<1rf2=zo$K%@dgls$1+)z9dPEzK#3r!GJah zn_wXk9BKuN_Vl59kGvzTTvivr{jt~A_mOw+@{|Rc%h8ze(H&C%xqV2B-$s`y&`2Id zpr08i+PIhCHs7Bjs`4FGxT)~ZM&KnV-`m05R#at;#BI9Dp3)A3JMXM*E3|*ns&HAT z0ST8oTo=8(!{QrpFxDmcCwI({d{)?l1RHm(ECpPp&&>OaD6d|lGGbdsIfW57YZZ!E zfafX1Eo@~e8$>^2reoBLSPaZZ@ZE$1DH~L$$k^X-1vD0`c>Ta94(x5EEjeaolL$x$ zzem`YQNx5~6fgO1ys8!f+ompwThIkmlQwBy#1nH6v5|yMr;H3S4+O+PYUzUmAhjrF z+(#(JM%-cny#y~ReDC;3n(DhqP3>(QM@VUJU6m61(N zwcy!xk5P=xnn6%^n~az>(OYCQ?xbMc1}aoD?u208a|N2XE~Fn@C+(4AyKX`h2-?Cs_8^gDNF>jHXQ($(rQq-?0~_i%N-(c|%QT*9KdIQW!LpUSin zlS7t6zCm6StLabPF?|&+`mc^apH8gX08{q8 zS~Iff+6k*MDox-jz?5^3GZrMSZ^5~j2d*$rpG|_xLBYNRn6mE0MLBuy!>cPHVC@`D z3KTcz>FTy0o=+Mc0&L$r0&+qYE$i?wysDT=3POWwcW1V=|g1MN4$;9<~0 zX)O$%qSMXCPm7JBoD1Tu{5Ba8hmycc!PnbIMX%jV zF3a#W=_gxpy(NOci5AP@v&mafIibnV2{ov}z=!Br=tS0`pIX~vhM)t@TStJyOYeDB zYdubV2^_HG$c60SO+UaN$6IP_el*`WpYz(C#l`d<{_~*< zitL9C+dDMKwGz7QB;KlpS%;2l=(inQeX#z4&f+Ck>C8`xkY6A}!{f;Ezoid%pd#w@`X_-trXYQ#_elTi)So&1@4A!BtK`znBMV zxBcQ_n*4B|0xV3p*?MV@FJIcdqnGy0mb`6k+0mels-g`6Q-y3*pd?p0u(s@M5mlzJ zfVIv>1D_uBCGy)~g{o?f78jshmr*3DLaCAvn5o4KNQ2faEoP|MCSApxyKYORVpXD! zsOoVMC~ls=oB+N_$!6hY){K`~8_MXKYzP;MK$8U-^A$E4PHNx^)gjrc3Mm5mrV0{Z z*8eXwfj$fH#VYc}st#%ec(KwhluOhx{{m37N#?3>Z2?WT=Uxt?+A!mRC4uxHwc|A% zE_J)W2(F{+<}!l|q3eM|_klmqj}bLLv+t+xzCwVmchHZK`^kqLo_9VT41b*J8X*o$; z;L8ed9<#s=t%;*dUnKhj@>u>AswkgpKNUmRlmz_V%)7`kQhs-wWO4eNL;K-%)sxBo zbtJo}mbF!Gi&YnUfu`(l+@h-+cE|Plqug1Vm33}c9dKyJ7s~qYI>ZjI$(z8TN~-&k zzL6X3zml!Cz`qoO49h1j1E4|uKQh^e-`Mhg@I{*?%XK9<1oM*XxZ^tM9Id@ASU%D+(`kH4Sv3CF?9dIUkxLzMHvM&{0`csEQNP zP3Y=ppJNc~q^XjOw+Q_9$`a~JnUDZ^yiFRnO3eRS2F*E-AVN2!^;wYZmIPZdCI&Vd zZ{7wbK-NY@VTTYPbQ>r`E-l*s+M9V~69+ZY zP)RyU>DcUer*R4)bFLl#MCn-SjnZ**;DU7*5o{?W5|4i}C49shl)Y>X$INdZ$MZ=n^HkLhIDmUlw}{XIGqogsIY5 zIA6FKbsDj-Zo?%3&96rbJa*r%!@~^~1gS>lDFpk9&Gao-Z7tx)p9`ef;GE$ZgQ?bY zf}-h6-;P)v8LhIoS>qXZF;DiHHOAnHN*!a;6=FCC&xQs;nso@WaG2a;weVg2CRjkm z9%id4F7#Ndb#e=ZIgKUhwr-H=oa}DxoK+?8 z-sSb@9J*g$h5j=M<^GcQrjbhEJvI=4YKRElodiTWei&~4Z6nT&mdsv5W zh!QcVm1GbYYg5?Rk7O6Av=y6YHze0l-u3mvY$qBOIhX3Y8h8-?HpP^CPqsVmMf{IDk({^Ns&8+; zEZ<>ovBtLm_k;$$(zIG^=g9`SV{qAAmDa>h!Xk7Jel2aN54t5G>mJOI$0}mswM<>q z?Y=7@rE|z`c(U>h9FdOrbW93a;0v41BH_23ezz2p!)Izs+7o+&|MN#YM|1a-2(j07t98EhZ5FrJb>jo$cCKiX z_3vMN{|-w0AD`wtT>p^Yy;7&o0r&zXmS1a(I`Xue_F&4FVYUHs;REuH-kRWyQ5VQ1 z0zwe{H`;O@zJ?N;9Ij)K?jE>&J(&2lz8l|amiAENKV; zuzZb_K^7}R))SNLGaigA5LZhgrL9ILGnd@Gte01DYhy4O<^yWzT@aX`qaH-|1|Wrq z%H1?QlBQM=&>@K`$L%puy-OO95E7q)RizS;@ru-gR|`2I%gYIp#L&(!i_ekBf(4*T zG9PPe%`Y?JbFnm$mXpY-jIemrm`PGDk?fknIRE*aB@ADQ#L^c+%%~l~Qi+(l3+uHO z3JVkyLoJFSkOdSv;BhInE6$HO|Joj^{?Vt2ET2-|zxkG_6q`8v7d}7%*Xf)650l9D zFM1L)_S#0X_TPvH+ZFk#)z|36;_DpXR2iYo@3gRX`%x61wPqFAV{X^9Wb_d#%Mi$D z06~4D+Wa(OfU`)zHanGO)2o>9ieO%8ZGMk{S)Aj5Ku%L_ehtd@&PVTnZ!t{|2y()R zKs2V0hl&A1du6!WQq>sL*m_cxHf%EDr4@vQgPvv2gHE2R}%Hv1ssDeXEe^siK zU~uw8RKjZ@S63=hQmYa!Q3&y88JfNK7xMno;@VQ_b@%x5a;Il_pn<~Q*U#ETtZb1eV$F7M~F z{iBvu=$)v@Q8`4n^=Gpa4Oqt_RsN^H~9 z-zi|NaYUK#Lk?#zxu)*N>YT-Xzb!@ZZ`Y;RRKUwx?8@3fr~fd4vR~@KH6V+ZH9w`q zk@eenYQ|i{g4Z*!!F*7?Efr-d1!vl99`R{BtIj;>3Y}izqucAr-Sz7A_bw$Vs<#|j zcrHopd>Q-?gQUeNI8)cSN)tFxjlXDfv~${4RvPuuzEz})Nlck}QmL2q3zgt-k$~ED zM1Ks0%ALo___kRJUkgLWTgR>TJ)z@+YgbnLIi(SN0A}ip?X0xIZ|nu>0>R`t zg)==I6TeUlg&u|`!;Wn=pJxoSN9k8qqaIud?30nev!HP!0wwVM_usBuM7kk;6~N-@ zz-dqwpld-`x2fXV@5!zS7;>js4wMX904?+~+5j;+3tpJ|3ad>59U$jV)G7dpM+k@j zqf-nViD+O9w%G)}XvtMo1VHV$egc{*5DjR-0IbeP5Rlx1psGUfCqM`2xe08nxaCxWXHd$F{FTB5#K_El^LXc_@8dKqggJT?imfIb_H4)oicTIRY#eKz2uZq3Rb zaWL4kc4cZjXu$|AyHN z@KLg0n4eY;n^q3v0nzz zZO@oDV_Np=hj*MTW>TKu8vN+jt;uec_sBGxmh zdl(Gt2=!kTqfOg-M0a4f{CvFUA2la3fj(9zt~*r>SzV~+CClKJgx)icns3^@@3)|s zw#tcz!Gkwf_j9r6_v90L{1(0x!TJU|on9U6VacAU@O?B|I_M`_BA*$*_t0{flpj&eU=LX^v*n&JQbiu&)s+W)bY;AHy;7|2Pwq9gua zTM2o+1o3+P1%2QeZoNc-gd8OdB*s~U6rG6>hkb+%dO6spQLtb&ZZfn|7HRP_m zI(B^_!L|tBWaQova{(TKp@cUtEg^1M10`KyLBtb8vSo%EnD-7HL4lTwyBOKDmc2kU z4eqn57HpnzE4<9tt%`3s3&WI|;LmacXce4DvM?h?2|yiC0VlhmDv35`0cW$Bq_rKV zD#;r~|3Km@2E}Ml5}g#Ibw!eFF+pK+xt|oH93!-X|EtaovyxN=$`t}NtQ#|617~eO z){Sy7z-hJB5J(Zc!bk%XVAKqQqy|7wF=3}>Ex{{atjbo-xyY@kX04|PP;Asm)X<5b zO9pWs$^xniMoHA>mn!B>i_;XuWLD0#3&!l&LxQgYUe(KS%Tg8p7I{^vrf|fx4`n3H zq4U(kStsKG6Xi?=umOs_Mc)Q7gXI{l)T|dUi<0HJ(&rxw$dGTa;uR_cmIF#E0~Br0 zPeo)!b;`AE7MlgH7}dDSC+v{q1gnx{eGwo5bb>*$#ZK9C=_O*93gdE&F0oXMRa&z9 z&XWy=$2bfHLh=E<<%t|F=dT{;N z6jXa+1St;cToKiBt3CTBYH&))B%sye_Oq`0abWe1C#o0v!a^PCRiOIgSUm4FjVXhteLQ zIe(NLc0xD6-s*BDspN}@4hBZ_E9hF?*lzt1zV)VL)ZU6c_C?^y#Yr6%3th82Niqas zq04#^H>al=mea9fB8X>lWCpt8Bd*RA<d0X{-?y~A}KN%Sx#M>-Iok~|DWlD3tP z$BJYU3@)1620(Hhwq25TQpWd|l9d zL~~^;p1rm#{Yw4OJgP1zTPMI0nvxH88t};mAqNPR34-U#2|PgM>fmLl3ZS`?zbTR# ztW;$(UhyJo*9B_{fk_2arvc*7dG`dG08N|+^AVufedzsXKFcGCzQXSjUR?FA1*3(< zc=q%a*$it^@7xLu7z33w1Xs#|$FQGlfL$P0_iB^l_p0fU6xJnQm!QEK{O9*R4r=}O1tJiFHWSV5iq>{! zmXEFo)icQ)%dbz+-4F$Wviqj6EyFnmUvHy3h4PALQT^48WIRQHDAKdd~npPy&pNu2v}~6Hq0l#;{933h)RC*6DnU6uwPM`zmf} z{uMGPsW5b*f*qc+u09$@t-fAijI@%89s`_u=ttw74*w@A)w(6npkz(%hW!+zABqbn z)r^1uYh#I~N_#;@_)W#XkrjcajxYp{Zj7+P=VV@fu{JP-HZS}WBbSlVqGC%(n+}hD z=upT)u*33Lg&TNu%vDzloLV;(Ql*KWKr=aDAl`5%en-(3WRYWrTGh|ehP3<*17YQ^1 zvP4U+fQ5!J9dE5N4ZPPkpb2gsyF`nN$|w9cDN51`4eeMCYtaOID3+HCG<=Pv+ap9GwG@rko_(Z@)T>(+FB5M{Lw0)}F7&o;~ zK|Zf+{64U&lk&04s}7hzis-96dqTYCXKohwP};iRS^v1N7@}$Gw(*ml(!}eZ()6Vb z%vo^Y(*fyIL88mJ7v~gm?2&ZcFLp=I%KxmN#YU|)q%tcpV_w_bJ>K8$ujcwcKgEup zyS+bY7SX-kmclBOOzi+)<#wxjXJ@a8_q{Sd7B>j~X75^NrSb}_)n;0*7CHph5;Tf1 zT>w^!{@xwiskpX|`_Oj%i{Z4~bT-e|`P3TdP0~oeltW%DgsByDySp-1am#6?T_R*pZBhoW?Bofx-J*k`qd!(SYD_Rz3T>x95!lX<>w9b82w_6I@4@bv=un!g>5CO~ zySZ|(Ab^B;>I)nJuMFe~wceToy@?xKA5U)<`aB4tk!p9Y+-?F^4LZ;JVz6X; zhhQ3s?lGmLYxiI_U%53RGGo0$Wc?7xj75oQGz>GdeLD9cjUT#vHGce)Wjj=gVAThrjpLYFNv|8uXieDVO=#KZX2XfrA;@(0r1XiZF>$sxu@8T7B$n8sh#ym55cJOcM@og|Hjyrot zD$Gir_i9x=TpuAlADgEXyBN&M5w2JIu9CN$t)FFVLeCkZlf<9KG08fT$wAyH%Ykm@ zg1DCPl>LYex1J$-I3?)kB-l%7Kb0qdV;N&vf|vW{`Sd1}kKiUpfG!ci2aUs{LxYUs&KHp=o_s-}w>N5;r=~5hc`&YxN_lW(n4e zk93HXjmyj|AF~HwO|(bDSJCLxiTtQzk;jBNs%N5FGT;4Z+#Y;_UB|+RN&SQU7MD=} z>n5u?R4J{H#ne?MZ(M7pY~DQZt41n{PI*GD&%Fvo9Koijcs${eEsF^B@-qXUL z>n;+N!Fa9m_ag7W;*y}o3rrCMYCd;}Va*SW-D5mhUE1^<{OEP$jy zUY?rC*j09SmbDEZD2sopuD32H=KQXF{s*KOouEJ_jX(@U6RAT4|0Qw}LDY27C_cqC!xI1WDZ=ithrA~Kf>SJ?I}#thzs z@`4DGbU;R<>3$?#?4H_eU|w=0-9vEa?Rz-^-1$`LxY~jUZ+t)ogY#VsJYe zo5gTm2SPCiU$9OzS>c(dwI@mgVIt42l-kk9HT1MVJg1qp4tEb%k6({-53wy_;MD`3 zmLB~ceuIrM(ETXIQ@5x01N3qX#knCF7M5d9XKQQRFoht-cmdHfuNKKd$M`^^GDS?7 zwD7Z^r_+T(q<+uurzK(k$K#{00n-3jGPTsOVaF`aUR-@Lme`tDp5f!vVUViZRr&tg zB~4ovj#CZ1Y1kkFrK%RgXu9!#|)AtXwO_K*GZ7VND7&$?nc?8u^gj-1Rf(W=4ie@7Kf#9n8$87R|tRT)A z)Mx^XMrepcxT;>QSrNv+L@x@DP=#beZ76h(5ek0ud%?WDVf?UU)AlN>o^^x-%Azj% zS&*8|);q;D@oaOB(2egypF}c)E3sXA^CYvx6pbkje0A^IF*kd(^95hG3m-;&0VW{Frj2r0yH*x zeK<3qG#owXe;16P|5XZ!rP!iPh=*7sjqwL+Eeu(#OGjA8P~hyvnTZ)=Uo|xPXo3OA*7bxn ztN6M^BI!QTXGxCwgcijIO81LH#vt#rM#m0#<7QB)?`jAySm@JAi++szw-2sO{HMz5 z@bnw;FVqI)ebnfv&N^wMoPBFQR-%3CQtF$zT|Ti06_-lnoIZTF-cZU{XnthJff*cmXq0={CFB36il+Hil-@2B5S&*1VoC^_Luu-2g} zN<>qf+UWdnd9PW0uf;51LFLm1KhQs0h~#wr68WpIZ1~dey}mdWfb-$_Y=QAJ8>ag; ztIMm6-v=P0hd!>Bs3{_UQu_@m;k%t5_z2s{V&BE6&XlRDg>h1u@jv>b`T=2@Rgg2^ zP5jxV7L3NRH-YbnO6WLK&}I0w_O7T}z-i!_Jn6E^SJt+n`5e#CcyJ?2b*ZB<1n0CD zc@r(#WT_*QbF70$>BP%@++QI1y2`%4DS3`g^_HTs_11w&m*GU%%E^AQwOo7P48MOR z`*d|f6Dot|b;w438Pv|V9cBi6gyf1X#aN>utiMp*XrqF~}!kdCiJw~mGiS?=^e*EoVAoxcy`%J=V3 z%G5T&|Fs&PY#g(5sqZb_g6b_M<)SJgTSE*Y64jv<{$^y>EDXRkWmt5vX%r^D+8pWK z51ojw21|0Bpi1ombA(J<9Z;io0YM0(8A9xWxYX7=4J=pyrBs_lf;RF(v`LDFqHBW7nv~MF;?;zx47ux*V6-*5S=1lRilp7pGnZqRHN;J9 z04|F`~Qs|WZ^85gs zU9Ba#9JT7U-p?ke8=0~!$r^t`cb5wtOov~!Ge>E=>N=6OI^Z=qRaTn9|gt=g;H8nX>N`0gY()vt)4lL)bY=k-7EwyKbgeL3q%nGT)(ygk1US&W2L`bwBVMN{@#Ys~dNKiU| zXe2Xh*BGHc2O%X_lU5%#4}98b6~?dXgtx1qUi$E-#YbnGp_m}ox{sb@s&hM$$j9PJ z8|1UU>boK^vbA7oEwwwLih`)q@9Ki#K<6-uvMJ+GH9QYLP?nqUGihTsYo+U=MWx+ zhmlrg<^Js9znINg~aY?}drcbzO2yR6R0CGMKkr61jZpTE@>lME=wBlS_fY%i$nxiU;t#Y9@ z{RA(adDXrD)hxfrtjg&0^7Au3hP-H!Ks9HfW|3sT^p6Ar73^C8Hx2WC;7Qq7FnC5a z8AY=XI*JNa1+r@3RR==t5MJ!`3M2jc2~={kKO)pkE49M@XuzxXIfOQy`R$`X)~3X| z3$>~1u+yL+Hz{HMrJ6UZf~*rUH<_9%QS?1NL_UI((|{Ys2-vj`33|FSRWnt~%qN7O z7(YdIBzG*B4w4s+u)rv=;H!6M zN7g(6Mm0WQV~7B=dV8~Cl=SvKurHMzqj4_Pru(;AO8!ZaEra>D8OI91D2l# zhH!VM;St_#VFBJMXFJ)gSo`Bx-;V)Ach2WXXTIRPuI~>4-Wved_ji7q-TGwk-o6;$ zTNtC=j>yM*eLLjJPj0yMj8Em11=@zig5K|axG>Oj`dR#)(;MiyXrFQ1| z9-4utyD5k7?1t;(hR)`Mcdiq(zis}PybCv}tNkG0;nqgYEr)Le`bY#Qj|P{kcWaZ{ zOiNR@fL!a3pcQ*GZwkCqwpCS_+RSHBqAFRWWyYu53-#ziLN3mKNbGv@|Lpgw0lH$0@eE<` zxNlP!!U&K)AW8%iHtJwLK4`42I}Z?-lrFf0Cyd*D8TUKCae?gE50gSc?yApH^lGrq zd4?t7`XUVRyw~Ltqs9fe=0to7INs7}^6)VM^7y3kuls(`5TeGg{N@kT+wSi>VaLZL zOhu4SBK@TjW`@!B1NZ!uSUxlYBWf%>5>=8hKe5;X-7JhrKCUlm5`Q$=pw`H>Dpg5= zG7#37ti%qz*insJskp`upskvj#0+ecg{W0mjioSFe?y|M0kB*ofm-~lUv zKS~nwUyaKtIG5Z8JQY6O1VrL7&nuou3Yaob=N9EQSBG$#CrT@7&!|zBSLrY+Gy$c< zYpTZR%A0M(E4&6YJn&*e=PWO2CzLSKBBN9oDc&YyXMIcR*73M+Vi3c;6)8o$`-uVGvL8QS*mLY~)iJblAaXmE+I(==+sZTmbBQ%KUX zU_&`rGXnNdrD{RiCq_-FfwS+7j1i$iDQUBcZN4g36(BdncC%-q^cVyQ1a(sMxHiYgkmWGLlf9wXMA3vsXYmImQTz8%JKyzoO2A}!s^Pke)=R`~tR&1ICw&YE z++PhvEg~xUMT`0^onF!_o4cAi(9A{NE4vwP76+lT3HB^RHVS_xoj$}n`?5ebLRVL; zONp9Uwe~lnTo-FP<1 zzMDw=y{>jWQP?C_!^}7+E~?~BgGBD^)==s z-GXn%UWXlX-*o*fbl;0O9xA%1ONiBAR6#Oa3aO4ngjOU-wH%ZJ$Siy{xqgmi0!Tgf z6bUdpFH2C73_R6C!VDCb*xVt!BW^%WXcdH3c4!SVXyV#*g*m`ePgzJ8L?8xJQgg4q0@S#76)i{Raw0D5? zN&&Y~S`G`yp0x85*Ps&^%@3OsH_a*ia;rNNx6K+C_^S^HOfM`R^lG0-7G#~9WP&l@ zqPYc5Tu=Lix1lRrcUx}N$--OU15RZSD#z;TyBHA2I}Ngqndn+@`i#u_YTu}H=C5FZp$elu<@TKJSxVh!nwkn;_$ul{>tLzouaR|M38Dn<{8!;6G*Kq zy*aX|if`hbqKEhmS^pvrr>$R`+O1EXfNO#!*eY!s)&rp~m6b6v2n~ne>!w&F>JCFP zQixLm>l=mjuo0tOSm_|yW>R!Ih%;N78DmlH3E3}fE_B?LaTzbl%Hjn2uGU%AR66^W>9?uD@nmM?TUKB z%zCR#3oJz4nn+@YnMKNXXQhBRofDmK@uVe`y@dsb#mA8eTrM2mL0ifp)MRC2wO5NFObv+*MvTtnG67%Aq|0ez8pC|sFkRIpa@vTh`K z{RwtWO?B_&wD9T3lPD%rX5#H<07O8Z#klNi;2X+wF}nmA?}#XiL`8YNcj&abFd?!^ zfbH+}$|M@Q0^i%BEM4H?JCbuN^3s&3H`@Bo}@)RJW@lx~gc4`d8a!f!rg3(G@CiQhU(juLeHwmiwMHPXE>a zZk?-nI#+btHus@sg+6B`_D0Zc8eypQBj}+z)@gy%@x>0k=a>4r$i;6Wb7 z=l5o5U;j&RS55_ zK06YS^*`t5cA-W9#*h66Q<{-dGnFZ@#`mUs4E6PVMBSBgkNrM*xbu?5IAU^)Eun69 z2YzZ1R1qbuGLlkx$FNU_@&zB>n#sPm~C#@rYY;dY4~& zl!+Q2!}1Sfp5|3aJGe`ZQ)@Oh-e|XUuAR7^Wod;qL|lo zR$}yn^{#gsU6UGvuM3|2yzGbF_|6Q5Sr_Z0XvXTUWm3pTZ=Gg2UfX6peflbkyZkDY z#uKhz7B}dAgAPGFNwd%?O`(g+j!o8xx0NRU;)Ava`*uQzJDp0i5ne#Tu41&dGP+iT zEIMMZ*lM3aKP7H^^sTdE5W>Qx_X&q4>+k=5e^JSmnAmX_Lp== z%wKmE*9s?fF&8O6UJ~|Fw(m0MiT3X@$B9}d@~pAjuk*xXM98=Hfz$2?H85OwMX4g# z11tD4^(6FNsHh}94MHvmlS&`UpHd1sfE7P%prNuHVj`=pgd!bO>V8NKc#4}e8Kt=n z*$j>BE2|F03DXW#|KK0cbA{BtZ#Nh!0IwJQsAy4fUC^Cq&s#THTuAWD{3MD3tF4*7 z$+jTYTTaXyVJGM*Q^2zxPoo-bIbtC?6gRp3wb`sBY5s_wL&Fg!;dMm|cH-*_o=GX( z?Abr&9NZ*o+*g3Fc63ty_1dgrESZw#oOB$;4Yi6E@Bq33wERdmMuvx$TZqI>vt)b3 zk)jy4x+6?Ox)<6TJPi#;w)3=kT?IwjsSKlc>4%s>$!7aA@FQCclb;6CB<#71?7jl% z{KBtR0^44#oKo@pT+HeFy{qU>Oq}o<%#&jF^=PuRnF!?gCg5yMKu}Q7me=2IN>+)wv&p1=Me@(0X1AJD!wjCb&`cHGkPbFIo*dxu=^Dx%^Bp-n4>Wc;6*DIgll>NTdV^MG6u)-C;VY;N5|8s zgvzPMTMue!uD=$g}Y6^yI&ykv_mK8 zfc0L$yNYx7Sl7LDtC7>2pPnO8U@XUtjBCc85skt%#fegIb@kUizmfL7Bd0wqoT zGBB@Jl`0=e0hLAB#4%c_VmKo(SBO^UNpg)4%$GeuTQV z#zwCUMhCl8(Q4$QBTCJxShG0B0{)te4SBMhyK$a(tDJ&8BZeJz$CQE@x%8H^b78{1 zL5o#FxK~SDe5{6vX9M;LKFo8sz{#p$U;&^F;FRo>ToEhBL*eKvLtCa*h6{?Q*sT}@ zUIG&UApjsn6W6$UaThD}^1|A|Dp%)P&T4VX8gP}MVGVsXq&<)(biYsI{HjcsKi zFZ;(SrNhBNaYCQ&8AIGro2u+shda0`>1dWLT!Jitv#1}Vjf0j0*ImZ5^i`C~yy%_; z?0Yd$Bwz+hwWw_BbzEXhBl3cI7dmcI6Rce7E&H?;+v&IBu4v%)P+5Cp>Y-AU^_~98 zIMoi}+*wCsYQq$B{hGV=duf&doob)dTj$HGx2wKT3bWNpNB|wUqwGm@JNsr(ZR!_) z`n|a8JF0p7sd;_LRh=Hyxhsh&k|deN>jJR#FeV@u+1RPkg*xax0eXF_=&I8=qME4)&*VL_M@9Dh^gR6Tb2>}6SG=*=v3J2)Z=tc>pD0L8*$Uv$ zhfI)AB9lpNHjN{w!-vLVocWnRpH37kW%w3BvPGO2nDwK`$qRv zc(wGVxTpnwmM0Zszfy5-q!Term@ge7%#pwhm(xMD+0Q5eqm<*Py7J-J>Nxjy$I+@L zjz=cc7ptJ+o6ENqMbygzqHxW}wY~+DdKrGBDSM5UDG?^Z^<^QcpRR)3SrIP`zF20| zW*;{%YDnc~LAdN2f+*2vy_>PI6d&2Sf}cjh3g&n$$>!$c`DKMdRLaF!KAd1T`31U> zFqHz{;9_=FoCscgJhG5_4^?9ZLfRoDX>^SVxG-lnh@|1iA$qRy&2SuOz)^61@p%L-s&89+N*r$E92OqqBMWB+Z7&YdHU_O)1~J zmc($~SDU*u-AW}No4T@nnwRK;Yt9u`pQqvm$UmK@2?mg?Bzn@~44(8ljrv1IH zt=QgdI^SX|UDy5m|JwTwsHT$kZ+itSEGj(<0)mD#5(p5A6ql}{2?!WMKp-TLLKU#A zBB+2Miqb@-BS=TOAiV^XB3(g1x)SLi-wm#-yX(IH^?dvOzjMALoRfR++-DQsTAvwpmN9z@0f_tax^|&vsRI#f`W>a!L4Y zm2@f(LsySc5ux{GG*KcT`O8wf?cPO0y>c*z@LA~<5lg2 zXH7eULN_dL-1%J0C@uKv%wvIf?OX>x>}lOej9K@@Wj*Tt`DM-ZBek1@K5RG>yUzRN zjeAXF=R-aEvp2slT6aqP)p@-WS$o*Sj7Oi$iu-%1I@<-l3f*~5;eH-X^VHJIUll?Q z9o{_eu)oQ6?|w;+TMp47a~rgX~%2 zlqIOwrxny92+a0_Uc;?R)?-qY`qiqN$aKizAR2du;;FNy=h<%m>^u8zhjrmLZcoqt z+TELr**dz)wN!3O9;J}=PCds;s?5$liw&^s#dLR-AATSJnTnFivW*@1xJqBHXs|3< zovc-ysbatW*7mw*m04i9H?(+|z#Q_EE}TIHxE7tU2y=Us^wJ7DvjMZbeZL$^KNd3SglV05u7li-q40q9eFyQSC8ih||g3c&u@+Y$Y z)Ff)acQ4N|*K;aohuTI1M_8F?3&^9(@xa`@<$E4o^~KY;&z|cN1>U_Fv7~&b2i`!c#l^xRjD%2RAC_^tq%A zX54r%5GqHmmAp7U@!+82+SN?-({%w9o>2$#^bDzv8@CH8!d$o3pOvcawK(Ixb`|hP zJ4!kQ6%`pjC67jJ>FFxqUha*Qkl)tVJN0UgWcXTnVU~aWV{y!`=ebkdFAI$?ZJp?H z`>z&alEh&AYQjVQ78wh+24OZeNmwkylFD(1Zkx z{iLI4S={W6ttl;!QQ3jcrS?=oJL+{@X)U`0v)-?~J6CMexJCM&ttqIv!sHbv?`-3} z#8Vk_%SVg!=2^78;H8+o-|8iS0|r4*5ZTI}U0xod=0+ostf?U2TMr1tLe%YuGy(;p zPQ=j&ssudQng9e*s5FqwSDhpr!TK-+rgi@2oWlA$C-nHFcUP783wHTZqPRVYT6^~X z@~A~rXZP`$_3xFcH#Y|h%kpEVC*{xJ@m!lq$R0EF25-W*x zR%R!cie|r5T9T#qn0n%f~SmSeY9v_ipHMS4X^9At!G!V*=D`&X9(SD@vPzOQAx|t2AS}w-OsM= z;Jd$GPm?cr{T813GZpn`Pu$-ePueSR-!S)3vz0Yc#Pt675PN5#VRIA3g_km%5q@x2 zu=>>+&=z`#j_{zl|7El4U#&9oMc{8E)wOi%Z}uGI*?RrfLDSN4(dL{Wjr(e8&B3a5 zK{c9LKRvp8`jCpKpT;>|onUHqN*t%Y9?XP%<*W-{g(JgkH-eCRrg~{yH1z315=H1) zbFz5zhOK}Bo9H3Gz9>};+Y6k-%@=$IR^VrIQGKKHmgt`T<7Ya6Z6;i#!c_KZFu?4F zZ}}3$8+%8+PI!A@7Nm%`#M45Rex5qe@p$v4oB3ABBDbMDiPz3%9<#%@^PqOwa$OR$zoNgPzqNIE$+w}ONX_nDF80Vh<#;f6tIPYjMHgy8{sQx3 z59PhR*T>m+@0zCrjoa%U%!Lnjx|zt&sz-D!@t!CdYaAjhy?6OIy`hN%m@)~Jl1)78 zpu2xKT2XR8Ibc-=BVTy4AdTd`I5PwBiCig^;g0gDy{b!MOK{GSF)y}E1qRm!sq>fC zxgAq_&Lg|6?9HZ=+Y@}xd2Ww#KZG&@wQqd0s<^wf{oJYbM<>@)^rLTNhu%9ZA~nSw zYnuJi5Fz8Njs=^Jdk{}sojZB;r+nRKjh;g3ZHG>84Xp3-(XMbneFEEghc9u(Voy2VX~%O}+|ZB*jiIBy!#^g_&eRAJ;8@{Y&4 zxXBPwix`8`Qq8>QAycFUOx{}xmWc*G1u%fl6_0-`&J}$885fWO$o~- zwMUUFXSw^G)1AD<=Z86GV&yc zlzrLm!7L-vrUQcsc6^@6=^`ZUVvI+}qvDFHcdJ zb-p0S`wp9Lx1nDx$^Y%R5Cj_7|4N^3MPnV_dQwOGQ|qWhpy8}>G~AbST;&zroN#yt z0u5wEu(cy$gr*8ggg|!I7$IY%4phfUg>c617Y_F?2vbpb#rub z1hj$N9El{VtQ$rMXHB*u$O8AQV2BWCEeg#6Bc#lF0XnI39Hc^~5I{&t6c`VMNrTWZ zNf=5>3MF*}1c$<*5GV=)LxN#YSvXV{iUj@kC#0|gxRa+?+sI;7)qhJ4c)|#sq0yXV zArMzrS4mfdB$;9hfuYf82yjk091aFzfT`{z8qN(&q6&Xf@>!26fr_WtInnIMBoIq4 z&WcQ@VT6QO3H|=}TfQ8feou%*m0ZiCB%bUDal<)5V3JVC-w5gG{AV#o$8Snn<9`=( zqEm=#nX<-12tDm@FIxh9YI5P1BwT`c1{z=E@g8U6Ff3@VdLcbRGPkPo;AX5y;WTJvHo?N72t_{52_gYrHIgts{=6fOB#p+Tn4m+6V!Pt32e(t1k(#;?wMCM8cmEWm%$3 z{8J{rn9FJ|WQjPEEk?)0tr(M<)SjeF02Cg@|*f0qvqOj`ANt z`J;|CQ2v^rJm62+;}o(r9Z#SreCh{)+6B_Kv!dWA?mz{Tgux`?2pM^n=fA}K%I~aj zErPo%PzR}BW5QDHCaX`TQ}BefM#+v~t)L2Y9dH;_8it0Ufa9{H*3|wb)*rRI$*PbY zop2;~1s$B5og+wtL?hTzfW`@^^J{5*e*F!xPmS_tgg=#`uR52t7oS3bt_$cjA-{K< zpJhIQ|KIxkV)B101wikQM7|5$A8`GE>$?#6uE;-1*AKY93xV&7{G)XJYjExO^5G2( zd18cIfzRr1f7^exIndvZha%y~-_Hq!B3OF?NumF4JT$_%Am9{hf!E4Yi(nz~^_RnM zlGw^4Z|IP}!5OqBe>|7aj+&i<;D<(e zGeI7E0;fjjTjx`;Cte5T9nkm%8!=sAS~%=#dUx2>DBt53i?<2xvFsImruL9$M96)C zOTYHFHK{)@2Mr$0ZrvRl6sKXj^Ue?w{DvoQV3+;gJQXo|+iqX2V~dGiS1|SI58lno zs7lm>ov!b^x;a(jbrLS1wM+sRV22xk+Mca^*R8(Xc_VL6=`;S$d|!0AJ^Dz!v&2#E z#yvtfKL<%Q)eY?qH)_w1nW_dts~iD^&JZhsp=*}Tbq>Oo4I5nNg&^;}_f!e`{)%=y zCAx!Zu{@+wSKz8wvNAKqh!&Pu{_r}GtXO;y95B6IBMKY-{EGa;Jx|3S@fMTZy60C$ zm?b`nieAf$D+^UibNlHY%|pz~NBD&==}$d}CG2+-EcwWk-z{-wd4#@s|MIQ*n=)FJ2Gq7L;8XFm*n@L(nkpD+%H`G?ErRX>8;)Ou#DXwXfa(|PEXwf4W!5@ z>vX0Ei=xHkl=ZC2Ok9t1qhL*&P_{yq9RkWlL#0U+b3=~%Hhs~-M5l8S5nD@Ueh4KK@9Y;aPz~jZ;*HyvP-i>ZdvV8TMmg1Y-&P$S%moj%t!9y>Mq-bV z$nlIzZ#npznz_M|%`dohA{l_zDM0Jxd#K7v-%NZpb4$|hTN^aZF71lA@WSPIb0dKy zK%FU_{CRkAK)|4${&ds+4{plR`@IgOw$o02(yDZpeRrP;=jI+YCFs+mhnqm&y4@2M z8mF9j-?GPSS$NpNA(0?)FNyZjKk~kSTu$4@o;f92Gvx3hA-4FUe?uO;DSg5yC{@#I z6OjF4+4E_-uN=fi@uw1K+Z9_tvs#0@XDH7m)BQ0`FmIC$u*vEC|S8TS$QsvxKZ{A zwDXl6(faybhmGngcI%R|>R;`c-dx%b5!p;UyOfcX;_KcRj|ArQ(MsY!8VYyr80&oDQMVpEKw_*HhJBm+pSI2P_M=CJOr9vjs z9Z6IW5^|hEu(rbkAL$?%TtVSm(_I>>X7VWXQFT?dqi}>85~YHaf-A$J$_Qm?wWCt1 zC^e+2s)D>4Ohz4nLLpROaAjo#Fy@3n$iM)Kxhg_R6^eqZD40VuNH%1kQ$WkG%));5 z9s-S&fndpG+S)7Df+{RT-_C=u_K5uC)RS0(4aC?C210;f=3fSm&-9MJ^lY&;G_QK! z=RSm9T8`r0qWlB_t%oAm5Nw;+*t9iIi}Y-~;jdY6hhW+XziD*(q0m0}m?MycO;=7{ zIgoSZS?web!J6QnIDS7R^_Ts1m(-RO!e_>doWT42QjBIiKDwn9&7w=FvmYnt<_hNA zZ`oWeiN%eG8#msv$$ZVbPky{CYl^Kz0@L;4V|l7A<3eVirPE0F=3O3Isl^a&#_PGb zm8X+Y8>f@!x*0LEeD6b_46D4}KV)nizmncYeU_RvHR@q;YxbBKBOju(w6&{im%^b5 z*X$^jIgOD-xc-@SzT^ z>04?HB3TH!{B9JJsqcfHE0mdg=8Gxl;&h4bsMMcQJUr16+fZK=Slg=V&FIYX$?7SY zUsG^v7E8b!wN^^hJdS`X}XCgyfntpvdQwNp7+vEMcgsZkez-VwCiDHhvSl8 z_rk!)6G=Up)Ns4r0x?WEB-@s>RFo>lK(Cnh6=fiP zPR@DX_Mtl{Dy6eh@8R%JYZR^>>|O@Rawb_udwPpv`tDxoxe?W;$;jNB9&$HCWfHxB z?lC>$ahY>~Ii4{a5wc5@ z%vHX5g|xzbTtKTl|xF`V^CXsoBpS_e@Fau`fzdyYv&aXbP|mX{Qc=*jY6JZ8q4N22LZL*Kl@ z8aYz!+sC=UX1O0VZM`QuoE&q7o(v~Abm^vls7xX94~Hfzu$fKS3A7CpHEv!uGvk*T zE$;~kK?|JKZx1O{=pWzAun37_mg!7Aq{QTAYuiQhXXHM0hN>hSn|!(F}&t1n&rSN4mt<$k0aFX+EO`MBRc_TIfNW#)(o z1Qpe^aOW3+_gRhid5Vyc!`!da_tOVzssrm+>S|taTDD+*p6dL_|D?ooDxEQ!=s)=*dQxC4u3r7d`QB42F*#V<@ew1(r>}QLHFZ}f z*eqGLCauKmrwv(49_#JG{M`JKh9B0j${u9on;K_+`HoF4ig-$Jms3uRd8o$p=yYR@uZJ+ ztQ6{*y(sDtmvYD&NS^>#t*E%l){^L@T#l0AF0{j8H>NyjuJHPKSH95MwA>dhvvYKG zs6UO?6KK(4ShiZq6$ zXhIQm5==n0zfoO$HjdQtJ>oWb`(b3-6Y!lLY-P#WcKeD0sdc(uHT_3m5ngUfLMLlS z1%13LL-aos2Qt!%MJ<(9BR-Bl%w%@iH)8u5lj%eAE_AQa1dvVxMVygpUT3qtcvR9|y^36M`2g8SE?9e{C z+zXohsES|Rr=4pXv!|D{m>4*thWat_9kV>8Y}sM|K=kk?`09gqSD?x0BC&}Q_RRfh zL~S44S4@M4$SFp(zRysgnNz*-Ft>A>wO9O`ij&3@(q=c~Ql<}7X-1fYcw|R-xi1cV zD3P9fbHtcZ85SHUqIWX$K-ckL2fVf<45ux5Ezw+rL%U{Wq&*{G0UMQ}e{&p!FV2ih zX$+ImMw0{67;gQx3lq>O(~rzk4VvJ1i|c;R-JB*(IL0`Ot)6-X*NKc_ixpC++LD2H zJT<&{sa8j7ZendC{bAmo{Sj$XBd`&ZYqkfN?AVOEcwG>aC)UmIqwtX@cOio+dzs>5 zqpbdNFj; z3SVP^wxMg*LlS=-B$pW!QnWm^+^IvnW0l=#(K6=QJvU3V7>9E;c~nKNyM5<}7O3abn`!6VXK%PSG+3|H%1@l_2~50>*Ok#v>g&ui zM$w+!N)cbcN}}nvd6I2u$t6Cc&+jyZEG#q(i#wRZFT)P!p|l$*(esgaV+<9RoQmxfLVsL1IQ8}N1${9Q$Gjv2c z@&(-4eD(qs@!<}*pspv;#^*m11wksbAjb8$pZh8?=k89!SU{Gx3B#*qDZ!K5%N`3uTKzkKoqDS{_SSV~U zhjd`P3tJb`ZTejYS4&k8kKfc=N}eg`I-nRoAlIcoSGkM!e(Lb(d9-kB95{Y7Alg1V ztNUf^f~seVp{!WZ^f<`^y?xvgA+jnAMwbUl=Pr#+n(#~3G)(LFNa|y>bwjP6x;cC5 z){Mly@$tjc#hV2D-yWcCV}Y{yX-tk<`2Xzf$4bgn+g4%zJXN(>g!?`(=f`>uwZBX zX$Sd4!m}(e2If){akp|2>9I=ol_Mpt+FCHTL02~xu0DR*Ibd6;C|0yo6&kf9Fv=9H zZGB&Y9%7tjeAsqu9Cyvp?a-WJL>^{*k2i<6p+LHrB44V{0b%jz9G7TwwkpP`u~~kh z%JL~^w;~x(T99$|VO5Ktf$NpRqri2y`z6+OdI#(JhKqHrKFqq_03yEO68a|sg?qOy zuMW04-9{b-PWPDck+PcN+IrLPAIpD7f);)o|F2B_yQHcQJ1hM&ROS^M&l@MK#qB@$ zuR-{=UDKL;EHC{-@V}P)AH4Pd9=z|&mAeSXcVzE7N^jb;_5VV-|7_d*73Wu6Ni3Iz z{`YFwcjWG`HDoo{X~f3aZ5y}qu+MhrIv#*bi3Nm)ZwRY5tF_zaOlyAFQzNn)?31 z3i|=q_aX3IvH#F|eV62SME;S;cYyVS2l`!L{ebHST;D+8AF{$&^Y~xweyR;Da5(gF zb)DKe-F4t~hU@gjAv!pU18d43pc}Am3DzJQg-!rC2A>zsfPNbvz(VxsG@>1e0PqoH zpr4lA9>>`dv~ljhq9}+SiSUW80AU3JUvC5muxR45$XXzkMHWD?HYNwS13)TxngNAC zSR)mnphy;(1pA3dpaLvBBa&?u6xadA8*9Zc5(K~I$j&X`#YPxr2 z%MOW|{2E<)c1<g86uv(vAyoG>eS7P_Wwey?8r{U2 z;AXZ~#$dnEPCi{xAQYLmYmblDcxGB&-a8s9%PuM14N`*C(jC$vASj4{64HXCQYzi0ASKe>(uhh4 zi2Uz@zQ;q~_rCZ2^>?{5=T4lNbLP~{EVGiB1Sf=xhm?70`dv3E5fqMK1T)$iT9ESd zgXGL@&;}0X?r3912uO|*jzsdHxIv1HP&f)C&d3cxaznX63P2X527Kg(!=WH4Mjb{d z7=mDgz)%ofK|xZqjWMP*#y|d%61h5{O%8iPB1nnAKmGxA4pNLZKVjT(FwZYA1RVM+ z42e7rgYlq#sS87*e(3`a=H@2K%)=I1>I#T^=ap7Z?)pYab{S z>UcQ>g!`9qAfPY+z9(Igw5N;IYIL#q2*e|>RfkU}}!3B^T46U zaR!zn&#y4(FY^oqbN|B6P%r{~92Y1+kmGX?g+SoH!k~!b^8yFMz{hch1I-;@Ge{Ws zFLR27A&&O}fq)Um`47Skfk2M+0f8eCh-37C@NgrK&mV*bVAEr`0I*-?6v6}lWi0?O z*f03;Ab?5zD{nv{PzdVSTmdjB_c5HIkYDEj3WosHJk}lngB@ERP&hY&=NO+t;V@t* z$Lj(z@fdGFk#KJ4@wxyEag0y7AyCxuKDdE(bX<;eLwKOa=M;c(a~~TA00Rd6SNs4N z{5TH*Fa-PhB8wLfb*xEV)`Vg2tMir2>jfpLg0dU1+#B}(U}7S` zAApY#A`ql7j|dM`kY7Yh7!YH^z=UxN^B{o|VlY5JKw;u27+9EFOjJ-8q~w6Ub)aow zU_iYRIXW3QI2~$KFewo;v$&!JDbY`5OG?BI1E%?>J{M;ML?j#}VQ%Gwb^u9O891TE z&_=e#Xpl7Ug$K~_Xd6=}Ge$t7!2mT6=yw!Il@S65BLD>tOgmCg(9y{OZD37GWHy$j z?j@(HK_#@RT#!pwSolQWbfV6;=rJQ{PPfVPVVuRB;7#H?6f;j7{4|@f@5Zv-55+F_ z^JQm^oj74FTqx`Ocw6}t&nF`TrP_9bNax^Eba_FnwMhl%MZbId%LyY1)!mLAdwU&a zTLLFO;XavdxOig6O}`2yLUE5*y4QE zIZD51?kd`HGW*h!AQC>F*($OckH^VNy(#Q+#8yo#eVt0_>2IDW7Au~;b6URsfum1Q zJY4dA#mB`-X1@=JmOTfrQv3A@GPWyf%WdbB2%sy}HEcE0#XUFkK7CleW}ge2-g&NE zfA!mZ2Kqg-V$c0IHf}l+BV@fTGmBuZM3d44P1y*rWm)R5XcAvkd>Gw&%#KSYviLf? zcUA1=_7JZA(^FrDMI&(;zSCR!JGI4`ux}6YCyd>^eY34OpImoZmQeoFDQ|tnTRri; zUd~C;@6V#28*Q2UY`(1G#4TbuyVtZxSK2$s5)ul_m0E!eM{AepA`Qx8bx+eWv7t9E z`nD`^=uN+st1#J#n_|Tt?=S7EZKWOeD6wqIN#tPfe^qKbn87I@omMRn!Lkzl+~4xL zH)`U7+P&RCHCpYcK4%7d#Z{1_99#=w-tk>Yp8Heq?z;qSgUgLYtJkHxI&8BShf{cy z5_%tWU8)}=U~H+97g5HN6tO;AWL&15=|m;xa?)yrtJ0BE4YOg`Gt z;c9}_^GiEmEiJE!0hi74UVharmY%xTS^f`Ipb2LRZ)l=svde_rrzA$3aXx71MwhaG zd0Y5>_GETTyHw4si%QiD4tr=lbxScU8gt5p}woNsZxcoue!T8(hGFX+O!%bVG} zsRR`Ugudk|H|a?&){pSNfpA8G11{hv|xu z3#A$C^l%hZ?o4xpYi|I{Sk^9Yom3iX^``oO%1Ot{zEQAkaq8)MgSBp-NXecV`?pg} zisw}|&EjX_+Hg~1iL+&iSGTqk2&h))q~-;0IH+Wdm9Do_F2Q&!bXJ@{$L%Q45VVNm z*6(f+v4yZ(Fj21vG{@t;-+`?9?xs%Q3KImlm$Z#&6>0BzztG!ndu{l_^Ikud_$#jd zs!P%;DID%xcm4QhZk#7NMSyGktyMJK()&zdtk962E)2x300D&%cnIR6sH7s-AQhUY zuw&ZlZryn~VjLbI6w>#uD!bN_OM5Ob#H{0rpz3s4yGbJLmpjOtesY>;@l(W!x76D& zNfH>+tTTWcZ61J$9C6}>SP}^AyU!R4Ie$xb;T-BF3rRR>j5aeL1;=2WHj7keyv?7+ z7hz$5udTfW!b99~oXRlo zc7|(%a9w>9C9fy>oaa;8B%nv1v7&8Z(@DJh&28XB-~Ba`l-ehG<1R#JVWbGZEh;@+ zi4Ht{a5p0vB@<4gR8hgXY!c%E0Zr^0gAnD}Pvy@wNvi2r>)h?h)0zz-Pa5N1P=CgR^I5DB%M&yqpC6!;={mt`ZN zPc*u>CBHpDrvF5fa;&bR>ZSMYBt1*^-0#lf5Bl8Z9Yd_$-(O2UfpY@g8be4*1UOJX zgzgVl>QKG>bkPiKOj*%3oa(A3QTb)Xmzfl-AKio~cp%==(aj8KfFg~?;I z{=xe|8v}X3nmNcHsA^r1sDrKD-*zL9Ha0i-+pkInz!YJ${^4kp%+W?@jOqubOxgyR z4s%l*%qeq{a9p#3dxaU>+nGj1U9ffJFfn2huR$K^?xig~4EMD3Im`-arAs z69xlGz?K9az;D8og#vZCG37De02t=m0Sr?fahS){`&o_~=(p{Xlj4PgW(>Yz^vYbcOD>_)tGBR)EbN!iXUcl zFwFq+OA4d_P}#^yhZ}<6LIQFT#sygajDXqC1wkSh0k4k>aJO_ps?LT^7y%4~+$;|p zJrI&d$BG%)5kw9N{O=KgB9UAuU>Ja`;o{*&F>-_9TqqbfBZ3FT1^=%Q!OZLtL=I{9 z?-7B4QCvW1hmi*Y#2?{|FgP$lfS5+0xVfNU*nfoyhPFpAIb`Ag0TV#upa6}5!32in z0Z@T)0er#(=H>zdNdFZk816cP$pN$d4_pF+ptykW*+JI_n7|NRU?8f1K*9fOR4@#F z1eF7R|6fo+Ko98ggFJ8uz%KuaIG6=?1dl^O@jnoU2f@XIg8xYz6p{<}FR6oB<3~_A zw1@r|Q~>?}0^}IRz)%MchH?SE^1q_aQ8Ne-Cx?Rk-=hKt#0lV+|6Dvkl!OZi$ow1n z902i4rfa4 z;)ocUrA>*k(0<+8Fn%VuAb!y^qKcdEE${epaYbh+%l_$IlT}H_WswVe={0ZO#QO_5 zGsV+nti*kuGcV_vb8r5lR#~Gf;WKu}C|Nz~?S97V*0Z*=Hlv&PKFJ?!Uu?V}zT&v` zVsJd((}yk)*kjgv7&9UH<&vxH7z21Pq zatfell(UpGlsiP8cdD^(rsUUZ%(H(wgUOcRmIVM$WEoXit7x zI3oG<428J1tmdpE&-~-}Oizu-$pny_6P^A>5<&MLjJHmDpf;yF!*m|?cl++iQA%(o z60GqxwZq@Q>bqaWn$V~YmDyY5*G_SPp8o>Ne(>2pmxUP@x}y4^TNU8v)k_ z6TCn6pBuAj1q1;x3hyutT~j|4za~Mezp-p%D0gCgGv3{aX?qP6B4Am?^>x z?BKx+6d)yL8Zi08X~C5JZyro(%!6s+kRdQ_|0Kpw^8P_Cpd8e4%5qVB4ef+ zQ|^y?Khl3C^dY8)e-Fp@2gX3#Khp<&{TK}<^niH)5+1xU{r)WX^NpE8 zF}PsfKcAy4_GcPX`p?|a6sFHV<{NO-F(m=N?P!sIPV->4L;=$ssD2PL`R5w{T?v3} zf8pn&wxpvGCbj?pLy$mh#!d=tZffQPs9FRFBWf_8IJtQcm{7i{<4++Zf^nfZ5x_nm z<{PjZ4Yd8$rm>+8UF0>e3%Wq2S@8@9)6 z(tA;$FV9^z%$a(3vu5e}!&Zg4*)99FXV^R->ILQ^Q;))zXQ~YIJDDrQYzHnlwdcLp z*`%&ATJ6kV?(az&U;13-{Z=oP_}1-=riSS|oMuD(R$~K!WXrx8gAL2(n_!PPfwm+Q z@>iLMSz>GK_)eAr!vE$49Y!kF@qY+S^j?=i>Abdz^1gF(LF4P+zRa&bj#9XzYOXqP zpWeS|9^(49FQj4ve<;Gg{ot+O-+k~l@kiMuVXcv@Q`{P=h#aI)BPGjv9Dp?hoQZDG7l-gizXMX1U%NlHw6H!}&)xR|ze)={#AZbxi zjPYO$L|G2B#h;M_Kz#kd$ihF@F|d{Pck389M)SLMjDQ2{7z+Qnj=_k3TE~Zu)*tKm zm?r$sOBfJtzg@ye0x8pZoAt_{qseo)h-#KS~oP#;GI-2(N9PlJIxZ#5*`gw?Xg={s z3CQy(J)QCX%V3MLcyB&l;>Fqf9LB~?_a9R(Uc;fEp?xM0dHX2|6&^FcpbE!(f4}A^ zf@YgLlINaO#OI%Cl_s3uZJ5(vSrpz3VpSx-cRE8mE<$hX-N@f+_9>dhR~s)#*VZ|7 z*FG${sj5>sPT}6g8xOH5#DtzJe$>sgT4bMPyBE?meQWam_x6A;!kcigc1LQPWgw0LTxs%I`C zVeT@hb*ctg_B1u&i%WuR0K;k5zNbrNOVpSLHliuLJ@{4@COByG(wDZ15!aBjh z;kx4;L2oy0amL=XY_*jRO)pLRj)tas(jKKPo0mBZb#)O6k)JS*2P>`s+3%#s!5+mw zax0wY$acHby#N*6ux>Dg=W6~ylbA)$!1in|V>l5l??=CDyq_mn6pSJpm6tm!eBPBi z%Z6=|saxDy+4$Jj5y?Qql%kCFflP#e)gV$t3q)TTs39S5TT3pDP0wsTUh(zwH!C-} zE`9E;r>)gK)f?tyNwU4h{#2D;Qwfte_83`qr;^j}oPPO@l8o42nao#LnnZ7VqakKO zphF+UR;z=paJOLV>E2E3keh5QHgZ%MnGk6`+BcE8c1pI_g)T;Cq{zOmue>WNk7S*ohQoD2=d{?_O#Yg^R@c2 zT%K0+m6ILIon8`tj&!SJiP=+UbQ|_6n$;^<^u0uM>yEGa`1@O}ryTDJ?V^jT@1AQ^ zmZ;CFwco2Z0!^@v$nK)=M_hjG@^)d=e~V0M$eZ5yb*P#@LudUnrxBv3_pDD(baFgM zGB315U(pA>puJzaao+NQ8}3tI=7r3XC+E$0^K|CL^E8kw%>4Yhv5 z9-`bkGEr&2Oxw5j?7?@5F`smfyt9=mMR2o+;RKbvC;I3uuK8F+LK8)7<@AT7+lM!W zc1;6JO5aeFY}fBhh5;Liyz#tef6ItR;vj!`z#`h>lH&4zcut3Qrm=yI6Gn3Yn|46d z8WSk`!wHr)2Fy@%CpS*1KYb&>*aJfRfBLpStPE4<4unUZEtS(>4CfVfD_s`WAPSha^|s@he`PfQ-B)!bjg z56r!Gu6+n;J5$HUo{bf%{03`_RK7Qk{ifmzLwT`6-kizp>GZGo=>$je9V?f2yTjF^ zT75D?`rXPafS`fd*_=aO&rqQ84gnIA`W3QsUPQ6LEp(vs8+9g^VW+HKsn zE1jIRoJXGmg==(ZV8aqkCr`b=~vkpJ49i`9qUV zD*iS54sH?s9NWf{X*D|itLx4Sdh?LcxsUJ?a~aE$oSm1p1BH2E$@?d#!R1awtIPQS zE1#Oey7XICKC%_?2P;cm7nhS2J`&71^iKZQcmQy^?SPH{hzCF*Jb&{1uOS_7$l->> zA8Zc} zVqoU!ch6y50kg@9LGa&2WBwY8ISj)chSQG6VSc6MAr1e)2os?Af#FfC508BuL{@-k z$nnU_VLa;K>)*s(e(m?4AU|gFFTC;7yVv2y90WeP(|VvJ{@E;o{l$pC5!oI*b&X15 z%Pm;@7AWqrddV|wiaIl5uGt{_Su8^p-rJDCvozIvkAh>+HoBIJ_Z<5T*)c)O| z5_8Y27-tWGzM6tp0&MWurB2?wGZ*~lULX(s`(q}A+RIHJ&K|3nsHYaRrx2YAoUT;d9R81`VzaknEju%5?S*K9$_`(YPrFha}u%p87z-Ab6 z+@zkkG>Z^7r)M*%8mQ%=)+mZi1RMJFbqsY3(qiQv%W=noV@;q2&~}b`jySDG;WXlf zI436EM_h%^OFGY|TBcaa_tzT-=H1C7)g{)wP)=QraI#()n$WigBQG$GWln^o@Yqa`dJh+ejY2kO7 zBc#xc65jKh37Hqhan9lLFP<7)wqp(9unsAQR-tljRhQ*ITP!o(yb&D(?1?n5soZhzt(8;MgQABz+d zDr@#4>A1PYf$EXB)HiJnm(@3quQGFd_6g(~N%ho2>!Z-^foEpy)+APo&&-x)d!`sK zdlbKdOmTq|5u@YS7ZSUzWCzIfx}r@siOo~SL*&RcsC6{9-xtaYbKJ{o3->o#(k7)Q zy9q>}A_&>qe#gKE$9azHS2YOXM1{)`NxwugOVV$dbPM zxxQo-DXWm;SAQ{f5A9X@$aZtsYrLIhUl6eB+h#FVeI8)d`=J5>t3DlW-QT7tQ*&U{ z(_@VKQjAg0NzJl<3Zyr;V54_^#f~Ij>$|l@17OszeX(?Og&!F8^~~$9*xDS-hwOs= zt9z#VcPQnO9#pLiyH>@o+J0`U;qxAA(zlv=7-SxZxY1NjsEQHhtW&1u)D>T~OaNhC z@sY0SLFTNBK>Dz5WfiN{3%SBTLE#JYbbm^45-l_QhzTiHysJ!iIA)me)x)o?h6fdQ zUX4I!fV-ipkIiG*+6vpKOeEUHh$0v-51dgGUcb0*xF9~yEOSL$wD5A_jG46A+xHJU zA3kMHVV0DYktMtIy4fJ@Eb2bhrJxAI8L-NIT8ZF@1}TWcSvCpaqmSD#x^FW zy}x8BQHP84kT~!G5onL0Ba&`sTZqTf{iD$Xcf8i>uXJx5is8SbB9{G-b|-o6>aH zAhPNH(5^2iWXV&!#H^oKcejgp*Jv}20}4$@r!rwvdeYGx_UW7((=}cX*{svGgw3C@ zFWn0E#OoKE>~;~oa`N3)VzY};GrM62J7pNH5ShKs!^n|`<`Uk*>TdFZTC+?N#mqR1 zO6RXdPg^d&4o_s1knu>r9{lo`oX`F1JaPbwYd)twTozbVDG@!6`UCZv2{uj_Tu981THpi#ghp$q<; zAJL@UIM17=C*AVGa@xK=r3cZ-&ZNCHhTdNAJb8IRJid2JsVwZ7(W0w&<`DMuzTuse z4E-Ib789Mp`C=EjQDfu6Z;amOc=`!P3W$d_V+>0eIEsre#J(K}zVV6I$1kcG{fMV1 zT3*Y+{K9lCc7o7X{fZaU{&#TRe3TuF3(R)>&ghWm?jN4vJj~6}mpF$f@p-)GyQ+1w z`3)PUJPX>A^Pp|*52+ln63k0DF>;Q0O*r!>AKE!@w_heNZ}gE~#hZ+0Gg)vY~62%iMEraB|T}S+3*>35VAoaK>0FFZ8$HV;{|EaKSoxqLoE#>bLBE#CiP> zF%Z~aVjuv!hYbPjzQ#U#R_)ZAdvp)41~;0DR}d89x@%rnry`M;6{Ko=x!&(W+s)M^ zgC;jyj-890+p?qJZM(%dR-7a577ZtPxIUNdr&SiU@>MeAS=&n0ZN!61PSq7T4=<8b z?5QO_6y><7jy$Kxa`&v5GOqu73Tx{BPAJKl z{AQmMQFkW$l4-rkh_f)`cX2_j0)F-0Ns&an5}bk-f0@mfd$c|_&Yr_ARTX-TMOAQ5 zZMivczHwq!&On2a%5tcV*(HA@-syLCSD2Nz%Fz(c$efUw6XZ|JZhc`MZkc9~n3>Px za@3?O@vm%o>XDLh%f~S}Cm;4*A`Gzskz+NT3N zNCi_|0X|_P*2k~P$(84NZgy%3-c6b;0U{)~o~YdVEt4JDR{jr620{E)rViV5U!(Ba z(rA0SHqpM^J{IMd9v*T+8Ez3%eL{rAxo!d(VJS7a6kEjO5FV^X7+x9o`Rmu^1TBUN zjrTn$GTIT{NV_-alT^5+!>ry{0+LEW@7H&fV6O(9s#mJd6tOdu54soWT}o|VqfG+Q zP`sXqcup*nN&FcL|IKX*f#KnE7yDViQYvTNU^lrf!@AIS(ZWBgui*>4TuE78wa1T| z+5J?~!Y2;mh#=2X1^g4nrOEgS^os&q`T=t)g(}+5Q^+}+=)dh=UG|6itajiBPUi%Z z*?hw#=}x1mlguXN?lXR(1m?6pX+!h6HN9!jcTL#@mMLdhpM47doiBIyBbH!7n2#5D zF7p(7XuGFKQ@99;?P4D1!~We%R+~*P?>r}bcQ$B%^9ju?I+B@GH7I%38HY|I<=PvYk3SdE$$=POtO(mEp{XSNu|5R=?=U zO4@o4;uogO3QRO@&TSFc|9S^Zb#f#E*D@)|#K&#^86CWoZFuohnH5_iMa1o|sqVh= z75jRl(OU&6fvcMa!x*4jGjzSbWu>1^JmwVm zua^mbo}WYf#Zxbgl(z+9wt=hP&p6(Y-FV3`2Et=Hg&(IToIMrlF6CiYho@bjYb4Tp zb6o2*+mvq)4l1|G+hsP<^bKEvLWb&_#}`5+?7SWci!p8rJ$*~UfcnsN0;lF~(pyy= zT~bI%B+lm-Dr(rMo^YS)keY2pWe2tCi}hGv)Cta9B>j?+71&VcI<^uL3fgyS*l&~m zSf7_pbn{$*dIno>S2|;QnhY*~GpbzfUn2A5kUvp17QOPKgoD=e=9QazkElmIi?U*_uyB2xyzGeZXnwT& z1#g!L4?gt0e2*A86{k&GPC~z_`)bvl9A}l;?vv0(4v}^7$KJ&xY1frgO0h%sP0l_y zt=FwTcUt8plR<(EW7r4cQua<9rXAPQVYdsz?R?EXK}-uq#A_hJ1aE~kWfP6h!`?&^ ztp;r){CohuSl486{ViYo+!p`Oe1ZH6UwA$`*oGC~`WCF!64KdFLO_M3J%>d#N;R9u ziY*?Y3Xh(Va#-7?(=L9EkkD_YjS%gqGG)aL2qbJ$mLe*#YOj6;y3M)TkDY`cNHNAZ z7ufd+EVEQf)8W_7978SA@Upj<>!$uGZ&hB0T-rT8ZT}RjY3Z|y4{uaxUgUqVtkJ8{ zwBKKsUSguK&Qe?T2wl`T7P zW{+T{+AU}2cGJa@+f@pJQuugVayB`qxt{(!pONf5o*7fwJ4a$N zxXTcdHQxVrpm|+x{O5EJ{;e+mjm;X)E(a!OnQxTkQJxe|aszTZE0db>GLRb|>KnN{ zMX-a+_*47VN0bv3U)aO)%p%tb#MB}^JQ5r~@5LcGMQgJkPxuw;5#~h(@9ji*>9Mv7 z82bdf>+st=fBo*$1}?(D-I$Ffnh;;|dsUgQf=Z3@O_4d={y>%SSE@Z}H`13o^gEnQ zxCZ6nJ@2e52?r-1u&CvS&j~_gNTQeoBAyC-3ARsomASpNtx#3MrP$xx_;&C?1j-8` z@(2c(jz6WuU9~+oO)RW{t@!5Q%Dq<`-X%*r_Mamd;e>uQ0I%E~7}fkOuN;ZO{JjhT z?z+K%Ul{p|mzWUkrl#sb-Q;8YHYq%+puvb#$G6~QINZu0f(}=iK$-xHQbcM1Pgo3( zN?a`9SroL-+DSrDJdrEUD3`3yYq1Mw1H3qoETry#kVu1+OjIz<=w4-5-9l}-tgeoZ zS8XM(op^F3{aH~jnD|6!-;;MT_g_<=p)yP(Jb^>Rh<)k=)Ge2blaC31;^7Hc!y#KNp3(l#O)h&5qhY-h4fn-jby}{cVKm zxpIpI*0lwe3Sl--(;&HNx!@I9zcuU=%}%|1H+IM_M3SEDPQTn+E>WeeZc{t*f&MWo zOzl21+tTyMlm1{)mi)+tGmq1>pFT3bfc3a$EdiM^Wat{6YC*s5Kp6||1eteBm z##l%9qqedn;cvvY)uZJ^ z8_!uMgX-#yjSWGI^pPgDPM)1)vYKnFmG7FIM&iHd+qXbtU$pqfF0$n3Jwq(M&`y-g zYYY&go4QH&b1KSf3#gifQJp6QvhX>d&^g_v zFQB)=ZqVmDi&IhOZAWq6Kdg@MlcXKJCt==g9eqkU{~-O7{CN3{1Q&%#?hI0k>zfPF%gM7ETQn;p`;J!e0yuOrh(vncwPEp2u|}E64T1lNTm`vic+j zjNNTOZ-rk`S6y)S!EMzET35mxKg$W0($jkQ03kT@BkY%JtJf(p4I%n~8lOdNUFL7C)C>ZBQETu96N^Mz+t z#Dm`J&Ks=5-0>1cOgsB=Pu4|Gh!b3rA`Bt8Dk6{)0+t$({(9Fg&@L1#?<#Yda$5`w z67X1zgYh~={JpBi+(w+n=|ROVZ9BTAfHdek( zc$R5aeD)Icoeysw78vJT?;}`ZT{>wQ-Hr|!Sg<0kh^Y$ldf=5TI#p^vg(6b8GKbT_ z)}hN)?>sA0>hO{1aZn5_7m z{_Uza!#?9a?|%B7MDhD~x(q{Kdxx}AzvHmxc*+?SJsOSAk*`%rK8LBh- zLLyfnmpgYUw?r%Xg|~6TE5ee9VW(l*!ZLH>VfP`ELBz1PzDM1yzK$`j_X?&ZuRlhP zUh=5+D)hRu=ew7=Nlsfz7C~kagcbCT_Iq%w5k&J0A|UaN39U8VBihghe6&|+Z=whj z91?jG$dIG<8N4BhtqJ%EioEYik)>&6g{8~RWeYO)XDXsAY;FlG?X<~HM6VXNSdXk! z*Qzj{fe~&J*;H_kJRPwdksQr;rx0kQkD}KRs9p~c@aJz8kmLus*Xh;iS>)&H#Z(fG zrHpB>Dz9D;DF;hvUKwFe_%gYhw&V2m{x1Kf^JmWW(Fu>ft)iRZH>1WMk8_@qjO19P zpgZj~x4Hn@V)$HhzLr3Wz>FA4`N{f;#uKQW&P1|*sj#{5b3cWXdzX-xH5n>}z6#%! zm6C4uVB%@yw+}4U_~ypS1Va>Zu1|N;7}OaA7Wf#mnfk8z_L&qHln-Ar4=N%PiA#1^3Zp{g_hPAG~OTIBvVK$h$hS!I; z=y&N(T76}G;++04c<#J%{;9PS5wd61mm|5pliP9X^Cn140@>tSUg=6c2nEd-@a5NCXn;J z_+qx9$Lm)u>n-H$J~=JJ)p^~;v*x1D>lX?Y9Ul^1G+6RR zhS7cLtK~1QdiHMi&Rc9O-h*RV7U#H)=FWdlZOS(-m&%&N#KX-nbHU;Z{TF>NWnb36LcYo?WG+l7I$ac8j8*Jb{HesOWTI57^nIC3S$#QQ zc~J#dMP?;aWqj3{s<3LJ>VWF~8uyxwTD#iiI@7x8`kVE`4Vn!-jmnK3uVr61zma&; z&?MYc(=5SqrkIq!rOx+y-weYKONMbs##5-|@UF?L>8!zvq8n-6hmj*Dcol zrboJ`wO66{eV=;YK>v;Yi2>t*`9Z6}&qKF{c87gO@J511DMq8mXvb2=*~arH5EE6C zVv}uCs#C+$M$=0(jx*mr1k94oM$a+KWzR$BD;FddIu~^oKP=fT?JftdoLWiv$ojEx z_1bFNC(TbEK0AEg|8jrr+*;;3Y`uO%X=7~DdUJOx`0Kf^S=-3%rX7u)xm}lU_}?Dy zvF(+Am;FAnZ@s^d@lFHQc7JPG9yxxhh`E>xT-{;)c}j^5xQ%NHT+wy_j=%#yOowIz z4i4DaS)nmk^D)^sN;0gRv(w{y2<$SQT7;xtrIFNsMdgLFE3&W0VOCtX5Y2kybHb?d)kDdPdcN>yF z5B&d|)53?x0FLiw9YaGDm=ZTfV5{@sCzZG{Ki33orUFnkTS;j#c>_DpPx^wyG!8Cy z9J^}(%;w?N*Ux*_KwZq(Fh_Ha?45!%bht4Wu5^KE0d7-b&M!bT#_ z-M7&NZm9zo)G-x#z&gMo4PD^MByeHu0C0#gaF-vradrSe=m1AOba{}Nfeq2lk&V$fBa@OOJOg||5|5%9sRd5^zIU2T%W8B-vAKzbP`0C@c z-*5sKn?%31+4m#`Hf_;7;WhfF~}}8eymy#`pxwvVDQjPvFf^oXS<>E+1@%2WzFT_N(}I z_qRMoDz2Tl7D!rKn-<50CvM@;C+JIOJ-}zGw>A<@?(<~|7h0umQ1Xd0v~z;yH@+;_2PNITUsqRyE%yn=`Iv9t)>(kRcFb@&1a1&i ztibT#Gv)nD^6jk}VSM(yIMZ||nAc+X1#G$2*66=|4Dh}mA2*k0BhAp1hg%u|35f2n z)&qMrZnvHo9y!t07oC}`C5TiRCbJu~4lUu(>HL!4l(%$t+BS;;tB9p(^4_aygArvJ zxeLju9|DPyea5vNuUN41^{3@pDrFt6T@Jd7&%fQ0`||e7rl{{P8?T*OmCh!EYi>O?`uD?GYg<-`B0uIELZkCUfte@^qHap$|t5Fc%B{HjLgVKsI4q$r? z7KDt;RESzJ$qrJDx`~a}475C6zA(S4y7Q#+2Ae9FM*3k6t#)duVY;$R_o#ND0!@Nj zk+t5+o4lCwO_9d2k8Hzze5C6GHWQ$rxbB+oiEjE^BF`VnNN|wnrpFh(%nb%z9omMid<|~UV4RFi`--A z_sO<9pv19Wh|Sb$``e)LioNaN{I?ga^+w!m^;1*q2oD zQo`XLjyQwYeEDtjYQ*{|lXBoU!TYAw`XBTRk{EaI(KyC1oW28#PgIOB2plgCy07qR zI3|Kta4LW?;0eXxx=bkhYUVOst=pBI9sIlJ@!|9g>hnaI71jtr9%}aX9lXyEZa|(o zX`dMtshsmE&Sfs-e`OFCmG5Vjq4*xrqaAUI>+;EzG^(gfFjGdjLG0%QXk-W$sKV!7 z)N`I#rD`b=;hU7I6INHv=m+I0XGx85E%0p%$nuD3zjo!{zxRAaXPIt>(MM_7j+SQs zGEtF5mEP)eQp7WP_ec2<)<*LY>eEIJ@Z4f~3Wf;2MxpMt;#X5z+gJB`ZfR9=JP*Dy zlakb<9}|(g@H*+1Fc~j#LXWxrj1@jtr)~pfAJ52W;wKcNGng#@~G&xnj z*s4Hjp2mZ?SM8`oK54l56%RfaZ!JjCVB_?CWr#B&w&XiC#?*W!Pt@Jp1Vw?RkMmM= zlxeMk?<1k{mu>`i@N(Uy#gYzik)kt{FzX6O>HAyV6=-~!(+?c63P+SmT4~igz=z5z zbA$$i3Pqr_*R4IJIaJQ09ojC`l$Azy*6SfGn*!>Zw`n*Po}S1FID;!y2HAa_+3ixl zkLA(fSm#*hQFldchn<@pr)X?+IbQ&Oa8s^smRL9`w@2gkb@!`PQG!fU_gpUtO1u-M zvHHCHdG+ncY2;%!FryiT5_U>$R&7h|o7xApO;}9&pHnNkLvYk060l@Bdb&bx2iK)s zYCe@VZyTm`5v7{e*GwdXM;XQOG3^%3m0L@^*>w-SgiT9c2D=A&DeCW2_@mK;t?zKQ zbE`_9e7K_1s>Z3%W9I$x%iHqP6la%tbKc~hC*upE4-~!k%8zmm_e`<{zt4P@&#(l0 zX5TsU)bXWEZyE#L(k=`8%7+`#P!@$eKD_UB^v#WY-~n#;^?CQMII*g%$;a1&hgjJ< z-io|1Zo2sXBAwUkVa*HgWLzAhiu1=>eO!gTbRX%Dty50!JuhL97i-rETMXb{t(0q2 z9Op_FwC?j0w}jeye+uK7qchK%PmVNt5qT~DYef1fyI$6z1aHB_ytgB9(!;SlrDQHw z93L(@-CXmWJ>$ePm|@%c#GrzNC^E1eRQDt{SN2@jyZ`Ao>yo+C8% zw7q=ai`zMq5xB}T=tr6P+M8llhIWIC<%`emn9uswY=^z`E-n7tRjxZr!;r{bb1A-F zUY4gA_-(j7-nE|frp4KJ+wU{HxOun+9cIt%SHyQb9={!Po)K#CDUlnX7IYBTNi2<1ykQc;QyicZ1!=^p~ZhRcGWInhI=A z#t2`10llQ!M^?$?@|Fhd8Z>j;H-6*2g1(j~mP*=3){;?$W$IIL^{dm0Yb2|V(cK%7 zD*4lwx7o7KJ2>02+awUK7o(RQMhfsBqNxM4JqbiPt7AP_lXagbIP)fs%WgGwon>R% z6W@`Wnn*G`HRd^@AS0y~nqitP#_Tj&i?uVNk(fBkGploXi+XKmRBIoe-(@f(WODwh z4a?QM0Lvz4VTc65gK)KH@}1AB@>@LUDhe&hlvQ=LHQT!o=B2c!1|P7RJ&Q}V4*VEC zN*0teILc&bP1$z&B@Rs`L-W$t@bYg^n`Ukjlo_Z%wSrm9qhgryW}FU)tc-XxFy211 zd4GXxYl0<@{r>9cXvI*kV|rBKORFV=OR`do#1tpV$%d4$Nyw=6X$`jhCMBAzU3U!S?uXo$;yUwo|DhbqB}+=IrdFtm@nyczH(WF7 z9DygDzCrI$Sa*JRIl1yKv`7{0|mx8LjTi8RN&*nTU>lGQ7_U22o_y}p_8cWrqebV`CWD%{S z9T)F2o6e9e^i3&ib1&U-ZJ`D`gj@JCV*#t`b`K%CjdE0`I@Y#*C}`{R%9}lvlFxUy z1DsksrJ)0M5pIeQ9EQdCav@Ul*!Ny;#gW+9ro?Pg)>V2K zVXFkR*8A2H=(2j3;xLIdwm81JQ@|->p=fosrL3;^LjR?z?z#CQW6~YvWY2KKAU+`l zxEn$ku9gvv1rH!rNhf}$slrT58i@@O>$_5JpCi4}ef?XSLs6;gT%F`%gRGCn{yo3( zkGmIvD}v_ekZ27#osQ^=s~N@2{aNIB-$hqMqs&h4z~g*!_CIFihOXKS7LaWgc}^hM z{Y}U$D9#86b`T^lJ)m|X}lOeU*@NO zcqg}ReAyOm<+2PZ7th(zv_voYHH zIUC-K?zTuEjpEj*)GI59j%cW`+`LgyY+9E$Q84`+^em)*9g!f}4Q!{fPxZpz(&+hE zTJO~t#Y@+FoVwK%$F%eEOUqfu?6T|q&9+m+_Ix${r5cNA<*^DzG25pXIGpP|WuTJj z=gMV7i`>f9F4=39)>VssSE)339dbGH5KV|6C+~A5Ik40Yxa|YoiSLI zoTOh>bvgOkOY7cWC}9lgjxo{Qr3e8a8@Sv{R``MGY z(Hr_5!H_1)Go~Lkty{tq%an7&OwK;QLx-_TD2N(Gi&N#tps%DAqk=m~Yp?>x1J3q{ zldIsC_+Auwbd6YGoiX?hJ1)8L5QlDWW!u?@ZP?Cr59r>$jIn4MKY1ze^Oft+5A<0# z2ne~9+HK0ourLRrGF!^SCc02HlCs_ z+xp438%lb9X}kf8>V~Akh0R|jJ|TALT8Z@HYw-eIy>5jJQb~5)3JQ;k@$pVeq2QIs{)Uc>t$RmN?9uTN2qKFOYXQSBC)RK$*YLVdambeG=krqVBs;I$D8P$rAER89^%G+6J0F&Mmf)fa@fO zrb80Rw3TqtQ|zX-GJ#fyv>wof1TXJ!7yZ~IU<%~07h?19QANZT`~~@p*_(M&kiU(d z{QD1^XDzGV*pk>?KUw`sHK4%h1HqSPmQEh>`F><+3ymvRR$ah7xv%c1UieYSe|+8i z6LkijX3wbyurPDt_@4?m*{!}I=o4k*UJa6TJ7?eyuEJL+Cv{CJ+bC?ZZnE#9wsYr& ztISp1zZtet_FBM$pM5IP446)88hP8kt^a-S&IvbA~~l#As__DcIAJ1&-s zvYWGa;B7kWHWMr*i_awTS^f?U(qxz~ERh{n={8=&ZYI`?SJFS=@mj#@C~?YVoBS5V-~HKQg~mz2C#KDHD0 z!T5^6?{61*Knl_JxNRHkk08bL<|ZK>6Y_>v}^{X31GFQs-l!6fY<$J&*7n-?q>Ezquu@g`M&@Ae!YqOh`bnEkvM1`wEkqA zv@TfJEfW`MDakgcI8jwBeG*dDXi=HQLy~ZznX?Pj0?D=l$+nTvvH(^eiwc2-WL>&-=L4*h!CPP6X!i6X&psfZY&)y_n@g*`g69!X ztxN}jftjHI#?bh2V^d_1gApqvHM|nnpw@bK=YebPu6K_e{^?(#K^i;C{iJwz+li}v zN9y07f`)w6-~FAA<7(sCtLk&lOuu@1{P$nF`GtGiy*)kG195HxCVn9CslMhUn5Kq8 zLijMk1PUq_oCzOiT(EBSkW)&vFr^xnLMuRMh2S8p0&~oC*bTZ0$FAr)ql|Q;=l1)i zF_@eX^}vh_q(2Dd@7;q!T&5+KFGhJag&_{<0RvEfu^g-O z+IoPebR&nm2Tt#2C7`iVWR)q4ocM69gl{HWwNA2^93%8q?H$rbZu-Cz4D@!5e=^7A z!4HLtVcKM|0=MIBcpKj?cHtg;3X9|T489}qWbp__%R!IT(&qX)k7L?EUsp)4(Ygov zprzAmNQDuF!5^+6DLqsJZ*rz95YNwMVSa`k-VB?(d2ru;v!F)FOTFriAaOu|pqf_U zOM~0g5om$>v;K>y)?MrV07^>#O7xTdKi*l3_Wsv>6i#vnaP=+Udx}q)>?Gz;&;Ue0 zWfVqy35xLjx!1-VzMqD!B;ez+fXF^bJ~bWL0nh98y?1;1SCVT$IIy)niXX)sUB|=O za2*q@!i%>#yl7%hq$W0{Y2-`9Dzt{ssc0sd%4dp&Y$0DHXiSVYb7&&T@kye_q!{^$#<_@~1S#Y+ z4;!Z#5+%6LPia;&{aLV37Dj9iGjh5dyeLgT7IVy!oGu4gdfl<)h0d_F_=pOHN$l2C zOT^|6vR)m1!#a0EE)mc;<%8J5CgZ~J)lTl;qH9ca&|^SL^w+slpux~LKJhz7vu^P88ML& zldSd;cy)ld6L<7E`eGd~)7x~^sUOo(UXK_bys5`|bMD+6&w9)_2F5urQ7i9q1FSsQ z1_<)z;6G2Oq$`D*R8_U1Tm}yr%Hv3y_+EztDp)Fykzs@E|_XLBee2O6$~l`RfWm*>zt7`)xJ52fHA3=B~kL%5KRLM3z( z8cSy(hkh3=pgfF*(8tlEbUWQm|BNo7K6IUagY*(Kg3h2VbR&HiX)2^;dFi(wcy@4DYXt98!-!-0Xl zA8ev*JB}cZhvtWb3YHx6Eofb^MJztEN0Xt+LbC6HQ;#WdQcuRa$awFduZ%=AG8s`3hIoDiizH0u${Eg`|Q-(im z82*4iViZP)6gx0jMAdSnB zZ3lz*vI_0!(tm#3cH;QrmzuiVU!Pw-@9F2OKmE9=diJ!TzrXJ5nSFZQTNjEZwC{HR zuGZ|XOZUHyXANES$c(4_KB>kt2Ck7WfIFkqGtT70!S3Y25hq6xXf7krTt;H04ZemE zE3_74#fVG(^R~ZE%s1y}>g*-{=R=L@7e+LWTAgf9{wnPc*;26|D$dE-X^1CsPh_63 zk7thCq&d@Uug$ErKg)b(Yo%hOF*GyOt}=4=Ofl1#nwerZ84dOtp>055U4_au-X5ky8L6KIIcK8 zvpf5H&%WPxd+USsZ_F9n*y~@|fvo}tV}7{_#s|HGs=>snB7CS7LMq_20Vjkc5L`$w z5u_y~5iJ5c4mRKh(FQ{PAwmce5{l9q2uOseY7Gc(-8Xv&A$xc8X6DYmz4zX{_xb8r zpWR3CaLDq7%utI^Tofsr zSiIML2((@czU@f|P@8ftlk+W4wI4=SU3@-`-U9a+*w|_+b(69GCSs#$yE--s-7a0a z9H7esf9TQa`4r63_TT-!`aEzC7+9U@_tMoDR<^g^Wq9jl=ao(OzO%Aa*mKp(Vfymb z#~#1p8Po_&lc%|=^Qys*&8{c&n)3I{ALhv@5q~H~3xmOQVJUVV)n?6)*e~z_e<(4|e^%<3FFflE13Xt2YbFJ6;%hb^SNL#+c?;Db%E4IKu*{zWj2j zf>dyeq%Nsj+A0Y==9oglG6E8%P$)t+(0+@jSTZjdxB_jUrwEoFHfs^n#dI@U8Nz5{ z>Mxn7w6-5+AS#aPOEtnUYsJjbOigNZ@DmzGHPw9hianpaW-lNo@7}#X9qwv7bc_PH zD-CV7>I6}c1UD2BX|_095|&79?j7!(p0@&h!S~%qf)@i<`7vH`sVYW{Q0S9X#c-Xa zPz7j^XX%Ud2~6Jb3P__5LU{!D}OROJ7*I;~*P* zVB2>u?TG)fZ}Ivu>U9Aj;Z@4mc-->F*cfjpRSH2oR; zs5jA7NjgCO%pH*5Q;(4W?wCBNenzA+lE;ABi~2#nXJd3aZ$SgU;48Hu4n4O}ke{@nIoG~b4~gr35GB7TN<>lB3|hmNuqt9OQCUt_1WDvYLExxF zh0fqWHnaxF-*RB+AzWd}20x;VSmjjHz*03JnL$Bmu4gjcAfnArB_iQeDx#$l;RTSk z*B%kGJM(bv9-ba&L>>y#^B*VapPDogIv}0QP!t`KiCmoP1B&+LQt^jZ*nh(1m$3?U z5r2y(+q>;k_BZxr$R!VZ`vD>dsCv%*A;=)OxThe46x?9F>mj<_N|wsx0JmQ_AnjAW z#^(ioqw*H+Wp{Bqgj@yKd>}GDWB$>EDU^Lkv82PhKX! zM7GG=$R2qwIZys6yJ|_Dyq)yPr^y-l3nIvj#47?T@(N)^4nbr&B!QqY7$8Yl@QPv} z&JTA7VS^xo0#XoEjpIjn%NH>D776A9V#LKB#m5mdeqs=InHGh%ZY5LLRT>D5Mj}j0 z`7M}^rDv!--I`(escy-JE1jf+P^XJcLc3ksettyqm~ssa55e52zR6?~t)7ah+tW|{ zKYJQpii@y;m)Lc%>a&m8N0}5eVwd2Hsk&4azHif>Jf4DI*ABiH(Wk?RjQ`D=u2i3p zF<}YE3WOspm!2C82A^PO$KVBuT$l);e&9r~3}_m}$s$Bzh*@bVJoOhi42kkFCItVR zXF`LZe+&d_FLVSy!j_H!iTcM46-slDf~rd!sH~an92=#{>8ZR?I`WyW^1Le$m6-)r-Xs{bsJW`(K><_H6s2XRA}iZ` zB8pMe9X%Ai7bVeXDXu~m>{7C4(BfPx$1@|>(%mqGBWCUp^P52;s4lsbRx5bVvGBHI z;S;6s3Cr>;6Q&l4CDJ5Ue4@HXWhO#BRvsQGlka|KKy7Ei!mKmge+ce+g`6EtCq0S+i=vi@Kwm+}J7L;Io3P+L=*7AKf8 zeZUVL3btyh@rv=-xwFTIT3Ef%zNxqccFw-UzLB=RH$R=XXjRM8_?eoU1-0!n=gq2e zE6l&jcJ;!9M4$3>P;xv{e?>7OVi5_dquE zI$9c|8s`Xi<#ns}QrS}SNOR|~; z1s6pi2>qPkr?K!UN;Z;1xniVi0-oS5Vc4SVAzWgqxC?dDq7a>t_II*z{;gEwwFRJY z(hBDm?a^?klL?%7vfWZT_zt-v*b(l`;S!)wV*uzLaGe*#95}2v;DaXqNYC|P?f3mB zZ96r*?6BoEo7X1(@Y2c^o4BK?AA0TU_C5Ot`_i)bZf5d(3%m9l`1sv-X!l+WzkLRr z1Py&_wYF!+z474l*`40b;JWZyZ6~u+J>@7aBH%AxJ}uwXdigr4-R`j4oX>0hUzA@gI>RxRpp{P+62?F*&fq% z6cZ$a&%FPqyIO;rxUTTteQKrMm9*08Wm~qet+fy!9EpcPiPoSvhLqU6JjkG8NCI|} zF@~0*O$YE02MBI4B;^@{4NYn%p$#>G*iZ^Xrlp;>6J|1G`b;t{8N+}F((&*J*3xrV zGLJI-u{zT3UEOoe{l0U)W490*EG0BpM?`l3PESI^q~TGKn?v|63J?(ye-Q&UAc=f= zT)^q|Ey|{H4i?MlIYZ&$p)jcB;q)ayY-Ttd2Ai#tkW)jAW>GbH3WGsMKqdi-bh&<2 zdcLM<{l=GTS18CC9{%$c{VH+|o%@=+_Qd=JJKsOuxp-CNH|LCwuZ36JKt!UvRK z53bclCr)IHK}-fGV1v_ zN?pPgG;M4zX^M1+k@kv)Ecr7olRZn3{;9WR%j-OmZf**qU;t zO6x*(;OfiP+xFWIdAb;NO)ra%dsa-ko-Ip`yCG!U=Izk4EP`kmD~PJ(ax3FS0Y*np z2}Zzi0|CZCOLikk$1FnDmW|B+^M#qjI2Nzh)+)B>~wx@jm;8bNsUn*)o?#i*;srO;!Sr_CLaK&e*(< z7h=ZoA*@>nEVA*1^-8Y9uQqsVZE`l1t_-aRH$_-n<=u?SJ=*ss0flo1=$-^K8_a1% z&?p_w2VDLksx%dp)iqBkb%-0ze!hM=LT7&4inym*PIc)2`Pay64J)^AZG7>yDs`&j z54g&Uzx(!);~f`~1^IrlYvh? zD)2$tW}q4NFVG#tbBv2AAs5*j?F>Ss!)cctl#@hOoYVq}t zLmu7Z5f5pGBXNx>iS6)od&m~g4G($UgFJ*Y=u!;Y!rE>H-B6I?btkfkU%e)br`PB3 zARE4!`<0!)Ks^sZPThvQFd2g_R72b#{iFh&GG>%}7Jo$_Y(2fKv!*~FESmddRdZAy zgtqu~S9QzQj?7N7yr+Ir@{P@zYY;SG`z>gUN8l?3v+cS8f(OqMc5_1GYk9JjKgyrw zFYwoSrjT#oH}c(ZgP~ZC0A&nSTq7Zv>GGr@r zlsU^>V6HPvA=AKYWV+!71I|#;W~m?|Mm-_C^kbgn_Ks%vWlnxu1$%8E2zDGVpPl&^TdjiC>f^9`ZaP*><3wuk$O?^fd>!v$#0SKz_~HFH??YJQrt+3jjxetu9jLprUt zplsLbCpFm7Do9R0S*2NhdBK8wxVI`FHRPjwxc5NV9o0`l&{-mz*D^s&j(1|fT;GfD|Sz;I=p1< z;WEH$fjW13;{<)spO{?PI9?y5gFD|_uyEmmrAv}~GbwWE!3pu|_T4&3R=r(6uBvTc zW(3Y`CuT65nTzPsJe>>BEdrfeMm zCdzK0(Bj4^a?+f$g7Y=xwd9d`Qh<0wa%P2lR)~#ObiT>90(4lDheo)ZqqHL?Ls*33 z1kqj+EPbeU&)b&i{07DJKU{lLzqJh&?QU+~wX3;#H#z3Vh~1{2zy6K>N!z{NgT1}o zoxQy{-gf;JdJn{F1I@W#n=sW;?I7hCRUuY5VtGkwmN?6i%)67vtri^XP@MrRazNRq5Uo6QiPmj9hn<_yK*AZ?c(n^TN>i+dQJQy$WuL>j{#$DA8f zu>5=wbvt6CzkF!`k^0EMqK+CkV%PQ+%QtO)e&tp;+S(WNFZ7IlQ~zt#!ps$F;MfNT zj`bYw0zhsd2x^KEd#_fuhe5oA<})jpwG0)J7fFp$i%gq&t57JA9l||;|1Z&19~;L}#pmtL?%vGq-sj%!-P*D5!#KM0 zC(aiMjf*T>kbqDWia{l&YDo&HPFqANYKU72C4V4AP^37v+t{Ulgc6aK3Q?euk|+oZ zxd=r>7-Wni;xljd99ZqXH?upt+V}OF-z%`MFv^@$;qzf>D10h>Dg05GhJz#vvS+^} zorVk8)FncdS*RxuTebhC^U`3Vf1bo^92~Q|ys_qr5wd&2{`BsN(t#(BT;>}$qaRXU zPNOGm%}eqSTo4)k`0;JS15fPu)FTHwY4+UlZKZ#$-}=+)KhVYk=5r8jY?Iz|uc{$6 z<7=@)c4j<0Zk=m6+r~7j*OJ0NH)s9VQ=ep(&84*3Y92O^nP>HL;fv{6L))5ho3>@Y zm_C{v3y)bZq`%$7y0hEWUcJxU7wAnrme$fuE!l3Plq#i5nNpLcawWu4akIrpr_&j& zDebm@%^0=55&e4W(`{#>-)VazdbVvkJ)JR6!z=c8~o z4E_{@SeGxgzxkDBso9OMD>ggr0v&8b-Tm!QXot>rXm3arf)MP&R&EWMJ&j9h`w}y8 zU&@cp2$w%Vv_;l6a&HYm@*`q~IDC)P$d+4D0aS=VHoYm;n>qvo_EGqfy#yR=i8`sY zl#7^#lyinC(B7QB-vOsLqE%J~@f4Ko;Wao?H%rp19|{(knyKmZ%<9}!Lz9@#O*J;v z<_X7}yLC7?Zo;N?Z+hH(EqyKhaav8K4Uv%|)Tzb-4Et zD1Zz5feyoISOA$o5NE^KV2YKI7_J0x`w4|1x_{nYg%)z$Yyq6`mp^}^yV;8Fs{ZV=-@N_F?T>TSe}{&SJXJ{6 zXWwENM|y#CU=-+Ot(qmMPlG7ZuCFZAtx;RnB;z$$87 z{j2n<@*~_6e&e<#3AQ7=HPGYl^A7~HM3ltkSd`e|hy`|7vLG%qjcZ0+xH~}X3-*L9 z52Lzj%k~V=$tVcOoFY+G6VT~5bk@l`33fh1h!VKM&Bf)c9X=ebSm&)vmON~ow!UwD zWGz?uCyRGZM_6=v@w{w5^arH&?kBXg0#5L*k z6yKnr%DT_T4ISCJ6qX(4@HFYruj>Zp{DK=>mn?Fk=oU%n&7@5)k{*4Guv)23b1)6> zTRO9g6bJ*Aa8jvkLGwg^R4&)KVV!WQbH*eIR2;LiDc*?J=Zd=7XckP@q^4~b0!b__84|`d^m#%!JsyD%Vu2c^}%lkdH>JR=JQdRWc%Aeob9_#3k z8jzBsPZ{#$&FE7D{^)K%&vK$lTvJ#biAfDKq&S+z8hFSs!g)EbX7sXLR(Hxf)p2=T zWqx7l$@U%SLY-2DGM!VSjyN=?SS-P#hLIsT+M;x@9B(l;kZ$Es)=PFsJCvQ;E_RZP z(v!+48|5dBF>;2EDQDOjK4#n^x9AP!2D`;?7gnsl~6dM!thvydT0dkjAkxR znq{(mYHQyS&JXh&Ul7%P>%#fY9|T5V-syM5}LB z|9NE^Gh?STBd-74mfl=BjJaW8y$yTyHtxQh)841jxHb!S;D)wfDn8AjaW$uQlg+-J zFaXcO(;Cl0UfTpcS}*izb_(9%=6})DVwsmEp_SQc6b5Zg$yrA3WSnl|F7Q>tk=bR ziT>c=k~boqwN3)Xn6f^fAIXnBcWrEad~F0GL5UEx?0$fF8uCMxZzy z&M6QPrpJvy(HAoVMNJ4*2qlL1BvDa~h@^_DQd9S6$#>+;H}`T@xD>lWM7QN z8;`h2W&&*Dy6PA)tgGe?%xpEo67zOV-u}0LRK554)iG7w)8DH4RsFc;8b>D&3o z)-EwYQIX!{gHN@bJke=QZKzeeVN>%4qH5)*86V!HF-&HxcNbAl7|zcE=+ z%Igs`U)*b?2UibzaP3zaam+(!%ky9#ZzM@HWi($C)SlZmf4_iULB^mq)C7k0QY*`h zR+M}{t$Ux9;oU@9e?HREzP%D1bn_?C?k6PDy{M1eXJ|qCOM}{^cFoCZLpPK^*U-pS zW#;s>1UUSrW+>`gXf$wxs&6D|2LsQl2)#9VY@Lk(2?C);OLPMFm^=}EE>NqBN1?t<2|?(x@S)Gp z>O++piQZ2q{J-CS_4NK5Fmuko@4rgWkr|zDd`RPty!=O*O3TCK@1pY+zt{B7o78@% zvDSpj-1MN@$JRPnB9cjN9 z>iuKrH^%C`#N7lsQ@Sj8iUuHo{=cqeKqA$o+uL4}vVrGmd-7>7DdB)E5lE%a>{AT% z3u7=C3C;erIF?wr}X)0qCfH~wMMJ+5q$N2{sv<}U{G+#bD?2v!rQhpMTnBw5*a01 z+yAOV$LN?}cZ!Yc+$BDtYht&g?meDQ?wQid);qON-+uiE4E)UtFa9=d(BSl!UVdfB z?_M1`EaSD|uV-eB$bJJkBS(!M^XAxbx#K5HoHTh#UjEc+)8CpgbJpxRZ_k}qQ25U8 z-z}QI;13HI6)!G%uXIV-(myVH|AX@7D^{+;nzifJS5$7;xM}m2t=qQm_>kYZYxkbL z`}Q9=cl~apmf@>!08F3%>ly?)bW< z7AoU9`k_hmQ?*FKJmTRbE`!^}NAiRD$^3MF9$(Ct@Rj@}{*lU0HCP>_HmT33@2Vea zcuk0=twz-J&}3;m+KHxI(|FS-rgJ7wL_x&rh;mlgJhAcQk;|^^^lUK6sfP2B~6wJr1zymQkC?;tTqRkL(LYm)!fNE z$UMZHWiB#*VBTioEE? zW8?%mS)L#l%0==*xkO$jSIRr({qiySgnUZAAYYchly6y+tu||_HQPGUI>tKQIyq)y z%#2QmF!A!^>+1j?Q`96c^Y$?dNnmPHM%3+jh;r2hprU~6&yG-_ZqjG zkK)t$Y5Z)yh$>ggZ{(}_$0~nSx;k9FR9&rppn=AhDi@(KYiycqZMq3mxe2CICU*oP z3aN4x5e*{5Fi{c*is>!NjSvgP!{TZ2hWNGEAU={H1ybcYN?oMxt;*$6<;tWA>1c~` z&$TF*W`4yyoGMq=s$2k7u8k$4MY(LtsAlD)XDFB6s$7{|A@68a?i^L_3#wd?R^>)p zbE$IKF?m$E(5(wy#AR|NQRQr|KCb?*Yp%w|tfrJ^n$;CC#t?xIOPD0`;o;G{9LvYuj(BXeq=QSo-_rR5{{x&!yt-y2p}TsIe}&8?kPcg%V5 z+nBmi=Ze~GwdFPCH5+Oc12tQev9+N!c{L+wEw08^6JHbM=~?N0k```_%}D5LDB?H}1s+K@HgZK9Q`&4bKbkV8tSM)b z%{KFsm+uvL7rjd+#iW|@Ce3U&JIqeA%j`CL%wDt4R50l#!(^KM=72e94w=K|XK#ba zGTEl0$?-0GSIiL_NuSXu8ckzpERCb_G=V14B$`Z9Xev#^bec{xyoEHAX3=b#Lvv{! zeNJD{mo%Ri&_Y_|_x0bS#k7Q$(lT03D`+LHqSds9zM{3X&hO{HPwVMx`ZxWDzM&1Y zk-nu(^c`)c?`aGDKwIfY+D1RocG^KZX&3FLJ+znh(SADMKl7jaFZ?3(&>)~i9}x*1 zG71K$bdV0wVLC!b=@=cS6LgYJ(P=tEXXzZBrweqEF41MWLRaY;U61bj4Z2CU=r-Lk zN6j&F+?+5c%_)s>C0t1t<6>Q$E9K%{f=hHsu8b?|%DH5h;!<6Cm!`2Ar=_&Gp40Ps zK`-hhy{uRCs$SFU;p6a0m=`_`^TUF$Fnkt14_|~u5iepQ;3~Lum*Fy9mdkb(U5=}S z85*w%Zh#x;2D!oRQ#Zs7b;I0nH^Pl{pSe+Pv>W5bx^Zs2o8Tt8Np7-t)H~;_tpUjAgQs5x3m zbG5Qo(W+Waf6*IyQ*Y^Qt*$k+CXePZJeJ4tc%Hx$c@j_NDLj>@@pPWSGkF%zjo64E zK`+_dHTTSYbJ{#G56vU<#N?T$Cf^j8N~X{}GtbQnlN+^`2T^!}1s@Oy954t3rZOmm z5P@P)97;e*h=Ev$GgY7z#G9(78YDm>BtdB?17)EcBtuHnY@?#~NHf(<4X9vhLONtX zCS*Z2RD>L;q_woRp3>8LM(^ldy{GqiJLE!Tr~*}?8dQfGP!noFZFmV@<{i8f`orJh zAMj831pWmBU?2>F!SE>z;axBkhVgFR!+Uuj@8<)2kPq=;{+W;PQ9j1U`2?TjQ+%4w z@L4{`=lKF(+ukcmA#@G1<-{f0-oA2;lzQ_0ZL8LxEll zmL?Gw;fO?nh(yU{(n&f?mq;X1EK)pDLb^&f=`KCw zP3b9bNiX@Wye+?z-tv2SNB$svJfzsN`OSNRx5!x$I~ z<6t~YfQc{(Cc_kc3{znmOotgT6K26|m;-a=Z~8>@^r_~2j>8 z1#k!M+JSbk9b$*s5q7j4YbV;tcDkJ%t%3!1iCtz_*j09oU2ng!o9q_5&F-{&;hsHc zkJuCTjJ;s5*k9~zd)MBF`|toB!XtPLPaqGTVjX-1U)2@5QdeoAKGWy=LW@EV@}U3< z;Tb%K7f@t9Yb;o6eYj;2voRN6!@Br7){FkGj}5R9Ho<1t3fo~P>}FH2C%%pEU|;+I zKg5r17WT(aZ~zX93TY?~$B{S+$KW`efRmzHnuarQmaT+ya30Rb#kd?-<2w8rH{eFx zj9a6vvm5v0L0cIQ<1svi=kSuPj@R%e-o=NQhlN-aEg=^beQ_#Dv6NtMQWBMmc2R27 z_i2<)nUqaAluK2pnypPWs20_UHq+}-``4!imZ>2%qQ=yOno=`rPA#Yu zHy6xBDGI#61Q6K34=|v>1sq5a1R8`vBq$aX4@v|jgP0&Th%=YWW&eqv=RfuH{Q|#G z_vwB;@L%4mJUXiKir@P!ZvromQbaHaI0OlhkdO&rR5n96V1z)(g0iWR3@{KfF&RMF zatL^+K*a!3El4XC*=-6@kTsw|L?FblS0N%15=aka5y~>JzZv2={-ej!)Aqgd=DYLW zx7_c3zu#TV3iB(o(mZM&Gmo1m%#-FRaZ%KWT5-uNqrcH6G0!ODuJjRoOrLT!ZKe|X z%-lzXw1?)(L8wGiQBe&sPf!{Ep5HJ(ml<-Xd{#au(`0%>e?!|Oy1^GrHU-C<(o~{U z&7>VBg0AT>8=JokJsesddL*<$%r{TdJ5)z|X%#Kv-n5Vtcrq99B3{f>Xe&=Q8(6KZ z*487I(`sXdTWzgJt#(#~)!yo0b+nSKXRHC%Kr7OUvN~DOR*cozinUzU5G&P6v(l{$ zE6(a-4YiW3LDpa^#qb%Ej45KD`H8vB+-~kLcbdD*-Dat|M|>{!i!a0h@ufH@4vE8} zTpSS<;ww=p&WN+3N_;EMiSNXDQ7yg~7l8Giz;>EW*BSB=>6C3`I1oNsXK6Q3KUk;e zA;A0#`bC`y9t_X}buy6qnJ&}&fZl`pkUk71pAl=gz>s!eM>IIm-RNQT z)E#w4*-btsugUAk*Bc>^4rJ|tYkYkMkHQA|_xL~+6ui12^XB?*4tzFS z`+C_yE`Aa{Sv3gE$rI{jrU*V(FAHkKcj{$H5u!o8tRQ!;mkr1n^)mDk(@;e?DUM>v zh1*H#$obu9lab};;PD}=&kepw#J@bqf!|EL_XK;y;7bDPf^4*@csCZ~eW(lq|J-QZ z=sg*~S?HMn*@LlxzMf#8Ogz5ed|Bu-5p5po3@2tD^PlLl))^P;ayiq-xt+=09Ix-y zT(>jPo0sd&%k+7?IWf+J>}+SMXY4p%zBARG@6Ma-&Wag2yl?-$!=e)MJek?ieZ1LO z$?3oDRZw<%@|~GZUtVUGdtzqZ1gCe*&oBRfH1$v#PJO9Ao?#UA6T?SSAIz1FKFL@= zFm5c0xa^?ef8Ch>X0QJ_AiynoRO}#4A&8h=i1^|At*oFiqDhDrrbCIqrK%{>E~Qrz z!L9(qC_OpRiHV)|U0vxP!d)D_c+*qFwrzu?5qcZCI7y*x8GKe#OBF#aiR|+~K7NmV zKJYEjidwAQ>i$!IZK4fy0#%}uHuG(2PPb5fx+n>3y$OE)mG047)D&A@it6=IYDO89 z#0q_)XaO&?C)+jDlNQlRdkYuZ>+pRc?W0@J;EZC5r$OkS0n^mTY8qz$kwR!Lnbe(9 zxfu*}9QO_Au$Y$6E}m}R!m3RXxfW3m97=+{$G%IEv_QS7Pd507ifB6<_GsHfttp)5 zi75NHeU>6{wC~df=oH1J>S=0&gL;kL<}kSr(p&Tw@^h#dB@^{-tds;uGP?ou^Et=1#_z3q4Hz4Rb$ z;fB0}_vkqN#=Tkgd-jJE3VmF#$RMoq63s>o{(}BVS4DwcKu=Q|RxaaK?Bobo{5a~6 znPR4_4Em%|&~_62iHdO|+h{xOgl+y#RaDJQ`C)#BUqYn4ipnNSl*?tZL>^VF*23Ox zsU2+OLrne{p8OD%v&Q_foWkST%YWc^xk?m^%i^XAQM1(@bx%k5tNeHDLG}%Lh+5D< zno0$*?fb#Z;V>Pet8|Ti;KuwokK^~a7qNJVE*nroS(-k z`TRRx!W+4aE4UWBq~IMcx{JP8)hqH~r@~+!z6#Mk5bsZmZ^R{WLuwh0X!kO*>0-H6 z9+BUx#wtR^D3=ZxvGC#{B+0BJK7a7poyo^`! zhu8u3fLb(MM2Q5ECNhN^wclLS6D7E}A#XV@PKr8c-dwgtp5T&6a=08JbFc@WoGItP zvPE*8ESHt?g1ji}aB9s}Yc)wtRqv?Pszg;FTbPJ@B{J+%U7_#lyM{1Y7%h#?$RE}i z=NyLPF-MByb;nW1wUAtH$&t|9Nk6$n7&zEktP@RD0oUP0D^~Oy>^utRkOo#U#4&5FUVd{ZkD)5vl#&{oZr*2$E1%{Bw3*fXi@z@q zIEk+iG@w~3i=L-ny!Z+Fnri$jl%=M_KW(L@IMEGsmebeZHNk%)!SsgkC2?SN3Ib3T&4AY{vWxBI_H6rA+e=?!>}~GExB1Ut#8!;x zLHltpq*FW}Jna9=`~11fpGBoq%MWoojsqX+^kihA>rj#H(uWKetUZU80nz7x=7!k8 zXsV!Ey2&9pn=tA`U7_#e(ET~e7Q^IDO5_#@WtG@TJi_Juo#bPdLRfD(_`DO`xB@>h zl6KKa7Tg>=8jbZsFwX$k`33a;04F&6-<(%#Y*g0~p8MKe{J_h@7~3J-x<5u0aKL|;z zR!yCzQGcY6w50~ARiLPD@a;EeA6dH(skQv=%$b>U&di)Sk1Jh*q#LJp8BHPX`BIhq zMa<{HNT+aW#o^QM=nu%YCH!*ZT<}Tl80#+Wpl;0Y5N(&DSb-l>HBMHa@(%L7QgTwg zv_g6n{leIx^Jy7XYu6>2+%t_!maxa-JI|l zdQ9qQ3W6W<86n-gCHSp^KPLEG@GciR=>Da9eYz=yb-x+t-y89VeHbp9o#i#WJy{iQ zie_bl%?4){7zd(K!DdM?a+KX;a4e16Ch*v{ZQD4pZ6_zTZCfX{ZQD4pZQFJ>&+~tG z-`%bKvUPnJ^h{6JOjTF+)ZN$5zlV$}ff!vjO(bO677dHus^8tH`e?S>*a4OZ+tPKK#v97Se$6iGHUJYd21)vE2wly)39T8 z`51R^lfJyzWS^zM9q6vUfwZ;Xqphl4(jatGJVojk-kENQU2Wy5(GGq`ey~= zzl9=WRu7H^1(ZDCl+JJ<#gf8m{@yf@Y*b|2M|l|97P3bbQAVULc+EK1m5wl*c#Dhp z@XleeXq)KNA)Y;KqU%V<9|fjB@p|l9$pmwsX~&6MIr-@R86BN8@|ff5gLFK?N}o?l zS|Q$7KnW_lUfPwI`6aFLF|Q;Nzp277peHU!-+)bBKb?6DE0)PhbNp4Ty2tV`f-4A$ z(PA@|>_kS|jC73qJNcYM$6}_$t)X*+hI${V&a`$*zIWq1k8t92!&xcS`X02tM4{fpHk zJ8seGH_!1Ok*_Y+;>LrXQct9}5VV54GUC#A;$0&fY)#W9q_^@$y3w@APJtqISqFzY zqDG1_9dkn)5J%}IkZ(4Hh0WrCd=wxF_|&PJs)`-Bp z43vRJYuLh|W%w5mg4C&%3)GD%o7!uOd}>uFmsib9my07>48GBtfBq7 z8{G!u^Wdp@iYb^Ow3$__UXG|KsEB=Sk&*NDn3jl1s?7oy4>twd0?#nT8a*#OJv|kY zl^mP*Z6nlDBvB)06l6TK=E{AV6(rEt6D7Qaau)Y3)S0;i?;Kd?t$Tj39M!9R&~ zXmW;sy6mOy<U|JlWjQTUetV=M#1rf%xDZNzwm8zF;<^ zJi1nTuhiNZXLu@@!3VPjww8J8P`+7iiw#RM^vZTWIMx`61)l6Bs6~F={j!{~(l_hBr|h(_E$Nm zuKySPQFrhu zbbc$y=aSR8-%1!#NcSX5gaUvkp7Hb7!2EzdV|;}KXEczEOAwTIVULg}M7a>D05OhT zfCjng)(pOA7Y)K)`6jJwZG5e4jnw(7S}V`OFu&9s98@!jP}PM@OGH%EE*M8DrfstVAQSdt=HK0&kkQZJkLOXn#ql=*W-J8Q9< z0&~d`wlR#t#D-EL6lK?O>@}mCHbS~fj%N%)ycN%ew72EO;v*%v=m7c>A3XmQCjoy=uN4{-W+Qi!UntFC{gP7{p$7u~ zl~$mQ<#Lho`-#nAPw9^BrtmBeYf=b%fn%}k^`)MG%D;2+DU8E4*5HGB4h7brtJ`Lp zT&T^z0nu^vde;ZQ&1=x9kXqWH|2?l67V5nUOWcCgzM7z3P7eDArJbzvWE z2eBLb#S85jc*;zlLZN1<%E?=|$T63`@XS#*D|xa^?tP;@Q|i@b_g-g%cBSOTkOGxI zC)R8D7IX9%XWN_dy^W>dTOt#;2l4m@#F^yz(Lx_qKAh1fkw^cnVMRlFYW^kZS88=_7n4!p$x?8V^EB-CU{p=dxdgNiCPl{sCyL$oO{Cg8Mh%3A>H_j}mywL4*Hg4|E@fD(HNaIt7CCQPtP&Br zeSASeen>nt-u#p0?H0kM_lj>q_ufc8a}2m0-)0^W_Y@MNTA~XF57BjbYt47WCu1;{ zWdZTp@AK>YFM9Q4rf6Q4;LoAn1o`n2LF)qB$|NZ#6fpd zu>r6l#bKa{V8D&UFoIY_3eZX-3=D8E%@HwzQpRBzxRhZd#6=uHhYESBQnOU5#RM=b zniv&LF#$!YfBrr>UTwjihu|aPe?PF_r!_oZd1r2KdQQLU4kKh`yxEK9m}OHz ze&rqyZ46RhEaX2`5HUo5(F~)U4(60c{(Yty z%C?xrcs2L-5M7T=KSv}WIUVrsIJE_Zc~qIe;|le*i<_20_Xl(e<7uxIO7%n=oNhZ_J2%hZ7&qh<}d0LFT6#(Qhh!gd_Rk z*W1CE`n7I$WPr@t)Py~gSxsw;RNX21zzbF$UrqSy2f_E5*ai4w%5iJYnPo!G(qHCR z)31^kDgOB*dZs964_J@jW_#^7zEy~d`I`Iz*OAPHIeqSPE~dVgePdVIa;)Ki^Qm}E zEB*^^I|SAK`I~Id@Cnn4R)@3 zS3kd$e2a5Z%VgS0N_!GYT4|S^advhw)0^M+$}!6!?}7Io+LYk&fS%N*1C_w$Zy0sV zsEqtQnzlwVCHie;_{HD1x(eK}^PF?eb8(+otCrW4d-@f$wGyD}9pt&B+a_0-r&)N# z8UH3RNa$eqX>vAvzk&VEcc7#eOp3kO)pswwh3n^CyLL&^P*r^)?k>F2Vo-%!qqd^= zo+Ws>01NZJOzVfra}DmIv3b8jx`N!eE!ZPTgO%%p=K^q8*XvXIO?;U5YpVty<{RLn zQfznueYOY9MupcQ#Ph_|vSEXtuX^aO`HfBi=PkQ?=*tS*TQ=>=fe!K87wzf^-R#7g z^RN3$h2O3@4O{j^Dww$8J|}d=KCNo_{OqEjoTDtBY#^qV65|$cvl}fPR8dDl;|3lNg^!D)sbwYYjjtV zINr9+DPDaIw(&^C#2lZ>X~o=m317)ms(v7S(3w|DC2-{yIY@CmYmZB8B2wF`Ox
  1. OoM#>-Tt@D0Xy z%Nt(n@Vb$KgSnddjF+YCWlkzeze2h(MR5ukr@jZIFVxJ;eJ{q%bL7-tUol>5a#M zO^?xjC6WTJ8wbBGAxB#X)ajivm20n-uT7WygaV`&3Cb*io>hY-|wv8FRurE@J5~N((UrDHAe=i z((Bmj>y~r2lIVM0YFmd|>(d#-JO|V@HKUB^^2=MOU&{uVFiBUx+91Ggug>kN93$$M zQ@fvw=~HNBv#jjdr9k(s$JYs@99&2L;C#v11XtemkW_4F)N|O@((HdVN8J%kswwCi zUt$-SHm-y9G)T{UPpckQ~o%TsXl!1*`g)Iesh@XX+8EG0FO7Lr<fm-RE(~s-D4hm?RRMG z7t+saS4s)7(W00mV;DS58l;tYM%@9JS)PwY(_xSmyy~h6_?Y+~bU+u;bP=wsE`UuI zzK?9$K3xbtD$Ra^&S&K@@pNS~zeO}I@}A(u4S5y3CjYd0HMKWiDU>nt*#vFZ#R5|r zR>fq7G%O0+4Q`HTL!B3WsjIf`PkddNJ)4j}7e+ZOXYe`_e)BKCv_m*un$(MdYuXTI z+ZPjAE}c=Fcgt5ZeIv>oS{ZHE^U2b_oz-e%H%-14C%!+|>fsw+PZ(~+&n;?!{UzP* z0P${(`{oVkzAxnZ>_(w3dk+*cPcg+&z={8jZY+Bdzp#DCWm+>~)Ro)^uMhcs3@oyq z)|_GV=a7iBi*PaPSx(^LW9Vje;j+$4UjsYPr7uH1#V4h$gV_hGXA9cfL9;;(dFI$7 z5;)7gXRIr|>5ZaD;-sRP0`k$qleF#;RH9VPQv~U(h9eMvb=3|7- zCidqZN2G7TA9?;WoR5*_Dc%J6?Ry%ZC<(#O)I$8hlD|6|SEX`hUnFYN!!|>@Fn`kBqP_xZ<94aS*mw3fa_W%#vIUNym;HRRJbg~t-_f6{94SxMUR0Uu z`o~tLc3ljHXmjicxS2loYp=Q?yD`}j{TyPqLx++93B}a!QE4&ir6u7Vx$1~UGJWy zqS@o!u(ph+?``vWP&e&6l-;^F(v_%LzjEBKN5t<`%$4yst-D?Y;wTgEi8Ai-%O0K; zeOn$zP{iT0rh9~k8%$C}9mO;wG1kX?TsOI&gBN^JMX_^k(bvJ&M-P54%C?iyi?e>A zSbQ06uC-FW1}ytKs?d9XXg>@kC}w3Ohki;lu9FIF@ry5ZBVIpDH&(qP*TMwvpPudl~;8KpTtWw zdsvoFJJ}Yn*`y)v%2G_H6e-c8EaC*6oAg!YD(AZ|sbyEGi7)7~`8nVb<?aEy( zEh;4eG0rqRO;}mb~b!YdrtH;TiZX5mQT9x4=uT*VK zh2BXZzU;}lf2)-5bDxffH$nEL`$Y+oRW(Tht64x3KK8<-W{PW1PW3VCjJauJjPWNQ z=y^Ai>y`gk?{SQQliTIbMY18WAP4ve1)l!#ksfWrN3u1W8>^Easu%FXRE=7}FTdR{ zB~;~#VZf}aZ+9=j-~dehTdRj(7$y82f9?Ai4*9iqVL zZSVrRZh*pEBj15pxYHm8Bx1`sUF>Ks(8UG_#e9Hb;0-V(_MX=Lw%0BpfitHuI4B|=>R=(qt30WRbBLY zaRBcH@V#;rT67A4&pq&7sNX4~y?;U9Fy_#PGxzp#U|}4;InLze-Fu;94uf6?x%arH zU;A4<@EO-8pILiP{OxkJ2b0U7_m9n;bX~*_Z(63@SVVcchPiyd`vXtx(}zxgd#IkX z;MX8?!|!<-ZpoU%F7>`cdlI@sKL?xgb1cqlwyG+QJ#9jF?&b87U zBFp^mTM@6Ak(>c1yRGJY$rENjjwh)orrnAL)85~)^zX8 zS=OZUXUi`y{O|XK#tcW&)c4caj~Kr|M3+0kN5&sn{={?G>X^3Iyyo0L)rXkyTg2=% zy5hJ=5l!}LJl$N9Oey|w&E$0ZQ`v%EFHtnxp#%8Y18wVYA7WWO=pSFX)Azggxg`pw zfPW#DdM~u{baM1{s4}{MFOJozsdyni|5~Hw-tQWgl)0{gr003jdQn+%_G%_>n~#AP zQD9t{u7HEmDM9_ZFdgiP(+l#-zE0twMcZdnCg#Q6E_v~Z@Q%xjWOGz-8G6f?p_v3wfZ7 z$1c8m%Fp{8gTnR#u+KncF&b7a7Jcd08qt%-@ShjFw%iQU%y71G3&V{RJD4`- zA}AH`iCzQnw^1utwLmK6Tcm8)q1{zxC!LJR{er^i0t%_@jmx;fmw(0ReN<}|VrqLo z&b-lIyuvIo%FMAN)_8KN>_>tc`FrSvC6VlfmI?jE<_7Yj@QP7H#cgQA@mo#5GrW{f z0j_BF1xF00rSEynYD{4<+hy*q>5a1)ihWh&|x@U|Mvjr7>YfpP~KpLnuCy0JPMG#gm$*u9F_J+2c9 zK21-+eonaUcHlb(R5lFf*oRbG8d)HFE9gK~Guo@tq6MsL#4h=Zm}Njlx=G7W!Xb#4 zb==~Lp9QO1w3c0{%q@^c*otCUUx5RqqSRtujbJ%chiY(EdB3bmUnx~4-=Cxx#c-~n znI7m|PfI_|!dG=z=h|EuD#r2|n?LNMG&ItMpi-E>%$qYZhm(ytPlc45Xk6vfdF^Ah z!yLO2yoV2nT-B35jUqcmWDZr?sY9`8?Ci9kM-0A}at+9ANAWmup!=Yi1&_FdszO?W zdGL)Qfqv%6qtGQjByf%|(Zu3Szq*bF(qmsPn!*jgiV%E__^|QmrV1L`_E;8)NQEO; zH@b zJ&JLs(ccGkv0~`9fMbEKQLUYrUu5jiT4iYR19!F~=w~-~w$1nmG+)CamxpZHfiJks ztpo!NnJyh*inHKe+fIj6D^?&Ov!csuxIkAaHm}g4>icO==n0x5M5Z99d9#Vw!118G zA|x|TK$wdnX=N#0755uOtH>0HEq-eh)qSWdSY^|~U^hgxp^?d^+5q*e#iFv#ZHY;4%dztKe zu$#|w5JI^JF=V7RVP89*D?=7rsMJKm=JPFBLut+vZRCsF_5ZQX|C>Wng%S?cBrGT> zD88Vb9}&Q$8@ZF1D;mL(|Arwu9lE8fz}aQFxRm0V69nFxY^Ba9;OZ^9`QpP&lE zfc_IiP=tR&wBQ9z0403z>)(Wp`2QQkc;KH9_XF+$-z$YF!^ zrg{NWHN>lK_vmhZ3N0Y+@+L{J|u;NitVXp&UfW^vhSkrw6Ff+_MN4PgYbFL zF$Es&1v3@8JYT>Kl0aInreKEP7A22q$t`=44CiN0Ml8{XPtbPc%_77%O@G4X8uf;l z99O~+t8`|^RNjnv9qf?M?z|h*rncuxB=}UQh&^y85Qum8nAd7NEGh z8ZNR&(8(vm5nGiSh}tL)-q)Wz2n|Itrsg3?Bliy{I{;NjA0h)Bc4!pd0K-Sf^bCv%44aE)Otc{_ z_5*n0t9SW>0&i{^QZSd3*~ZKYCRxten8j)u%JkS|i^fv~ za#!3R`nA=NZb#kW%gO~u^i8Z|_ItCWHFDS~x>nfkg7jq3gI=i3P*=r>fuA(D%#fT6NQ$=5{zhu>nIJ@b>zQm4SS0%^AJQpWvdxjkB>PT0f77LVUf>JNQVeXPQX^`Ub5(RESFSD`OHyt_k_~V$ z-cotzJ6?iG9_w)`yL7(Phkvp&Frvx9f2@RlJg)XZ$ zV@g-ndGRf7hbw5SnP=tkb+9_1>)AYo%5CFM{oNrot}L%An=?LEm&UH$q*CbU{_Ux% zi0%yEFwtTDF>uTT=_@B%Ki941-czAimZ+>yClzIEY(z$_#}S%#!lj5h=iZ3BX?=c{ z->m8CT3pucF|J!Ze$;X18ue?&eOSjDDk^E5WU-ilT%WnZNNt7`buOh-$gKwY6m z@p?rPoqD}!Gx%3i=HK6jnpE5DTMY$?t>skBSuIkTOXuI{8P{}gTm8vg1<7VFcUQ3H zEh$`qJF<-pYA$t>;Q3Qf0+#}7IZqLP(fJsk_K?6tQf80-c zWl>QnH6dyN2Xg}}T0vVYBN^p?JkkG68D--9$C3U2RYp1feb4{DGRpc7JNgee`hN+d z{~A)U{ZUN+FK3j1{l7fY|K*eZ7dpzx z@?&~(60rS8C}sPxLw~GMwx1RJ@3;Sa_Oaot&ujnLd=3uI|8hqE zSNVdFi~?WCu0YCQ7Z!{V_{=M zTO(t7X=58xC$pdLiiM5ozaIQ-CL1Tq58wL(`x+Zq!$OINJE?ffD=(w+KX=n_t#7tm zU#`oct>{2NM;uzdHdgQ$W;%&OSO^164$E5<5|ylmL0~aQeCsaLbfjU-CY0IBsWqPF;Ix69DK3<^Tal zTI$hIG;h(_fVw@SQ|N4MEG@)09m&J@t_K1vy-uEY1bR2{Yrr$a0rjGHFV&S_7RbPg z0sPcwwRCOStH2{>gSuU}$Gkz6d12$g`K4vx-N8=`&R=sTBa=v)ic9mHl=Cgw_1IWq(*%+){;61_0%Y z$bbA%k?&;d$aOOUukkR7w)Ze%cXl_zcXqcfTR2Ra!zj^{G|kl20;}<`2Go6&`m>z_ zn~(gf(Yz zRhLDqW_I0@hTJsjurZ?XHv&6}&D|#kA+#HVBqsu40Nv&DrCbp$Wtq=618@F%7hlOw zkKdb)i=Kr-wqejyptx#4($hH_+2XMwL_lhmlCxTx9+C86(ih6Q30i>dIro%3wJ5kug_yCju zi3Td}Iw+pn4T=-H3A}fp9Y{DSZeB4iBP$!5V2F^!(cunQJ~ddFYze^LQ5+?b7(f;f z@?etZfUzNZu<=j5c-{KpK?BnONrEfqX8d0L+#d!ZqM(nQF4(_sF0eXlb)Pt8+AA|J z56m2?6Tq?EB)(iO5bPdyhSikX3o|2Zc%OT3;A%Er;?=G_4J8%tBU{zJ&bNDkzJI#@ z^0Bjvjy7Gny0~UwLoA^gLVBa_7xwb#jMX8_;|NCN!z7G=o}?(*Eve+``G8^sLo&fI z=i+TS-)(9s z!TT=PR$PDTVF41q=pENARJF0F<>eP5*o75?D)I~2;RX2|p}KS2PUhy%a2Z{}uU$%* z{GKt9V)9+S{3CpR;Q$b6(aUI95dP9~u~7eub`)|S+un17E?CxjV8>``e6`cMu$aN7Tnge^*Ub2tk`XHl~E`21Ie zo;=yT1z8E%%ubm)iqzU}*&x1&l>=KzzF?U7p$&X{FSPL?p01r|kR2TTL1I$q4n}z4s(&YV;O{SKXPF;708@8v5?NDW&X}YJ>(M1+*%2j2>W?MWokf2AX@F|)spQy9Jk{DF*dJHdpI>mMBueZP67Qz?ps zi4@`}0-<-PgW8&EftLDJIbt>sS`P;eqE3vg90bG3JM?%o#>Sjz(NRSuiPc%derdc8 z)eSukB^ghws2^wSV(HC4-)?{!rB)YPyERPAdgC?*=CKxn#ZCaFk2&vv^EDgBo~{Z@ zzd}J-?1kBrlS!G6H2F;t4G0O5Nvg#?R@7HGu!?&2-YcIQ60H+m2r!m_8ZfmDq4Vzb23}8#d2i6NHLYjh(4!D(3b17lI}EX z#%s!8qUa085@HH{9zlLNetcV`3(Ittol;lEkT|M6YtVF-DKe7gs#lS*8p|nvi5(N* zKTw*h+Z>zE+*J(X+U?uz)RJGF@awrycuRd& z304$oXzg1(cAaetv)`Q4W?b@q805*d?RJUq@AEf!*~oeSwn-@st(Sxn0m>&*wDcWC@b7lmS1WAWuLE$K>9LySB8>_b7;U=pwru zX=)7RrG4pk|9sk&zsuueuq0!v-OFPFmwU4Hq4o@pn2~-j(at_79Y|VB1l;Kvqo8zC7PV==!)Ky09Sim_k5Y{c$pV|h(NqsxXRKgTi* zoQ@fhQMB)6!^H|;G1v8i=i>_Q#i}@TYNP_F?ClewhYov=xo^ONH<9^Pcqm~Rdzjz! z*JbfbVueksJQ=P1tVnZN)Vjbl)J32JyFJ61pG3#W#Zx^QOSM5or|HY8B(6lCloua@B4A`_Qa>9qKY1J-314uT&pm}zttp%t(sx=Ge^ zrDBkPD$7Ljsv1glV5yc?+UR%{Bh}%_D~`q`Q#(R`VPKe*2lyyOJ%@V}thp^BQk$Wf zBq_EKlOcN0%u2`kQC-ib5C_6Q6D4FR6HZecJcSr^y5G8<{cVn_%3xXd#%Z^3HU1if4k5U0a*(c)u2BpYK}14gpX3QBiW_MzQA+SJx@F^%rdcI%!qqqa zO0Qp%H>_k#MI4l5YhJ@5(#{}C6kdP`i~{elC!J$s#3-5PVzQmNN2x@y+IW?(A{#u7 zGkDzdD|t12Pmo2k5;U1te_$cNQ~oCuBN za(r5}<@7eXKWNjPTt%?0eix`{VSe87q}Q#`Q&?X~e%V_(M10e<`)+>5X7AeP9n{_E z?5ryrJ!x;RFK9l}ulw4)k_z{I5}G5N0a$dvdypwcbS!Tc)+EJ^la(4Fh`^oNI#3)KM#Ljn%{j=SHEUhRF>#Se z8PkZw^(Q)f+iR3Nkyv(IX4T~AP_E8;lD46V5@vjq(z67im?;nvhCeFDKcllPuHw=F%|S)o0;CM-k;Zu1!0k^)s$ z1m&^zB7YDq$fu7S$Y($!Bt3+tk1K!(>k8C_fDwwdx(muT3)zFRgY;cy+J2h8P;1Uq z;|WG?hLw-RC{a@~>sa6~h<@mq{R>O%ak|uPJCAM(5wM6fJlXGdxB-AmMQMB)(#5K< z2e3JCUf1Y$(aroT1rbznqyrL2MI_}wnp850+Q}KZ8K(T1pA)8eE^Qq>vE{SSB-e8q|0<;1Rc#_K^--7GnkT$%~Uj!c{ZuJog|0LR|a1|O3naL5n=2m zp>H7R;;>q(w>ztSGDVr#a-W6~M#CS|oRTLWCdV?3z0|bK7NDD&H9fObEh}4WA$n|V z;kN6yA3ChT@NQd4$SYM|wQp|dQs`Ig3^L7h1AEd< zED9~H0>}J8u8V-A4A7rD?1>cC$v6-=vqWWlMRLh%$!bXnz&={hZVX$0Wkz!Fw7<{FRmD~b-mNZ25N zgor7QYBlx>MsyMG(-$Ju)))waYA?;uGVqwRE2>0wn_Wh^2Lex*3UNf2*#WF{6{6&0xIj1 zDeLM6DX(|<9gx!e|49};M4xjHbB?rac0;h&P$2G+ADo7qS zeM_ym3|Ek1hSTr6skbsx~iPXeDWpDJ2I4vUTf*Z!li4 zkmo#IbJgQ^s{84mA>D}Dsvv|&}TDigJ?Xn)ACfCSr!%1g^+O6XH;_A!O zxknz1?9rl!L71q!=9osOz4)Lxd1oyHFM8CZ(%sQ?yAa-(GL*g`fVwTUdpY5c#;^nn zs~-j&k>yvRgg`3`NQC9&D89LI+8THTDKZ75V}IC6r4ulINP16sXjoVoP?5W0erFdp ztaM5nA+txs6pjy*8+}-kAF=i7wjjatXK-N4Jm&bPr?%qdTiBat={tzZoh6Rb*u$)P zd*VCW*ZvF2py|79X6z$6Z_>lkti-3+m&u9HX?oih1~c3C1^#vYv;U!AlN$S3Z?1xm zqx>vlyXLv>x@)s@(}+Do#^pYF(uN@N2gpKFH#U_|1?q!2&+fV1aeevD z@tnE+`Z=}Rjt$80I*@Dy3&VTjkr-(O_)Ws6lu>-ZW+Q9>UM@g{ z{%T#_fqZkYi|?y1YmqEeaXM>lRjz}g>1W5pBMb@G*~O z@OZ&#Wz*bKU$dsS9{S~!3AgUhdj8n-tIaRX#mraNh*6&fR7C)nkWj-OfwRvHXk3~Y zveXixt{0uwVjN#zBn~x`KMxzh9^o{Ox3gc$pwZRUb-w-TYOAHaV|UZHv8R`MutUd8 zrM^)@FVA*id#2_D>4m8{dS=*SzR`V+d(B;DmNlinr;Nl%!-3J89wnz~G%QiSKk5)d zqW+J*W)hzwm2m-P9fk?5#wQtRwVvPEoHT?D`}>=RwEZ78q%?eoKVs2RZ?KbyN$+6; z`nH!3cW07owvT^U>(Vib+IfEZ2fmwLy--9!$-hu)9U+L2iZ-IH#FBb>V zY)7->*LPeXhq_r|*+XNG8X;!bkN%bzmbTBO7*dc-q%d?qA+g8i6oKHR%ku+1F8jzx zn1DVaRo0vbj^E=G4}(_?+^|1_f8y1EDB5@%#nRf)_oM+hlilk%fL(xUwW2wvNtWrKOFJ9Fq zziq3_T+Lb2hBKirdz9aP6o(OLBcFsiAJ}&FSnlcCM3FM-Oqkz7G z@^0T@VxZ}J#vx$fLTKVa(Z2DEhRB(BpZgC?G9Vm0i0(&|3Wv8N6>k-o=S8Tu73Irh zkjPnim*-RV;tuSR;B)6dNPL{jIMU>LP{hTD^ox(YMt>kaE31 zjy3tVg@1R*u}$jLT5LWXAzNNXzib_%%)l@fW6~(kaxi@T8 zQt&Nx?(|+Tajjlv=-oGvgMHTOFqWf5XMH$f9!I^lbSL|eX8D(9x|`Cyip1?W*^@6l z%iJmOHORL)($$X7=`(9$YR9rkd7jdla$7t4?C?a>fz*I*(#g%>!ptGL=XMnKQsEqD zCNpnx)7s`WQoKZ&Mw>EwPd2sbvu{bbbnrVE7vP{UI8FcrPJHa`^jZ)uJ;PM8H6WP0 zt`Y_wg{BTf8AppTLMyY%r(!oKS7L2ovC^}+7MI5FmtjpQ*G@Y@*z8J&{jz}MV2Q!D zubv z>$T5AXuSPp2gLu?68W+Vte4$s`cBP#VatxwMF0JSaok?j%lqheLUXe@WK^aS_P5mB zseMu&O|j1ioK8AoO~Y?2&t91GV=uxlJGbzc!OX|#%zHP!2m4zddONm9n)_K#95puA z(Ww#Xq?)oul5#N<=QREevc@t2JPVblH)ppMe$u_U4Th(7?R^EP!NvTB0f=tYUnza9 z7$uy%wr*{F7IlytOxP5)VnC=(H8<+7=dHKn^JF#|&E`DMByRvU+ZC0kUz69qoMnq& zkqf)9vR)H>i;v0H*J(;yni@~NhwSv;dPo5(*8nCjU>~IgY6-d;P-W%$1Y@nltYYF~ z<^8jWEW87WWlo(%8nXGInG?6Zg%ykzqFBE$OBTa|WEQo15PG=f#zXqcyLKm5`XW49 z=G?BuoQf7^En>G!xwYKU{4wfOYQexgI5E*J)k}8P$Nfk=Q?75RHCl-2`&H?xRainb7gCOmvUXemdGy&dx z&_?MYP!3S#SHsd2Y%3XxMKx%U9=QVz4#vme1|~UIlYvZu3(YXlPy8-$*e?WQ>@ZLj zRtUcYesR!u?|owb<&xapRd|kF8Az#sA^e434-{M#3g{nYHy>_v%k}>7)bP~YEY$kc zV2#m(Bqq>ozuU~(3ZXs ze3mBo=vHxxJ{B5$SCpQ$BJsd-=0?Hlb}HwWlkUHb8?`@f6ni+B+_1#CzPe*naQ1P# z@W=#y&=1q+}NIL>Ls-0D;qwBnslf)#Xn{R+jg7Ugyu`cKg^1=kZp<^TpO? zhb#W7DP9L;TM$SHg5V!$gI3~zV*h>yq`MtBj<6-wL}6p)%ECp!@-W&grd+?5QkkJK z;y4q*q~1~<3;g{X3R<9j6dcR}28?+BgW>DU4rqlhbM{roZbz5nE6(lbcc1O+`x*aP zz4#~3dx`6lpCNWe@lw-XXr#A$#BA=M{xVN&ljR6^k1B4tGMD2Bi7Rc@t0|G8t4#55 zOb@m$Hldu7cS?QK!JjfuT_=E1rTAd90_qdA{9PC;zF3l5=Us`DMD6Pj=Ig8s_v?*1 zC$&?^>kn(;j1z3kEoAV0`oThs^K>Uf_G7es+3y>61yT5OBJyJQS7AGoF zdNSQRuUN0`rjGiKBm1bYF6xJ;OR-AHROsMcx&z0CA!8W|K1>ETz-4sY5CgPpUVkHQ z!^&L90+pAL~h{S69&fT+-Y!3oGDF0GU?sk93ggAA~cg@C`$%cfKA3^NWWAW{H zwu&dVcnw#6j;*Q4$f;(UaerOhw%lw9Pq!TVJaW%n#v6U7k<&Zsf-H)q*a;i{rAn4O zmpRJfoMj(SI(|>IO>0zWC!jp{LNAJx58{vtn^PBP8QCuBNw#G;OSpx3?Ue4sS=h2` zRvo(m6c(!4hPmI=Rd3j!xo;Dn2<+O$*zU2Klz&`l6J=3ew2~s@>!BAxdOS6qO<7lZc9u+)rj&!D@>4(gSpmUZpa9jPX6STEQ7m z;Rh5+=_ea4znb~D#pw3KV${QBd=5iHqtFBKj+HY~BTt{kM*apCm%&h& z-pCq3wkJTgr$M%JRsCK=+d&VR`x&vg)$0#&f8Da;V0d&bRLgWtuk~`vr$cTa_jlWb zZW1p*u@&-`g5M@5O_{$-(wW_ zfB`9D8Qv0+SFE5yq>o(s?>r)xJdenw>$Y3--4x9h!14Y9Y5K3%;3sw<uB`?B+c~uQDFY&1!k-K^>aqsns#MR+& z*AM4zayNgI5%(rj;f!yI0Vrf}P=EK`!4NbIRaI`ZP}+^ORiX$!@`zCqqbx=$M#j-r z#w{X51r$tPjWr=ZMStWIqry+X-Nd-VO1NcE?9ceZwLvjZzl5*`l8k{i;}_>o6NY%m zQPP6K;HwrZkW(+7y!*vt5$1Opo(fnOWuei%jQtRMRW2OU)&@j^EK1T$UgzKFKLxJz zH~E`_(j>V&Fj;GrI^=bMZ)lqWOeK_=fjVswX_h{zSZrb76Pj-a*(q&TwzD4T6~z-K zK~1Zs1hSnle;`mz8JNt!B)9}ym=MOiJ{5Rh({vuEmErC%2@jAS6u{FC(G2Uy(=4Cg z>&saF4JuX#;F20v;SFGagf;5K-xVQO$3K?Du8U8!uAG;42JdeoO z`G$suHpqTId{!Z{KQMOppyuPdki>j>wl8aHle1=^AIy4FtEm^}ktwh{C6}&kU7a@M zC{(RBfIp7K>*{e93N$Xmp&fVv?x>C>XW$i>9(7vwJFRr!{_Ph&z4#~6$i4aUoA+nP zSMT#=+yzWu!WA%n`e0bi>kO9g$H-ENcam9dF#=UsVL-QxD3ZjyG9g}t$x_DSq4|1C zA4nSNW)g@HD<$A;XH=X~7pp7PwQ9FYRR(HWh?)TW*hiDq@}s)ufvg@^%&Y7wp=3IT z|3}G$O^~3bxk(lg$`h?LcJ+1OSC)YR2{PrP5@K+eS@TV}{$SsHlUX&cSz{`|u7opc z63iOc$Zsz;;gcsec1x*S#GYFd6Z-lzRZlj8A2 znq-Qs(4xqqxRq+9t=?t&($I=XdwfNrCABR5gZNIOCyOO1T&#Kvj0`kATxIYeD0$Tc z#x23e3fCRn`(O+ULQr^EvVwY2-9@-4Xz&<%#!*MIa8 z?aqjfvNbYqO@(H!*U|JE6sjdgSt(kDH{-fD@%(*L4l}TavPbC5$w*`E(gH4VvW1@~du6GLO zaL45PSE0F~m4Upji5C;_O*R_>yKY9UG0`Z??je)5E&S59wwumrXER>^#vXgYwC_33 z(E%;Ix^K-A_*ReNFKS7ykd@U2=iD(^2p_eRnDUld5okomED!3GHU=ksiOB% z1$x!wr2j|n!ko?%=$$hZikrEfHg2NObp5n`+O3ARjk-7|cXpLS+i6VnjO#-=#$mTE z2ksC@dc84G{`aOXbI+*ef+|uqqCAqiq5(xM;HBrz z>;h3$scX$WXLrWCGv|KiJKy&d_skfE*8;T=tkGc25c5JxCX-5$M5-iWB{2r?e?DL& zTOr;YsI70+$@`l6R;?V>a)k4r4%B$zdAMFHM{?eu2}xF&RXxj{C-zi7Q~eyjP1&yQ z7G6{j$#1KJ>UHIss)53Bbv35zs;)}BQH9eA`$Y$k)oSfpV^h6GqukD+t-o*IfLqm8JJyh z1JKxAWC#U)p19%wY66i^?(ar8H?`0V*g*iKy~?;o7F+>o`Nl_IRN0&Q0_Up*Rhz6E zlgX$+8v>P}z{E)=3OdXr0}jQnE@&X_wc0UoJQy-W8%(fmD;9~`aXW6-(c>^sNL@fD zgiq?yhjIV#2RnZMNms*yC-2`LTCi?mQ~HU&qQkon&OUUc)WV*bb8h<^7izMZ*_%rn z(DnGBM>hI7qqfgdM z8`GJYUO$gs9PO!D*wAMxb)Xf3ag(Ve*-GM7lKM(g7i>j%ahPN)iC0PB;;;SbBx{hp z49?K?**3Mct}{EWd5QbIx&_&_;?wdP<*8V&vt8UF?@)JYn=>1;d+2_#PwrP=(spNl zoc*bMP(5g+%Idr+?HN^GlJ|1Rqo`ccU?zK8v!f%@Se> z)Hs`J;!`P`4(41Va6}P~awsAqotsC(zbd~en^9zuO;^{Xs<>!`p>YIdGqvzpgiTd7 zC4BtHgd|SfZQNhR)a2|PkJFSe#O!N(8aDRg!VLG!LI{0yd4g3ZM4{U zg)Fzp69J5MBC#L^5k(a@JVY^Yp*bmq^C8;my-dC5O>Avz%L6KbA%O`aRxEBa@c^WR zFTI}A^0IR~pZ(Rm?w%>7wR2am`q5WEJM!;6>>2gw+wUAMw4+OlcJ0`6_s#c9w_ZmV zwRJBoe0*c)v{iNS?>A05();XhSFZlx1!dpMFD#vt&#!5ia&pV&k2Y?)L6F)4df*JO zVwCFhWfrHP;1txIW%xn7aUig&2%V0&2shJ+hWsQ7sDTJ!F`x&o%ne^vtm@|#lDJnP zg;Hpm$TxDQUVqfJLODT^UMXHBWDt(~@hxdRJuz*ib!?VunEp}~D<3_2=N7r!!=U(T z;cjEpC13E=Ma&}ZJjd7s1~%yCR%Qw}otedLQGdZ+SECYz^+EjZi3lI_a7B~j2bvsf z;cDId}+w1LJHf_tEDji}f6=0xn8mS`6>t*FP5#(>owgD(QvwUb}>F%HYSh}-*XvWc< z7f!Kf?j5^ax_9JdB;TOt+&li8lRp?jF;XKQ=G=6sk$}E^3W{f5Faj9$S%#~71ObeHXBaSJ%m1MFG$Cd+~geQ`is{>#vXZny&7L=H!TE`&jn zOpG`kQ;FAvp+USR1Qa^W7`VyflnOiX&*Qv-NZ?|y<^O08Uc_`Zz-4WL>7?$TZC|`N z5aJ*;7pGA^^pJUk9~(XQG1@t9Ol=d||K8{jIFXCH)^FR!QVAB4eSWy~e$!mJ8|UHIz&=TCHrW)X8>APgwKW z`O;ErCA(5uV{KwLNzYp6;+jD zreRoi+;KokP90z=$0boRbQ1j~7FbS-#Ta~N3?a&4IWA>6G0SociRV+60jZ%&s_JTb zOw)9OmpI2_Rb7L=f?Hx~M^jav=Qs@aJseaAv!P)sJeMw8CyXfiSC%%0ZUdG&!N(8_;A55V*3l>_W*S72AkCjVD}PTH$z z!^5yl9j;`LF0k1uY_?7?VF(WNZ-{^_d^q+Y0;mu=3Vbpk`K;d_g5tR%0KyCbVHyz3 zJgP$=XrlT1Xgj6-}0{Xsd`lCKqiG$10xD#!TiWi{u->%gtwsT#vX! znQyE@z0A|x8gaGKYiwqAa6}VqHMW|2nEq(L@G3LNoi^TM&T|);Pq|CV1>+iXox84F zHNFKh@(W*=bQr=mSw)Vl&}#o0AW0eLqC{CS%@Onn(Vf?Qg=AWU!ZNTD21vuUlRbv< z7(%)TXy$nYlZd8a(3q+s%Sh8?-7rlNYKCQzmP|oJ5e=KXV45z)1OM?fmStC>W0FJz zBhfU*rVOK=;+idF0XkjDm#{R5mYsHm1HxH>76#Ga$z^5o8T5t73192hKGJ9nF7gGJ zO2n+8G$EhH*|!O1i_YH?BgK&-Bmr!T-@xr&_8SnT$kP-Gs!C8fl^cFEgs2=|90=Rc z-=fNV2n4MNf>ulv5D~LZRRJW)XJ84BIB$vNkKzu))$ez{3cQEn1)fBd(0 zQp57<*vT9azC1jd9=1|Bfv`2j0}dg@3lM>Kx#*4st5y_9Dr#Qg6vE{drW7AW6eBgq zg1Hml9q&A*)^?zUJbp>7?w#Ook?|XSX?pRiSJ* zy-oj^-Lj^xX#v@oX}o=tDr0J!^0NdhsdT4%sifnu$2*A^Cd1{f90*tl>?^Jgfe?j( z$H9xfD#9@TcXu)bLOBcpfV!u`SE&naq)lp5E=mG|Nb<;DN%Bg(*QY`s_ZIk+pwFjB zyyWFkDu;2FFQ5b(7m-v&A|Qo`a)d@*ug?d#g8r!=p%K@sdgr^o4L%CUIpk`Jq84!c z{JC@+L(n1D3)M7u*EzWglSk?uNp$&+NTQL$ntlgWOaq`20tq?t^-oknDTq_%ND16L zHj(f^R}&FcEZVF?Q8Qp@*k?hpvY}WV^r&7{cz1Y+beTDome> zZK@xVQQ0_HHI4^K>IiRV+h2Hr1H<#+@MnT8+|QMB6X+Osv{&<1M73DOD6OI*sofWv zkUObjc12oCS9ECY6|Li5);5iLExaT4wiYS}-X27qScY{liqTuqJ>`d^zb`)#Jzf5{ z(1mi(17VVn9>qi%83-^F#jI2|4WmoET`Zj&1KCFv7^`^?|jIOF?m0u%s1ZVl~Ie0#hk=oUQ!rGwSC9T zUL8@}aRD;~zx(0xEEr;M0fLXwNkGIlir@7O@gwvRS1)t9Qlqq>bX;j~shETU$6Oki z+;fLnP=#}@mS0>mE_pmjcO^;E!m~}q|7ev&iYnvBq|*|0q&kU8I^I-bWRxO!9^kn$ zGD2U{@w$?Mn@d#_@0yfEx)Us3!T`aEesAz=37^U&1_n^M_b2KGGyPELEAOuF95J{_ zW^R#$tZ;V17uQ*WmW~;|3GA=7rVK5gTy{TY4lo=F1;bWxDKE)7g%IRFEaFp7{;s3< z=*-o#YL}f~N~&jUS^sR_zQ~F*FKyY=WO%KjqqgdlVspZCVrfrW`>sD7ek|7Ed0K1p zuL!S*9e4WF^Va$3<(#Y5)##^rmz-fIS|C>XD}&?2I=?H<@i&Q2iRbga6mA)+5z+;T za=A8i4PVICl}P-ILJY-KT9plo;JBDAg<({Quo#UOCJ%z^3_}Wn3Bd!wB?caxaVs&O z$}_~Q<{0=mf!WY%zJ$`_gmjZ#WFNUs1c%g-xr8TZR1vfg+;;O2UW7q~Fr!EU2o=Jh zg6ifVB8)8!no`O2sH2fJq2=yvP7Ts}$HTrr#@himTnz&U^`-Fh4 z$Prsmh#rzXczc=MURLq;vVpgk8NyGUJ&C6tG)|<)&W4J6qF3Zj=mXjFE z$!t3mKP?kSu9K;(<09FIi)2<_<*MA86WE_dvNA&cbXP-jaRYM(1f9WP*HB`xi`^Z_ zZYC>@bU%DBZK#755(~M_nKc1OUD%RKFT8;LACe{XP z?FIBZzWKpsdntWVT;ly+u+={9oE1OHxey)583VvcG1-^&1rP_dWC47qv=>`e$x?=c&k&0|`KuKPSR1>bm^p_aM8Iu^M zYqpvjOrR!WVuYY(0GVU5riD~BB}9cGlBRq z`Lz6rJS+>CqPa3J=QGH$IxXjqK#`@5wI!K#A32&|)AS9dr8^r3Gv6d#%0N}sk2y=> zbB|}8X`opx)?z5eBMAe}k>EX^@e`MvSpU(Qj)!MZm#{p?3y z{bDEKU!FIW>bL4?;Dh)6bn^TMCopFXP(|~BXCcU0vuin=9RgLE7SrAqWeLAbT|^s@1~p;j67#!$QzCvg^!`l?j1S~bLwnbdGh$k|aM%19fqu{|60 ziR%$03WtG~SP+-5DzeefB~!(IgyTMgN!&L8CS|)&&#giY0}jfU4Fdp&w=SE-69pQL zPd?!d4<=*&CHj`VRf3_ODpQ9oc-{UD>#HXTU_95PJO; zQZi|3tu@Jz=EMqKLGvmr&$!rfeRqr7=aM{xT-cE3wB~YJbLVNz<+SEH{4>Z#Kx^z< zGFu0<<}y)mA1iuymx(=8lUjV*95XMPvnENIEoKKaI*u5#isja z#&h{y8Aflp_679z`Gj>F!%dG*g3*~6XZRUsBXc`l-O!X=*Kq>@SqG>Q0-kfh2iaVN zMi-FX_P6vEt9SJttgOJhhu**T?XxeO+I#fT_XmIT>5-$o&%E~L-aW5vs#@=@*!EE4 z&<}9)hc97#=%v1?$F7g<`GvUjZ0Ypx-g)mG=GYD(BwmKDW#dOqA_odT<`zX}Te!UT z$V#zQ95o2Pb*CFIx@tHSwnYgeGbt&yu7y167aEGQ6qhJ=c#m;>mIhkSuQp2oWyT`R z0!O*FqgCvCaCb6D3AI^DC~Gh}doR@(T-fJtv)IyhhhfnzHWY`PYmTtf8FYr6S%)}+ z?Qy*N7W{^5a2}L~%DIFHgBmAPHt}7K(m+>O2VQ6fN%)V$T|a+$`WwP42cG`w4|}J74VXL#iGq(n^(pB0{=>9^ zouGsE(?L2!OY|bWMioR;bSLej1La$n=q%Mz6f~AX1d+<(vlz*eOf*^XBt!Vh za*4<#a*YUxq{$gr5fW%+VFQ_u8{u&y8hb0@%tq#zjW7|;Vn!HJ zv6czmBG^AG`JV1vbY&qXLR1cpjEs=Kjg8%kk=k45f!1e#HnSez$<317T|lMbX__%R1%>;8c;O z`SXPfg#(t_98`pAnYF5=B`~c}5-6w$+{Mn08#$yQ0=5DW-#;?5qoyI%*f3JK`$zYZ zD`(H%c=|}iy@$!>TZ8Ye+s5z;wIE)Hxah(oenJkkmAp}Yh>E81PiayXX^3S{W*&@BJlv59k%-(#*FY-d zFKC;zC&eeU^Ww*{a#Y4yxmNMiCV3TYF*=M+(kX9JI%zL?N;*Q{lm9^eERV}qlz+=N z)L2B*BvB-SEGv|%u%N2yDYC67vPg(0X|^P38Wb#1F_fhwD=HusY2*YpeJT-_8>vQR zt4x}^1B=U+s(uhax`zZ0yduIar~{A#<>@cy*ykBXJmZL0GKz2p;C2FWYl7k-q|aoo3+S9f-hw|U=jO26bU?ZzM|!PyVXVwmz(j$xtIZ<69+SCuGw!E2 zMV}W1T4{ufzy;l%Q2(npZ}K&ouWq7hb#*f&?80z$6AXVEPV?bdriuTAPEfv}e^D+6 zPn<;ZY-u>t1W7CnJ8ZZxY&FTjz*iwY9Mgk`bDdCZ>_I>BA;GHcz}sxQnP1?k$>B=& z@ZXOmg0JJQPA=Wptef){E?}I+3Lq4}ab@N)eEP!7E8mqyr%vIancdUdgw)=dud}** z4la!xuOGiHae|VVjA~p}7qnB;Brk8Q_@bUPnwko zu(>9P0l~AKIMK&I*$g0D0-Gr;Wc%y?W&7#{Y#-!0&|_*@j0KbhlUXhR&^&jccJja6 z#mFm{3+Rh?>7L*=i_CK)(&){$au1bjK{I9XZ%-lvV8*srPn0KspuhO*bff5zaWYOn za{ro^&PkJL!Bx{)TA4^wQOqt%$}uJ>6f9?};+A&C!~Nc%CwRbP6<&WBhY5FVmE5hd zZmM&~X0tcalg(mkbH|O13*~Q>4KUC@UCvbvqmzdu!!MB6;RX@zO zOYkn4(Aahfh9%yd6Ct)Stf%P66jM!YGE?XDK?|;}X8`vh!GmhVTKHvF1Y`^x|=R1GJu^l_uA%NqM5k72a zC?A2)l9rWVmxzyc3CW6+z(7EitkA40qZEeHPTR^y1RL!Hgn(!@)Mx`LzCAGm}kfXh+p%k1K(PpNup~a20~7~2AE)D{3?Yxe z=NEVb@yRGQ1u4md$6hNbXNlz24RwZ{y za3Y)uYax^H9rw{iz6nym6SK!Wb3E&PyM2agN40CAW1(xgVTF`(q+HF0by5>-b*ys@ z_^#V-skfZhy~DO)?`_|hPYEzl7`0b2)$nO%A#8wu6YqLPp=dGb6t^3@yy7;Alt~K? z3IGa8p;71*7$1?)N907@b|)!fAq92(^TRyQ{ex&p$PP;=;Jp^W$rd_*TtQb@ODJjp zQ4rVMIv2+Ry40l z1<^YAgb~B+{ozMrZaRZhOb{j}UQ4BM?ue-N;&kuew*t}tA zOT$~`mctu2y?>;m{lsXK{_IbmeRk*AJBLQUeREND_FG-=)pM6Wy?p7>2xiw`Z?K_HufPPwPpquEM(&y?I%2(PQ*MDd;V2n1WTjWfv&CMX;9Ht7Y z1j0cxfaavR(cEcfyh#zI(@WGdTd@LcNKiN?0<4V;C<*q6E!C<~Kyj^q}`Z}V643;CMhGXGltR(==1 zJ$P7tzw8e>Bkypkj>)xUpE>j{`gxj$F(9ZZLyAucDKRCbjLn8-zFBA%o26!Bf2cof z4u^u_;Do8c24T6lCbXusBhV4-4DJSNN@TDBN3mGITzql;%m)pAQ20 zAeawIbJOAYH8=?5gJ3@Jpt&Mx^-gOrgi9oWar#1bMlAO@&(KGceyt2Azer1HOSF~R zG3}bhnzbVB6>UgkinO;hT04uhv7>!)lmbXq8@?QZB%mP}M1vlHfCiZJZAu&v*-e%> z0Ocu9i--2O?Hq$21200s9U?Fek}?*UahHolPT&k`Nm-4@@bXEx>@+oZ#MPoHc#mj4 zyi)VwRT>!_HHCEPGxUl+E*L>ePr0WJMnDAr6}%#X%M;%wlCM(~e0>@(ia5!iDh@~D zjj@3korrbD=okb51SvJgyJXAxa#=>!jsyGvI7n*vA$&nIF<>)!JhN}yAs!}u#5YXD z7$jl}{-OL>t4+b36xlE;@^LF3LB9~awbc;<|lo-iar%lRDHpsNe z8`|vXh4#E7M^DM{L~lTED+^hm70OV@`i(vp#g}p}pr42iUK{#YYznw2f50diCI~K2 z8s-Hy%DAW^=)s&7g^0>>2RwmBBhjv|E~>zeK)NlHmaCMU)r3Rga=J1;wJO&jP>m55 zvpEo(IlOeP*@qH+<~O!%-Bek!_rkkNW`8g8cKx=q4VL3ld(+ltMVaK<{&CN;rVHDy z-2^k;>)O`V%nYa{u?1aq^EQ_jMdxpMSzVf1S`~16WFc5FduyuUz3+d3>ohp_4IRqIm3Q+{BymPlZXGtA}ZHgfB^ zPHs2HP>7uNbH}*>ZjfU++^n%zak*wqf^Yh9cnjYdlxIDer^O91(M6>_qG#fDQ) zL+7Fyt6IvoZ$EkJlpHPf9(WJVT6>UQy%TUPqd(i3-MhHViF5QC^0M2^f61=)*eH%O zJ~R8A*~jeet?%t{b~$`-2)ptbbA-yPkcx*z41rQE$+f9eIYLc%v{eX*5F*i-(3C3u zBQbrbRU;LUss>|Y8%P_ZQj{ijODiC)TO?O?5{lv~l^m*+`rL2EXE#yrZf9n9Z)az| z@Av(F-?s$b-g6$M!8WuZQ6jlmyu`(7+k>!FjN!#*ENsWI zdoEZTf@|vkix5x{VfU^zbIKuuD6M*~!yTdN2n^mx{g5J^A=*R5mXv7#`zec}ezIFAC6 znM(H2rBLbh8splK?MR8e1t}_w{G2^^!c$vDCk&XVt{&8RNbJBmK zvlEg6)%k%hXooIpDtXz)7D3k^L?eq9msH)RF+D?5fuvD_btxpbpy%bGaHI-!#m;!Sk*t<6J{=u$^~CXKzHEf~6cl-!fUNIXH~z z%<`A8qQ6ajkUio*?jQ7z`OIf3U{SbZ&N2TpbycRdt;K2hiF0pKeCO03ewip#Gz|#L z&?sXj)F{mJec<=*K@A0vvuucZ8FzEP^bIp-_(4$Aj8M}I7yO)e+|Y4c6Uv<;GedYB zX$z5p2sQ%M=O2%Ug)*F`M6<2m?IXODB(QJhhHGGe(NhIg}P7-6I2 za}n+F@oxVapZMa;@-+OYwg-Ysf#5oM4&RC0>6Ix_YSVPJnuNRtej>=K$#)kb%d3B3 z>$o0uzHIw8eLUbk*#Rp1T<@~sdTYcX#^UHcvuNv%Atz_&$ZN9`kkd{eclPQKYU8;8 zFp5{zKb7t?rL7Qg=Iqr0qYcXoO^``q9Z(O-ffA9R+dxr({!DZPf@OjdK#6lPB=86&55pfV>1Y$%GFCYPE zNOYN~SJxH8q!jBq)l>yiJ`Q^BV9p)Sf~0~tmSTHM=Y%uw3c5mxmq#>2h$_Un;$SCl zE1_*Gj8a7*I#dF-jaW~BgAL9hyP5GX>GV*yA=2Cfw%p(! zl!a<_dQL1rE4+8S>8W?CpTM)wifSFL)u+A7NGzZ8K2xG}u7yrOca6EG4vHZ+*;uxO zy{*Qw-JB>ZaESA>O=VATJZmW9=B6@@vgLWjsZ{r(B4`i*1q7!(A*81hMgSAc@sZkz zzrL~}yF5SmcI`#{&70$^YkwyNT>EHU+kNeKYxc}<@y1H63j1oRZBhOK7)j%Q)iKh@ zLata!HpK(o(qmD;b5>;M>R3s&7SlJ=$+2|G6P*Cgl$4FkAkShhHt|HZTnM-GSD6wy z5jZ4QXe)W5F>D&don+8rwb(7rN_(Z#;hylVLQsgUk5__9tkSSE*csc|uwQ@D+3z0+ z4>WwkIp)6*ybyan>}RK}*Sw4Vh440eFZ|G%@jeP?v-iv+Dju`48I|A9k8p*j7M3s9 z2R^9Qan#AV?EzOp=8y_wv3$TnIN>&sVcue)WwBTg*tVsM2~gI{lGg0&S&|(kUFSI1 zA__;zw#e!VqJVrccs(G&D86r)<7V{P3=_AcwW6HeX7||2W_#8qHvD6-m4j_a*H9*R z5Qq_sH?tSYMF0^Dr;^_EWXhYY?wv~im+@)=it0MU=Rfx@_wKTL_p)~%fC{^?EZ{|U zf!)OotK6g!6Q_a>rdAE95uGZ^OE8W#5sgVqCvj*Dv>L}j!HCArV0~h>8pYH{+Qd|o zI%CshCZwa&Av!JNgwb94p9_jWG;_wZ4SZnOVe?M9rF=Z4-BwS@j9Fe39M`V;es5Izd zkUmN_^SH(AZ_L7AsX`yzVlT;yKIKaC84}~QXFpdn($%jH$5%Y=4ZQf1VEpI%3IvWFbitbtGOzfXfId~V=*)&oS+K`Ap$;hvWG&3Wv2v0(xipbdg-=gkXS!2dn7dz z(>j120u`j53=@tdv)d%aDmQzWY^ddfsP563@_-y;LBA>wvgF2ao1#YLuW^g`brP%j zqgGRv&Z(F~y%Okl46}J87knax`{;=bOT0RJjG@S&)L(?gc~GV2UPSq@G7v_a8^^{) zjG}Yg6lTs2x+40v%jAquJ07XdF<~hRK|-b{ezE@joVqCPsV4%Ji`^7bK!Bq!8$D&t@tiVJGdT>axW0#27q1suC2Nqs6q^4 zRZ;mHQaW>>AfVvB>g102Y~kf^*D;Na@8Q!7TS+2$PAj5-t_R$FBH?)SCVX9jfrv(Q zLnf24F40X4Jda+cj??I`D5X=)4R4}6;{byrt|Hby>Ml^8M{fD?rXC=&NjN_o+vD&g z!L@iJv>t{n@j4v-%ALo`xT%_bUvC_>)h!Kn%9ldn(K@O1B zn~zx0(rq+jggXkH!f%sztkKKFK&)y2vx{e(W-we-=ycfG&a&9fR$D0O%gjhv-?g&2 zv#V)kS8a9KwCd_qy?xozcKXq>wzg#$6T%a2_#49woRO8JlQ7X>qZWS0*5+(W zeJAa@?W*%?>h(17bl&kv)R&z*DL0@NbaQ(X_gRjre^ftHO-sZ;fq${T*-!mwgbw*8 z1^vBrk(QpHXV1^io0O+c%r)c(3JSS!pdhD+U5ozQ+z`HVq`27a(Ms9d<2@v!HG^if zk$k^DT;T?*yWZX6zJ*4qEg4@pC??9RAzTS-d~^K2r;A8FIFIsLaVYEw{{b)EFoT%M zc)I8ipZbKI4PZ`r{Ln9Sj?Ek>m+#CRz}k$tjz+N^WSJ-(K*5bN0w76Z%w70n5x?#| zT@h?H<>P8&`U+Ugl7F;Dn-QG~S>CKEK3kULqgiP_r_Yp?;7iS#npu(uNmjduq{Da* zxQrO32pC1PO7lRm$R3!$;mMJh>>=X}cp?vWR1bePYycGcDk$V_4m;&DGrTkuw0T__ zJayP@d{Lp-779`?lC{vuvMM;S%DXbNec8Uu%%r3d8evH9oPwH5=i}SXor`a~@FCQk z>WE)?uN(5Zx*%`QzW86_E^xK3|8dJQHML-R_0FfB33{i^>{wZuGksyZ;Ywe;{e!;v z*7N6KY2OF1yziy1_$Rx&;-7YRgW9zl{9Si%a(R;)O6R>I+EN0I9qWJN8z1k6i%(AW zM#kg1f0$E`L6PQeLq=^+-JZ4wxwF@DJa846bsd@YY0+n4sX=u2(*1`#o4kJ32J#{Q z1YJl}w9|_;xxx!pZ@st0d&|pv+r&afm_(wss>3R$wvq4hgOTrG{uTVbMTb1E^C3oz zY`XXXF`}cyDES^S#yEjwU`Hbgb5%czMnM2GKc}cTYa*oQelPPy6GQ2wI15jYN`Ek? zFdf3a$?4=#98muU2ap6m!~n&`im@*g472s^5`0WN9J-MFVD9IvP3wE(z~2@hU;XL< z_v*A&6;17%8|J_Mf22JodtYkrzw}C9bxZAp85O&?ZQHSwsbV<_iIe{`>XDU{Yxzbh za-@z9adlP$7_8Ee*=vLV<^g`)XxIBYB1LS z2wlbyK4;qe9<;LN-em3q@bGpwyX=nF#_#RL-Pb+1#Mfh`=17`mg%F{s2ByO){7BOK ztK@$WKdUtyRy3Y(f=h3`#p)J;OCr(xrD_(|Pw%GplA~2vHkhC$VIPs`T_~yK{!QnP zR)cHWvmGftDfE_bOQBx~Unulr;bVoK7EUX)N9a*#htQ$WR-sj)>x6X*y(`|e(-qzj2*;)bYXpgzsRCHv9g6IU1af0We80=@<6Bpza2RUfFAMxitdH1#GtlTo!g1KW6A~l`6 zNy`lvb)>FqLT`@;JQJs=!VXs6Jr&@I9ig}yAjtk4Fb zL7_{;C3adVR*oWNH79b!zF%S3m@NpIgkXWVUtl)^fB;ew1(2*!GvbwPaZNRwEetNp zDoVL1LCCUoGg70Yg*=Q7_A`taRQ<9Zqa-klVgvWv?}GIJr^ZIa4XTt-k;5(|2-)Fq zanVEZ+%xIwg1m5uyLLa|zeRvbX6H_K{;YB=Vmw^I-GnJRR?V94itv>{e<$<_^j{*p zE$$NOf7mb6s3@*1j{k3M-A$u{pe!m@H&m-=6gA_545Cq^(MdEeqfyi-8uwjM+>K_8 zTL>!d2;xc*ao?A?pyIwp?G|uJf{Bwb8BbfJp z|GRbj)_DCKz0h4hNG}L_(z60?heJvnCL_CyGVe;<3-WeVAnssrd-bAp?@Rr`%WZe< z`>QTY`>oZw)|kd+m_eEkBdL39NfaiL`=!g*=q37!p<)NIyEs^!C+b8rh;1BEEqhwW zu03M{LVUdxqhQb}Mo}+`ddOTF3I_SG5Dx{S5Wic{k-;_6!zjeZ1VRy(g|iFG^btse z&=Q?Fn7kx?D=#4l20ohv83KQcoQIOQEH}u@q-A2Z@q|%W&xyH)R=7PU~|I!NhU8;Z+1B)xkicW9v zG8!qM88`3?R>v+qV+;a$86e4$j*s_py>szjd8{8%Q=$MO9ap{7!kLpmk<@&$^BhGc zICGq5Xce_6=~DA4&a)+_ooC=~;lB-CEJd8~3ePwTuLk4<<{_Ybz@##^QuHeU1KSx> zfC`g=igy5?B%m^URbj^Z**Z12ksaCjEh?6@g}rfaaTl7LS4GA|NKY zlvZ#P=VoOoZH58u_`YvDfDYL}$5BA1lfb*XfX;mXE`0y@2LRok1Krt&p1ihRPl4W9 zKrFANpC0JX^A6-S#N7i1vyVe~zL7lF=rCaHG+=z3o9zD`Cd4o~<(XLdAH)v^ru6tX zJpS*H!26%T6~a{3dfLWPrnmq9W=5t54<0;t@ZiCN2M-=Rc<|uCg9i^DJb3W%p928| zSM!JH>QOMGz<@W3}9@_B4qu-xF^GSg!o7VYA)p+36kP#^wIn-+AS7_w2K7 zyW#y_Z(0NI`51n=Ui%S-!N6d*xDyu+gTv-CIx1f<9*xE3H#{hQn@~n7mCNEYKB?Yt z=F8>2P2!*VaTypCjZX7Pee+ygG@VLPuhwbtn%Q)vyi{IdE4JTm_MBR^#ng|;*lKb! zx!rE@p4;{PfXCr+c^h9a9+UU+d{{nb<}{znnd@=5Up%H(cf9@^lhtPD?|OQ}TXpTH z$46p}!L5~}yHdbqHZSuRkVp~JI3YG7M899{pw~{HND&3bsFF=chkzbwd0;xB?InLf z{G603MP2Nw)Y+`fMYEHVJ6?8ldt@HfrX*WYAwsp2!cRRL?@8EKp0Z436=9`DYvs!- zWLqs(sc6-_DTZ4!tHNHXy)2Vt^T4dinjwp(?5oVKtiR;6q}F7hHk~q^GNU>@aFTh# zb<%nAasodSEEKAcr;r3DJKxQUKcf%5Txo5n#d|GFD}`M!^N)Mxu|;%KiB@)M+08P% znRwIkI>=45tIF3nvT;~LOZ%@jm=>6J82V&rU}%D)VmEwzqH?=qRhulZo zi_usBI<5ZakqZiUR5T}^N^xp=k`(tD60fvu<)Wx=;E78<4rU|B+1nGrB zf(f}dtap14W)-rr|J3fR-_VW2lP}`T#k5m}@@GU|%JImzP_2WCje-p_-LF|G98F0S z`60_};*>FAUHFuyTIOxdG0m*5rT73zj6lM(5Q~1t6~_U^KkYZ|4-ddTD9}93DKF3` zj8phebm`i6Q^7T$_dJ_(BuvmyrTppX{51Yj0|nyGP^m}uGxD?2vs>|P2;*BIEMjQY~6gZ(OfKd&=00&@9MCQ*rK%9`w zIazK%Mds9FCyr%$7-o9V#wWatLt>&i=r@ui5vCi51!+y;N;4S!ACgd)VsXZr64zv* zOHTXZguw1`iQVSF#e3#&F>Fg@+fv%*lvYJBU%`y#oJNj5lO?%L(XM;!Hz(KQ$7wuHE@c5v#4JJg${I2Dn;ME#NlRaNt+YeuSdzz=Yx4GVu6Q!i0HR#P_0cTt4W zA~Hf!v6G6Pl>+SR9+eU)XJVBy?24$BQY&X>og-xP_GfBa(`;oID#2Dtw3V{1<#an@ zZAs$B<#;P?k!+DS^|WVlozYkd!d4d#9*p$+C7*2chbi5eYY$+% z(|Hb%IY+jisQy#@4|cnA;cpmuf0SLK{0f&`!e$pax#ZEG@N7%|Z3bN;>6ZS*+w>@y zod&sG_>7{8ZL!p=Znq5nOxR*eYs<>pR|J1WF0)p*nR~BR{$eS!XwITn%ms*j`CkHL z__>3xsQ!xL-^|zrM=n9~a};0UvU8Z65yt`8wf9bmyedouX}y z-F9pCPu@Ef-kgfk&k?!vOI~{PRk!OY&Zs-GwUu2Ps;9)YrB%?o5B)mNJ2|z1)<>D` zrE~+Vr>S%kJJ&bBhx|Zl*4LX(o@=u$?(kjWI^eJ6-qWrwl(k9Ht~t7y((aga$PI$% zYb&nKaa}iWi-+cWcd$AM)Vhx`mylu8ZoWFT(`ld&=9(1ICJmpWspHy>DAj*kyNRbv zI1A%Y8yEMOpV}UBeCYYd;~ItCCh0b4y-n(_lD?b-q>l4(5{H~bVka3n>Bx_Y zvlH`9S1CID5=)*{Elz9s_smzpd2LWzE_*45B)a%bd8} zPgrIT=(bb39Kp;pn_81srw|@F_Z5we7$b+QGw*pUex!3WU#*R57 z$ETFWzTL`Nq%FykUjj9ADrD4P)4 zW(1$uWJ{-{A4)$ZPl%K2mVl{1xo*L+m|2vY-rQc= z@z%h}ye(V90+&>-t1Si2mH&dn0(Zn&ipv78vYnfKA$WYqNIy9nv?0JO;#1Jg`~AgX zKN8zc&jOmEzcBEHl)!`KK?j+u1KAVijLrJBg3OtV+>Xh9Dk67)=EJVwB{p}#N$^sR zw{+NViAvC-#X#=hmE{elaeevl27xce2c<&>l3^S0q}!?e3e*!5xF6Qx!d2iUNoQg)g~Td!c; z8t@}BOJkN--V=zC2sMzQiriRT-j_FK%b3)`YQlUrH*QVmQerjZUcYC=zD$54L-tvL z=SepfG8kbvSREP9_|x4l2RwC5j6R~}Sqi&LR3B^(@!YY1hG+FK&kwA&W#N0K9=m*% zmf}eUqW@4x<6R?5c)^w5&8A;y8M?eZJXT$7Vaw!oR3Iasx2qwn*sRVqN-Yu_P&X6- zlxTQt^um*^QNL#?^aawJ67(HZwESTc zMdUB4h>&Op=(}EDw;rV4mV)9LKZ_L_%!w(=(KEaiR1e(yS=xG~>Z1owB2xEJHxE5; zn|ofN5IwIBMl1}3dE1=C)WtF#9cLo;r1V7hu6DD=k*S!_#=g05La(0StSkDV=wOdC zbo5|?Lu*>rEoyqwI$H9vX%x`7>8*@_%M@= zIzI+?ycFjQSbf-2qclv?wscRETulqPEP^(@Sc(HwhUx%VP*gD_{I zsV|0=WxQV~eZ$*PoVjD=OEXTxB2|BqT28pNCFTDGD9Rh<9|vzK+&n8t8^oB!LK~Af>@IIlVAL-?_MM< z_&m03!sIGJaTBb%1aML2abo{uXBFBL^(R65%MYD#=c}j*hT80VMljKLb((g4PI4rG znUT4igO%4|DM*L9wSR5il(0RaEDz!329-ZWI}u*}mDGuCFoR6iW%Z7eZQ`}TAGRKe z*ew-Swrv5Y%wVdZPZq=Xi(QklE{35@MvU;(a|fU5OtGcfQghptf6yOk!GX!)L^Njt z=hlWr{h(cxYZ{|sF)=L`XfxeFPY7FYm`@Ij(h1aT-Q;~iU|HAn#?+b;FkXRkL0ZH` zDF{>$XtID_RO6CD@8DV!PhLs96{gV)P(^+GU7XUbZAB>bvVZE&sP{Y|i=+N|y?agq z9W2`aCQQh8To1f8_&d!2dkkU<3BefMA92Ds=qS#Bpjd$mvUolnss5BflH9+&pMj-a zR)`XWd`;3ssx(<{$OX%w)K+R~7KqYg(NdU@IrLoN?zxS8W8I}xS(3l!9~s6?ukXiJ z&lYIA;wMmBMBe)Bh8=aq%PrUV{lphO-?+bnX^5DY*k3U)F|e6AN?hyo7@8x6e_yzR zF5t@@@X?&Yz5Ug!NYrt2R2x#Jrc_%4?R7Sj2UoX<9^(-|B=>dbE2gf{bn?Sga--Za z^arVLSD(C7TpwsVNBeuhZ*V{P#>3KhvJ&a{MJCIbWkO%+O}b~vuqVhHBmaaOsn>f} z)3R)0e#+Ac&<7?@+THlLKeXS_+R(e=;izSek{eaSDRo!!Thv!oSFSItFYMR&>;AV9 z5$3xYO zQ=lHipGht$8^=XY<{J}C>$2au%}_LN61DZAK8I_0`7iGPT1(CZZ7ee+tTF3(`8%(%~>z0kXiYOhJVk;|I&AD>eH zZrKvPx7py*Iag7t_l7OmhBphm2=s<2mw=jYg0JtCIl|GTSQ$+Gc2)~Qm*pUY+xG@K z4S@lx8N>63D_a-EQo-`fUfn2V$ma6s_@0sAWzD)>6m-VzG3gc6%Ld;$fqU`*gq_QB zjlKYUu;a4G>z!-sQ(6i7OrSIMY9Hr3KyI_zd&k}Ari%OasdrzfZTN|GdjVr}NKI>> zC|9|`$S(vfi%l*dO`qUm8gw}&2MJnu%)yIh)2~!38Mhgn(~>zS(H1@2dDQJc^{ z-=(7^y`-pf$@Fy8w3^L#)+5llnffeq+8Xiv?z30j+nSq6c&w+98F5`rO-#&Y#qxPC z>niG-$rBYx*_3cyMoFZzdj$si6gDBZ&#^s1pvN>nx z!Kcu19)FQ?dl}_Vl%^`SwadX+R@xOxDjZjJ(1kqxQ_$36veY_8sr=`tCJ>c zmNoV@d)RLZS0x0kx>oUQAu*L$Xhzeu0vxLV0Cjs4K9z-c<8Ou6H?6i}aHh2?p_OO5 z6^|}Dmflt3?;*pdz*Zi1jD=u~PISp?I|W9pJ!Cjc8~8Al5t-p?q{qwoUxP>+`B;=% zC94(o5eAlxuE7^=-e8SQzQQN0lW^r;#uASh(%V`bTF)LfT<6bQ{+Y+hO=PPc(8jeD zk;9{<#iY;`Nn_|-qZieQ$8=IF&&C<1L`1fr5QgQpR78-L>B^CBmlT9OHNWlNLNK*3 zuQd{$#iIBNyCy?)o7rtT=ww%J5is(1KAj3lGn*+oYPm_Gg2;_kgug5{C@2^n9zjK~ z{HiI9s_UOZcL&oBb(Yw=R3M7u)Ck)a95fLZZ|@^OchO%JsZolm=#uAEtz6;b>&gji z?jp)LxwVpZNvt}>tVc7&Sr|OyG2lX6h7#Ccq@8Ed(ava?*8x9@I|=1=cJq~&nZi~A zaU{;#I~;=2%V~fWQt43^e+j2YlgKX8Dzsu7OlLGHij{chdu1A>wy|rt`o%^DR*QC` zDdZo+vav^hwy3N&QEF)(n^c%33hMN7`rLeZ2zu2t1O~j|m6(~%n`{N}N>VVL1QY`v z)-ayRy?nB(X`Ro5lMST6`mH-cQ@?$nZ&Dda*PtS9O}Ini^>bR7gZ!oE{8F&z2)vjq z-I~mc#V10)*t{inaH68&$gHy0ju-=bjE)Nv({+?=Dr2n6is*L@d0}UZana%zmM}1m z!*2;w4mN1l0>YGWbIp!=s3HZG0tMC~67cq+2Y2}Qh|X%Q4JHjQ?d$|oXTT;#%ZTUT z308~a`ccS?`Npt#Hskl`yvK`%Hq#Yq9FqXiCf|p^=w|Z+H(Z-*MZx!F(_<*kUzfX^ z9%cB|j`0L2R?u&ndkB(7b-;4sp6IJw%uxr0CH@CRXCh1FYZ3{JUq)_Pn-W+3AYYPR zLrp2dWvQ88wi|>5^8|TbzWv}U$5TmsnW**~JFt47lL2ddPV)+oV!q(n9WIjX!kF1Y z9BG?U6LEO2p|&kwzlU$@xWF`2SX;*C*stlrLZHhl0PLuACRZ!J5t1327spz$tzIi$(a*G zGyI$)J%Y_Dm!&_hXCwGCmHvnkjb7aMr{e=-(X)mVDvyr5P`L32p(-)D3fleN7QpQj z$T~_Hj{jFyZG1_h(?>(BZ(7;FZyZ= zp79Pk_pfX008@=Lx<8_b@T2rdoamRcYH`VfuV6k}zYXT<13IAPqSy*~|4zz2)c+I| z`@LO>>(vQP3Uo#oqM*$s3ha!XkwYs4Wc2eGV4Q)o{W9$j@5ceiVyHL1LCr$gX?+5* z+ngo{51To%F@_VbdXc?MFPP{X;lw!wv61Z~`bK>baYSnv75PTBmj7qdgus%K(mVl7u;y3FOKBSrr^?Gb#iJ+f2B`r))qJSa=C5TP_=(43yS-v1) zYGSiceb6)fG{Gt`H{$-Y_l>vxMz=^>{#RMoW5;Rk$FA>fulF49>Gp9vw&9qnO%o5F z_(OMn%>?H_ZvF9ay8TRo_iv<|>&w;}bxo??1$W!40*OszfPVQYh+>8Hc@oz znCC8vo6lU05~^S0?UKzdv82gfwDh;?z!z%Q2FzD8@Xu^ZBX2dQya$&mjsjT33weJH z5=?O`ZTzWGz~T`-Vve8-5N|<{ofl@&vmX6hJUC}epWh?FFDq-sS~I^n-Il@-DvC|} zT)Y^};ZAzrCBm)B_~|qL&giy0bF$rfBr&G9ljz%PtL!HM@^M=}(`Um6jBY62r&{d} zF#n-B1o2_fu-d44w_N7aJ`){RjrHxkY92JrWAD6Pq&2k(^ml)u9BmkU_j`%EI$RfT z%roXc+`dg^{8Ep~sW*NZ5-%U#T^q86Vi;w9*$u}Z^+L}ob!_X{SvS8?P@cvWLwNQF z+S!i1^&`SkK22A@^2j_NR;tSR%ju|sFCMr4ZIkuHj=yAYf|El#<{sRTMfEJL;r=GT zxvS+3Z^XxV17%Bh;zL|B>JFopX2@TNyFKSjv=($`77LAoyyBW}9xJ+{Qj#mu+wVK3 z*a$%PQ~@-!jk67_q;8j@FR2wJ1!*Dr*fOWwYu&rwd3ap+#3>iDqxu!bj9T>#@m3@U zd{`ahgjjSnL*Hl%4mp)lmoRvjc&kQH^LVh16GY<_@9zW&Wl>KZ*)xr2DK1R4T%VLWtMM0GdYBfXh2R&8u zt-n9m!M)u<|9;r^$$Gp(H9PO|%$jnD|M@zARP-mNc?3!{{=)-$t3J1g))J696#Lk7 z$pA0EWHAg4+R6?CXo>$zl(mA^IAbn(Y+V_bn|OVAmcCx`61?LC<14By!@p>ztbi*W z++u=N^M&f&63td2sZxnsDys!jW2Sp4-&Q$(v*J5W+tHI!>fFTv<_nTyfxO_)`K3W! zl2_?%=siX$_`$b|_4QVXrZ?D=x@ff{@~M3w!6-UjoHAg%C?fdz?WWD;)TYA)nLFA9 zFR=R95rCL<#+GWa1;ZA=o^9Q5*X3m$CyH;y=C<@oyb5&ut9M`M)%qbPIw9>ehZ>_#@i2xQPm20@oU<8X#IL9cFCL^WFcu?YbTJlI z-^ynVWVH>6hv4*8SgOS)!cJ*(25x*qiu-+e%rF?}uf^F{&b!Mh+3zv4W7sJH&w7lrQA-Ex>k{z}1i^tVrGIA_|$Ap8cVxD!bNN&a>m{ zNDm)|z67__5&=kjoA55Q=AZF$->vV$teLs`E8fZ`de1%AxfNbVSNmqC>hrIRA9;gY z9I(1T;h#%)%HP_cd(i9rg%|Lyx;4Mu9HEF4Lq7=z;2lZbSIsrv-gsO{k72=JAX3f4ssT6Yx&w>vUfHzT4Fz zvyTk{OYj>I@5LW5jx&pza~zAls}8IDxy#p0BJ!3qAhR;&@vqmBydORbm}3bVbJPtM zq9>+D$?Q&eGaWRib4>otB|Lo-JZQJ1Sbrn{r(m=CobD%|sEK)f)APq3Q4F;mPC9Pe z5zjS~pqns02IaKW4)ST^3~@wkqyuGoBDEbc2@NraSOGh2lb6?K$a^53zyH$4qvxcb zyshH#vB}ANR6h5Pj$cMp2xcjv=dIn`$!1vsxY1ryJQ_Yajo(j)&(=ATLWc!#wz(yWyy8DLCp>2Lwb@VZVz4FE0u%7}H zB$bT<@!MBo3$*v?o%(5k7$r;cNHrmD%3VB!ImKh-bAPF_CWlv8->A zslfr%&gYEv&|XMSBzzAT)QU$7zaHWV@J*Y=i~K7WH3Yw3UT zO7AicB_@?=w#nApVSm6@c}|l^n*kb4CL;{eO@)l=IsNmw;&*=qofN4I>mzWYJSf*> zN_ht;z;=-qmoArq2eHalibYYWgiemzvsFovFmk5n5y&{^D#bJVP|Rwo4|n{{N(^Rw z2R(qf29p&)HNWGhl{*Z0p)1`AXM$f2Ae)Dp1rhF!{^7s(7w;C9JU}rG=23vM5@p7=VCF;d?;BcS$ly;`plt`QUfhOWXL{R&d?;t>ruOS{BN|4e64Wm#JCNBA1`7WM+ z(U4@q53!(0saHh12Zic2{FnQ{=wdsBOgjiG3ace6Fd|g7KO7Z$p!sUysHnk&)p9$; zrxBx-&hHaiftY9kP0oL!l$7Ejkpc%aD+;X9T$O2(w0Ru z?ifcj3dLKCP@V7&0madef=IC9JJ_-0g)uWiRk() ze3QZOe?zu|PkC2vr_tggBA5PQA7{s2t%9z)eJ zo(k@dc#c>{Xk(mHh?20SKqm-!??el}E4&oeG1}x9g*XMQ50^N_uMXY319l%H-e<|U zrc{Lyd2qi%Ar*M=qX0CFN1Mq%as3{}Xo!g<7{lf|*3ruc0*^0ECw;lB$oiw?+vseP z80-g4ojcV$@YHZVnvz$P8EJo@k?s#Ff`JnQI5z!^?q6Vuq^DmHH9bOF+lb~2*!@Wt zYOV%NSFN=@>3%9d>9~KX`6s<5=c$fCqaKq#@ocL3C9@~rdgDOy?vddLG*vmdh~KE= z;X6Fhf#InovzoQ0#2qOfgJgh9*%{0ZrrxNNAvaB8#HJ;oqwWkcwxu-ll)-c?!>*cZ zd%~9GcdXE5UsW;5V@2Nq@5yr2SGz~U4+nA3^%A~B?HH-34A-yIwMy0~33SrvCSs9F zTE%xK5+GL0RN!7<4b)g$Lof_|cLUu&cnKI_q59VblEk}1_%0YjjH;l)boPk=L{q*w zQJwSePiTAzQ$aVa5RAE`KUjwlt&>J-4*?F-YO@;C%aq2ZR(t$T7>V^Q27 z1g8G>xS8o|_L*mrpmZm}m1u`+gY8c0=AT?@aZ?vKKQs6m$e^CB8793nO3~V2Jv~yk zXsid8-HG$Hfs9S3hvLpL@XW|F^_g>+XNG|=XA@K55QmeWq&q8xlmmz>Q5-wM>Cnf% z$6c9%v**MQvZFtIvLAmPPO6#nsoz{3O{1o0WH;27|CqoXj1M1XLv9`gFoO$bF}kD^ zHv%L?P9SthnZ!-nClfN5i_2ndvWc*HlE7y%HcT_&<33N>MRcO@7Mm}8% zbe5$%q@?7JjiEW6tz8P~J?Yj)Eo*xtp~12Yf}b07iYA~3CDHIqI}&Ns6OXZ0#69T- z=iWpLXcDS9m0Ye<=a(Z+U3+=0%z^{q!{7I1MTM=eyWWHzP%Rf_yeLykW+-S}Xq{S$v&a70^VVW}Bo7gUNeKOS_e~+;i3zILEW`<|xN~gr`p*R|foVf2?+5 z>%02VURnj>`k@+R;A1eBe(^z-n%`?hH-+l@=o<0Er$(Y%1cI$jVEAkf*C4y~kT*7J z)vc@no7%Y$FfuT5&2 zjiI?S0SmpDy`77YkiCaCEgKUD!GBZ)Obq`X|4(i-zN3{ zdBwm$FX>`vV`(gCXKrIkz(B9;Vrr{Kz{t!-uj1)o`d{1sxb0-=;9~DYukpXxurhM; z@%{f`{Kt>~1w+iz#>Ld>KeKZ&6)`ooH!-D`F|{*yu^?b#7xp*lA?2uRgwC5VVhsxF3{Rfx!oa?_3uUC@)3iEG4#V z2Zpm==Pi5$T7Pu@YGo4mc-T0n zmwlh#?)!a*4F}`dQ9iHgKv^~b1>l{%^+shRG|)33$&=-Cr_5C3BEJqE671*Tj#pvu zRqK_$i8az(N%5h}+|N{AWR$&sABUf=n{%0=;JF)0H~V9uEkH--*;{`!R)z%#?wX!7tJLFR_;lxj%QItk4e&;J zZZ4glRf)G`l0&VzezXWb__`Uuf?>^{w{EWt~?pi8(URsa5eoO33 zjMaSt5^R?zOb#eeXdlb{hSs{{;xb@SQv&KFKrm)VMtK}A%v8%VJ#mHUx7M(~DS&dO z-s_8sbI3!~Q3~0`82B_+h`4M->^PxXSD0YDiZiD`pvyk=Ok<=OgTg6HoB6120in{+ zV*)db_Z+qngQ;9my6#@nZ-DujFgnQ^IRi+jL~1-{zrz;(gQr`cO*g8LgBAQ;YKXX- zkMNFot2fr=kW`mWvD7kDZAmd|M5ZT#+IsLCR3X((ugmm%wHpe0vxwT5YSdM#aNnrt zfmL}L8Y2$=j&bWU!_}&LvpB{bo@I|Iu8{a8o*g%zSgY`*EcN|_>(Td(DfjowIK(P5 zCbYxvv3p{>Xs0F|;rN-%I7RYB%1mBAxsV7?qgecyG25q^phvD+bu+&+sXO&_@Ff=Z^Se`#B} zAjUyydzp<@pjWw8vxR%`M*-(xceFvsf#tL)=Z71JTLD_$0rd7L?q~341w85k7gKql z9TYtxrC1bFgOUvj_Yp*g44$GSm8`6C*)r($>!wlF)P%gZe^cmXrdqYAzEeQ{<)rt@c*6 z?NY2&8_h)OFX_nR%$C8c}z!; z?^#8N5(uv#$JY`WEU_U=9NB!K%6uuWnBDx9=GsAQqa?mFn30*5HW zBQ88_q7du)rgbW=awjVzA#x>)snjtioW>5S8-sioXPr-;S3pe>gyJH4JYUia*Np#WRzX~w@=tso}Et0d#auEI0zqHCgv;veOU4lHahEB}<;2iFf*x8* zD>}RVy!P7bLx9wwoY#tO^=hU4%&r-aI)*wXoAVB;A%nUMvH64`pSK)x`(jT=8i|vt zIUzi#M`+hB(-Om4I`nkfwB}pL9$!9(m%y!q=+*JR6vgz@s<$XKXI!{%Q|_NV2lRY6 zc8c^uiiFDoN$RCkEA1Ao>v*@x#Qt5qh?VSxG|sG^jUb=pL$I5K7-Qrh4xR~*4~7>U z8T5_^f<;OcQk^EqE5HNJx&5M-qw%+DY>-wp3=o*C+Og zUmS6JfE!~;K$ja#uX)#3c^rkQZv~Adse29As0-p=L)(m+j^j2u&OeCvogogC`Y^rm zLaf++yQkyXi+J*lJ>7A9OBBi52X1opa(u3Wx))l=;STY1Uy%l$@jZ;B^V_>MY8a3+ zPO*j5YYRbftVohqX23p-r0M)=4aF`>s9A~60xxc!0rVJ&YKkUqMX*>IoD63CcAx$p zUr$o*RYv-Jk$2l$`+B;*yDt$}Wi&67(2we=+`eG};ecQuE_XA$#p&K^T})kETiT4( zLNZE7JB)X!GJ9c8SX3+gzr8l+madEgub2ZCR}z!|tnt~b8r#=tcEvR3u|J10zGLZk zc+%&y3CY;lIi}kV$8r6oH>JG_+-(}iRY&K-awq<7r~YQA{m@4QgkQe-Z*)$V;B2q3 z1Wh%Slzo@_b}al`7jLfucOM+9ZeNefA#UPvJtbj5%H>W(LCi0!-!`@w$%t0&CK!Zn z;oyOUMNB%NVyorZFya~_B6dF6g6Hoq6JS@CVq5EKXvJ-nj5OzAEvhkkR}Em%&zbF= zl@~qV=~sYf+IsYMvLlSel*@$$y3}VbKz@gM(2uvtKJYnbO59%9L?z&l(T;O`Srz(5* zmF2&6Y=s=0tVPN_eQykuA}W zoi-FLKJIbC&s?QqC$r~?w8s5zvdnSrBIoyVk0vs==WR=RGY^s`j5Fi5rH z+wgNLQduWT$yQXMTYn@BFjDm30X8z x~fWPN&ENS!~Jr(u{6#e`z?v>`(?PKxu z{=pV$7bZ$p2zh5^!Ua!P8!ALTFdU&c=x1*C+nn4?BnNv`-uu7q3QPm8H$^mo`)?l= zgW%0nn&ALXyPa?8*P@p?I})@Iq>IG~Vqj!MoYa;kw<0D^9!2=c+tFvKgygip$>p1> zHK?_x7B$}a_fHx;W}y6KcP`r7m{vGqZDqeutZDSPFtKk-Y8w7Q)E)937(G!$JbWav zD-+X|ZuoG(c9yS|VIq{=^zT+SZ?~IN%clGE{&12FLC3*P0{le9&(rL~W6U$?pk-U~ zvW?^?9I*zpR1H9my$J5y91OesQTKdR?@YyFn79U{~c^r_$^9uDQi<>lS9k^ z^f=C>)O)f6!YxKj3DknnT;CnNb*CyzWsG8?k#vD0Mm`D@6kX{v zo|LAfX;p#+&vr!Sg=_s#3wpegS8zLbk_RMSA(8n2nM@|rIQKP^4myWNU$R0Z_?e$R9Z z<&Bt6go6pY-j7eg@F;OUA$V>8mrKu^)xSgz0f?^J4Q!M1`{;|i))IKvg2$pOcSw<{b1qoZq|H^L-qE3;?s!dMUJqA^3 zHFQ$j_DiJ4xY1X-Ygb(Re18tF^$*q@2KJiIU+aFfu~+dHWm>Jj-e5{gEJiqs;!PRu zF?n=dG35>xX_73l;U0jQANp@v5fEG>Xt}Ql19&yPy?>w7;$qa|AL00Qr!{oV>Pr1nKuGX4(}t7i+%s)cU+!3 z=5Rf~^w6W_aq$rK0J7~aL_e;6g8IM#!0dhxdtnB5e+`697lJKvU$@)_O6JjXQK{K5 zwPJTYX!_Lr$D;H&%8wZeCM_h%fz3mks#dbHae!DzVikcwti)Qurh-*fEO(eUp*nj-X)#GL~2Y@So-P(o@LA0VLoYp}LY%+3F% z;0b59YT}RkMKg5jzl@5AxG_yM79NnYZ+(9fouhjUY)!52E`MjRN); znAmbY7JCx!5kdc?*&Q;kHOI8+c+)8;ad?7-i2VVFL71dc3dgXQ27Q*9=Bi<50Cxcm zeo~E<-8HKLLs>?ZJC6BCU{0bac9p3~hVi;^9L?(wr zDAyTI@4TkHXS|QvugtH^!%XFf4kJ%S_u#|dAI=||t;F&S96c7P1;ZU7F&oCQ>BWy01+Yb( zf`1XDeo7GN{1#;!4`aQnezPd``Fpcf(0C%!tvb)=Y_Ok`^_o`+YyFz5#8AfT1B%Um zQK`}LuyG>m{lMpat8dTpT&>N~O(UUM8QOC2D>YYueWehDQ{8zyw>#LgIM_4hviX@2 zy`67s@A}69A_^8?3GNsvPg00xwuR~+4RCZR3|#qv5@gk)VWb3-8dG|?SzJrMR5gm8 zb$Ij;&^srl3+P`Ehp7G;x9Q}?10V+is)s-Fyy3iMJbkY^4-UE7pMMsC;5dXQ4Q}Lk zB5<0>(UCK3k zkctsiL*7@i5a@y&%JM)%-jVNag=?UJ<-^3jI)%%wi$sp6zAJx{=I-a5Kt1TUR)KLu zUBupcciFq|-T2-dJ5AtDT$AcJ>5Mxj29pe(*GMoT2`f;*SU}+K7XgYOl@$>8aRQTt z#IvE2G0h`{QL&r%g^eH-C`4&oC{!}oRyUQz1#rqU*ucP?vcC8964AmtI()q+Odo%K z_HHk0!YLAN&&1-2u%cJ=TLKDJf!i<}lnstD!bq?S)NE%Is?3n614g-WW)06$xA2-* zHfFba>k;XowJ_{4+rNIR?AP9*btPc$yJooGZ(`@)I7|7_L$UtQj2$;MiediZaXjf4j8{CM&3}?vUY^ulh;Ob zE-K#q`s@fO=9xjA4-|rt4Gk#m=a%zkV4({UhH)xzS3<#jBEl`^xfXg3=R)`e5e6vC zXMkg{(0F@90$O_v<$Y!|c?^H_YW`fTP@x7XMRV|& z28|$BB(eLoeo2#;@2 zGME>vrdlonv0cKZpM{B#5X&J@o_7?WK=}zrXWUM4Wh8?64{w-Ca9dV613 zTF;DgehsE$Di3rR(v<2h$PacnmG0>%gc|xhyO`q!Q76)*nlgFwgl}M31@9ngV}!b$ zy4mU-eF*bVVjO-8ykyW4@A(i(SR91V%1XkNSt}ok;UVZy9v*qQ^gc;*u77Eqe->Y%=Y1cdeeF*b({c4*CO z-;OxihogJIZST?1jHE3W{UIU>p7Zq9kLb^~JcV#4IBW@*F#gQIs z9oaN$#ETwtped6$b&+vBe+wH$H#$+3F(J%}BKf__+3Q3^EMY0hcnb&X zOF_9CSoYhR6A;V zo05X3z30+BLT9`Wc;_o{#>;sSmSPg0MlY{1{CiYZG`)`or$_uqX#BT>AA5;?VTLoy z`5)L90)J`RoAiY{5A0F|tr30_GnqT8rYJk2=7R)Z-qdNL=0I`lKC}29z)j z9)cppYQ{^5i+(LVwNyO0U~mWulpNdK9>b5>_wO1(NE81y%kKA%pX(Lx@4JuIm1~YW zm0Q;*tjzFS(JlA6J$~2YF#Bol6Lxkt23rphgiZv6iy(o%-SP;a9sFln#ME_(W%cW- ziJYu1o-~yYGB7QWu5q}O-#aj=c(hk86YnLsNQq$#6P-*v=Ln0!jtZ7-U=%JRy6Ynf z<$LI%#0Vz3tps4i`n9h0NE=lg(1qa)L$LX0usV19LF%1o$?9}9-mVMu%z1_5=+@NM zSV*E!Ox;6vkX4wrgoD#xvu@RK6( zNA=~PkWoo+2x>x}gfIhI1%@d}qz$QKtWae{D|K6kZXh-q`CLYU$OOQ+8{d7z)*wl) zz7xGiz80bZ@}Mif-+ng}z0OCG=S!?cPGDns*`q6gtlb|2X4T_wwoVqb&8BF}{m51N zfGf+krHDaSqDg4ZopksJe}vISC$>yzrgU0Cp`Oczy%b`|JtJV(>#7`4t6o>@w(Gvb zY(D2mSk*qiF19B2rey|YGuSn4?e}K8XUV!C*4=T|%Yp}GYpAMevuSHm)wkFtv2Cz@ zv45#NMFP*1ffC(F=ndmjCR;C;g=o6ub_#h&Y9zfH`A?A=mNB;g z`F&gv^5LlpH|0(VRsaVr+a7RFj4d93?ZUPbIefSjZy%zcPUXy~pzdkGaiIqy-6XxO za=bDmm1C$n#--4u+ujh@YIoJGS@bcw<_qw<#*>Vh)?{MpAI;w)$bTqE1bluo2+7pV zhZ4eh>0)s&%KlOI&<*bRxKnNV>PZs!dw~ooj%qm35B^vztM&1%-ajMeaG+jdwSTl< zXq2zZFC87L&93q}IsW#~a-ZpnBke4ZKS5Q5&hrK^phgTDSB8Mr1dH>`MTYdNNj1Wp zku=ij8B7oT%jX*68|v+!W#3wIo6g?^t5B>rX6@P_-#8x+x~%kuY;WA_#BG&nujg&M zo7DC=Zer_Cz1?@kKq9Wgr3fm^kJw1!6zgOrzZ-HdJy2)5EGVl1ii6K5&-I=?7$~@p z{B0Pdh|{V@oN_4bFigNKk*PyQB7qifwkRFKVpyh=oAi%J`Jnx4+ccJc;whcg6_F2e zyJ-+)D67M%JVhljv^6zFfwsz?Ix7B#Te%=smtkwKVWV&jwvUELRvBf`SP!VF5Gn;# z$h2}?_e{7*2FaO3@<=m6kzkktDs;Z^E;&v0jm-p&0-&s_nHWm9?GnE{UXPJ!0tt$j z@mViJ^S!tIhYJ=cnVh1-(oT6qIwYNx$kXzx(q-uj z7wE02iO~gjWhqa130#!QOV}oHK-?wnqPy8Xaa?>?WT(W_;&mo2VK)a#w`?=p<-+~YQ+r9-V`2z6Z%dP{0 zOITt>R}+pB2|Bo-NUkWs;>_I3HyxizaW+TD9l2?cIQR{`0MDCn9k{mqKb*&a%;X55 zM8jcARmC!;Oa(`V;KlnbTC^z3;)`mtPBy1U>!qS9n1vt%hAz^7Fi>@j72qPtLyMV- zXG?K9hqdDlsf~8BZrmfiME9{Hbew%bud?7?X_)S1@6dPIIsBn?mVU%8;mgur>ED>% zO?%i=c$@ST-NuG7X;Ry8r_@e6*k;@-k)?Qrw3M!3_bB(!CPu<+j*-QSq%5svbq3)k zQl^vza8wFWGHvXNAyJIFG(s}6p=CgxdPJ4Ugf4mJb-gf`x(w zi-b%u7shZKopYS$b1-rhp2h$4ERIGzxvpH-;%L|dRsbeEpLD^?dz_P(KWsC+!L$!3 zXavM7Qxk{PI2Zjb&c|Vt3IR?8zy^?-N38dU=$D8gg5I z`uGnpwG)`f{{j#f@seibvFwD$e(Mg-+kpIrBziW0q#V(GS>+egHNJ zI>L>X0RJ2&<%%CTsitOY72iB$D32rFA0{jcs8Hg0jGR11Icgj;RpHQ4y|b$Ss5(#S zSLZn!TN16xDvc0aVo=P8mMArd&wy+hQQlBK5#`^A?YJyW`{)+RatyD1ZQ=_tT_CGpkPA6SPYTb zI7MplT(S~dydZnB^J9)E-{<4fdAtfp`|xsCLYLGn75c{h2u;>Jg~ z0flD4K?3IxSu0F~nVR~y7PuP^25x*3$wB~V6gp-_0yTC>BoL)oeA zG$aKPLvfRED%?Z6eWcsl6Bv+&lp$@vc*Zy69}EnJ2EzkUjrafw(SR@NkA?$LQc$VW zNF@=2se=p&%){b&U1P^u^Q1-uC2BFhjpZR(F&?s7pp0WGv6ZUbt58d?X z;lmftA3HXI&rIyx_(sJd`yqR`{ery#>_*3z_SDqW^()tS9=P_t0;hoIq1!5zUB~^$ z!{V(j)b2X#!ai@QZWuzLhpSh&palQVR|Jb=)zkZP#XRnrEMN3ZYw?w{n3l0$y9+27 zSf^=&YMK!YTbuT$KSm!T2Ykoblsq1=q7SuH$l!Ntqd?2f-xJ&ul6yRlhq~hfY`_@w4El!(6*)#r zqlNyMKM^VYZs<`#*(9K5(g6_bjrPv!jXz6bh~h$@I65)2_{pik{}U88J87-_)U%B+mDp3N>)C2-fZKYe&8`J&ik+d97f0oAS;?#(S+*+|#t%({(%eVd)Es)nw za-fWby3Z#oJUJV1lh-YrC?LmOppxO&QW^{qNNP&H;a3GO7`oE=(>Mxn)4HH6wIJxa zX?_3tddoOAe9%5%Z$bTNIch+C^UCeHo!w*^YZ#`yTyPgA}1!@zoWjNBfiUt)5l}QL!tS4*S%iZ^oPPJ3pM_(~U z%%jGKl*x+BLQ0U$HyVrvH&Hy)>o@#vzh}NN-+in55u?}hK2v*WPh@j!kPb!$VloZ- zspd8sjYo{9jUO35HC#qq*ZjJ!xwU{E3YHdl{HWDG;>Ui!5KnL*1Ed3j0uAm>D<$Y2 zkcMXpQzP;r`J{YWmgKD)O%yk)O>8CtGx409sTiG8I{A6aSAy|tYv%AXaC3_RDiL{| zGB{=hY5-8rI7lbKV5lG=R$!jPJK9&9j=%0;FTT706 z$6`mz-u7RQ{26*3_EW8e>he+3e6B^tC6}mAB9Cjt#`N zCp4eQwbZQQEFZ15NHlwA@=o(q@{}ocCA-Wm$t~uE?1IUoNy;A<&|^gw{!seux<`@|_OY4O-4 zEsFatX4k%UiZr<9X;H%L?Q4nMev`_P ztLwh}A;t_}HNX}}poc}Y)|pr**VB4_f!r(i$#2M_fO%3uIU*uS4uwp*o+%+b*--@1 z#`S1D>mt~CxuON6m-LZ02qlJ;`BR}P3zxo4pek)$&|jH0SZ1%BHCkYcHrE&cr8kxZ zK;T`>cG1_e^XYpxZ{C^-Pqh`eJPJ=`piNG*D2cMD!w`|@NONRyiQKJk*LQe#2Ks}4 z(1(Mc1}+KXg6h#UjEGp_lQq@$oMv(tL|eIIEwGkbT(8w<5!;F+o(52 z49;MKz`ReQF@aXlXKjqJ*)VXeuCL4sB?-E%4QfO$A`{CiS>3kcNviMlpRMaN@ud2f zK09>g{5pRGm{u4m3wt z0#)P)u`%*PaRrW2n-}~%FK}t{Jx3Lg#8XhXnD9r*3Phn10op3X>uDdoNWY?#rm^ZM z>$yJeBKH;8G3eDHxC0EqQLG|DRycA!#@G;_F3ioYi~${%yq-+P!ee*!{@8p&U z+LG*-B$=w3RB#L|iB(8A;Qiaa117DcN^^Rf-C)5*89RPxD zH55CjMR zBp^t|t6k0n4=R4I+{(rO6@iJVAZM-Dg47>j9vFuTjAt$oc_|r^trU>84 zGBuOSz^_{hxtGufM_>T`#hIx#jwqONl;=dsQ;yG{+XO>SuzST8L}nu}6*+(>#T?;e zig-nWN~Fmum}@LwkT4`E$4ChNw?INeqA1yjq)BKP-xYj4gEGsH4zu0RN5gt1AApkz z=o}wq;4EqJy0Byo$8sfs=z|z`Pe8%8ZItxCGDxBrP?wtmF9~L@;HPi`e+MtlTq3Kv zg_+}I*6lxMcELAynfjvQ_>2ff5j}D^@@<4hA~kp_a^Na+s{}1Bb4tCBlsT3T zOW2H;rOA(nsHl0A8aA!qGdIG=ZiG8(;10*}s}rVFO4aftPvRkMuSOn%d8}d0DGJ6l z^NBc)FNaS1B_oTe)n(6w@Wl{*BJ@mXO^6E_Q&&G)a64-OnyInmd_6fH%kM^A&IPc+ z$jd-r`ps40u{=fx>LNIm7`sC$(cH+e6^Sp3J{u*;SOVxI1_k7b^t`}GB^h9=Kvpq{ z&iR9(GS@8$K-^4iHBD>E8zszqYQWFj5?pM=D|4|kr;n#QIjbUjRn1kJ^O-pCZn>|%m$vp)$$roq#9k)r6}oPUC7v z!@a1F4TZ=f`Fy9^y2dhXm*?v#P{@o^#+bTs-6T#vw$xF3gdU|Q*b`n|%KxA2s)23d zJj362|9AG?`Od!cok^Uy33eP8xxo$)Nbvz_%Flo>I)-6xLN|W0ZV;$epc^Fu%8$D7 zv$kuYVFaxV)-plE2qcVw(AFYN(^#vq35m6v=n$e2XiFI_@v-+in=)y#&hOoKpZ$H` z`#$gUK5ropwHaC)4P2)~X9*5V7(tLfCBX_V+lK8;eHUD}II#F#N6*e!U)a25`O>ZI zVDa{=?sfNb_qKawalVJDKQy=Nz&rg1_mk@VH8^_?GQmcFcjhfr^LpM~p?2z><_2rM z{W|r!|GmI_)?WgbtsC48;YRR=ahD5D2u?6&>NCw&EAQ|0^Aq*)=6H)<%dSgo6rs>Y3H3Fr`b5}?R5xHpw=&h6Z=@!7Uz1`ef$|8T>-iOr!4pHQEUo&VIHx6k%0 zUX}jM1)x+0^LX@O1aW){e7B^Go88VBJFqSzJfj#3lptbd}BwP(^T z{+ULLy;l@N9$b6`HICxr6%|4ug_DXL#{?;=iXyuRc4C5Exv=@m6Ry5fLX4+B2wKWL zFJMLPz5SJyhu%7M@Bo~53Y<3qSP7vD{IdZRfIMmSHSHDm$v+7k zVGm1Z#53~gh#-XUbm~d2Rhk<;BKLFsk@uyG{>#!|{df8Q$Z~~RVK~4LhNEa%)i`JT z(Vz_v4bhxul`vT9M~+`r^fskKp%hCeJ!-!_DvL8ZB6BLMvz~nqRD~~~V2g0ISBY~)uZ7iN6EH(3n}JDsnW~BHdoXplEStO^Ab6oS zkxlo7i8+2klqP$RQ(`$!Smmz~1}%8YD-L)`A&V+xdBp)|m6Slb8OV7}Dg|OL5GWcEiil@poR>IBnW~XlE-KO{`WTgH5Uv?VkRfd z71{(^s0*aEc8$_bW5$t{V4MoIqh9m8Ntpx_qCace;dKL4Co07ZD0Kw7*^vUYxArw; zL7Eg+Hy7c`!B^8*BCg7HD3~D?2a<#LSi#5`H9)U5R!`I3@2z_-K5g>jjURmAUhiSz zZCkg@ul;SHY2K`>Bd6#YUhcc|m<~@tr12c*nYESMDkN1bcl3UDKbuEwH_7}s_PN>;FzwE2` z%L&B{8)IaD*kr6)k^v{Z4Df)iXdXyT`1~bXS6@nin$acOkS!&GXpHg-e>+PEr%Fu_ zqex?j!+i#C*<5|n7mrwkbVS>ZM0Pdc2B4tRj)XF`G2MRbOZFKq^G{$XP`vh_SQUrY zlv;oX^&feg^A_)e;CS%GM7JT*WRQ#KwQ`AD?eUh{8R{IpJh?2@ndV593$teULEUcz z3M&IR+!)hB3RP1LLLnG>$YtyC6rr+i!OHQYgYt{#FK>7T<8#03#{A^2GrQb>{bOWv z$MT)qS1jG!nwVfjV`f9m({COA=-KRwjDADs1L zgqS?=x{3Onl!~ix6A5HsDxRwS4S$YBo;BH8YN575V=<a#> zOoV(=To9e=+UzkA-xsk6ZibMUkJM&&TfG+5W!<)@TNbuZC~g`aZNc1L1K&2VVTW@i z$FE+KYMxze1}1{LJLRWR{|Vj05co9g$t$7R6Tk&b1VWTD0Lodf+;QXxwiZ8yLj$&7fkZqCw>09>+5sfO&T^WR zbHp~0>K2cS=fz9nEs?DfJH(A*FAT6W$0O(rpo%%@68ac^SpZGMu^i7x6c07i!(yy9 z%h*EsTo2EY^U{-M1LQ;{k+>!mB%6lo6>PiLvCZ^j#vQpglZoHE0ukB)5o#wLBl`CM zqVHclA!|9Btg*o!ljZ3E9pn# z(?UHVJYlue_^au3a=zG+>`op?zQrBpf9^lYo%A11UP^wPR8TUVY=al)k{>0xq!X#g z=HR*8d&%-KhL2Q|ve75;F|T?sd_dC@qbe%m2??O52I9KrENbk~@G1b(X{yyxBctM# z6)?7{0(Vs43K;v<=y*Iq6jvWY2~UKGIbxl0uYn(zoEtX?9@b8M7!W3X;&(>8*ZbY)b_14c`P zCXupgO$pnQJ)~@{#=355wYJjA9z`2n=v34ov9L|rK3az>*KIb0g`Y7Lb&iDWR zKlqgCN!@6;Gg+g*slTsNPCcym=oHdR^n^aA(|REG&&CCaDoA3ypp}g?(C?uo&2;C? zX`ysoR{3VGn0#w$BjoepxY?F)+!OJde56{Gr24E<)^C>br&awZrurtg4iiTPJ^JE?_Vx{(4>S$Uc96~&7B@Ec4de;Q{$O)ew)fcV z4LGx-rrN=o`OrzjZ}X_0&GwykdYr~^TCOI0lk-Ct!&=hjwmBqL6a=uU1V??yk~W0r zV3RMBHeQH|4l}=!B;Hgw5l=&xyItX|Onpw|3Wc%YawE4%nw2CFI=Ul2Rkk+0>Kkzo z3a0Pv-0{{hDSm0&(zf2S`7j+laqga-z27!WlU&d4R>z?ag`aHSJ z5IMjEIMU(T=-KG&@DGw9cE~;`j&tvlzc7F0-W8{qDfY7DI&FW0{DFPd{#%jRXMdXQ zwNq~E77D7FB89doXv^PXTjtII9PYRG%FzpN7kK5(i4xW9NpT=hE!#Ph9(J;C@qtW3}-?0?(5V7v`O+(7M@vwQL@7dkd z)p7sIJD07ip`(Mln^ON-y!=f5D#Vz8`|N@kE6Hz+N!HDl+I7{fmJZ27%Anp~$=Q^w zLgZga6OQwd4@&cL0UXZ*)1U#uLhV%3Pxh?FLMMo~B!_Tl%z^4HoUZRJPGNx9))lq?Tc znd+c|>b6zkL=~>7!d1nQgbTZBaRkjPvo*sjg}%f|(3j!|#?6%90JQ~&+I?kSLE_!! z3Uv4iDzs7~#bV52AC78uk!)lG?ohYlUFrHFGi z+B+H>(L{-+gJ|BcX`3 zrpE_wVr;BIH14FQX<~-pGhl09t!lu&ssWp-Myfuk8u7(2lvlt2`#ROI+NnOI(rSCq z0QGhTaa(XMNalm@j$g|adfBD8xx5yi?`|fOn#m~qv7(_qxFea>f~h z0rK$CPo=b|h-vfjteA!j{reRmZJ{fM?}HwC%6*pUPeH5G;nD${nca^m;Hp;v3W8Rs z01reHI4JLV@N4zuig#81r7t~t;e!kB#PZkOotqv?loUnruRAtf`}n{L5$imfUrB*jHNYE!w`i<=}IF8igtf&s`=*ndhM={L!c=L4a<2g|or2 z+R@>(1-!^l`MgM#JqlK3qF_J8*?61iH`DDz>aaShQe7}js+0({^eEp`-cqI&1}QG3L`f*L5(pj`UN93a zqxGN@%Rnb4kTN&9w4*?{*K&=nYZl>xyn^DwtCzq=xNGMLH-`ad6)UHznY1XR)LojY zO_jUJBa?z&q_6gG`OdwMqy_Hr$8nI3Ud(TJB3=}_P+7Zn#gcyf=Eb*vn134b^IcGx z^>h^c!3jgX-@U^ixJyM?s4r-;oWA2%)d5bsqKo?|^q)aym2KstPuOYq@2 zsQLfn5;*%!dtk@Yc5MG*04lVY&$xqJB*j``rob9lVb|4}kJNn)zuL9?%)K}eUYBWp zxDp3Wwr~0JnE^7K_g~z$taaZdJPAkvaSGsrHba~O4jEo17OY9y%z-rryEy=0{CNy! z3ua1!4aws)W+}mL=S2aCjmT7x3-TJO7S0PI)b_ODTU3(d5hExlAe5s@A&DA5w4{h^Gaf;|RelJacKu{D!|MmK3D0l#mRG zmQ*$9;xl|JK*%^r7y?bw0-d2-X^Q?iNq|A?F`Qxw;S!J#3J1j3;BE!X%N6&pxsroU zl?&XrVq4R{thMD&O>-)zG5iZwX~&^qnRN<35ghTTb@g@i9srP^~LCwbeAn{>TI!Yg;d|=#a@YKbk3IT7x8@W~R1rsx~@p&5){Nr?#3&)Hr2Q zC2C-|&wF;)652oQ&OYCJ?&rMM^PVlv7WaGJHrgTEj2+Gnx6ONzF3NtR-?`}advDMU zdCj=y+;FdX@6kOuXxz01oqO(}cX~=kwm{0uAr`MN^}r0H;E8d~V>chLo&euM16+Tk5a! zYkp|ee#{YmDumEBk_U*9F8n=(BI-MNROBX;jofJMaBgIHI5#>RNotXj`m0JkG6I$A zK==pMmxAh)>P6X7LL9GqJTYGd*u2~As{ogG5v)BiFSiUJSnElG+Ldk(*RG^Pw~GCo z&9GI3_%Fukx@e3a7Qs`!BIB+1ML6CS|E#wMma#zVV|;N*>l&_yZFu2t&C1`}W4ns2 z(^6}u4Z#5Q-oJYXGj`{iYK$o1*cvK%*C7Rsq^nXGc>OD8O& z?p(Ld-Qd0y56d%z>O7|TJor-+O!BEvKcWSF+&c*y9}Hg=n34oJ*nuUqVU z&3DD8`*d#B$~@ehIRJ4XlP#nfBx&8h{kH}Fdiz$iHqbPvN>rMRiTJb`50#X(l(cO- z+4fK*RT6BV#Gj;fzwH*nkeR`5P*OI*dTnZH*(CT=CX`+UYt<{Z$M=X8@BU8I#M{Nr z_!}24X%jR(epyV6JHvkx3*)`)@&5QyxeQtjiSnMzVnc+O^@um4+|Kr8lw15U`D0|G zO=FKLKqNIxQ~Er9GoVq(lp#&Ritq>tH6sD+6TdWX?BitO;2M}&mhE!C} zG?qCgzdQ_okrjF@5-s1(3K5fIj;3mw(72Wbe zNbdVqq=Z?a(pyb4X9Q=B3)>id{yfGOz!PhY4{*chQa;^|t-S19w>6K{ZUYUdwL!qFX06TBI?-2a;45v$oRwC}uQieLtE1MV9aU?$A`yu47B8_h3@l;&0C zEzWDslXkItKQ{(Su7 z4m~WQc$`5EOJ06y`NWffilvMGGSsUt6dQ$%4}DQ1i;_1WoIUINuf4qN?COllJ9gA2 z{=I&+axBv`HD6nN|NhW$fb5CtwiIdxU_BbIqv`n4Ur32B2CWOJn4lRFCnSQEvs^r&T0|F}?wK9Vi28fg)fP zup))!z+;$m2I#~Zt9czouhM$!9L>XC1RMaq0qi##=oR$+)U2R2+>X6|h&3jld=Txg znw_)<<#zNp@>oTAegoB@e;UgBjD|$Q+D#VP2<2h4XJh}pd}h!h?B6O|6Sq*FinSM_ zzYVk=^r_T&BdUCr=O|v8$DLe;d|pKR9$*=;3s?pD@cbE=SAhC%ls!0?1L_6*l4v}} zRBH3+Fz9sbU!CHc6yMo{P@wKUVFL?_N!W%N)x>JI~z3aun$2R*0Xh7Nk@ zdGi4M4DF=N1O{o6_9^9>lV}d)w-W2G0M=p6_mn-XzK?C)Z{ ztLzmv!?wxx!M0(XQntFB&z|H#`NFQ0&uql}RX`LdHs7RYQYgk)md_ftS@xIo+H6~F zGij=9dV{k2)66H^)CjuYI6+JK3~FE4R+_TkVco4v^{_hAA-!Mm-p=-xrYsk>HTFmL zi4v`O4N|i)osc#RK{WI)pVF%ssHvn^Y$+QJsF4>WrGpI%jR?bpW3eqk70iR%W5Ge(#8qALFf z9Sw0J*~gSIvhROw|9_x;XmruDDBm#p6AAFMmwm#zDP{nLX^Qr4;0M4|yF|3x8$_Q~ zPXUwYHt?K`(Oe@&bL0uCl3|KLPLt4HZ$6>o?oY7(DRGl_LtigjVVa;{M{LAi+K0Fw z0A3%oc7z>$$>v7eQktgibu?w0;kXNGfJWhW$AII&r4;@K{2lhV0CvMaqEG7o}muVAEoVcl$}l;WuMk)yBtmVZsGW@?iTnd&apeqKgUOoaU3H#&hg!s z9zU9nnEwi5$DdUkK1WZd{CWy711QBB@229&aXc7q!$&_cKS&(6suIWb-zScn2NOTH zHY84)J&6wRJ>|ijdLkLi*q_pODa(oP#q>RD6w$M(cy>sQ#s2CZZBTK5%&qXh^|S`- zp5=R${ZQ}t7x(2JoJDm7@bi6pzuhmv8nB2V6x4!BY%nwhETA-q&`xm>VnCu6rar(( zJ22R$*cs6>G6aYY6ht%G2%C=B!kQ?-txiKW(5Erqm59^2o!yBj_? zgouxSx--8y_ujpavvtG)yZ`ISNa{v;r<@| z_ni5>^xd+~6KuFa9x})I=6b$ymzCAlo^? zr83pq|G?V+7Tq-D((IRa=D%#r%BFM8{zv(Z9dV2jXx&y z-CC(~>#@6o#DatTwl5%(04p@h^|fh6q}!DoGSMJpy$P_mt3!y_35KJ z*!+)moxh>)DyhVmj7>Mmqpse1B%w*;0Qho%t=|O;p(?<(^$aBUzEyIkwkL0q-Dr^% z@e_I$%+EM`)iTz3T(Zq9@`rb1QL<2$IFqoucZnH}+^Ka_AcLKEWukM;#-AmrY`N6- z>q8uWTPB+_#@EZu<`;6a<5SLs`>{vHrJj=OQa6!j&0wC8{8h%XPp`oR zfoz|WyjN;reezZE@OoL8SmWTFlM3DAcr8|frIccJOl5U;j);y zpGWS{dx4UC7T<;B{bTSOcs+WTiLP_9_Dswne`jVrRU{*oWC1?C;KT21{~8%)7T|}6 z`a2b;Lb4V2Ov(4slAmm#eH!Cp_0(W_z|5s@i8$TqbSij;X6$2e<~K(F1KH;z@LGmE zPbKGTh{oqnqTk1X|9cnAw)?+cF0nTBHaWTHznFMNW+dM5kK@l>C8$fD-On2775yjL zD{Xu1|6wa5bkF1MlrK}Fdi=k3S7+YxOd>mo@QS2ZF|X4f;&tLdvEr(Y6?Cq5tlJf( zGK_tiU`o-Yp>y5sDTPXM9`&`nKO`3^9raSlSG2Ff-VRjQ>zzunLC#m465f^A{$rGk zeVwlR>eTC4JKp}E$j;;chp}Q_!XLdw&Ri;aahZ8$os<$1h20Q+FQ=_d=qys|I#@xj=(jp2M31mktwak8D35yAMDB~VRyKVW4o zFCteXo9Vxuab-wyfYwFGVbmuB_R#uA>SKVo-#QBZ0qEIE8q-?H_!_9teB>ne&`(!( zasT+J5*=@YZ`qs^edBkCk1V7A*^P|fHKPCDos8}hon*KVLdYKyHCPA^1HRN1s2T&%f|JImFjya?2Hp1ka2Be=o5s2cP>~;u*ggxsQ5( zVp&!c(<0y6$;Wn%X`gBRbYtYlh^beHl*fqLXNAPy7lwRMiO1s8rMuYuv154`F*-9& zf6{ss=OePlNRi?dqjz!VyfmH%bXV^#&pq9GJnzV;*D0rXZ|m{AB&x^h^uDw01^G-K z=Pe?3?oJoZ=@n+K|4MXTBOT)BxZ}Kqb@wj062?a|u}KEwNAjU6ymt+xObqmYsPQe` zDn;q7Qbhd;crx%gepwLv{Hnw+$)*3RE~kAlb7TF2Bv)Vf);`yHfM2Ov2C4N;xvuH2Pcc={KfwxoXUpA8YIc zkFgIjb}8k4$QwC@3={`UM}067TIpL$~5MC zDtoi6&e|ob(|k|XG+F0;LDrf1vMPI&tmXc;mikJKS5aJL@7tp?x!Y#1zf9NfX6`|u zERDW7o)cL8M&MUR`WrIWjmD+Nu{R}r|1MceePwsK4f9+IL*OVJim#`!+3D}^3#8l~ z#`xJCF@KtTlX-?xF0gxBBbS(byWScbtBKjEGnnTLtGnIfxGvFJ>`Pl$xlojwz<6sn zjj~8>jQTL>9k!L)ykM+c#s9h*a#Kqr*DRBg7|ilp7ECiZ=qTy4LRoXV-i zZrLBFhWx5gu8;brzb2*LQtWjbwzG(JC&;<(S~x1X?r`$MWqkK|#x9b|v0w#DV^(Uv92tuRhGUIE+9P1 z7sLtM^WxC1@`^aLUvUyWy6V@J9$mFx7>D)?KLtIyns;Cv+6SIOzlm{ZpLiO2bhXce z;?O?m6nadGL;Iw-9{8ti@Emv4Cp3N%s}~^ake4Ip!p|vl@AYSe_1$5=TTh^me{RT? zFq1ySWB;GZCp9=SKL$Gce_e8%h3<=*?p70B@n|tDH{9(4n>U2^>rTSXybIYZ7My&dQ^@ok} zfciTZZOmoT-zA4*j%>{v)Q8*qB!1uCC;vizLatyLL>xIl{Y~arj~$+g-@Hc#>l)@T z`3iGu+|``JIUrLMn`_kDSUpzbLH6ZR=8i(Aqmsw`{k;8B<<7vL26x#W-mTPUlXu)9 z(_Bv~(lt`$z07+@CJ?X2MeWhu)?MuTsRHQ{$@G7u%-c)*Ju)zrCj)goZ@5fl&n}JD zj&d!0Y)<&!)pqU;X#EmgWpzehWG`et8;dn}(mnZ|RH9FT#!vRGmr2Oy+;ccrtuoll zBENW2DzlREpf{6#yJWO^yG^SQs@?%A`uXCJ@)E(X-LNo{3cLVM>pdPkl>do|WuQR1B7 zxX$4mYRmIsnL7k`MYi+1%JFRYP1aQoFVY?zm3F99bq6+H$4k^Rm$05|qEmfc6W39- z`sNtd1hqhI8~S_1%GsIl{mLfj4K?nOU6>S}je+57S|8T4@jkhgD0eE=8knN=*Dy?R z7xHX<89B~jWJj{8(?=mYsS`YRD>{lkbEk7{ieBRx?RZF!tOxhFpUrtXLi;G$srUCp zz32W+w(HoD8|16K$-EoS>ik(`5h)Cw%QOEMfq&z2P``S%C@6nJViosVh&!t0vgQW) zx8Ac?#ZMULHA>UpYPGJ%Gg`McZtLmKhOF#i&hs}D^l+djZ?$7-hs4v|pT`44%lSv+ zI=a4P*>a`rl=UxZdrI3s%32exSKlV+>f73e^lP1t)%z@N16}=81NPy#zHZ9a>hA_@ ztzK`+PV{|=Q*rAqhbtO1Zgm`MUT3u~ue(3hx2vhsPHP=2`h6tFeL45s*v61`Cpgu9 zl~bK)86%1PHqYqW;H0u#D&X$$tK1!RR_=<8;jH9)D|x}Qs((o}O? zKOujW7^OKxeoH=;wPkY+2y92gcIvN#YK>0IUKE^j{s-3#p4z_ylXj%Zc|+RuNT{5}|GmA@?!yAM(Y`h(dX zTk*@a-WDBO5>RtK$gk^HJobjEgn8Emzb&8h-Ad_~b?nPgES)FFCMbdi0QtaX%`_HDxpR_O@Ajz zW&XEmA0-Rucc)!QCX?+t|8YbkDXc3(Ur1xQR|2cB0<2>Jw#PP@Z@tBGOEAEyV%`YS zjEvMsnFG{#@@%*&7qu?1jUS?!-C6tDe0G8)QGrN(VN#`^#mfw@D--z}a+?swir zpJeKDJ_oywxV0(>Z75Hjo#5I+NYqdiBd&>t$$-!CLQza#1JM((c#j4c`TGj2_DKF4Wp znp-!DOd))l<%Adp*axY@V|?eMrqfPq^cAdmOs~C7x+QwLN$9EGo!E5R`Uq))oy#%K zy*17DhY7Xc-9*~nfNny3z16PihQ9x-|C(rMiKFhf#P=)7>o$FXYuV?s6I@GaEcb+U zg?siS&xh;i8pLqM!?Rp>FOsWj{XG@bM}2+|V;=Q1%gmEALNu_%R%+MjqD$@l{$Yu8 zq7{`Mr2dn471a5RvE$UeY#7F?Sj(8oz8n@UD)%%MgPJKEi8B&|LRZj?K;n$`52nkQ zP7C6a_||;xh86T5bAd6M~B ziZ+ZXoo~4p*eI5{jg-Tavy0>O2KiHhof@FdX&J}!DprQ@W7P&@oL+a1(lv6wle@!9 z{yPbGzxZ9QgI-%b_-$)=4n7^(hq3y+Kft0x?BWOIS(FhU}+CK6EZ3Xgk*q=eavDXv)2IM=NRT(G<+TW!29xySY$953JXo(&CwIP4A)UWt1 z9$_kk;O{=Xt$iEqLLu#k>8EJlp?|OTUGxhLX30=ES?UbU)_w@xLzUXM&@yyg`!>2; z$=VOo@2h=>exCN(AM0^!gNGEH@t8`>~KQ z((Oh9IRUEQxndv^QGa>%=1A)ZCX&U}96 zwTrem)?06-oVdQ8qwbjHmoRtmPtE(5ak&HR1X7;QTSM)9An7!cKL%Db{eXwG+3}mW zmV?6Uq@1tS%zf3;H!4Vd{&mtGa^7?A*9)V*CcF-{>Nm4r&74hOwKf*2X;MC`U0=(5 z;}P>UtbNpcrq%qdb{@D~I_4dpE_s{&A?^M&&gO#S@Z*okJJ^3E4cSE+;ALoiD58r) zH$$NCqn9mLvmOX-b`q`>1__r73p6I>U-mTv-RTaesle4gR(TT1AHW2A)Gu% z|JRYEXw9<}nfZTuv&3+8yhN`-Umw1%{m2pWvCIqMMyCHH*UDGI8y{ z`DY@5SaRzw{~?iU2EGBoR3jDEeBg^WJJ#J;qzPsPSVbmBff z&pv8@AFV@7DgR-sYYT*Z*zJ}h&bkuQ=@ zjz?<)*)L1;O!rC|jS6IgJjB6S$@Y=9wFYRNplu&-GbB75XnwewF*DQ#TDd2H7Fx5{ z6s1G@ApS_+v~$B=S@2hXnLYph$f`@%EO{<4@K4ZdX>I5&YC>PtALp@(p>0y_3IqLs zrXJU#hEWpuX1dORgC0F$Zc95^b}U||!8O-O7-5(7H8utuXt@Bovi&lb!i z2ov@XICBms+ers<nrNmnYmid!&U;BE>6)k$gwd%nPF4U}!Nco3 z)98%QNxpi%`ckf<1q@ekn1F3_=-^5#c{XhXnou1rhicCDga6;e()I#bX%wUp*Q2$XGLG>S%M3n@;T*?HhSIY;e z{0}Hffd8Q=0s2eH_i2$8BSzdb2MeIAP!etMe^9qp$E{$HXkv%J4uBa_|0=j%a5d^z z1U90Fg8zY<2>u`HB6_%+j1oxtKMHXL$Q)Fy&& zoKAvonn?Q6Gd|V1%BjZ`d9Eosu({d$X)SQUI4e&V=4+gCA*(F52sR~yboL6?Ew*^FXL{QkD$eE z8MYZ1e!f_{E+Hs>8Ghw5#FzP;5V7fTPNvA(4V&PiHxzPBJ0BCB6(2!Al)X=P>u+G_ z$iv4jMYnpT5XoolH7xb08$!FM@jE7d5iYoHeY`LtWFg%a(`RuJ?jprQZ<x$bHu zXkE^>bGf#~Ba64AY`$s!;K_r>E`D;5XXu}jR$bN>$Zv#OjkI&8$(fRC?l=;t)IfQ7 zVp&9YWSPeKZ`0x05i*JEX8n&ViALdeS+B7@#ItfIr>K(f*YozZiljEb4S9!hYZ_k` zr2-dsOy_TfY@rE-a^c1O@-SQH8zf6oboxdbL`l3}7Y~mNPz^mf@c400sV_JNfbY%Lnn86DH}YS)X8F>1xGw2ea{1KXC#5xlPqdqv40mD=%EEZyA7G##iAud&MAp#^+DxtehIaiW$tf}oU0;Edu z=gs&_MPtWz0%EJow74HLEv|&KZ~h;#_fE?Oa~mI52NI49ShzR=P4DBQbfD)$$3cpi z_;IkoLmG)du!n+M z$>9uhhtHdMS-bqg{}9XLk(E8S8>+C4Q!}FS>6Untgrpr3>9vLR*NZ+F)1ofW{RrMW6)x14IvcE#ZoE}VRF8)>09iriLulL_` zEGpq_t{N+f+6sYj#MVjV&T^NggMc>QqgyXP?l9zrUT-6%m`(FY6^k_ubC^&f5I6)3 zfZZ7IshhWyC52PWXUU&Z@c07XkPzGfnoKH}2|YOrwBVHv>XSUZByvTLOlE>QDh@tf zdw!5+_&_uOFb?rZ{=YTTF#b>T%>TQLg@Ku!_5UwpVP@iFXZkj8U%7};8ZSpkj7;yhuSyMUNU z=3HRuj&?zP-Inu{EoQreJR8-qp zAQ5-u0ao{WPra-xr=|Dj3hw~Q6w$1Gwb0x@lb61)|oFa*luZo6LaE${&P*d0j>){WRi2&(~wZ zLAS>ampE1&Ng`FQV*v{mK ztWQ2m8V`WEmYgFGtz0&vbVL<+$%r3XWHv%`R`cUuOBGdumL=(%Qea0ZhL)3A!+FP` zg|xCM5yOQ@sJo>ly4j`iyx(#mM81899%q729=Rj${ewmBrb&7p!Y@ z5QDGGMZ!Dev7bz~9t71(6OVS7q~YIt>`ctYdddu3>zpNjidb3_pIg|@5<}9L-F$%E z9ee-|?)WfPCw|RXXJCivafjoC#VK8eJ&r<9jM~lx+QtKrwwREPC`XC7@&_@FAdKfO zS!%@Z^{nk|vlp+7u*p64Gk9x67X;HOUrturXs6Hq;MZb(c1G4dSHim1km&8Z>Rqq? z>-~|spoh6?bKP|;N=cMD)biTG(JYZ5 zsYtJpEWoy7oA>^@0lq_e<5ojBwG^jbm1bMCc}IMM*4snr z2;EP>hw_<@QG(c7b#Oe#CX|kZgk+Yi9uoS<8i*V-0!ZMt8MWYFn1xx;*M|u$fC3Uc zk3pOdWk4{*0N(`4*wMUWLV&~po^VJ{Fr;Un;lVETLw43fk=3K9%}lrtTjtl}0nXmP zL8iNm(6@@39S05O#G;Cf)Z0ey$zK(G%M9gInG}2(G@_3KpOdAB;8ndJDGP8(_NW(F zbRtb#f~jgZf`i>&o0f4TG#@P6Lpqw9jc5dvmY|BuZM>r!q`(CCP1HoSeIRy{G(5n^Xic=}Rm(4U0|MSp2 zz^OTNJ)sJo!hB7RzFu2Xt&;4&-YQza-PD%j`6J|;?-IwaGr#0Jg-)FDVM4z|Hi`G7uAGj+Z@m_DvVv%D3lAHWszMv_`CM|%+Y>B26 zb7YrDg&r}C7Egdu?8(HjtZYD}6(s85`6h9_CzBUlYz^>tZ0TWHqSX7dX%~6$j$-1@ zU#B(9=Z=t)kwiPUz#PGXU^NKN~&qm>iknx&NsEcUvB~V z;SS~(kLL$leq7O5BIzaogaMK9Qbmr0Tm-qy$uRYP{aAxhgM_b7i2cbnB7ByY47$vU zjF-?8!MRLVA7;7UZVVZo$UI39rI?rs_~1Hb*f69V0+@h^ZA6S@3}TVhE|kXdka)RY zz-FKN`K~5lYETR6JKIGhpIFa1YrRcOW2M0^M}I&%L5!6I3amo3Wz77FH!T>=P(Bu| z)*X9vdD)EopGiq?$P}1@gllo})JJ4R&yvMgdyXMnVM^bvVVRKmh+v$Bl;r~Z&KTbg z_4ur&-IAj+yuzm_Sx6-QgOeuA5wX*#_Q?4AjKc18I|}yWna!NnFqUV=&ObelEbYNu zr%^+Mv933S7tc=kLU^AI{%Ov^$z~&BJ(tOcLUe5R>Z~Cq%&0~OOfqR)wlY1;?9OS< zKN-|=GnCwymY@mJB*es-HI0LN4VqVM*-K|^Wv3J`l*bX+hF2|UlZ*?+QLQZELw3fV z@kU84Mke)4i8|^%j7)4|ZO^C{tWTKGzLa=YKSD@@kseWz1@lzE7FGiyMiYMi;hd^& zg+-5xC2PtszBAI<1JqL#oRraBQ`A(Kar5cR70~iETT2{m*5`?JXHS05A-JQI0ztstl;K1GCVM%*xU+nRghR@RV=tAqu@m{ z--F&I4$4JsgnUWM8%BbPh?YfSk#kw%*N2K@m)w-99b-;KqAU-Wzk9oZi)>HK+}so1B=y64==gsY#=GD7TYEg7#mV}P_*UCp z`_$nXp4GLCDmn*blPJxVPbs{I$z2&mqiazIuGnBKl_oKJ5_E*o*D$jjy-o73OB1xHo%}oP-c?y#KX%J{NArlN{Xozat#9f|5fK3l7FA_GV7KrzHFRr&z={~DL}33A!puniQHmAE zi8TYu;63xz#aFfaKEU-Uswz6bDIQMs!gjHub+O`enTQt4&&_g0!QYc~=95D+&U!x+ zJVg(zBI_qQ4Iim^qyvfurF^P@#e{xXF>|mS5PnYJkjx=xGsa`m18SC;x{o~b^8^6! zpUH*hHU6@LwtES+y`m@~pw3CrT17Da=8cbhk$KCLovgw`(yYhAdryP)zy-FI!H`1I zjN2O#JgGLh!hT*KJyET};eRNJI$nR`Ug>s!Jw}$l(RuRP7@y4k^R<1vE;0Dp_--z? zPktHuU{>AnrEwMmir#Z(^Uof3^Ka!#O*yZeU9Im`JO3hY`<|oi8C>Px1QtoCY|y>Q zpg&7V%twk$iLz*dEYdNNrgI`ep2{^oG1UQ?0s%voN1k>>)<|%-$edVrW6I)xfo-B% z9?kEjcH}8>;`4yP>MEKxqw_JC2=L+xICyWqczoX+Fib1?UJV>x97saheGqQ~E~l}Z zW-O+M?lMqGM6mjAP^O32ohVcXDEA#MuR-Cd!aeXp4rQwe&c<0rh z37lS-`)*+!Z~&;*Yehx=katl)<(M!vby=`xgX%RqCK+uTjSRtuouf|42{IrvPkLbj zT?^G47z5@;y}@Y&kW}LA#Kv2y2^wgI2^M8qU6t25a@u>pF*t( z7oa70DtIDzDs*+dO1Dug|JywKM?0daFrj5}2(@b@9-xR>&7`^3l`t4m=kulJ`Pj#b zGm)1cu#@`wqhd$M0`w{LgQ-g(?GeR4^2BEH>!0OYP4Q__%y=nZo<$5c4%N z-ZJ9j-(by1xXj71L|nnP(Suk}$uePBu%;%&>|-@Y*#uI(cq|!#LTdF(vYM>ntZ1g5 zJjLX@2N*WuBJ8}CEuDgy@( zcgwY$w5TO(G+G_^H_|WJ-_f|n*`?Xnr7Mr=Q^ff@37P1qe zo@D}kLXjKqjfB4+(Z6q(Oyxk1odcPyCE(jGQt9|nEXc}_ow=iN`Jb5Bt{#&krET%$96!H7 zI|S-eoYdRK$r=4I&<3 zoQ3`^29Wrh_G$n`s;=I9i>rW;fW?1-+MS%r7xrPE{Uo6Y?cjh^nQi~A=;z;8H*d!uvvxjkRIDgRxrTu-d5 z#nF=_oRTz)A>4s13?UPlRINEfQ!)yDLKp)96p*nR3nY_4(n>+B&;jZ$2eu=y9U|VD==k7HfVyhSswdpkdIB)Br0?M zQ`afn-0~=gBKzC^ObOxqdITDds@cWooMDubCIwEKHq#WfqT!Dl&$b`(m2d96chM6H zP;!w&(c=r%;{vw)%u6c9XwV*jW8$k^;L_g+POONDCQLF03|(>S$sOEW=+xYH?@;x? zCBMgS#Y52T^}vZEzRT$rrSWDgo9}yqVNTO0;`)SKOSv*{8zYfD1UY$ApE2ae4eewiI+PVu|43hw^$$B*A#3Mo;h#>XD zoZA)T{Ls^=&om_B z_;qT$#zgRw8d5M*JP)aUVSiSi5;NdE(+Dz0eY|_@dZPek#u&ZIA`EaGDrVfD@Z0|{ zrR!xY-k0yghwtZjpTjK&e^kyvf-X*2*@*@lw1YsPSyJMkSrPU0DS@ELCrBi|>!)oD z0flO~h{h7yoInwDJ&01OfW=ueA(^T+cj>$o%&S z%?HoTgNp8|M9(^hkq+J&F7mU3`N;d2`}H*0mvJmgs$IgwQ2fKL2+~UX z_x@kA+Q9Pmb5guIF$^+j`?|9 z98o4k1+Yta?0p-&xTe4wXh=19oe5{Qek((c@GUTG1kPm|hbb#TH9LTnQ@GJeNgn(m z*4^zSTg=E_IG5T<9=gh^qUXc``>o{+OD8R4>S~=<4m9grR4U^d?`(3|=xoM@*zNHi&w=E}Xy<768dNDGd6p~+}N22n;c1F4ux z)1X!6<&fULR?Qf_-gs0z$vOBR!(YIMbqrk4mZ|9dkF_9+9E&yJrD%v=JR|+3vrWK% zRc2cv5Nlgu=TA8Qq)Jv6pbC;&r%FizdV; zSXtZTyGxT`I>>D$ruWKW)^VHd>rSao3rV5{r>h17AEaOgh%!W|$!?1kB(->;S}LU5 zgU29269zu#UO9*QvqSyjm}o2j>;YBlxk)5lCg{=+pFO&xX7M3B+N9M8c^G~d>f{_l z!5w7u4?(%*IOsqOCHJbMR-jJk#t-%*q>*e|6DT}I6br+6cc1!jIdE?22!j@lV*yJT z0_7BR=oFjR0*r$Ix}liQP{gOHV+u!I5}X&25xVpU5mqN5lSDGLvgW3iv`uQga!IU} zlrE9|DVeB;WA|AT5tFUDr51NqcL}`&8lIsHYtxCZaE|}ts3LU(YCeLOo~pv7;yBP8 zpUPGLq~IO-yVs;|bc9h_al)5af3;76dr8LG$h}FDV?qi){(+>jIQBwz!dPmqaB7`~ zqGo>s@ry8vORVF~cj1ctXDO9l+p0QeZDS+Ofv&+`vda~mWG(Qxv|-t+}|^{#p5(Z zkj>^%qpXP_A*F*mMe1CoN>(IV0rNZ2%>dNR1(u8M+AncAj1@ghy>CPJ6?^|}w~s^D z0|ZY%`_Vj1@EG`?+Y8*AvSXb7qi{C=X1}-2)!r*Avsl(eP4-~0UL!7RRNT3L;;Vyp zO2>cp^e(mw>XWLuwi-#iowtTcxN34hkA_@sTUz6Een(_`S(G+bRG|@BFDntAS5R5*CP5e=8g3$V{NBY> zPdxc}*UMRHdB^Vbisi+AcNm8=*`Gaxf}OsQc4e zl2W{y!isAcf?{@-j^%?{khm-aFFLOB#+T^GHRQ3zvaO!0jxEx{K|dSr=-RwFdV914 zbSg;E?+qzMxC0jW>2x^>lCj%bE7`xInw=tkqzGlTd?%#8Fsy4l&d{VqO<7vEZ$+D0 z$)wn-(VS%2rv?oVwrbx)@l12u{(_U~?=%?Mf0nuuw?gmhHhA*!aZ^2X6Y*!*TN}Q` zhnZKs)1lt3|DX5Be71XR^sBtol5!`Of9s=m%h@xzag*MM-OAZ>OS%?lowM2F-LR^& zm6o!6>M<`bx7wH0M;5%AkIX!NIG;c8`)1JlqY7QWAxdj80S!YC>celzQE<+gRh&ql zwk(Iy>57j`ECFhhM4*|Lpf^J?sUj#BAR6*+kH@-IN90y8gCG9iNShZjidlTu-nlM# z;l0JzIkf&nED0HmA3W~ZPl`@bE0I|3oR8Z*Z}u~}ZS39|=tbQCEp@2I-36(``t|dx z+uDBXZk_}-l66D44aUhZABgin9Jd&WDWzHnM)1cGO3I$4xT|Jq5M3PtBoZUX0GfZK zsJ$~IZA6=py$>Ds06Q16^Q{8Jo0zs2KyiU`@dH`q;_S!^8Su9{}$E>|P@8CMm5hoO#u$)(O_!%|?jty7;!FT6I&HTt)NdSg-^7~`Vl*jA~ z4^{|9<>gR5kQUM8S581ci=giJ-MXNn%EO^ubU+OPMBeX@bfr96^`11ht={Ii-$}0`qoW^aqm3dEPfwrHR{h``{tLwL2NtKf z2*4{tBl{?vB}>?yq>ZY1xM9|7VBP*`MBBK)qkKW}K;vRp%Zbri8Q0V8RRa40vN30N zh5;}}MP@t_5&Q9tV;fJfaMGL+(ocIcIFbA~(z}x9g$FD?oT~~miO3k;!l-%Uifwt7C&2su8E-YTsrCB%DUrP`0FE7S zS#H;v?OY~;)TX&JK5K$2KJ7Q?f`ZAw={6M~dmBTVo%s>;s_ z!B>@Du7XlpXPRLNy>Rwm$h8FnxVE_+1-^y{)3c3XM`yCvO5nmp>5{dFJe z50f(l&DSw!T5G+{?qq(l-Q5|e$RDl=cX~D%zI`FCcPpNe6Fbw0=0RGYBhzKqy1hy6 zOY|V8`4o2o94F=3FjavSx2MCnqextf3afl4(y+*gK8tX|!I3^}#FnYjAJgayWS=Oy zG@4Od<+R|V+OVjFMZ1M&bT-&8?}XY*cnSY_Y!N|&^lfPWwu;a@ec4ZHy&VFp3Cn;mnbv&LIw7Gcl75vT@}C9s`)M zFHdT?M6aJXY;qF_sn0>KO!RGASLZdihG}JG1Ueh1x*+R)Z)>dbQ|gu zAgk*!`H?oO`q%R5pKbf(1Q}{oE%i1v`f5?Gc-C@?cYHfpjor1+-!vwRg)yTAIG73H z(AACj0ZUQYQ)zs1CAeYB;XnCu1`$;vI9s+@*WsJf@3`BsSY|r5<^CcBFZANG)RLj0MsU56hmJR6=Xix zT@Ti#9P9VCR7;C7TMB*5rk`PcbA;i9?lA2Xw60T@Zn!wGR9=hg#MJ(5rP6$3^3cz#);Z|bjJBV^>1DI+d}m`nC8DV z>DGKn-*7l#QK$KAI#>+Gq_d&a)u*Lu<}Q(*Si!;hx?-L5`kfsHi<8fM+l<7SZ%q}3 z?Y}{{8QWQJV5f%H&iSYVntEYPyKBhW)R1sY8RxB$GycYB`3IR}qBnWR>MKM+%8)2kVC(JYkt>Gk~s3xIsdr-S-LSoQDF#Jgg35HTbAtW0v z!Ci2Tq)| zXnVT8o2k`st-OxZs$a3Ls^rcp`!w_5|F?`ull2=4c$7#fuzg05M6f$Wgg1(`-+4*; z4EKR64U%!9mW0#9=}J(gZ*{U=y0!l&<{g^cLS+@Va6XL-k zhh$3lb^f^N;H5%08>+|(#H~%>JE@((=Vf$Ol;)|Ta8|VK_`XExZpXvrrhV^kokFSN z!p~C5zXxkvsoV^Sj((5P$m_?oj*MIJcg;H)Mz{01Pi_GITaJ?ln&Xqlz5!cSMta<9LC{Y+4$C9Z02W$6g+oi~A@fh3^eM>xBU4tc2EZl)ND&2= zMp_2O0H%hKiA-sXkjCkgM1i0RESMq#R3YOne`^(QYsCdzp7ZtK%B=U-vn$?8{1BuZ zLAB$4v1>N8r4rE5+8$N{A&;~axFm$71(DGza8OGVb!Cjpg3?~hHQh@(=8_& zdm2<11|G^BrAe5}btpLJkMrhC!>PxQ=0R6!Wn?7we)O1NW(A#on&f8i8F_2`Bo+ff z|3D;G$QP5L97QsK^G&<_pF=aM;N^3WzmSAvLurbT*1)j;lqhP4IL1yh#B@CGs1mQu z|Ma)+_}!JY&(*f>|Cn$sU`PB|9g}qDS{e8Sj(o;+G-nP%x8q&IjY4SbQTno5lb0Z*Uo2{m1_o@f+GwXJAYcv?Y zoewI9zIXo^o5sdIZY%=?(3lBUbphT*?nFY#+^J^b^S}7zGjf@1RRKh4v1-c&) zfR=&=hKesdzUS6Z^e&Q3d+yqS;}jaabpv-8ik_M*xpd7(gR;1#oF|lDeHu>DUYdi% zB>fTuYmRKG8bu-$l0jKiDMDz6B0^$s2w8_FIQmX9O1M#Ze7w@}nSFAKhOud5)V+5k zDNT3RoM=EG5mYM3Ud!pw$BDaS)l{W%l3+~boTcB*zsiQcr>>V5A@TKd`0K zt^EZauX#3(mMK(}Mw*HtmGR!TgmSfZRPRw$>-W?i5aT6Z~5GrXVA9+JHpZR7~d z(I8@@W-FCysnyCowMhX|uUVSoq*w;ZT!or2gPyg0+Dw9W5lRp%>|GWT5)D-bRUY#k zwGlXpr$7B;3o>UQUG>A*{UEbZ!3(q5rEdW&`nX)nCMI=hIDsbCi^YG6P!5+Vnqvt} zOO~=`9%WzN02a>k#bvy7vda6Ts}p?3k&U(M%k+ra=5*m@`D){ND*FugkldQ8(k%Xy z**G{*5B$p*GT&T~Z_yP}laRItSW%o(W z1BZpzA`7nj6HQW$_TvqS(>J+s@NF)-^%GYQayl2bcBeZ0-2Vo?&gafO{l70|j=wWO z^NPbWOIOUNv_jNjudc1RZav+gGEoDXBJj$$ypCyo^Be?b@6FQRVs0rv+@A>t!zC!S zgR91Ix^QoXxuPX7h!KY)A+ixXjN$kgt%V9R1S&ay!5yMrK2O1MbH{zF@}81ahF#(7dE`qHQQ5iYfC%?Tk|{#f0{uY7TS9n;7krK~caj07KAJG_T`suJ-UI|-(i1XqLACR7+}d2Shw8co&2Bn3rCTD>g$Qy~-{ za)jh>XD*h)ur#=t%UE|;R8;eCC9R*&vEjs>-_?{qw$$u$^qY=lTs`mqwko#uy2k$+ zI2%7yM>+h}{?lqYGZUR2m-V}YBF~F@uSOr=_4qzuOs!TO0Bq;`2=A1@9o}UTkM)Pz z4uN}kYoBq_@k8^A{=V+$XBsXo2$Ljw%#5Zjt1lO`h{FyP|1_P;CfyNiO>#ScxPZMx zLa(=d@Krhq++wSmnn}n9i zX2QS8&6k6laZ@wCXZ>pF^Z+LpfO@WtgboEU9kOU9Uxo*x9r3XG+&|~@g4atweUE7; z_1E=#CsW~Fzh?JxPNT$`f=37TGq*_t`m3+;^~(Tf#^MuD_2PsoK8W)8IZ>m z264y<1f7pT+4QKWMk%~tGur1#pmI*+I*ZRD@`Z9ENnHwhr1puLm|n*McrqNWQ$ES| zWZ^ds`i=)U%Kb}@A<0`g*$-G&wI_%6fVk^##_%KM`~!t=#1cfZbH=*~4H|0u<$_L& z1cpVX=FqY}6q3>=i~*H~TA7259b8(n{Ggbg9>pIP`R2Fct)=LCJahZ*s4Vc?yxv#w zH#X%zl$v>Zt<6Mg{O;yF@4`OFR&ZiB!UL;t8CY|Yu77Gm?lQ4pD=iZ(>+IrtMjrwB zj4=kp@S;MaX&Dy@C@|io0-Yg%z|D~*NX6hHFuVlw1qT3@hyp<*2?D~92Sf*6^q(hr zsiHoj4qn)qxtq8n{=G&#sUB2e z&99RcmWF6iL+}CMGp1tTquMTLus~UqFXZg+hkR^%J1`BM{Jo+iG)_~?#0nXWo@94uluCyM7TG)<0 z`ut@LE}p9YcV<@I)7Xq=c%Qfq#K>d}MfpCaJY`9>!wtKqo`=EZPS=c(Y|d+)xp|%y&6`IO1%z|T zgaryQQLT!UYTc~Kss?59qJDmWb_6VF%?dKzv}j&y=t1kytYFNjp+S^wcUpE)r(R*0 z+?G+Tik3&;jwsfk_;@+*0CGnF>!QLZ$oqKHOYwo^zG0GJKMa%CV$y8djcfA3Yw|{V zds|4~#Xg1ItFY5!^Q)Yv;)SsBTufz4&}a+L21Hx5Mst$@k~%9p+tI`4J3U!#q7)@f zxL`yPxS~WFpqvv|o6a0)5|Jnc7t^jZWA(6LTYmpZMa%QV@usZ|8Iy`~<0ZiHecw0U z2wF;yzBH+OYm&asU*g)+PSKQe>0#$yE&t}DJ44!YOphdJ5{Yg+N`^Fo&a+X6i0*{T zL~R+Om*emZW*Na!z=CESao7omp<@)5E_U1m31r@)7zRuaLA2Yz0FwCk*IX%O+9;FE z5J`65y{K4?A1efMga*hvQEj9XfHdX>q^^jinpniqUl3CbFt$W%0>t<7WAz;rxBZES z!uMH(soq`93mw)ZuaHuEG^b$VnIM#>>6~I#&{c#K@K)XK-Zr~iiH822Xn(h`Kk-mg zx?vF3U}Z0KX2qJy9=E8Gmdht#X%#u4a3SBxs2YVB#jS0aP2y&pO3Y4i%d&Av z$TCT!P0&?@)FGY8;2hb9AUAX#m+} zH(g*;%@4N>#oRSwDc2i!Wo;9ACoUF|^4psD0lPd<_D4!U!@wodgF4Y`F$adt_l)*5 z====Cq$z3TK3X{!_KU57nUCj|j6bMjl2b}YiAP+6`K0AnaG{5mPNftyRVR#?NyR$d zoiXh533X5`B3Fu<>IqV#oN{Ew%gR|#M-3PC(oL8i>|BVVXi?ia;`W=RHDZ;#W|`Rg@Ce;DochnL zSdc=$F9nV##(SZ=0v@C(be}Sf0=S&aooNF;W&(iu(D&l?SwK&)^yvG;QrUbaBPjuj zfKcw4AGQGOQ3FoBa-%gjL<|BXG6y$a14KxH)wh7LLfnuaizzhF1^jISm=FW_DEv`c z5**G30HX(1FbCw=0g&*(Cul$;@Wd0Ca19`=5QOc9VW>tn0MV27hDg{3Vqjt}pF#?# zW(%@hzF5W9jr`ze{vu&xy)3`J3r_}>>nKv0so7p;J0GZ1g30imC0 z4yC{sp0~bemLg-$?m+ge0T9Kc!RfRJ32T*RTZJiiqXU#qV!!%x83`FFIZ0e5m(^QD z%QH-3=BCEH2~Vz2;sqR+B9kx8bvVKL_aS--})k%js=5%`L!#t`>nt zpLL(Dz>f@Y3yC)o_v$(KaU|nVMxo<4^x;`hfN552@Z|2)M!$lSlYeMtxeP5=Z-DGApm`4a>}OXR190RmYk z_Nl!S2xA0h4on%fGNVrE9RoOrSQ=<$(&^S*r(Ac`lDoOulo%;ZMX4$#2rwZ@DE~Pp zSBL_EBMKCjN64_EueZl1ARrF35VzqET#IW51e)+CVDJp+b8AuJWf9nALb4DA;sgeX z$}Q0?4u5383j#^vU6bfL!o2X5Bi{XiN*7Gp5i(1pJnZ^m%Y@xUPK-v584JIv8ak=7 ziXKegmwqOFLjwFv(!YK1yM21&^2vMJI_unW@4lH(4+VI!14maHRc}*oR&B<$@CMJXInO&(w{%hYUyPkIlwi@e zMXSrUZQHhO+qP|+T~?QE+qP}neii-kgBQHyL?_$99cS%z=M+Kxw%uB>5L{geuH`oL zuO$ZV_g7HvXBCd%N1PqoosYl%S0s^f9SR(5;1Ew!AAORCA1=u6AfN#v%B#+HG~LPhv|aUazTD+falTz6y>=gJLNuO}sOqTEQlDJ^ z%74RO!@t6BndgL05|3?;6Am>9dJZzGxA!ycH|#g=H=lfeb8mNN_Z)@#Bm1NH8Ot|Pl+&qmL)o=c=}o`6?)8$>6R$m4#5Y&7)wJ^HH#v;>iIB7; zGdaZOj?2UV1XYJ!R2p)&pL23c*gXQ$>7PcAvH{=g(HYrd4}s=@5p@UqtdF)m1P?uA zeP~E`xf&y95C2h%2WF26i`_?nJq&r659oAD5xb9mf5+w>X5kJh3q4@pD(}A{AC6!@ z07NI+AErRwkAZ9iEqb64J)rLGzruj)*9$B?*uowK!U^hibz&UyHp5gfwWWtsw{{%XSyz^ zb!N0K3PXW;v*#0;FWQ*xDo@Q)OuCrtI!~)T;F2|{wixYfDeKUf`8-S3nD#v98zBOA zh8tOex|j$%XTT{ac82Z~8M7FPSjy7Wx56%xyEj8VqUV6^o4n`bEpT#Fsu!>Bn?O5f z(k__doKijKVkyOTO1f2m-;~4-Cn8Dl%S0{(H&Ibh{#Py;K`E)Cw4?&_dnh)k;HaoA z6%woHvE)4`S7Mf%qZDG6sV}LsD9ETV6;&WEX%8uYotIwcBcs%4mZp}cNXl2KU@fW2 zs4TQ5r`>mDjlbM|ZKmMug1r%34_jScUKxC|{6;uFy>KVxZj(Nd`KDNL`-M2tt}b`Er_IRt1_oA#2HO0g)~1M72XvqB z#Bqm2v?mKap!p_@JhFrz@3;fV?umV64L^*1b4qTNb42y#RuZpF#T)$u_P?kr2Ps*y zISpu*4Y;!VEi3zArTa(z7EG5roqMU|Pgh8t*S`zsD4;EheDaqpsa6Nc{0(z*R88HN zdxSStz>F#2NHo&@M_5@>=NwD9+K-@}TlMQ3QCV4ZaS19U*%5YtRyz{a&NgaeVB0I+ zjCQFWhSodL)5mV8p*haVKdPMrow=+cX2s{7_XEX{L4a zIRj0kcCWv&@arhWL%24y^+(nnB&O{8N#KTQ-ksW(&4XY`)A8Z*_)BQlMTKFF+=7{Q znYY>bxb61Zgt^4ZGw?glRAQDtNhX~2hjCQM3AQhHkaRlTEl)1|<;ljxp=GfIwi)8B zhnW}5#3>X99z~wS&1)o@#SllxSF{=o3#to=+HXwS-MA4^?Wazkks7D{O;Nrj z=1(Dy8JaE@nLgfo3Si^VE{||y7m05&J{b4cWbHWlYpefyD9q9nWImz<6OYX$)(W>= z!)!`*^s3EHHlCvU0|j$yA8|u)-SS6{olsFvZC7TAyGo|aKG3q)GlR}FLaGx;M=DCt z!nMN|7Y~I!T%_k0v}t)3($j7p&eL6MwwT6qGE;L{%=vqoEpSVwQvO~yv}Fk~)&Bq$ zuX!rXJg+)l4cO!uc;+AEYL42G@ecA8^c&}l2@`Vvew4fZG zyQZz^Cev*te&4vcw@34W0~xb5eXaS*A$QXWbBIWVt|nrvml1^+U4QxMUH2?J_HVtirC+HOF?ERTAyYPi0#U|{1)exgGtfx6)pIeDEPvHkGIoCtFhQx7DGN(oQ+>^3ek9kZP>Q%?Hz2_F1oth-*f@A|UtM@<&T)gd(@ zu}mOsjzjI_5OO?k`Aci=2wRol5smQJhK@HcHXeiB=Ls`pwUHogjs1HkwpLax*Ls)dvrR#utBn6%p)xC3y7B<>GlcocpJaWX1#yTdAy6HzwA;|l zW>E}H<4cS|-P%*gdJBS&MBNkp$UN3|->*fTU5Wl)H>2p%@*m|9Pinxhw@~A#xQelg z=R|qi&^h5`nnxCzw}x8$4n^!TDli>r4F)NzU5IRbiRajL4!(waE`GC8t0d4E0XjwI zR))v~6DGRV;4!IVJ8o(sLB&ra{`TE|U5I*Fs=dUobXsv)q`J7?s6)I>?l_mIo74Xw zP}m5Vs=0tSSwvQ#oeg-Ys63)vSp{-gJbpes?N;+UaN;d+S&VAAJ0hGBF#eYK>PA2J+-q}0UXe9&GFH6TfQrCfLSXj=M0+e6br$()BhynF zmNo2ALY(LVafU?05os;I{ikJ}X~XW-fwO4soyc8ut46%7Oka(I-%00rP(ge3Yuig# zONTf5GWdPbl$IX;GDu7v3n zSvP0E>CITT|KioPJI(E0sS|DIabtu(yB95A100_DA#(2Rxdoko3+^}eXw-fOt`(z%Z_D2BLwK@i%>2yi zO^V_BpWG>!=J2?b?^q>MrXul?Rh!Mp?ZLHgLZ@+?OP6yIlB@j~@1(53)QOQpfo6s8 zAnLmb=VU)y+^57N*2!h*!R7k4-SgXGuNblg4qx<+TkPrj8jbx|-OG=OwS=QgL4d-A_vx?pJ_vKjIveTLewQOUBYzTL}{n)p%g>K z$y+7nOJhZAl+MwFIl_);*dgZ;&@+QA6^SIzecYi`E5_nJuH$ij<^@F72+85M;8~0E zn6AK6?uo2s@5)@oyw)Ytxv7@%CiLzRDQ$yIX^1Q*1`|$=HY6p}p~Ifr%@>5*z)OG08%+j=ubQT7O)O6Qfd>0mcKJYpJ z50JYNHVIZM_2L2Up%vt|jYFv;-L^K=8P=s;>0g`hd1%%I$yZ>@Lpd!KDaP0(Irz35 zTBoT@F0<##z|YR~g&x+cvNAc@^sLN-tjDDYIb$=PY8m^|I3JVG*2(C{#>F~{F(X_Y z8#zy7WkW<SL){+Nfwp?hLj(%(Xv4)60>Ojv`tWfq9mH@%2mLJ;c`0Eqj@j#5CLW zH9q`{tVZWi8jM|CSf{3^6nHW$v*;EV;Va0W0(Nvt-&78Y$LJV6I5w=K1qb%{;g*tA z^-3|R^E{#LoVu#*0$ zK%L$nbqKgrz_KD(G-XtZX5z~~4P)nIC$-G~*>-2S_C)mIxqLLkt(Z#ww!32}Gupd# zSaj*0U{*K>7j8z-PbV5W|7=B-xkZo{%LEYh`_v`pi&QOh3nH4!$FSRUtJbgq*Aif~ z3rOkOJDV7{diJN04-}r8@J<;_PAq<^gd7Bp{iE~PGj5lX!7Ce^f>;-W6JdH4R}7ZG zX35gPSxC>apl#hJsk3L?OK1kL&@Zh}cHdv9jpx2o&lY&t(ludx9QfSba{2sbasbc1uU=_Jwck4gC^Kp)M45qD>78BZA;9`Qgq2)tWn1PJ1$~p#PX)a_wHRMWFef7K)K96P8Lo?gN z`FjJ$3!7adHk7%XeE(OuQF*SwFjV?xpH(Sk?SRmdp{bsNexpJ#S~{JE(x{PgFwEFh zDO8TuRriv&NApC}t;NM;{*tTNIrGiU#eAS<2jOvXt&s2S{-BRjEAvSTTX+X7gy7Ti#f%y(jBXCogDu8AAZm}kLL7*AuWXshvs3q)0 z;@~WFQ-WYsHpH65oM{HGrT;t35h)X}9YP(h65tsCr@ z`TjQS?@Z0(g2k@JZOVN~V}BwX-+P(%TcfPB8TN$&~V{yx>l@)1h%b+<8S z2j1b}s<2K=bnA{+Gj{z3OTriqjRx%Xr*B|Ke0FdJCxF;#WQ+<0{2*KX+djFgQqT;4 zc#F)EsT!4gMX6yN%6l>G##tJNMEBTsPaKM{zGh?dBO;H0lF7Grty1HjXuBl$%d_2o$^D+XZ`9qrcpK_GEedGkEdgYB?duN>{r_}b%i&7 zNGJin=m62w`5OKNf7AT+OA2pWbyMYahdD^@FNU<|@17P$BEeVXSzXZ@y@^7%5+jDA zx=|{RKD2@E4G1`ydr`vVGqWje5FFtgNlSk9ZSspqP zWba&gMJtsKm7^oqi8S#l1P)b`BioVM=*Zm^aLwD8W9~(!BfX;(5zrR=77I%w(7Sq; z=|3wN&j6}o4n3ukBRNs(!soggH>2IqT!Ly+*yNiY5j_rH%0hRDgvJJRSwmYQo9-?i zZM5(r*p61_wtoO@P-wg){^`&i{jn>(DV{#CN-^NYK*o=U!oRl zpejJoQp#&HD_s|QI6`g%32VgDDe>l?6m2m}b<6&kcD)A`mR`TUTwPyXBM8R&6EMTv z80M{ad=erA5j&>E3msPe3A9gBG(h~fFYk9}l*bRqh|CH7oQxUeEGb4PBw&!#Xdr|$ zCrgtu$4Q^cskF{WgaVzw4vR{$`~0xI9U?WZ{C!HA`?B`pllx-i)^qdW^8&u%uYGHl zYOooKJu7N7q&-ftPK-Yc#+QC97UXSPN>{{wR3oG@`1wyxLiW1riEYnoH%a;s6d~8- z*TIt-|9#HQyPJC>kM#PT><9a-bfTW&_iV7aneWSyY+@_mEqA3<$EG+#w_h0UKqwl? z9;R37R{SXRChDge;8U+Oy!TSd(AMGyc?pm)_e@jy0R8-iZ3u^3zf$mF3 z91ryd(C8e>c$)W|BcR#zz2c51!;aZtyW}*B0hEQm#<81oPcr&(?^D+&=X>aFRW0+?Kohb|MY0I%YTsw%p zgu3ME+>BX|Lz-YPaqZambsR9gq^0lY`;WG>Ko5|h#O944MrfImz(2XEz2RXv_1phQ*j5|GVftS&{U4&tnvjgQwv;&`^7yoFEZm!IqbDl z=NaLt{Gn9s2jL3w0RrcibJz}X)>OXkG7YqC89B64BVyjth16!)lW+KITHs5_T64J1p}s7K#>!8HNx5p}RrDcr{)OQYTb zXt-0@xeJsXS_gU)Obg{&%73i&rN0?+69o4UbpQ_L$xH2$g~uwDZI&j~hLL%T0Uzu) z(fKQi(--<&lUx7-ZFL+dA6O+&4p=eJ;r&ui+l7N^fR_G%`-(1q?nJ5Dt}mHA$SIgB z(8lb^jX51)L1_&rE=u>8-buy}*A92?7G+c`$udMvw2!;5xoo?Mtj|o%UVak9B1R-mrJN1D=;t@x0OjH+Pn12gCtS(}m z`k>I@J|8}=EpQER6w|5JY^7wC)aRy(o)|)b=zY8(FJ)2K?FKFSjWn4+HIM4evq4wE&?iI95ev3liHjj?52 zh7Rhu@%wmcDHDuDlP>Dq_@c!Ixx1CH9;nv~lde5$O2fhQn(#MvD6~3yGoHx_M$Vi4 znKf!6Z4WfMJGp}+{*WJDUEt&1^aWhnP+h(>jpJAzb2B0 z_f+Zob?QY7cmEalHRMAZhOMn$DgR{*_l%x^ZC?$Ndnz!_wpzlU`NW;D%RLUa%;x3AG24Plq0BO;rF$ zvs+VVOK9f%zdNW6+^1;`nj;m(QZT_7ahFm!2rt;FP1k-c5JihV>%%0jfa2VG>8HX{E!||ATY|liFN;J70 zPQk)<7BQr;z$!T8h#S^`x@D#(Ce+VrlVS%+_UJ{hTUbT~L1DO`>P{rOFIxJGqj z7N2TYfQO8DED^ZS(}^xE6?C|9he{GSTfYSIxyZpeACN}cxz(Lx20X4bRj~LHMZF5{kcDE zVjQC)w*QV>{ymga$xTXz}~6v_FRbiT20F%*yzGv_EDJdN$_&Py5qW zfpV92L;cDA`SCL&q)Q+in{7XkOy!RJcb*axFMWzgeD_z~GE^9`Cb*#Vg~~N@e}TH} zTvJ)Of(;Y1M#rXU<4e52S*yK6*9PsYy#B+dTx)WRX+ZNi=VqGcjwkgpPo8E zxWxcOM`?hrSk_=#!BqVHrv_whge1c zIMQFvp2k(J#a0djB6_}rr(7BnQD@D@p~kQ?*`fv0sTl9!?6F74-Z>A;H{qJqH6zB_ zGn?Y15|0zrU>N9{KhN1l9zN}Ens@c_FkgSaA!cKhC#kDPUvgVv7rVfMHSyarmW2)) zENNm2t=)d8_y&j(a0(*mGZ6#C>PE&%zQ~cF?+sAL3dRO#Jb)d4qy7#$Qm49|YEN@{`_HaHC@D!P>x}t#xnJ2M?G_)h)YF4@-KaHmMQ<;>_U< zD8x1~4`#&FwU(w9l7;GXQkq8`H7{#mRb{V+2;D1P;Jz#bL_otV!>w~%*ohm&sMUd> zSr|nDWxbeRzYx4}nigorDjBD!^Em;_c8)TT9~K0}MiDpbMpqz9K^dcJtr?^*L!?^9 zIgpF6z$(FEl4q@}Q>|F?YdtfhRoV9WVjGu^FnEjXX*M)1Sc+mN-eI38g^6;~e!Zl= zx0PrIs5=YT@luT7-I8UI2JyllX||@8n^-lgN5Dqf&k?(ocAdvwN&Jvd zC2}SDuiSF-=7CQum!O$r_z37lQ8Vavl2(t(SjKT0y*Mt<7-23O(%C98KNWDlv!u)e zmj8ok48c5Q)M@DFBu_GiOQ(f0;Sf`ySYg7BPfHPEp@_Q3Dp#?vGiO=X zy%MTgL$%dI4UAWEbK8{abnAorvGhyv>*<+pk7nHz0#nNen&<;va?FSkDC2y_iQ@pJIM_(0M7Maw2BwaK)Ns zW_$TBL%u0#y`@=xgL#8etLrNF+3X7AdGDF~gZ+c*Ip}#th?_ebZx3L5ga}S2QL0D^ zx0f@acwe~C{Llk8jxe3dT~P$43KgnM)_MMT{XMdq8F`8_!0Ic)UFehieOwz;8ssl^ z`%a6gRn_*sT>CpX+oHmBRRYaSQ^*3L!vbpT7w}xBk1usc8LI zt$i=+7?*BI)-LWTmizS9_P9N@R(eR+V`U_3N%m zStHiWW%Anbc218nhPjg}Q<}Uf_b}?=Dt`@VhPbDyrsW}sV+1?f2RCd2q*Y|UX^*QI zQ{C1Dy0^kS;2zW3CL@l&ceOeLF*VQpAW+;R?WTXfA4lP&G$WD-ybij^;pHPA z6HBor>fR%@aS_m0;NIs_$a%RBz_esJT_!L)vJs={O{f=?Qb32FNG!3y>JUPx3>TclOSX`c8={HiYxRIJ*6V$JGpo9RK(i@L{fZDR(^2>o)LdIl0aM%#hI zq+Vj|v1A+cxC&=8x4N5Iyx$(Sqb7dSb3O@)>KIFxp4z4a8a(_xggC+>xS2q8d2WB0 zX+L!hNMU2{5g>zeB$wDh8++LNvV`Ie)xawx(Bd zp_eT{Ie&+Pj}eY-Fl;UFMH=AQ-Yjlx7TzB^TT+trh=>4$Ix`13j^XGZdR>-U86CCP z?T_!v6SEKApP^Wt6O3QnY(B&x-`^tv0j1MhJaSaAaHf+zIaCZ+JZ}%#DM^su` zjiJUH$#!XMQw5Iq=NN0sH5(c`{cmH+ajzUgka^lnOn(t*B-!-9{pJ9khLeo}l`*j^ zX)cOw5>5A&z*0hEX_N$ zc{!c{1`CT*<5hQJG6`~ z7X{7ftxMpTZC?Xu^FG86!VD&aIvA-WGU+49H7h4XT%${c7!@-5O-^PNG9hx>DRG*3 z!q~?xut&00cRaSRijX44BABzq3)|IotOY1!cfl1VDkv+)g&}-xBkxN1zI==>W^-^v zq6QSOrz)}gUuv(Wo5nu;!pY!ZzFC#x=SsW$0)RZPsy60mmP^9yry{QmlPR0 z!o`Z7P}8ornUyx(5xvfL1pII=`jM}_mwY6xTpNGqFa)H~^;1-(`oVQ)ls^GB4oP=2 zI%ok)Fxw?~yixtJD+?l`B;Yl1sbG6&#*t%=9hqm~M2*T%yjwN4_7~RR>kuz@g&rwRhcn!M^At@}GnSk%qi=yZhjMJwT1y6N=9t#En zj95~rTLVg^U$FZ`=kS(q|FV&FOR8=^3jZjoDFIz0WoPT2_l;(&%n~QY$34qZ z03sqHy3|Sjie3bOWp6CUb6ER@$zUdq>#ne(FBYOcSJc#EjbX zj!4K)=WakSjhwn?92<7Yxl?Dn@^%dx;>NT)U<{MNnQD6Xqy6|}fLl)c4p;Qk?_MKp zZVIVWo;mu)qiG9Nu*_tQZ?n=5bGF9?OjZ(xIK+!7^<4+5Zmyq5} z)Q5Ol(S+|1>0gqlG-mdmYu|CT8#~8phnhQ{3%j&+8muh3)(szx zbC1dC>~j%_r5QTt*Y2AVI4LGjJh))Q>F7C1$PS8fYyFZbqWw<9b!|S6tIykMskXR2 zF9$e$XMAl|D`QDDm!6aYq6~3pxw>AoNnNR9#=dUHqadHM$G2&2cch(f(=9KImEMql zI^pV)<}`E;oGUc9r+Xw}<6ERZEpRgv$l<4{^+R?m>J7RkmxJjm*vc+p3M2VXoODZ#6*ep?|=S6bqRkeIt%(@eN~= z;=^4$Sk$Wwz6B!8$txoZl>ca`i(bFbVAybewj`##ru8&e?6Sq_=}au60#QrVHsg15 zhmbT#R_T@~SvND1mzB@86=qU|=+2S=V+cR2`s>F_1pUDh4}@&s{!19tE_m3E+V!)Vlj z>8JR|w);NdC@|^O!k(CUX8S%vXkE-J$k8#49TcGNCY**r+K7+m7JwbGOCy<50k`xf5^Ra7AI0!V33N6 zYW&Z;C_-qbzaunO5&7MG5M`p;YgDd}$9`3s-!1E}nD67@bP%bh{q=#RkI5``kQKVe z@$9%|eaD;Y?DKRcH`juL#ktdJ3y^=Dt-i$_4xc3QKq;W%wUhIqhs~cp$Wr+aAX=D7 zg-#uqN!uz8^ljh1zq~b!7D^3VvqlW#t(9W1NCHe;a_u>jV!!XGf|43ora0z)+IPI~ zX=#31!EcjppG~dSvl3>xOSM}-r^@!lX~t7GFH;ALXQ%1f{;G*or{>MiA_XLd$=*nz zl2x}q53QlLHfS3ExxmnP2*oFI|F{e2ko`VE#uGuE!arSgq`7HbHO5>w)Yzt_k;ZVb z7+e$qlR*FO6@)YbJL^Vf?_76>9JhC=HUat~HEhXJ7qVxLNvAfNygq{kSLX11`4An5 z>@tZur_EllTo8aj%fW0@H zV4|N=PJ}W~BWySmVAY)RAz+r`qGxily|+;lM2 z+$d8*2?r~Qvsr0GREv>8F+;-m$`7_9JUg|mP*!;5L0u68PDPXILEOQ0-_VPfLjn>-M0wTLxdqY8| ziEYQ*P0Q|5&rN?$U>88=^pCHSeKE@zi<~a;O+wuG%|t&nqtqLRoOb+yIBEnjdzP>u zqyHD=9#8PCus~MjEh5KAwh(J=;0F!ZzK1)oj>GMi_7sMIy?U92NGvcCXJ|--3$GdE z(dlp!jYvIXzsP+1qaj7P19Ns(WC?fx6^ui0A>D#oo>NCu_dvF4hXWSZnIGT>10ip4^N?DR|3L>KFUu;mfnJbtSPVQjLsQVN z05I^+j5_X`qv)ZF3BuZV^e6_!Y-}8Ta}|J>Ry0(Mpxr7y=&>tIlf7M+@0p@mCAu08 zp$=S+7r83{f0t7!EMlCcU;8bqz4aTt<1``khtg@NedIZP*ETX*5oF2mgK1=eAu$L) z-CrVooKVJb3uF@JIQ)pvcK{4zbPn3#F3gV{j8BX6<3*k8f$N>ol_R+%8&@~}DHuer z0Qud^Ke$Bxuv=HR?VHzB<;MzJxGG8jgsGXdgNiRf`6?_EQ3p|+g?F!%A#k-BCapE&vk#0mS|a{BZUGz~X>&@fX~*qL|wd5>Luup6`AEBv%r(+@Vq=F3J7~ z&2y4Ky!=otS~hPUfWin2`$kz|7kxH7f3SKZvAF5}bmG^D0g}aYyy)CqlT2k_+03+< z;B5_Wq5rNB>kH8~RBdryui$k{?6&9K?B&GHl=0ktvRCDN(Xl>H?_*D%EB4IN-}-3MQ3N&XJ)Xo_-tEv zTb~2IbqNzwzC86vVh&&1iMeI!I~Nj*LykLgpq3IW%hsD$Rd6&dv{LRfY=p*LHdt~A zLQWCPV2lK_uuOx+yw0ro3xG88?a8xY(VbIFAi*xAht(>~@dF+&BUplRea0R07mTo+ zQN>F`ocSp(_oJ8=6HezGU@P#H}8IzQrY zhhZaWFt6Y8U4K};4oGSqWx=v^GI?8DDSrr4e7;<Y7-^cVm+KH6TzCC3I-i)1HhstL+00MpZOCfRPixzcnLDX& zRGcpN{Y4lXRh)|Rjn}~-1iL>-uN&_kz2dB+)RhuF9Gcm|Rj&zh2nhD^RsB3axLDl3 zWC`ZIz>KQCZ#ZShx|;n_W%JZMQu;UvOYSHO992C=ue=`(pZInLO$_;`So8#gvQXn9 zl<4qq@({8%?Ig7L1)I9>^rXgye{X%H-xtr#*$irznb&d~cRb!6bOlQg#`=iHd3YfT zf5JkYYL!ICOnHyQZ+{oNpWbTi6p(AhQVds&GOU^)&UKW8a)mC`GD0*4S>|CdmVXk- z9VPZD7!$jVqt%Mzyw>PEHQqh()S%>22^Srn#0ZH{#xR=U8S3C2+zDDVObSTYxq11z zz_Pi>o9R}%hDzp{i&Z#KvO-&sXHm6Cl00OyeXUH3)Lt%A0 zy~nblx?avxn{8uYwp$UfHt+UB+eK<@%FDLcf8*up3bFOfOxUEuEH>1epI@qchTdWH z#fJMgLVv5hV8_N_TEtJ2Oo2UUeW~{dkaM z%0EUW05lHu7Q#TFP-VYF6C(ZS2`AIcjEv&ca$yyoQfMPnA{3l*Na+;PpbT?QN*|9D zB#a1YV=$n(; zH+vY3eJ#vNm>LMy44XchW89s&-M3wyo$Oit(Jz}FUcHVu>9I1@HtO;Y1My;hPjP6I z%pyw^lr>~dl5R~_>9K5Q1PdPaz^vZU;iP&@95Ijfr1?gMM5#~{dr{__d-E0vr82)p zS5k8{mF0u+$W}$(DHJplh2{`c0me4y>_Wi^jd6DkS{l2TDjoWgI%~unXIqOEA!?oV z?Vs_C53rrL;E%2RlnSocY8!6*bUz9kSCtHo}upOFCN$PR}jTV zQ?;FK);#S&9!x>;Qh+!L0+bb31C@pJ^pAR`?YBr0D6zGYKm;(NKLI{+vrvzk8-yQF z@1lrHJ7}~KUGHe^3#7`sj@7?-QtmRocsz1AWiGl0$Qs0w0oq$`WdK%%MB~#9VU2$9 zKIT->2Y?XbH6S5ug2$Y+Z6X5Q=Uy#WC3x#%@j+YLHWM+{x9TB-*o!_{fRF{pF@i^; z2=AWgpZK1kB=Wd7+h`iI^i8U*L-Q~)fp z^b%p>AjPS`A0^wgb02$_DEANdy*rJ^VCoZy@YvQB+bbo{Pfd^mG{Vlu5+_;dWK%a& zxhrEFOSj5 zg83&GXRqGYm~41AoFDhgG|CRH0i4?*;uw=ptuutUhW9unN?8*R3j;@c8TLV4L$uw< z+9cF1Z0svg7uklXGqQjhZN+p;wr(Aho10nK$%&TzZAIzD0oDQ13dM{>fiEqP6wD%M zAw}f#Nk4FBDtK5!4nt<9ZqHsX&%B>5&p2MqH`eUrtgL?rPUHv4U+5Z&@sKH^;^mHMV8OOu)4)K|XwjOk|kx za6Q|WSWEku9(SHtA^h#IK2&N?^#v%o@0%+!775m`$#eSPb;>nP3?faJnxlNw{s&|e zZY}0@N*A1)?jsoY#`OT*j{HWr-vWr>M@$%D zSG%#Uv>fu1MEet_3LhfB3cF^2K={y~aE>fDi&U=E3};ZsjLs4~Us}2jv16TVkDnAe zf=q%9LIt`YN)bV;GwGqgxG<@X5Y3@_dNLi1G};&>l;qArn>ZMGFKMrxS8toBPE4jw zNeGe;ASG%z!>{zrmR4gj)8z_3gZ>H}XUDC;c00b|r`LHuUYz?K6Td!llheQB`@{G> zycbnM=G@&$_wg2cu;$nKNc*tK%l~NRZ;sP-jw;SvPF^1koY1GFRLH4lY^pK{yEyR> zvKD*%R~Ra@;N7ox(p>uxBWFN^2~4y2?YQVL=(W7+4>!u7;|gz`<0%XQ$76nNz%$;c ztRI;bu0AXO9tv9^4CQ-W<{_(f(j5;|Z}1Av{%LUVQ`qdrQro^LEce=vqMntuckcVk zncrApV`V*esaM)f0?5%e3OC!+01bCXajIC-viRFN@223Zsm1p zze+-Ip+U?7bQN)(^BfO2>qfd3hZn!ca_S~gjYYSq11uWR1yhb29s-r*M864%NuV+D zrMrNkv|vP3M5KEiMnt}#9z7^rSYRx4g(GI%9%C1IsE{vufhBb)B?vmaox8u#zbj|P zAB>h*5=3r}>mRs9G}JLYLI5v`P(p0@Kd&&pdlkk<&6nxZ4{KdKTkh8*UJSk*4)o7c zMl-h9ueR3brqZsR^sgq2UpU;}4o?}porj+qoyBGzH*%J$F3f&D0|#2q->hC82W6m_ zVGpZtDy>;!{cpU+fhz-jfu0KC<(eg~qC}V^!fMFn?Df!P@0>4e;B4w%5QuihB%)DK z9>c@jMrNGS)`(j|I9!r2y6^C}N{+W5YPh~FTm9OP?4+)F7B)<3>4rvD439}~$yX#- zn;fJVpaeO^B>^lY7}yNNX8y$5DD>BO_->$}p(N{kpQIl9)6(-io6wv&{{EU^y704j zarLVN$c@)I>&i1H?Vffy@&{8(MqO=$*Ha0lY-nL`(4X_wZl|uE@qnrU#@0gFr|^hm zglJPDej-8PpxCRMvB2N#FT}N+Gh9EDUMHU0TrJugM&z4ZAA=25FH02|QE0el0GNpu zHhS5((}y~Mynm+!>!$q-{ZpK4>kJN+_9%3Rc3iJY#vIQ$?r|)l+J@-LxVJr>QEj`} zFV77ZOUfZ+ln^gi?u|yQtR&>|Ot>8(Ly(~%7(6q~xyfrZIjuyV_%F(yO11~G zhjjS<)*XZF4a-a1;HhfsdmyYrW{<$fG}tPW+lf=0H&WWvGmCgBYvEfXPD9*lUJ>mP z!MtJ{M;Mwavusgz3;WUPs+5xMkL_zDltM3B;;YiJTl*WX*!%;uZoyiXv_)OR-g_9k z<|o+b*=0@XwHrQ=iPTh_2hOjsEH6+HK*lK#ArCP$EmxCJ2XuKCPd(aYoMd%6{i7Le8;x2>E6V4D9=M%{vp)4~ zMNL$s@%nG!GLU){ymSbh90Zgy5TD9bQvzs<8nj&l(mAQF??Fkct2mAZv;&6kVI@xs zIM7(@+jFS-(lbRO1EwyZbI0cBDaQ|Bs9KK(tS5#}BI1&gPDa39n_U&$&_L4Ab_s~0 zh&vf^OFpvg%^Z6$P}%WuVO%$QL(MEP96>kK7kl8A?!yf>{y`mgTV^>fZ%-=-{@ZA* zZBGyOicqcER0NJ?pPe5@gh~epY1AK?0=Dq}kY z#Jmz<(F?EtF$(AwsRKUIJ1;_p)ICp*ngO?!mE5g}%xvtclSC+oWOkEu=K$zmd=l9elbP&$z$t0c zwne_;&A%-M#u+h0JP_VU?|#JMzK|shwBrJ+-U0yZ*#MQQ#WHfyXt~1=kwxdBtjj)=!(a4k$Aybw}SE?Kt!`?$! zQ^}aBQD3L6*IK2xQEm@zF{a@6PE`?t5av>VUZ~8643A`(VT0?K<)n-(KZ}2%nJ_(B zL_GzM=jS^UB(3HV#3@2sY0t>FjJ4`4HR{5PZmflM6Q^U&Du?qw)iN>aNNfBY&$np| z5~Lu|+45Dzl*+5=OqW0dns%3iaD3g~R|Mshwh!{z(L;ZiMLo^*8^x0Oi~kbKn%pBg zJgU>Xt062peedfyO#pPQ@^H!~W{cO%+zcf!nd--hDy4B?MA3jY7@Evd77!&y)_WbS z-P$ZD2FU=aQh~A?2NhM!yo-)(t{w2zVNar~tfbC}!549MXFbt(DY)+UG8{W{+)WC- zl}?e<{b4s=0ook#0KN0FmF;uF_2KL2#=B8xH1f=$fqH*Hyc?Lh0V?nb%qWlnY?uHu zUk$Ww{24A^2ijB=JfB(@ff`Xy&{$?ILe^XLGc6}|k-hFd@xA=G_Sw1d$Sp~K+r`z09`Qe;3I`PRnl25jU}9HdwkMOpmJh^- z6+(M<9`gqtJIXQ0hH4iSneny)e2xzph;bN8 z3X>e}Vw<%b?LN`PG##;b)PZ9;>FTQ)t_=$UU`54e$#Bl1CUV&E2USI5%f+VriAFU* z&Xe8knVy`d$!KuK577{QL{W^@DZYC>Dgz|8rh7mqwtC&B7csCTD*eW~m9sMG6!Q=0L%Q>O{>85?~5EP|(uQY34K!>sYhxmbzAEO|F8nrN4o5 z6Ul+a9daZWU9gnib)kYS4l9LD1g@#b0N8YRU6pbMi{SN_2!rn=rhy3a>>p4-PN7Z| zn!!E?PwBvIGFN<{b!tJ&rj!S}E7ez?Fs7UvEo~3Qnc~$eu8&Ec5AG{f#G3P6yw_TM zesBt@Q>#H4)@u(eSlJOv$k*EOtFXt>6VaNBLJG1SCCCM*e;NZUcQC&2x->Utzig62MWy(G@ZLBLp>q7nHnRxrfI{Y z#fBq#h0FZ@SpoFj?h8F`W+;iPN(9T^jqh#!UYgs~H__K&12sZ7OcE#e*UHGOj82ip z9$5<8Xu-aI)7pFL_kBF2i#t(cMocVB6 z4L8AfXS6cYq>$rirb#Z1QfY+izaNcS#p`gtTpG!Bdl`UqV0roS_!^3RPt>7w*;;3G+fZWmW1O;IF85_vU(6Jf*WQ5D%QvL??P!Eh)RBvcY2K@SG0 zM5U8Y)0CtD7qbG#JfyTso~xKD$81$Pw#!4_*prW^22#T*I#p?Y(HwjJCm$t=FkIy- zLr8M_thEZ=G$GAS!zkst2Cgm2)j>l=N-+0SU|tOi3X!D0X6}b7`4rp7*-}oD?^D$K z2yLK2r(*!%$91r?g0I zB@-v`f#1Bb`|8{6Rh=tmCMP-{?y60!_!Bzu9eX#LPOaKe7(@+E z99$rHr+3iV`9n*;y^lDD-kFb>zp`&r^;owv8|jVAHhMe5q%+NQuKertGVjXhl8VKt zw#*v3$J-n2sv0Q^B%yCO)RS^S+69&iGA>9usVLrD1ZfvoF31qS*G5p4-%8;Wok_Py zP07XSC3WlK_a{5kTZHfUHwPZj`pqF>w|}>^N8XXzmflAn5Jvn5q-W$OQ;(+)`;SOR z^jJx$)g~-8Vug9D8Vng#9afpf28-&4#pSOZii|{XByIR>W0^Ecvj$7J!%=2ztd@_( z4BFwm8b!_JVJi)JL}XOm?c!g=u1%)`et}JtM`IDr>tSf@LFrT_ob#};NNw0AW%nHL z&~1aNC1%rhx~z=ir~~z(0dxp?zS? zgm*%gO4>KMq`F0}l3JFWRlb(2g;2xB^-e+O+iyBP6Zrfe4wX+9$y}b!*W4t#N%BTe z#tLb-0DFl49Q>?`f%D7e$8fe-Z5b<-v8?8%mX<73WzcH@<$H83XfQ#iNWrS6tlkU$ z4OjL&^0PG^y$cIlzVXn5-}~f;C;z>V9hXj@dig|d0eY`{c=x`0FJ3NudK6ukAAY9m zYugqtc`zCLX3hMQ{g3>5(?f6U4Ltqq-u0`q+09i8&+puEZQJ%+q*CjlH;e--dZ`iH z&*B&aoPxNs41W=C8+B|8LKi%7gzIQT13r%&Y9OLWaOi<6alRXuL6Q0!>Jv($IiV*MTvmuO2MQ6^fBN*@PswagK=-eN*=p2# zw$GBfnQrcNjxk6X7@(n=n1x&$vz*&0{gnM!@`@BzF5*|td3epju0F%F`V7lt!kDmN zxnnQ#aRbGT4g>cY1IDmH8-6S9Lq1my`W!m+xpe4rE5$cUhd!ocDT;LHo25fvUMKSG zGdirv-)@(o?>m7gssX3l)lhkqRZ1$L8KjVA7*Hq*(>JlHaPOVB3U>!4mY&}8?if2h zb>>E4>g2P?e~Vr{b@tWs-`a3hDcXj2Vc#1UkSe&X#W5h%%%ufAJ&q^?+0m0g z*`lAwBK+0qE5Ac~=2cYIqJytZPk<`DK0L5%7gK$=jl?`eQQnYYV;7FXzN*|p+&eG|J$ z+^lbBw~LSJ5~~yEs&cT@u#?BuRwrvghdoK~V>AQ)(Bp;R`=Anee?XE%txQ#QBWRlX z#hFE;EM>;YD5?q>?R7fX4~oSYJcx!6WwIO>(@jm+O;zOin63g;6;YDnvZBedqVghV z>a3*5u&!WAEN#k?#Pb}7VNRy1D$0MTuGZitt}DEEccoqJOZ$+tlDxKLTYd^KU^})L z5ij8#UZy}OBq}BiAs7nS36L~DAWeA$GKKyioe9%G8j`k@1_)r>h88#R&>;z9CLy%( zgvT@(Xo3sVl4*HJ^qea@z({-V(VaWmy?egzobO}AsBK5}F+MVri8Bg}LAYGTLuPiw z|{0?$%dzoUo`I0cbZ_(PNE)w^BIE|SeI${o683$VA4iS z@9u^n-8~rHKR~kmkZc1nVM<}(Zyo_v0?NplHtkAgnwfEE6WH z7EES&g;yZtjogcWJ)ej+O2q#9(;21C&`TfYmcO6-xI(Z4xf8(EreAFTCc&M{MsrvH zv*i%?E*P53tMNq>Z@)Fb*vWt%i#_aCK{tw!kjz$@RaPTu;2On7U!yuot2Y}g$+Y5T zah-{S26gKo%<91G8<<6`XUibqaTkZf8nQ-a%lRsyQm)p@&4>6=;wTyaagsQj&xlXR z^R?OLVzP*D6_?6Ov_LI}f;HwEYXiSo*eq@5JH;dBasGt(H~#P97up%~GJi$9 zqFpkFfQ2@;g;hGqf+KNho75$7QYYy=ykMaCbh?uFkgGTAJvyhu zCRd6xkwEZ+Vk|z%={FFwnQ&jEKhvLqfWkKO0B$#W9)Ku=r%9#!ene$j?7n}>qq2J* z6}EY=Lk9Z;6|^!4S~-#;C}zV2DUc-R-YZC1`H6?u*in!YOA1nu`>yw#l#1mw=ARmwq1_}GS=a+yf8N=J+(6`y6ZZ1Q?;Ypi%gFt?4p!~Qw9X;oA6 zT(Ukp?aobB9$MdAlq2ZU9=&^ocld{yN~VDw>JIU#zUqh?t*%n5t5fPj!G?lS)sw3; zYNonXT~ggLe6zZt>h;i$=x^0vB^n&;zZHlt>Vw{i?5XUCykFTJ>8boQc(zg;7a~Qd z{S0i+rb)#qm8kW|nmHK7>{vKCq`EG}r-n@CCk=T_oR@rBT#{U^Y*bDtH`SX-qoGbC zyk3*2vqu&O!V9WaRIyb~jn<@X)plxkHP23MpLR{-GzDdXhN2UNgN8Q_>biH1rl2O! zyna87n#0+h?4FMB_JHFE3~q{2*)+aF8tHIy)k1wC*ewC;pO|vJt_3MsD8mboavy!2wZd3 zqSCtIsjd{eD@9T^KHC`lN4qRsQj<95?eVfPZd?qhNB4gxjndgi^-<`>_r3p;lTd3ym3fT(}1#?0ZCq6r=erfMw zQagUr+7}A%X=XlgVgX4LQdGoc?uTg7GSGydhF<4a-q&(vEZarEf$bvu$#ufVNE4YsID!TMK?}hhw-Dh)C{zd);KW3z5DFFS8ix>J zbZZE2O~QCz62M!KNYTWG2V^v&qCGuL&%z3ruX~GBQp49sQFRNI6*e+$#igLB!D$t- zL7gcrso=n90T9540aVD)Umt2e@Z`QWH}~&99bL-S&H4H2y>G8tz1Q={?6+HIZ2joj z+_l`9Uy|33&DnDD<5R~^Lho+Adxh(VdKD$}2h?_*w$@MlGI5z^W+lThyy?h7*x_ZO z1qBgLFVNEq3Z7oj@$>>k_{r19^G>omGZHTptD`>b$kZ_Yg%d0S=pssts@j+JdQ4DlUSGtH1q5vcH|49msB^YV^!S z_+r|yzsw^xa+@*gOh{eG7RoVOc?xss1BKV#?alqS{hzP={>#|D$lCdv_U!&?>(9t~ z`$!KdB+_2OzO?Vnf~Cto{OrsJKfxX|0djU87-;|_=eWBimRHNvI(3}tsSngUbJ^L_ z_X4w=#q3j_MZO;fTAZ%fY0u}@*+`$&7r17B6X~PO3&mo|DAILG6uB!5V-xDI&?vTE zox+Y+Cj=%tbEU`B#cH4Ta_APhq3I;ZX|nDIM#_T003|s&TuYcT!(XQBrwpPSuF+zw zGk}_ii9A6K6PaVsriD~Byoex!64szr7T>s`;TwnF|Dr54(0D$A*)g6o6UT%e;kkD@V6Ovg0znoW zqXemi@TY|(9Vt-$h{3idh*HW53u-r*MyIG6TD!GNP%G3jZG;dp$k4FVsgtHn&~2SI z+5%Ox3c7_x8}le7$-eJhLbFa$?)|avo$vd;-=7aybPC`s3OReowNoS+mDb8_N*iD6 z-zx7^9_L&Az9_1ocx{-tYj9SZ#A|)zugV>>5@)j`3u3dAiz6%Ii<7G(>tkz@n<8J2 zZ%Xb}_eLvHrN$r@4Fzp`m9^1ow`40B>N0u^$uL+VscU3FI)N2C!DeMZ1H|0`bR4Y) z^w=&~uy^}0q(RIDK01i{k0BNAjMvXB_6BJ%o`ThBr>=;jyBK9QMN?M6n5MbYW)>$h zm7bKTq{x&9u%yV5CGrKCn#_r9xfLUW%|=HqSLwj@3S`0&P{=_6uC~%hM@d+0Ocz>C zp`g1aAZC!l91=FQjD`84nK~h&@}mR!o9AwfeoW1G-=YzMvckC3PB=a%MG?RibyB)EujJne8wlOV0x> zVGw7}FXHItlBr@Bp)nU>5_5w96Jlbb$aLHxQxP~MFPxMBj*u=B<4HV^CMF*W!Gp=2 zUkDraN=@uM9UvJ}p*n#cppu&e128MbEinB<#?TP70gFnd(g?r-I@Q^;mOSN}Mp)&V z>H~*l@JND$)lhrERUJ92j$AmU4-tL2XX5mU%a`nbE%?^n#c-!Yf_mzpZC zjn(G;b!I->=x;C|@Hd(3v=;w%{~i6`(W?8h`TB*~h4stpyYfAGts&DeV^O}z-;`N8 zA&TO8sG2fZLTz@@tGy8FU*tXQ@S?N^&^klUrl*CArSwcrTNts+CU|XgA z?i?kV4Cza!CIh+^EjR_;sj0bSQ^R)cjdrKa@(^-qZC=otEojZYM{BmAHQN%OVM8fs z4Zo%0+M_iaO~C_L^!Dx$b;#7zv>5KDy?g;s*l3B-irnA^})JS~*OlR|>q zLW0{uv0Q$42I&nNy3gqC){yZzh8r2IlmI+~h@U|mONf{b5GC8TY|lW}18O9X=j^$K zuqjMv^(3;}cG5p*$?pA!YpUp;-gkz-@z!(a_Z{E*PS3ACdhz)Fr(gTozP+!t#8*3W zwmdSg_j|PT-V2l-d7<;}j@uXa{#2g%R{6s3-gxs30vI|(h4JYk?gr+P-igY|08Hp`@ajem&rDx6n`={h&#a1&F)QDFS|T&^Qr@bfbmTi$FT7VTm~vDX^5q;{*v>b1r! zW=WDZxfKJ@+lBfkVp9)?80N8tK2@_YAD z-hgkgl6UbQ-pkAUDj((=;VItEJ9+o`)-^uH^%Mt>r7=n7s(gSFRZ*F)YK}r!H|t@& ztjw-4wakW@L|B?#f)!()SC-bYdvRkTZcN9gGQn(Yg4r0FaEuv45a}30*6jOy^mak? zkj54b35mdeEcsm>x$3!vs0>j#+~42N{(kY|r%_h->1C`-cxU-Gyt7~0)K7fTh^{NL%p_ISIQPMV`+Sb3nwqA{j5&&KDvGW{VwjIY z_!U+2L1hx1ouwg{D-2_)O!eU^lNK&mw7Ocn3xwY95Xpg_h|oo3B~%Ec!JRE?+z?76 zS|B1aMk1opg>5Fnf|FPv_QlFPvz=ZHF1?#nbn63cxS4p;h&M%5d{DjN72PnrW8A1@5Z!)!@zlIF<0ePTN|AMZsBzz$GnEnxz_4B0X_qbO*g~ zW%Ss$l!3eFY47Nsku6ec-{@DcE}wzRJb}dz&L{$i3fhHv^NV6E&h^Gwv%PVuBSzN& zS`8^FrCYhGu$6EbR^*h@u5>D63Io>CCD|h~JV_8*6coIh&@!}B(qvY<{TZv(PGU7L z*Pie2jhhY+nlKp?LPzdllr*#d#VCR_m-ApM)M%Htjgfh#Um3X5G_DnD9e9H*{mnTN z1eoFRzO&;KK+s>@75-q+VS{Xtzi395!)bS5UgWEc@`glSwt#i9eRmS z>~*CSLHx-Af3^RIzktYzHLf&wC%oV-*A$ZDrJ~A%gO7f+Ui zUz{vZ$L1X0vFC@W1Hb*riPPEj3)_Ft-?C--!3C`DX!C~0S_V#@8L5|E{@R8GM_>If z-BknI#CeAAJD+{Ow!iZ^_hTo{VLP_5Lx98~OZc&&uuv#T`57C*W{5$%1k#a&c2vMb zDrnl30tHR9Q>F5!(w|8UA#^YeEt&v{0&1qNTiXf2w2YymOsGO=8%nb8{TxG}Tjbom zzI@-^<$d1wd7o$G5ZkwP>(jq{W8}-Cwzx^^MMpcbn$zLZ*!S=m{5Quxv^$P_nu`O< zoka@fMU39WgXRr$%;apr@A2zCqAie1cS~-M;)$A6Pnc9&$W&FxR8`0iRE12NKn~Lt zkYTDSWU4C2_kR}vm8q(bL3L0%l&Nr$5&6hXCNy0D6oz@nWH+0K&0cfB#63r!zqa&>5{ z64B*!uXe0(u8>wMn;aXQ8>CH&WR!BeN+`o04N{FCq-DT^nx`6{H>rcg<5%zWQ!5Jr z)eH~-4m6+x6hP3T73pa_VtCBkyn~;9op1zPe=-zFPb0A>$|xSNcRt$A3dOgS;_IRK z7P^-552I6rv*eaQ2P`u^kgfxA%|ApAcck+7^7#o^5(khOCR9uOS_h0Rak+E3xX!sw z1R+it&heRTM2U(d^Zr*`-Bu^Ni+ePQ&kBUf*~ zghI%DXPcRd1agJ z{kG6xGz1qJi-N0!)k@CD1>1y;N-J(RHU?rTiSxO8n(t)!7u|v1);;J7u`WzIm)1BtZn#)z^p zq7{M^xFv#S2JGlkY5l4{$mC&g zG96lxRP_=+3&t(3IwqjkbG+fek6#&Vd;a>imVH&~yDx10@Whs`lcTN9b3b3YbkEqE z$42kJ_Ef{jeaG>u=P!SL`IA2bm+mI5c#&YJGMBP5r)mguD8i+=C%EO@Gu#%=C8~lb zh;B_4-Hby(GEF`siHZFJ62i8IG&ZdMf3NgFRs1WfPM|awE%`@gSHUj1CdkPqU*q|2 z*`*Y4_1Nt(nzp=FFQnbB#a5 z#g23=Y&a2b%&hGk`6FZ@Gj_{yl4N0;!^m#q!v1iBxJaBIT^ZgG-Y)JHcSYaTKCJw` z!z~&C)0non@=t~{$bOGyaW#_6oRAZ9Qclh(Id_}TCbmg!a+}iT?vM4yz42Hy9-TZR z+9Iuz*T>c;wnVl>cSa9NZz~59hbn(D?YQ*5ax8v4aVmBprYDM;CtM67#UNS?5(OVJ zz6OFwF^Cp}GNNF!zEFLO5U)@qE?~z>IJv4UaEARL8@4JT`Q=u|YPNo8ePn%Vxx7}n z^%Lv5#g$w8EY>*><^!6Zt;Q(#9Dh6mh+2C#z!Uw;gnk^%atN%3TQZS_Dko2lMe z%~oTCP?RwX%8HhpUC1&a5eVP~Ajn$q5_Z%}Tir`v&uhanFU(^bp6T_#1HIHxdc)U? z2FjWaoJ|@&-?3!8>4(WLCa2COI*j2`M{3Cg^)*aX7c9yQclhe37Bn=W2YMpKPClgO zjl!JH#;1fLPJd-g#XhWIhb!#1gN&HqgUC6B972Bbb&@9%WWo`*B21QoC=nMWSBeWV z<+uzcdJ5cF;Q(GIl}vSacQX?R3gtUH@>-o*P`|+U9H>ObbV@Y*Y6IkY`wVS(=XBN(v6)P z=Fg6p71d96H#NSPC{Hci_N=)qx2!HwTBb?Snz`F^E$@8)SJ0=?v2WO<^DV|;zBtQB zqy{6gvdKL$snze3I z<*r?)PM_9NiO`XE@SF`t*&ppe{HD>@_lz7|QW>C5l5p7#E=Hc-e3l82+=#>@vbLtD zz3{d*K7Tr;p{Stg3et3$khx0I#MI~&rUAk=Kvl6p^|0ZC1Q^udKn4s--^K$aY)~a^ z0KHQsY*1@sfD(2?KE@0*U?8I@K%FOu;J}>#yE$+;&>I*FZ~>)4d@wjf#E7;yDBch` zu{b!y2ZKYTPZlM3stEU|^FpL5SY)YBY_c9PpQO2lzb$vk1cAI~W)&s}ZK42&J#Md? zb@75ra0*27a!QbK3u=%7!JABWlMzhzPO7EQ#0fYxDk*qqh#3$>T32bF3N5{j&Pp z(xrQ6_P^b~u)Vpqi#;%M>hNVIQ!rbwrYbpLhCK0;b9?@Kq&{D3QA=}At6W<0wF*o5{D#;lz$S_s%q7$1*EFH z{5T+OP)ZR=>XcRlR9Y&kI0=p7DwP~6N`3Bc)@MSzyR-ASUGMzn`+eUxGf<8;u>zXI zmY~IKHC|1M<{H|Ad&p*UGkqHE!n??B^J%(^_2ORgf^x`s(R_*i81>QD*ssvf*l*B~ zagx1*e$TF>57=kuLv|O9u~{%37Du%#hniRi>qa4?S}1DUHGoR{Nj;i7h55*-BWcD$ zE|-y^h(l#8WM5>QWK^PFqG`4T%hqxu53zx3I6s_6EuEdwQYOO=W|(G{vVc;C6hgq5 z1Q^4IFt9A9NeI>rMiqp$78~0cGYms|fD&59$$?Nipb-sfAx)DIXRJ^EEYUPtpBOKV zm+IrABPD4yq}%9RAnCFbQny!|o$r12LhtOjycNNKlZ1__| z=Y#4D4|`XKy({@)i9Q)%sW??xkjapQ3=Hs0bp|8L{B{1TzT&5&^(#-@j3`i@s`!$2 z=(NUmFV)a2>H70Mp3%?pLGgutm*y-#hjLD{o8!%* zC76dg#4hs%vrl=$K7x;uqt-9&0W_$ebuXz`^}oMj0>7}NhtQ5qV6iKb*-hP&5q)%g`uere)jA^L^m= zuG1P4;8~W2J&e2Q-}#1_HhdxSnh|K4;les+9XD_s*Mwbeo|yqWj8yo8fJ2KO?M6z8%tTA8+&b`^1+m z%hT`%?I}nu1(NH?0KOf&-4j`&#PYFHDGt5{PMKt-_{aA$%c~wRbzIFlKPr2d-=A<_ zy#XqFUGIY7dJE(w>+U3VekX0G$(s{5!PDME?!@JO)XLKWFpB3@|B78F+E+rx znG=^!8m&0$Xk6KKqV3VOQSZ%@MtZVWK<@)lFT7|FtRTD_*y{2rqgB4~6q-lQPX4ER zFTeL(UG$tkaq~1wt7#+$fHv@=_0ij|42lAp1s5dv$yfnqRGiu-N-B|F5q5(Sp+tNV ztCOVipwg`1uFAQy$2!%vV`qLc=j(&VEB)t=&A0{x^P>^}UGn63-+RNP=k9f~ci?}2 z1g7DjL;nV*@$lcOI#k24rK^PMM0Ws@JaXi`B_Dy(uZ#4D3(PZ#f-d!EMWV2lzplP+ z9(I4o&ui!P^TvCe^02m0uf%ALQ}4~e^R4IbtCra!zM~e6qP50-1NX5$YlxKXOV+#Y zAH3_z2lP+QU%ii*m`X0oM#ATD2aJU-+0B(Tt|Q_g#t7tyBwj!Q(2%?(!ya8%43ko< z>r_(}uzVc!+`*hXo&~0YIF@32Oy`6%?;^TLiI+t*K!_^Dx#(agYb$|mD~wV_Av){? zY#Xs|fyGj1pPgZRqfYmQ43uFg)K};SbVVH`=I{#wNuAw8Hzy z8yo$&^c6fytteH|T6)&IfaK?M-fKoEoh;!dp}W9bPz6Q58*eCBGTv50!Oqkb6u4yj zRAa%D9M5VBIMZ05VX82tIK|R-lm`t0pn%}C)k*2;fEvIAb9}IJ_#Z!?otl+BeXa5u ze)-1r`IUc?9Io71+`6#sZp9vdA1^CbN-(d+%A?A^03-GIKUIu0uz)L;l1gx)TY4-M zJZ*(`x{8%VOTPX_eSEk+;mMl>&S)eX$rL;Re>V7(eG+`(jC*&2iPQsAh^mcQsievu<_EdL6Zbwp`aW2LQWZxXoZB97 zB{+vf5Q}963!uSmAj7Q10?T4CA#B^yX3v3eywmFCFiCf5;xP|ZM znqcDZgB=By=_t66Wdk(@KT}hvq6e4;JPg1m)+{}4hdjQtFd$XngZBpy&04Ue&TrDJ z$`fzj$Y-YIKkTn;{pR%6y{p?Rn~r(8>B%j;LCuXH{=sv5cakl4FCAZ4Tq#{n4!GwE z;L63vLr0X!J0=nMYoe|lIQ0FHLIr;VTr0HR4wpe?2FcMDuYe0|DSm`JVlJiK-g>-> ztTNZq72a0-ICSE-E?gsaO`?;&R^1119$lZb1K8%ke+>QQEcC`RSd0pXq|HnSr-G7(eg?;?W zvWO6>f(s}F_ie%rAa$TJLtdL?_74#vCMp&bMJqj=X~evxvN={$t;_?S-_>OiBQ@o zn%$4=UNuYW(+pB>7is^Iommpjw z$>t54?GC3D3yk6+JUcrn(FsKq<7bn%#XA+s*Xf=_C)LtW{@H1_3*{RWLHG@0At#=! zXd9v|hBDYm&sQjS<6*&y;F8dmkaRk9AtYT3-3mz|7s@pUu}N&>qyn8z;7nKQP#%i? zL=Wu;<-1PW4$8kVSSUu^PdnXm@(a#uPKh~loTr_#GY~w>%RihesD)|rox_R!1!bqUjNT{DAp1J?_{Q6z}{4+#G7V^Sc@WsahOy}YF z7{A5t2-qxv&DqQmw(v};NDg~EZnvVyrl8N3<@bj|!ML6s2!z8ry({c4SV`B&GWyWV z2&U09$Q)?@BVK5S6%Og2>PcPJgCl=sJB^yPz~jmM*zNWD;!IT(6v2BWNhZ(Iy9vrY zVQHDnNz2LPH?nl7g)kpQ!Uoil=OhJ3$+>)2xLUULhfl*VopqG1xp-Msbm4rcm(tV!o)Gm&JTC9MIj~ zzGH9W{BYS18m4~!Ij`&N?354eT7K|Y)_!a4hH1MV{#3bp_38s3IfHS2+1M*>FxS8X zjAJ&xe9G8s?HZU#x%v!yONq*Z%z?&uKZ1}&a~U=+msY-deO)5)+wMeS-F11e<$4P= zE_Jtl(L(c<;w5_kzSQ~p_@YDZLm{c2FI4K)1&&4D`M&zB`s~*{YlGXe?Tgj9*41j0 zb)8imWu57n>8;M57F6x3V%1fz^(j@&@JU%u2W9^Vv+Q&*J|+}$k8zA}X?i%USsZ7j z%8xY7%|DhZS%`&`193O`ChWG680VfNo*^6J4rgY*z1HQ_QgJi@u23M^Qc-j-ZWqy` zr9uax=@80);+YhhS|)d{cyS~jnG=qS-~0_-dLUn})#;T>YZAXiZ0Z#GDFzM*#-zpw z4&D1L@$b5*u zt{J@W@Y49w1$=>AuhyHF^X0NBPb>6P+RGeeTBWC&&yZ)R)#e%YYR43<*7K73ig}&3 z(X&l^%hRQu_xP>hH(b9&CFqjeVUs;0EXh`99$uh%%o`^wdgJ65g`(F@=2fz(SJ6_v z-*=LCghKWd3RzevWLJfXWMAH>(qe@(MN@JVSt(IUzVvc0eVIBUT3QU9WjL`o^N1v# zAu@Paq;IE4%ubQ9MIvD$m9jXN9LXl*XRag@VLhBCwdSIRuLm9_Q}h@V(`+cH$I%ZO zhkG)$NRAuGj7a&>93JsRau)NO{AMCIk$YaMv9)hPSw6`)Yv%zTl>R0S7&gN$Kf^0- z8Fj`t$z=L>4KJc!Gm1Dy@tZtb@mpVf5GW)##^4p1aXGFnG#Xt}#mnnpTYG zL$l1!BxbWI8XG*7$k&F}dF;=$)0FCxDu!`d`ZIe$X=OfOhFNFp)jC$sx3lM^<7^W_ z)>&LR$yzY>INCpiJWq2m{wKhfKow921XF#pfTh4ZYGdp zq=EhRsXgo^;2`u4(M?8eKF=0 zr*x}%Hw%yiOz1DfI=fQ$9W7sEjdJyL@LArnlP#~RTsK40nAjjeU3x@6u^stxd z&ck=Y`BP{5e+->*=HtMgp8!#*BH0URee7&cqU{OHkYj8MUi4tu?$ z_A;3O-jSVd0+W;`HXCh@bIwyc*}K#RW(l+=4dp%7F88yE`1YD zm=3>e0e(j3!T#gO=Hz!?m#Dzl+ZeN$fc`82+?_Z{b7(%+8kOQ<$0Nx)FlIOGdJ9qk zd~}911kX~yw@X+j0GI$B7z^|Q9l%PU66gjBus6fLvfwK?L);Yn05{Yg*brwEoE^Hu zLE+D#m^qwUi}s0_PO_CLL}*Ql7ve0=@?m;yiYekuS_*!zB;NCX(LIS%!xFKl+-1}0 z3?c@>t+b@L!e%t;+t#e%Sz5Ra|lWe?Q)P;X8b!^35$n z0l|b28U;!r0U`t^0wD=Ztim&Sfsl~Umw@6Z#G-sjIu0!k0~Wzz2_RnzEoC~2jeRw)s74vhb!1S|U~OGeg?1oR zh~yw}mQDz?zSd*_sTtn|KL{G<3d@sZ-^#LsyB{W50! zDt$ZcSp4u26ualTugfIrc*;Hd^uyfm>9!rb*WMc3XEzv~?1o?l%kPtby_MhnXk$#B zVPA6N>78CIb=uL!i=%;InCJSlEy~|~iXW;tTBP)A72J&_feYMs`aq@cCie_-J*jtv zIg4w)9;^8s-Hhctk8CI3ku_0!0d$qPATiNc%XOAmRF9>`hs+mb>@ajx@nQ_7Q}@HF zjWJcEv<0T)#o%dVn1%GUhfpeeCEp?X$lfO{K)a+A`ibKhYHnkn6trf)O==CYuvOWG zjIH1ehHDWjL5MbcKl{l0HmfmN=;W@)7PS^?JaZATFIfw|Gnj&Wl~0_;3xPsR=NZ}@ zs>5ccj^Mdy;5%O8xI)o|aIYN2Hp+<5@kA z4MqpdG~dAn^8i*$-Rz8k#vXqEKIWFvehgEjU4M`DbtpDRar_EYnKMyi?4|Af9<9wX z?ls2+zE3;p$MK)?y)N2cQEU|PY%OE?y#2EDQ*-RIrwispq^fZ-C|x~#I_vjw-6nBQ zsjrl;(3iS4>gnlt75&tA%6mCZ`j{IqiaGyD@(o8`nyS}h2ic_m5#x+JEYce6gWB`B zSNoVe@3IpUmE23FGR9|WkCQYr6F(zQvV0fiYh<@mXYQ7X56RPf_ZyV2%Dq6)$Kr00 zY}-iIk$1gkvB+FkYmX(+!#;RLeLrIj(a!Q4&R+RU&G{tr@=Wq=`=CHuoFoL7pi5{W zx)=l4-kalm^*|4vr~T*`PoH>b$B?ep&><`K8@>IY{MvPJGDcb=-PmwL`n3e^?-KH$ zTHiBBacl_fwG;M9$|CIql8ptlLBe_}>z%#z^!ADEKTz$y{iCh$l4}3g-|nyb_cdN! ze-$%h@$8_{9@b#AV2)vb;;$zi#%LkqRHp;DDy};A9LC^nTQ} zyNuzPBaQWA^bth*s`2eGK~QIx==*qIBaP29kt4*c>)o=h#(L+uw?E##`1gnUWtm5j zOWB`t1m!f!NuF%9Z`WU3Ve?ZRdvC0ZFZtNl=kl4jd^V0rthxF-Zzp(m-XXstzx=A_ z(m-1!$s~A^dB_;%k;j>zJb@Ry_%-nPS+d(1e_=bB%kqE76Dm(bk>1)rc=!O_Qc+Ou64)pB=_@DEM}p7kvU~s z$)hEgmHb)dkFHerH;HSxPTAZp8Xl?JPEu zWYWWx73_0fA7CG4Z0bOtdXBl~7D2@}iED&*e@s0;VZSbYD37q-i}IWo(>&kW#K*>o zX*avx+!)z{n3~}-)5n*!dklBU75uTdxx|azEso`15~E||^o`cNIPVcJFKv>YP>5lG=_|9E(k-LSt|8nl{>EJ)djpxm~BP>BqEc62d z-5B~J{Y5(IMHr*(HEdg)^aV1Kb|QoI{e*Gz(!VH6AU!pR4?kYo`3*v!XwSkZ+MrWG|WL?X}z?Irj%TqpsX@&0_nUd6f8az_>CK z-z7U)@4$KoIDdnh0doayipv463fEF+KA^us&H4i7pMk(ZAoLN?>NTKEZ=mh_K>J+` z3&lXkGYk`buT9EtfIGPMT{k*(dl=~673k3b^yGVbanE``23UI-`bGl%*tdUsU;u9_ z11CBR+6SaQ1f;bEhET`!EkMR8AoEOoh93e(a^6w-;1&X7-U6~Y|2XDR<6j1HHaScj z4&;6lxR3Maac%DZCopA?!&KT(A?Gimz0Bathu?&9(8Lo zXJ3K@*WkAanoD_7g4>#_WUHmm5f0xv+ltXxnH4V<+675a5Y@ofK?UqfJC%fe!%MZHc z5FXYm*i$oG$vgEUZdpT5<6XC`qrLHWw`{QeoLe^0)9mP$gDj`IW$I(*q5z999}$!x zj1o!<+eiWCsB#{vQAKLqUKYl&7G+s}!)z~CJuJ3Va(o&~<5he32H!NKQ8NqE_@ojG z8vE3+Etk@nZzx9aKOL!VDMPhpb3`R&KF7?a4z+4jKHpbEqTIU(O4%#Q=VA8TrF0cd zO8I0SWfT=`tLDnI{3|TBMmT>tXRTH9%B&Xa(x=vaYazeT!W1>$LVq=19)Blu?lSc? zVfL4C5x!OQe?*Oxx1|*R>7Qzl~-L=UCSnGTy=DQbu?UCUR`C)uPjNk z#)oUeaXJl=ms!)QD;G#hjg?!)aYILpNKfTYMw*pfS!v~$&z@6TW93I`BGHAB(y_%k z6LRtfXGhD!m3al%swvT66-2|Ok$K^0g;ia4O=YZTWOjK?Z6q2gwaTlkT6Qg*W=#p# zTK%npJZo}US(+8DDzze&HIYZ=uv^;yxiODLF>)}0_nelBB5{}B^LyUs`MrPcx%YgR8XyrBwg(sj#(_Ct9`F)>J#rd2 z53B&6h>Rh%R-S*va6r!ps-Ai}A)3eCX7L-MS^b*n@>dSKoPFGt^|`Vy_PXIc8J8d0 z?Q$@dusAn1CsxxTDMa1`7&!w=*{_J7QlgS;(gVZ>nB=WT3@KRc(i8J5l7~=lu;%D!Y9v}sb1M|QNum$+oyRZTF4u8`L%l#C<*gLQR_BJl- zZCF3M0q+L8fu;SalH8wI6i&RS?x?Z4)7oBlf}w=N{#d!SOLf>^t6E&Wmfp+WA~t~6 zG;9FSfMH+)I0Ja$ZNS?g7VsQ!4X^<(`fQ-j2KuZ6ZvY!)FJJ(}fXv>kU>b*Aujm;y z9b$iAzagzC>hIZa1;4>w6Z|{&Yr(IB!{BxHS|zNKbQAIfeFESBxCi_b*RD&2~nXJnM$_n{N@K4DLGBHl6hJFxxOyfrHdkh}T zyf&{hL%;GPG`Z0)KM#)^{X5@-$Bq823-GwnpFWhmW2`9A(k;5Y)?T)4+qP}*W!tuG z+qP}nwr%6>bH1B*?~nWAy`(cUQ&s8ItWI}2l^SC}{WFX!{o9U??AsT@MGpkp|D(B_ ze~!z*_K2`B=gSmHHc=?nP&Q9-TchS1r-A#s8B4XjUACq|)KjU|oKp5o*&HK(32Gsu zaEaYaD}M>l9HT%bOB1ZAZ-KF-S@J0l8Hvf)TiL#AR=C31tXrZQuF2VKuyj$7BAOU7 zNSHkO?^}YTEc18@`L)JB5X&MeKM&F33=;bddF7l(stG2nSxWWemF8&L%yr!I`a~jI z3RlnKq&;Y@PIL>5yOqacRmcL}5CZu1?{Qgsad^Fm$2D|RjiQFUSS2=YMpeFWyR`9JhHkgEgK5`qL?Twq#9sG+QM zUMpX)ZX-P*?iSW5ZRK`HCJDgFe$7CwzFs z5)nOA+{n)*kQPNw@ID_q6Lk9xEk}67ECzg78_Ro3B7{@0*;fUn?5o9|8D0=XrK-r5 ziT*L9Eu%P2^XOCInfRnG^Q;eQyL2(E??h%WXQl@GvRKF`i{9>`>ItwNdnNfh(7K8C z4~_`?x6`&1I|=v!_T8slA;q}%Dn4EMC|lNgF$`&|BYOvctTt3D_6|5o>t`3>SErDX zNDltfQ8DLOjFH*4RyN`5&-Bl!_)>m!=;+mF0fq(mBQak_{p;{!Os&h1?8sw_?b~HA zV{xjCu=R^hXu^DekR`WM{WdHvH8rB77A^1Q%sUiXE{n_pDrcsJ6ezgni!X;K9Fs!p zJ(t*~j!&pJ37=w}?~4A$pPMDlsjlyDglcv5qTB^JhjkH;#6%7CB(Ss6b|P+C7$X^` z+qptPF=h`W&a1RcL9)>B5oh7V!J3BvKO? zhxe$y2l$jsgabh7Gx`~`R5*ksS4kwHpm?G$@(xwuo$ zzj`F#?57*Q*^FUfInLUsz}gDb!-JDtuqKGb+56YH@3`x|{guv!dnoNQm{hR{qTs!h z8HYU;!|;I=9kr_-t{*_;O?|t}H#z{4VwRQKGz>FFS|$7PXl3A0dcAN?t7+VX(vjRe zZu7pQ{MPaV1W4B>JN&U+)(j zFa%Hv)S>&CJ{-_1^ju7XT8StYQWpdPY6!}g9TY6dp@;y3=9H>05B1sS9MkIVnRhvj(;VCFZ(DdjgERoq%$4iP6>*OH#L%qzi8FKrB;3|7D+5w~ z7JyY(gu#Pl)tDU#KP{3zV|Qik+_V%bDmL(cEfP-8TtK2i+K*gmKuHsTkh8O~yPeXm zL?J&qqde80AWyE&_RPp4+#<*lbSM^NniH*qr?{lzA@33OT1;pKtIX=%{EcW;gM#IsHMgC~Ovw zsWtmUGU+U4_lYI*MbjziG#1a9E%)$8G~(|jdiVJQ5~)-gIgNTo7VG7UCl<@q>fO3~ z)14;w$rbBO*Q>2&&)FUCPdIFDr?;_r!%;b-ENf{*HYS5`sF*-XL)axKnulb3zX&z%!(D2!6gq1 zBo#%4TPa4m(?ws!Y?(pvB#eJuf-*|Bv{5VOibHwgM z5LqdpR`g(y5^*3$_hvy!`{L>a9SP-xqVR;o%v&QQglTX*JUl5 z;)(?RI%0XD>c@C~J_)^M;<|ezpzCOzsPJGANpT=!>5y0uj|>kqczHgdJQ)IiVH%Z9 zb@9C}Vmm3sGA(iZg{@nLWBC{ZG70=OT`fd2JzFc=6uG{4{t|(>#n28VtqD8LaY|q)(63dfX9FbN+4M|Q~T0PB=?xEt1io!PT0!Bh{J(En?CQ$P~nLb z-T3Z1-P9|Jb=%wOSzOv>U5s!>#D>uwtc+zkOQRQm&(Vfclj>Q?7LrZXbrZmr=6BOZ z$#t-G4{??0l|EDps3z7%*5(k6OLa>Pq3Y!-0oBCjs^wA1l+u)5>R6gs>eoj(4Oy)i z%^39~niQoJmXzw0k`&RDkQDyNaf2rGuNZepu?3v@+U3%-)XUWK)Qi+JG)DnU{97d? zcrD%`GLPK4Z!t#_uaf#Fbl%xi4Mn|8GAdW2tzYZ4?aFB3Q#)P=Q)3iX5G=HRq3+_Qkt0Ac_ep*$1 zq?rR|)}Cu!`Nd7pxA9`MMPzF1<{ZUykeU>Z+|dznSSTc}nj)3=khK)qD|4EfVwdK?)K3g%YBtdv4NNdjc$)bC0hjB^y6(XDQwpKkoe5+p?FXVBTjj!rqW zb0X&=sT6)d5=2bK3n(3ut7n|seSj7GC=_7<_wcc2q&@urL8asb zT|upP`IG}{NktXPGD(QVA{hH*6Og_NvgpF@`4Q^_z`tYJZA`BFHCxf0j&L{Q`&*GjZERu=$glfmHFa$xt@Q4i%w(i6uMnd>s|A^Vd8xSD)Bp})*^R7bpk@$<34FtsA z6%=v%74vBFu9p-rNK|wooCM3@BLbY|n;?XsG`}RID(MxlvNhLMZ>)P#UbAkqTqCgw#3Xe{$E42_3+1ClC!NwsM#r={RAUm|8MQu)W3Y)wC;vDUWD(o0 zYK~)lUXj#J;M2`@e4mhY4A{83%_qBbzF~Fv?d#;;^fo);)+a;$BL?>A0ZsRcqs5=W zEo^NM2~mX9K`WFH%V{T1uJ8l2tZ93H!wyeb8C%0Tj`e)K1HWM8)_ znpIy*trr4JUS!2Bs9vGH16xp!D816g^h?H?FGpEubV|XybRFXrYhARoNcjf6K2VMq z3Fi;)RRn*UM0h{Y{q%_d0FP;uLbc?w;;TFC*fQdSli6a1JEa8^{Q@dQb;w++U7oYc zkX$q;-G(Y!m#Pgj)&#Hnn>SG%bW?f;+3-`)Mfh8MAis~*^mL}2#St;0#7nIO?c^DT zX@-U)GLAl;+@&?yS&z#*Uq8q`RwbluRbpa?9%g>hr7tM5t<+;J7pBK3N4}asR z{;|CWaN8?MojG*uF%17d+L%bop;pD#@gE%Hg>7YtNI$Pj|I*HLfP!;oJhaAmnpklu z?~)%J?`%Xf0w)h-hIeovusc26n66K3g@+_BT$LiE6yoyexNc~w5y4Rnx0C*#P`lY@ z{`b=|1p94B?>QnMo{fBG-dcXAA4u^R7EXer<}^ZFWIm)6GZehZ{IPM$qvhFSTzADB zmB1xtl@Q&#){EI1>{S$3;tzu-B+nR}rq#{OYoeFi>&6$Bi%_;y1*14T_FlxZABdZ3 zz~(*j95#P-SQniF7hxR0iWGhwd7?)DgRez6j;Zdlp4i-n~uwH%bIRpwH8XF%bpiV z(afDUDXgqb-?yJ$?yjR$0X&!S=r&Y=wkFhi41 zO-2mz)`@(_*-l~Uq>G8#;<0xwTTc?Y!DkPPxbD)TA{1P;hIDqk-Zb|!7P*0~q>hP2%iLS+{mi`X(^#_ z7I-@$DWS&#SB&IHzyoNgp9NV9?9t zt+bVC>K1lh#hMZGS>@Kp)}y^IKx%&oauMJbUH3&6@+Xb@8zKllN=ojrjQI(3PltWe z`NL7P;liMQ06aLs-8G=N*~6CEgMLY!rRMZ~L^JySE^@<(45+0Gl{lyEOa2_G$# zzN}uv-fatPME!gOagD2#vCdm=Fu3Z^H&qmr2fNCqYukzaTqlysqUW;NSly&y`?{=H zr?;9E#j=XNKgAQ-VBE-HrDQNNyNfUE3FP>P10#NnzD7EBGLzWX@#!UnI8zZ>l|vhT zJYkz!3DYB-vmmrMNhiM~*FV`wOW#syfQ%del4vabyNJedy&+}B?=Jy`T6bs}o=|nw z5kR_|hak>ZmeF~*L@T4#^Z>wk@l?MlYrfAIV+$l((i znTo!Qim_Pbzs`U_8G~ck9zo-Jh2A~G5ZZDk^TXPhKP*WyV$^W>D2&VF%-^+IvuUPGNVF{hX3=!{F42WbM1w_Tj#pF8&%t zwY?|-dk!Kd=jZR6*wWBgT}4esyEWV!V1@R4bp;*pXGWKD8wKhUQ;N{a5UDCv zGw1H9=2pII_LXn7sqN5%#-g4pOQk;dHpMy92*v0XNA8B{rcftagM%8qQ9wrD(Ju@?++k&Yc?ZAQM7rsULK{i!5O?&`|VLuqRZ~h z=H_PSYtQRy>qQ%wcjc-JOk@zbw`B(y!={yHZ_}q6`f5iAieM9AD(~I}lSpnnik~V& z*l>Q&5#9>Kx{9`OWYmF|5UhCo=x;8#kxw$i^@PNbR1q+U!&3=9%o z$oIu@SObo zgP3r;F4&8}k`7O!WP;Jo9aD|z(ww`rCO0K&ClZvo6NjC~3o{?VFfn$51TTscGgMHE z4~nh@HU0H@JqEfM90(F$e^7HrvuX|<^nZKBpxAQ!E4JWryFb1YzkTVpipsaYef|3O z2QGsz6N6u{$ok*A1JQh7Cw(3^)(4O}SaWl`N2~{KD+8ih49o4?*G&GN?Lh7)duv=av)5IeO5 zLM|@CZ!22!Bu5EilQg8v7UQXPN9{IqFxrK2#<#!4V)4Ev;4&c?uY>Jl$n)vVuj6r6k``PMxmW$*rt8&w8>v)Bx0aeR}*RlC?j}R^=+=f^B+d1xmnCaGlx0~^~bTojtNRF$ou}K zRF%4170&8yU1?A$H;Zb0X>mEJqRL`(;cH0B7UhBKx7_e^vT=3Igf)2Bl%}h~dL8#W z#kTb~)Bjsxrk@ckm>4~>aC!C0HuCBHMI^-g)Jx4vjnI{f+UAc1VrtrW#`v3?0jEpp ziEI{}R3!Fxj7&`Q!fLTT<58#d??pGU%!iGzji|K_;!+5(^q5H#u!89z{EWZWBj^Dj z%^VUsR1|odp9RvCbaP|IPECl#w(XKcIbn3l%)EOH;W7{bfeH>qGdv*@rQSawk1->3d|c7maQ_2HmPO1(7b^vNDCB8X4Xjpcp=HK5Qip_M-9AYGKd|1&maVU zL;+-E0@^7^Y(d82^!X>hAW)rEx7OB*^}=G*sz?5Jd{Ic;Cq#^F^7sP=*mxTz_3fcwRczAJ#c( z@%nSFP6WOI5s{8D6lBDURv<9UEPqAzKW;2@#W~QbQXJDmmMo}eA``z6Dskh2IOKF9zI~RbFJ~tx0W4;-m$M)V@%4>~cX>1L$yUZLi(*bUoCQ)m3 z{>goWE=)gu4tGCLEMm1ll~9%V-it=gU%?9$5fU{Rh$m$pqYi(mkE==Lu-Ld-rM_bL z=6ww~wH?VEn*R{~viVGTtvhVHMgC5?#r_sO`RGZyj9z)eezbiReKn*jxZRqA_EZ9# zOt#Wf^_eaFX;MOC^6N*4VvjC?>aqzqp7+SMprugW9Tx%@JuYY)mEXh8Eu3r$8icRc zd^?p!3)s1JGoORnF*!ipj>xpXrBoW&?Gp<*wYqBQgTyOOjzxKl_9Cr)U7t#_VK4(4 z9HKu3hW_YZ&f$n4wSL<|J9C1E=|peubPg24k~((2j1TAlhOlU(D)aQuy8#W@2+W-S zfQK4ScY5*lQiHKVI>qR@9p&APz3pkl@iHCVmp%x3J75nQk*H{D`^O6!m0&_cp;-xJ zF)A!YAZ{tq5x$Ekxk=D*Ku0KQLQp7UQ{0+v(LtX||`3SznLg@$l6CiBeX541O1cb?fsKdUf6M zUG|`+*6=HQsaEZ=$}f~r=QHZL5{gIoEO!d0^S;@B%YBOW*VFn{7QR5OA3uh_cV#}~ zX%zR$UMD~G&D<%{HFuvZNNNj3aMljVElax1EX3%n%rdRi`%6MU4Qu#`+!g&>8f>&l z#4L3;zBSm)N>;LLqH=VvCQ_$F{#H|LW%7pP>YiJB=!ye zIzVsEDK=73z)(95J`oa79lw>R#fBM%QF-9rr5W80ZIoz7ri@&Eguy8(TR%0=E#Kgy zoo*gpcSN827R0>dEA@te8}8$=tEoP$hu=PdFxf?6s!YOGQE->u%nl-VDA$q0q%~k} zr)TQsZwNwA3dfgC^M%#2GkMJsyY6IT2j|XXE#N_NbF_E8E$a&p(EB0!TVsX_ z>$CqS6WtJ6LRoK}M?Ot;UWWkg98#CKwltgSSBss^Ub<-KYDuHqd}rSm`YXJJbgy-ZyqKLSVku~7MA${fS)vz@z8TaTXkdSgfBP{v=~ z!&(Ll8nuDHn&HkG3D8FeMmn-*Lve!pbrcwYYtT}~l4EviLyvC@h$2lms6Vaqn1muR z(cn4ktU7>S+V^FYD${JlsGOi?LH(RO@vMWf_KJ!Z31O7!3w6R_N1>6Vi_+IAqRo725(4FtUZn#gl{5Pv*%V-RRyiG0v|L()equFz8y!|! z^{~ZT4m|Lu8|xccgCW2@K!Vi*YI-r#ES=vfr%M~AY-4)PN#si!vn$qTky7#vdgFt; z6|^u6LSOfCe3;vgrkfu|x3c*eH!^hJ2Roa+cy7k&@BO7dRjt$z; zyp_29U?IVefGuiQF1g@231G*7EkY*q6u3!^x(qkcY_l@sM!V70+^+-vO~>Sum_rB$ zlt&auG^i~RaC>x@6bNuFLj-9D0Yea?oB-M9yl(KQE+I#ywYEzMlsIU%m&2<_P(~)1 z2WL#YqEta2Of*fg)B@b!KvM}IV+)hT`k#(JuWYjZDFu)S^`f7-sKGh@00wg*CnEAY z7=wV5Us;!T$P{qWD%_hKi>luDx92Fcf*UNnH6bI2oA!2|?qA+~J-!{2?MZKKb2&dq z{!_Tb;`BSimeSQq(*5}pYq!}UuF~Ofe^G&uX+2Hvb!DU+m;I!xq%PSJWV0V-CuKJ) zz}Zi!`Ufm_Z=w8#a|{nf)O;j+e{@^PEke6+M^N)j5|Y9-;_tbIy)Dv9`|JJZ_UF6h z5Y!%mEWUSJEcBti16VEr+r7*|KmKc&U-wZRl>buyyiM!4BcNw#q*EfVVAytNz=)7h z+|UA~h&;6&8l3|-um3 z^2C7GM(V-kJ6PbqCjKhYUD}c<*X_@+N(^AGuJUq{dUoG%4$;GY*Up)UyC4qS-FQ$p zS5{k+-SAQx9cqk7gj8g;MNLZRz>7+#QgN&lj74BA!IpfTqJ@Ii0M47tIgO)MK`Iyd zYZ*_^PFKzy!K0ruv%TQQ5?3B<5IE!qV&PU=mcF6;-y>fHj7XCM45%5y)34LVk;mCg zw1UHX%Pkcbo?DBbzf+gFchT>Bh zl%PQL$g*;6MInLW#Q`HmWg?-}QAe3+_z=Uj4CrYig5xmZPhrhK5oQdiX;{gc&0%s^ z;#kA@q@>=b$H$yNa%1wwD84X`5Q0))My;uH#lb15n>GZgs%W9KvW+$A5u332aX#4N zY{0~?hxU%NLq$2fN-x%u2Fcuh(X#d4{nYT|VmN2wCULom8l|I+IdVj^dsb#GfCFca zre*T`&$Z}-^*Q@3WU`kFe(4#zh>CwBGvI2rZA^nD;}Czw<7v3Omj7CBZKU^-akY<@ zRd~Fgi&dpIBz6WiCy%3H4LZDSu1jckeFviDT6-AJmR!Vq)*iNQXsi#cl=e)Z?%`k+ z-YZXU9E)f*enh3@1Vk{;O`abT#^zz>zk4WOJhn~SC5ED-+bjcYlbxi6>T41+MdV+GcL^)3-~Yz6?2w z?7R|GFrLv(x z0ag3t*FZb2*#D)dQeUe@x*ra^ zj~dQUcO(GO3U?q#0z0GyFjGtiXBY1%VRI*McK zikglrH8`ma@aQ5O%M^e58BC#5xM?5P%{z1Alc!JaI5NEe!QVd~7D*&IVXfg;57b=igBPXQl9{vn2UP_TxKmBURet4)k#bi6YPZ@RhgaR4AEN(HJMTlAohr* zjtDkMO!oHjT9i-vwToncMf~?sf@*JCssh#%F2Z6(Cvu7_1Ry938>~K53jw?S)pKcSZJFZ4us0t} zx+_l)F`ZbNuw8b@4CAp#e~DTNun;pMMxt+5H&Ce}mn>`(4JbiPqRwO{T)x>kDRPp0 z!et|EBhivB%Vu}TU?Lav@9T!%sCknS@;<|2NZt9_7#ehjq)}VAn64Pbov9-_g0c|5 z%e7Jc=Kq*LPoj5iui%Y)}Qm%sc zSxR;+!A5K+;*8cm?yalJk64r!FQF7uSiv?&6)}A5p2QmOk@}qy3sTT$F8X}|86v`E z&_K+6G>9JJ2PSm>xP7Pp+^l)xd3l4I`SovCTD+{bGFM%wO9JhxYd`Ce+y7pyuae!= zU2gPz9vqgebaVYmcCLBF@gmz2cGwDkN9`(F+!)@Pd=Uj|4FmbxVcbCTL9R(2L2fek z>}-(R9=1B-ykTB6K8NG2hge5MtE4A>F>tx3#NI`Wirz*}kP7q?JuuB7u+1ZzD#5v>I9&EaY@GZb>59D0@LAw;D#*G)gFs$P| zHw+OiIwPA;$wx-dwRxpO)JUHonl^~o(8^F+pctN|P#Y+IDUD$gtiP$qNDUB?@@*)w zL)cF9MW698+Vv^tvj=QYg6_1ZGAf1WeTSWp+F_c)e__Fq{b5(vbu`9>9?`cfMqU|Q z91vwkWYxG56liZIEo8Z^xlZ}bm)U!i_Fp}B)dA**2%9a$g%6K{M(IqLG!`P1m(7D!EnjZ?#}Dm zcS0=;(%HyDZLzLd*aEYpk@bubaX{k~AUuH3^~ZIeDs7Mbl0`Te8CA16nB=DYhLa8I zsC}QNpACLUKr=e885*=W4>t>yIiO@O-tI;4&!;53jqoNslEC&EsYet9GV$tISztAA zPSQN!xxLqg;QbeiW(S*6Qmi=99j`DJvz`~>a53?;WKe@rJr@rU$Nas_g{zVm&`3b&oL## zN_FMrE4Ekf$@|bKO5dv&I`rPG)u?>OLU;1qQe8@gl-BN3*47Vewvz^r`x4cwg_TrR1}nnQ!cgu>4T5=Q zE6{HcI)`bcU|DCVSs@|n5mzcIsoP{z&=6z=O#-k_{7A$AxdcL0dgg@$hL+G-Z@}Ov zdB+cN;U7}dV925V@I#tV_1rtEvkeMS3>ZH-MzGFi^B{l%>%oPB zA|r%LgLiD%;acCay?Kmtrx}N)6$c7cfbWhj^I-JB^z|?`HJm=Xp*AVgLi+jWK-IYT zBlGUJW!XWhC}S?jw^^C(qwjo)`Jh`R?9>+;jW{$kO$BbpZR-NL2GcPv+kI!_lT5ed2ggn=Lv;|%Arr|H&D9&`0@Hj(5?K!qC^=3%e>Xqi4AE=%%r(FA+Inh@c zlE<0oeffrv!dSy-;F$RD%UB1lEbE08aw%LsX5*Eo~ zRM9W`2TNau`EmCBBQ8<|7t-x@-1W?q$$L;5ooULPdhKzX{pNbzahz?}3SjUVJcQtt z=)M7d0=CX|$9#16v&qw@BBHy9&}lq()gIA)WEk;|yAAb;hdSif4f>#MqCx12rNpll z{1zFb6W~486~Z$!Heov=f5J%fg&y(1y>y3s#8ZKJ%-W)j9$AZ&|Ao0D+9SPlXtMUp z0!_+~1-D$zrwh`K`W`prxhwNn_yTt$c_9OTW}3UzNLm%#f#_V(j}eLstrSk2FOkj> z>Qy1lz@7R9S@E9H<=Q-puKx1^l?i5$GflPL%Ym0^!@)BinpkLT1eb>ahgjC)p+*LSKN8=}w`Z==@GcVxYd zL6z#{ZH^OsY81Neb~w=54*zQ^WbM<1(Qlg{{1)ZKir@}qjL6TOpXrYEBsb{AF{pRI zbd7DDZ;g#!l{Yu}PQwz0LqXV8Op?{k26(uLEo(YF3C z7xhM|Fp_f$86KFXawgKQpa{n|io^wnp0h4;#ne!sh)(AJiIIPI5oX5wGmO z9P@HB#16k60lpkR^m?(Uvb5NHxL%WPLmGWzVzCd|MIEvZ(f6A0roO*PX5{#g?B4)X z)?7WA=3s;5*$~_>V^y(P3$wVi5g519jmSU8?XHV4Uk9JOS9vS?J7R|&E({z?v*nnw zv?o4;y4n`U3pHW4DG%0dJotw)`R178#q_aG7hBxf|iD16DswP_|6p=2xL1ECn^viERTqdeRrYP&YZ@y4vdnc-Nqb?b3N(L7q0( zIY3V{;LU(fH{qj8C^tNjI4s9|-nY4l?G3IItTxlu(T6*Tp)aWufuS9PCLO)g3&Q)B zzHfwEn71%b=u`E_xRj{3by?pKpXyJ9HzTa(0Wi3mTd!w=30Dz7eSKUYauTc-WI+!n6ea{>bE8p~+;S9(SVndqjCX}C5$X+h6E?fT&e`|0*WWr2?b})Y=xQpZ80ZYmu54ea?}szua`=Bx&3c-*}=LKA`3E5l*E~e!}_1+ zq$nQ+Yc!ly%6K8%B4+%FI8%jK&L9u%YPUrLUR=DhkvCWRB~nSW?*n`*=RVe7!kLrj zCP8;-``BxpSntTjRO{&V_E6cnXthSUwf@6`T(d_uO(CP6KhpJWw?FK-t~hQ?G0rAF zfsVR%&i%D$L@(8Sna3iUkqp1GOOYqhZ=4O71dTR)WeH%-CK2bT%xupn5R9PON6 z2ESqF=gNf#cL*_m$O_^?g$q^ThEBzhqB#VaQLSyiJA&`SFj{%!oIKg)i#9+I#qs_B zkL3p{E-Weae!R#wL6kANK$&uwuD8`DT?BGMn5Yc+Z}3~KoR@~nq9wV6(Kn0Kc1zV9 z+L4u(DuLQs15|_lq|Hao7qO<~1w5{j&u$8dq}t3zgEY~SL`#)?2j_OmKbrTA2B-&3 ztq>jyHuv&y%yGHpqe96#*-ZetWytopfF*#SYgNu^5eks>Uf#1yVJMsofR9rC46>)5 zU%R5EG-J->JW_rsLu=@>hrSgrCUe%GMY9l^Vkm1?50F0Gr*eN7{rSnjduV*&$-8R7 zO85(JvvmS1W2p-HMiA@*kF$Wa^vu7(u+?~vtNJT-X{n~m3MZ`ko=b{V@}&mze@n{^ zdIY^9>0O)Eqv5XGbMXorz9&WGaA}4&m(FTmR6d@qJ6cz2(ZH|*^vj;w`{fPkkDyjT zv7ScwjU#wx(Oz^46)53KQbB;FGz`z&Vb0hbU#^-k-ik`k*8Tl%$}7uZusTL<--IT= zRG=mtpdK0%8-<*E`l7P_4Uo`XK`p7Edf0Xenr%4Qj;S60J%C!i?7xs}QiXfByojpf zJE~o{#C)R9NJ%*x?y2Kdc}2YtSze~-sLhvr1&989&}5c+=X-qtRTgbm=6^TK#XkLH zA{U#|2zDP3R0>^()K3(1yHzxMAY=WcP<%TEYbL) zTd5>%i9U?_r;oi=OrpVjEvbxbEUt7hHyM&(yqI9Qj;W|z7$H!s{)2FcuAG4h3MU&S zsS1xDwkhO?X=aED2(-jclwSup$Z6Irtug^fncvMW5sfVBA%%qFVDBL=3zHG~VPaz3 z=?BN-G|qOLX}ja~cztDO+Exn=%qGMOtqsNt+i^R$P2~CE`6ywDEpv!|N;%=YXSnCM zN2Le;fJxTf2SN`_&3)%n<0)a;)${vl(RIHUGI}X9|1<8jgekPg;Dj#JQBicp7*c20 zv8m33cpY19ZCxkloqo$U5>H_5rHVK4>YH$@mz%GI_n9QFhnNQV17-#E7nqfR+_H~w zk3i5YFJ*U>SFjheGf>e&%c4_m(|beKj0?fc1|D*%aMoG4Ll(W0){`=GUna9Ubk({A zTeoFh(5>GDY5z}VbBGr3U(25?l|B#@dI8WaV*$PiAd@bB%09+AKr2A~g-O`NNdTg1 za*jOi!9EZ>6m@_Vz)mejl(zO zDj=-BQ+i&GltJp>WjWl1#qar+`~8;t{uX;NjOgmM716VBf@4=KSiKc^y*zlm6L`H9nmjG=rQdU2v_`wi zP&X%c&^?!G`~(|ka>q;@Ee5CcSyI02JJDThUuqxEp30u_9`qeGJrFjqLmvQ1z03!T zaed^|X_F|8q(l(o7s$ruJy6WG%h6{QjB1e1eWfS-FPzUuX&dkdu0$yLev>R!29M%$ zmoUgR;4V-u*zb<(u1G6r74O__-2ngTw-eeKnGYwR$GF_9zwXnbJIid3Tk0v%6Es2$ zq+3SY6Xsjet**eMgpg7X7tjIefRfLLb&hR;PSHe4-ZinHBe?x~!fY=}H2m{>uSg(G z^@Hu!pP%AQyEDq(+n$l%92JaL!vfhZA#~Z}nFnRPFl$vEaGOQ;|O}enwclTE`FVVc7396dO*?+uL zqo-u_&_S~WE*{CL^lxy1{<-!{U19xV$~nBVrOv|P5XvqOhU0W^3P<#D-npk+g&@2-|@K?;Z4F|7BCqJq1PLHR~xfe8x)-`V>Qnk%~3j^H9D8|mU8ROw4Sv{ zjs-KcrR(eG?6PZrIHm|@c1Bi8zHs|1t!&vV$gvfT#Wxj7B%giZe&^Ah(J{yvY-3dn zipvAo(kNK2s*!g1OO7}aO-t3ty(_D_c1EO%o(_XvYs-}bZ@g~YZN)11yVb*!WKj=r zKaB$u5*O8vD+V*Ob*+p%qUAU4PinblMZ#jd2yADUUHV>6vI@mdtJ1)EDMSX822-EWghO1ialjBEd5Xz3g$xEfR54?JN; zHnz+kU|Omv=jcK$Yj`~cJ%nBiJG2LhRXMM1AI!zuh|FC(hzp*J8>ALGTaBNwTdH+5 z5uP2eL9BA(_U1biR5(#B51HAM#Ls0Q6R1>|my8i*uxJu&%R9SbEfZFgqf{56CQnSB z@eA@NplAx_5(|POK1tAw^CS@iOC0+b6_E6LklRNObu`n|+Xy7Q^J$+#6)u+p(a6Zw zvKPtYy7${@JssG0Giq|i?4EVW+-Up~%cM87GO65UD6YMQ9;TncU-N{*{uoQnCO1DP zHw;YcqBCO2BgBv%+B)sPB6ui?nT2$cn0aviI_a|LPgj=c*tLSm+_S}ya@j6s3Me7p zY@@&0x6*TQrKWo?-K#JEy2QVkHJkO^13>sn7}~hV>NFOLc%6!mJC;iB>^aWL)vmpi zk(ovqzcp;~PA>E$i-UX_)N^BLr?*QvqC+&2z=>yJ630Lzo`QxC1`J6E9Himb`%7Kz zAZoP^_0ZItOI@u+zGr`Mx0cO1LT%{wy|~9oW>FHyAR}UR4B61yOUJEclgywYZgva- z3U%nP3qa`*!~LuhpAX@-PY?%kslqtoFFH{OnYM2CKjQ&{0nljL1^!>5woLyUYAfJq zXl&zz&p=O4PbZ{rCvI$RYUYH`#=uG^Z2Uhi8YVVYI#DZqQ%8J`e;ZpHCqY45H!T_# zR(kyZ`0yDRSlIr11D*eRMfI)Ct=!249L)8tDE`M{Ze`4f&&2Q_gsfQ_k@ zF+L3gBb}0yv9&5b12a9Hvb&w}f204Czk|7*ldS`t`u{o>3j+r)FO0E`k*$FR3=;$M z|1N{5xs{W#!+(zX|FCx*a7{E#-`;!gM8txUa_NZ>g@mFKK|l}%G$aQ^LlTov?FD*q5y$GhX!RUfxxH#>IgevbgY=k=7@y&J4O?>zhUg_JEV_a`LoJbmH%2Wep4n)4p@ zKCt)0i}@$2ZLHjWT=49>cdu5AjaZ&V6^@y+Z~wu}mzxJ>87kf_-RE8P2Z5dMtY0!V zYUZnJ@5+R??Do&NH#gbz6^}HBA2+BnirszWn1&6;E!a?}{s7O;kJv3+jAyq@yOndY z_KwMOx_!MV>0E0!|Ey~jr=gV(JzsXH#)$C;Hp_OT`1^ZKNu4si*z2yzr*~Jq#poqF ztr}YKZD{gIw^*g9Lw4H7Q}-5K^&LGVrgW1t!{$gEM=(aulJS~|hV>isDe%s)8+&JW z6Z6V^+NntmmWP35|HY)vC8!U zUq4j4{&MCzA>4V;=vY?dWXy5p*ms_+Trwe4sbQ&kz`vi5t` zV)U8v1b$ro`NC5(YjLQ(x?b9Rs;lBf@y|v51Ih<<0KdKgr2~%6ti);9OL)of&hQHT z?c1!=T+UhYS!)2ipYNG!wb<6Ig9q$z|XxX{oJFep&_`a$h-aY!^)2zE$S2Gu_ zi|sys@2zx?>HR#uq<*+u@}M^5d7bieqTaUFpLT89aGUbbGQq*23DCFxCB<#(NbgR| zDZ?lNZ#iAXu8_GFA$!U6FrrB4pxO6h!xznTkM6h#s5}Z9ud^h%Ny})k27-vs#ICZlH{e<;~gxJWXMU z(|2R?_<)i1KNgEcL4J{I$X)C+hl9Kk@)!S$lr-M8=EGw$DcCP^oeM$Wukjyp9r?~T zJ%`KXfjj6`@Cmttd`79zI~bkue@jh}lGu}x&)C}_|MU3*loN6tp<{vXNLlQCc%TgO z9sEXGYe}Rgh`w}pr=yCWK?5}tFu`9gg!~2Hf#Uy({$$XlGJ{T;+zm38Mkpv&pCjo( zuwIj(lf!!O3^Ca9tqa!5PAlaxLNglOZKzY5l%#Dq1^sL5HBWE2f`G_xDPA(DYp6vvFhY zoEwm~Vt%oVn%o!1L(b4eIUF7@?EYx`-XX5>ZP)7UJ(fq_o# z*qxnUy7%iHKWyiQL`I#;%REA#OE<4pZ4&oh9@*HU~#GFaKfPty125uMSJ+ zPJN~x*}23*$*YnHn!G6KQX=Rhj@Td60jXVS0 z=B9UXeH6cTK=|W_PS2SGC}Y}>snR0i?voEx+NU-RzHVy0_|*I$@3gizKYyIxWTIkj z-wy5H`1LPQr^q;7d~Lg(AL&#k5c$LESVJ6z#bxjWW)XCe`Wr2`6gJ2dGK0(_5BE07 z01drh10@=cRjNIlvv=)trYIF2&S4xWL#h?SaZ0~b9UPq6F+`r)OD<42w-J?dPZlP} zYvTboN^-nPtrsSHILm-sS zQW7nRMbqeF>5xDmpfi|sCX)(EQ1vNlgDjb<);BRS!T4ali&CSeAbe%fngoM~vorD_ z^N;bp6ms)(v6`3b`-_@x~1znN|xtb(sNFhz^Rn(=E3wwL{7qlm-=y_75{`(T?J& zGT2^4_#;SHDUl8&RLRt!O_Qk#I7XJBGB}GMil?LnZ zw3QIkG7?}+M4)R!R0faAV1_URLJkCacPj=*$YQwDbCtDvJMu~g$OFM`E?P^4EUXo|#Q{MQKIAPBOE_XNA`StM$@TH^ zVN1ZNTMQqDnX?tCJoyb#^=YvBR{ga)-`LYW)^mPjuH06|0t>>GB?Qe`8Bg~$pQNMBNd zzQmDuvIRa~TrSs3fc7O0kLkk~GkkcSJ}jQ61mkT*nm7NXI9P4cj2tSH5d!gq9G(#3 zVw%xdO|(?rO!S%yMQOLZ!nOiG#Q-# zq0D}*|BQ`7RA$!Jj8$l4W|X9D_rv;f?(XVt<-Q3K-%^BG2zPp}TNcpRkb&W*&XAx5 z!^ap9#1sYUlwfdaLyaq8#Rv3D;28@2WcpC0UKy=|0}^4K7U3ZBk*V~+k@-o9pYaLN z$<%tFWMH*CB~Mm1g>rN27$}Q{^&-ez3Dbq#C8e@tq-?M<75+`_2J{;6SA|I;j(|gB z@)=AX3+bcAYnBHXl^Jq1PQ+(JG!UTRvVm1LmW;Diu_m4gYNbIGr~z%L#|omCEL_bm zWL0k~R)}&(q!NfkdXX@+-s&c%6KoL%u3|S-N|884rv8;i5lKOVfsvj4a(SXS&w62% z4o;GRkhrf-lb|J7?cbD~=~Y1+`3hr^#~>{vk{F$Eit+Ij^!aErTNkoOz{mt?G7BHH z`xzZ-6A^kPPa-r5Bm+{mm5zlqqOAsyCNXm#06 z{c$|vL9|gMmWFpQ5u@LKO=dvURbWtn*gH7bM2TMdHKiQjQv@Px=#PmMz4mL;cq3}@ z)Y#=;Gf06|Doqle-gNcX#f1FnM;hJ%e4?1<{Y3!Ts)3mowFpP+UC)}MhWd#ra8 zh6B!65r%`qYX>P7Hy{fl!0fWMdruS)1@$L!k0%TWht>}sV2zU01FX!XgnI}Wln&q{ zc^nCdN8_MF4O5i~A_Kg&Z3u&~t`IP2h+k_Sx)Q_&&(;reG~4090MG!S2>0M~05V7f z44hmE;({-r@!172A$&HC$K?QIm~crD7P#6wBrN!-!uX?L0Tya;3lygkux;aHg%B1T z1Qv)jA(^_caoPx~3l4*3Lr&a^@M);J!4zyE#085(N-JU`SAwu$acB@?12Cx)h6S)9 zh!M)KbK&S#1o1n~UMJQ_R+Auk&c-0qE$GnYe>3gHp|MdjkUyWZz`BA#W3mu!Q8--- zCgO6E(uP0+nt*9F2}Mv_6c`tU+lBzYf~2^>dk6nLE^H<@3z=^Lc4Uzh7d(z_?13;Y zZ01-AI~TcPAB7AUAYy@pwalXtGKIDH92y$I#+)L8&;U`ff~}`0C^lF$4(j=uToi|k zUx1L7)Psfko=5=0v!VUrCP`~t1Lff%-?h_J$77j&_OW6z%P zP+%azEs}*id7#H5YxMH#V}Mz9Ya34(7r5wDVe~%HSUAaGfuFe|=wGnG6p6JN$OkNJ ztXLs~1u`)LW>gV%K{7KU5YW)zRzB4QILSj`9W*733oebzMwXD~*S)YomBV?RMqD6& z!#$V?GR!YZf_QkY(IP>ek&B)%I9LqZkUT-H!34X)tmj!A4j$;B#E1@(nUewrxxi9D zn~71v$be|*3>>Dl2m%8)C~`Plph0m`;(~))Q%6u`;H^GE5j3jy)&=lkM1& zKJbxTOZvd9d4Xb|1zysJpSCB64KUnB*N!=A>=T5CR9t z`7fM4sCi@prr=2h3x;h-nJ6r9Yx0Pj65PB$L4ARXgE*Xz0fr+|WK0t7!6q3lz+8jP zsE;5p0J)8oCkzaB;a;f&;2`w?(QpvTK!Aab%tF70Lj^5}WZNL1f@ZHH1|L7dP8N5E)FCt%u@x&_Ij{ zKm~vXGA?0b7$$+z;IL^-G=ypL{s=+?NVZ^$fw{O{t^}ch*Le;H4b;y7HpK)D5+MWh zIvaIP5E*#M5LX&(8hW?_)?@^cfm3-72Mn-IM~b)@;U4Ic3^J|b!pEfvA_GjO+03~R zMh1RO2tj1v#@mjE46`)>%QML3XcREYRbXqvFW`&`Fox(7Lr0Brp@S3PW0>|dv&c0% zu~Fv)l?Lz=Y{&^K4Y0z{h5Z?)R zqrZfeEr(A-&$+~!jG(q<<4#U1n7Tzon~&57W@zL(6xkLReC#EykYh(-;@JIfP7J`G zPfSo>aBwFM5XS|Rh8`J!H5ox%FnPA*4vh;^`Rus!zX;+2Kb#_r3((z@jb}YJBn*jyg)IJ6r^10J4!l4P+&Cml z;U744VBGkK{QZ-*tKg>43HPhQog53DQv&M*vBe!Ea?Eg>jR{&{aQ>3biY(%|DA=Zi ze^ufBKaJwh(*VKY8>CVfxTpz1SO{!SkS30bf<=fO9ToUPB1xn!_~4L5>oiCIH85~% zlL@=*j(rRu;#HVZpODPGz#ol35Ep!Kc4&bPaKfGaNf;M4tCbvX>HzFrA}1_3I7>hj z7Pu{wgbfL9llK1-7XKf^IeR?OK^c;5J=lIib$2buE*_}UC=%qbPUNZ3M8lLoi4R4k zjMm9?DHL$@A_J`NvMFU+;b4561lG%SO07YuQKJdWjaP#tKB98q*+MWRjE4;}@TE<% z7UYwL4HQ_d@Nm{Sw-Hq=2R`ZzI#?E85q#1__pHc*1QZ4Z`Q+|SmjXhC%pjw%AU?Pn zBodj6f#U(p#k{;U$=yITDLin%7lXnD(Lr#R#)zc*C{+enNB2?546p>2gZ$)le^?!B zh@(IPh(njk3_4|UH!#nL6i0upK1b4nV7(?mCx`W*F6f%PVDJVo@+Fu-Ve)v!I?=t8 z4Zgt!@Cb0n7rleL1E?(>w5t}@8I&josh9y?#B>YX3{Hqf@|@FsG`e_^$kYtw{6=Pl zogCMYQ|0EzuurA0-QRYzo4QC@*A{WL+^UqnR95n&QqYJJ_p(!NDgTFqze3F#)4tyMFrIdy&BxxueQzIFbX!Doy5l);!1*b&`>sjZK6g^(ZXMJEy1cr4aAUK5 zQz{PY^R{adcFVRimbsi#fQi z+|?C#ee3pc(o|ec&7RhMn$v_b-F3tFd2p6$m#+QnzJF&FvrEY%^%641cRJtQscY|E zuM$QV>AFTeR`j7_Vw_05zsk;WAJ#8wH7m2<%j0WKPdi`ZPG$e<652s_+QH1I{okuh zOn5+h`f~7{)zcID6&apZU-h8}T+aXv*<91V_Ly1cR2hDEwHs?m%4ZLDajqNYTs3Dv zVDUN^K0IL^OenroxnCL=x$-cxeb^3tk`bT)F-0KjXI4 z7@1jYWX`IE7c4%sV)%(9ZJB%DMd}q=w8X6YAKLaDSj98C!xz`1dGsxB zmn81Jbmj;A!oU8J`=zd((mF4gy?JD#aN*Fd=Y|z~*Z;(^svFC=={OZ`be45pdGFjk z!Rq8Pm+m#5P<_&&^65qAcT)KHQ;xUi?62Tb^boVua?d@DEZw@es#6PdiIO1F=I7{ zXP58vWXR@WhIUNGo6xHvjRM~vP_3@qsJ2Vm?#xaZlf_?)M6~KyYf;F!>+ZFh`%K>L zDY@2h3#)6>r|lmx<76?})N@%Qht^xSwSDQBy*+m=Rh-J{%3Uojvu=rB!+FPQo7>laPQR6m~lmSvHTiuIklqu{kVsRL)Vsk?BpVq zk85;xPlF-*of2A?fU2y@S?S%VXy2tVO)A8ES{5p%S6buZvb)&Ap4+;qBMOmhjy&YJ^!J@MpRVvO7UBDVtT#W@!i#_8wNSAXO&8NR_bK~ z^{cwW7i}&X>pkoSzh$MJ3r5_SP_|rL1f zCuTf3*?0NDj%m}j+!-*g_=>O9-VERm8_;OVcRKgFqGkL`Rd)CBFVaSb)SJ+{b;O#~ zQF_kd3XSisD|=zg$|J3&jEU@bVOV60``~vkHZ<5Ztf^#Sz_!WL&+q>FeTAChHM@t% zpTW~?%2_$B^O<;$4I8^&zneT_hfA+o8O&9^TVL++t0Lc;*8hCE)Imk9c}Gq}&YT<)Gb&>*WAvE8eFw}wcCq3= zRmb*VB*y63J*&K-`rWU*Wk;{)J>Po`S<-9M;P);QTbyHFs4={J?S!aCXIGu9v)H%l zsWN@Wx9Bu_j!Q}U)~I5em(*^dc+0`>tYt`_HP*B{5B_j zcJ(;{W2U=|NM>;c_NjbfNtp_&Msugst21=O3`$g$w;fpFtm1SvSWMxo-6YGFr(CY#(eR^@h|%B*s?V= zpzBo%uTrHGrC(2+xVVU7vD4@M6;9OXa@5st)4g{aV>Z^CHEW-U&d$zYCBN+<^wee3 zK4wI|yxC+@HvRSxEqB5{x2q^$a(4e{_Zzc-^r_b+ptH1khOr+P;A=QfEIHg~z4h~N37$Q&YB^M}wPgKs`>@!i4<5grAn0m97dTzqqEP@s=&{h1dI#p(_>9(plf6E zXcdVv{^zvDRsqFewH^!(08;FL0GToRIUD=W2jda@WZ(vPV;0>EO9Yt;xiAKJ*)oGj zrq!yHav3sOLB=%hbjvME?U3>Yr9lOw{R8VQ#)agbDueA+4EZW0(t(63nHscdGF1V` z$P!crXAzTvY}<-Plb<6!LZ!5&H;$KV*`n3L*8o|HF2sgJ5-{8lfvycv89XY38Nv_< zIowtZj?lC?$hM%>tD#IZ3S~^nuUS}%;TVX)kPVEe?9_oHmR zPfk_DC^%F$SI(iz7#t2&pb&7Gaux)^ECtHemNpk(iAJ7)s3X7^v>Z(VSTmShhD72m z@D@uvy}cmF6DV9y2_)e`o)Eai$Jknt=3*NFW=xf8naZjRT5XPm$>0l^Yyr#5M*?^Y zAhsu1)WDFiAP$2sHuJVapX(VxU`VY*fG$?a^m>t2r_sa+QOtuk0k4g_pNn1s>y(MG z!bhixM^(92rqjcSrt@&dRGoDvL;3-#D}_p&EFdm}6T^=YP#FRyOl5OeFqO|zu&6SY z0OHB{GKkFq5r$l}mI_%|D{_m2AsaWX|L^lAD`YH9mB&1l~z_e2^+}rjlb_1v_ zL@WJTxPSnmL9LijCP(8vdKmx+(!jv7Vb?5GHd0uKtZ;$!B_-%f9LcYRhw!!{&6|Hx z9IQ6!L=Kh72!VJ)4o?V~)uOSQXsNuJ=ru8hB$*EOj0L^^*NVx=)0QZ2=JGgT9bkos zjc%giWA+wO<;<=oxX-O6zj zBDz+DSqOJ}u3Hw+*pPuxq|T6_1+m8%C2(N^bxO6tmKs;WiVx_QNUYdTrVmx>mC-6V zAQ9GS5e_0BnMx0%HP5TW&-jGsWNJN7GO${nk|!&hLbEJM~&Ak4=^e-qXq`2sE*SY>0$ zI9nBK;+ddU8bpB_(1u`zl(8Us$->p#s#f*3VudJoGcf3nsm27S265E+m>INr`u}of5J)3GRvsrr zD~Wrlz`WaEAeb8n|H0VjO(Mqui69WbiVa&BJKEX-X?O=p5aP4rwMF4n3%TS$STW?{ zKoAimz(Y8!QfZR@6iDC%@)r;`8HdG)g7DSBFmM>jq9Ss2(f`|Dq3pQ&5iRL3p9F8Gi~Ka`kV*HUlTp!lxgkSnz->n7~PdpvCx$2(#kDLMB$@ zO|b`LN&O};o~uV9Z-Q++Y|=Q_<}3Wqp&`Iwlc2Uh7VIKFOjDCPn*BgG#{pD?dw_i} zq}l|9lM6v$;KfSVi12ZTs1n2luJ#TI3vk{sI4k{+f(1AO-zM@%7#8@mbqgUZ(7YSK z=Ke|Qf>TgjG0|wqd_>UDvVFv~{y@jM2 z1EgEC8BqKM2D0X!&|)HUaLX9gw+U_G59=V+@p=V=20pSx*!3)^(!f{1;bIsdtR?jT zsUl553^Eq15fUlqY@)JNM=v);{t+equ|ge z+{0Rz{t1aVQ|;R${LKp}$#7?Vhm zfeDCzk_;Dk-<}{a@Z^MtCM?s+5U$Do7BXM}I7pG0I0$GUz#!466)zZqz`)0~2?L{m zh6EQ6aX3SQj!eLxa1U?{KDpu%kiLr7!!aB01ael zLZEjQBpS5hdmTY!;3e~4BLn9?I~*{; zY8@%!VuX933o}R+&M>ttw&sQ)G74-?@F7@&Is-rAc06d9%?VhgK`u`NA7~;7jRKn! z{NfOT(7@|Fhl2(>Isr}wSm=j9gZ1YOPq;Wofv`QnFEk_w4Lq_P6B=Ok4z{O&Ln2=T z?<^2h8~CL^gt3upR*oPz@FvaSz<~~4QE;Fpg-0T6;M?}U#zp~63Lcw|2Ms=OMEN|R zHxP@GFJKsu1A_yD0>s|I!KSDndg<3oiw(#LzqxuJdiB@D`8+Qa1rUc{pxr7UQKZdg z7s46`H#{MzZ*bHOhbb`O0tPp%KMYg&ITwPOio-N+xx@nNWX6=ebDJ%@n~W#?<$Z3k z{j;C}eSXfTwK`cDJ$%_$CrbebVxtE}S?qiw7R(F2&VEz%@Bl39!%euMaih>i#P zmON`GaH@`ApTbf9$6YFyVRJY|jRc`ESP1^2!mOflefSs8S>&S=sB8u{c6Vq{@c)7$ z7Z%JH{zXuL#ChPb1)hKupdi!m5oZBNWk0y6rrWM%CF2Y~bq9pdizk6=Vhl6LmB}qA^nl zz@b6G{OeQXawW_c{zad{xY(OV4oyL(r7~pJ6ed3t+=5#v|U0m16 z=loIrXS>kBCz&CEoRPujGyWY&IPe^S7f8w+hosH?17`_9(AvC)rPsfw*G`eTrRUu^mp7`A!a(3wT_AhACye*%6LF=1TbR|^y}eStr(ltc=n zfJa6Z7#W4#lpr1k*p!V;9FPbZxgnUq3Yfx&`C|l#zAc>Et9C)@6j11#p zgA9CWldJ`K&S3)uRx3Q5b70ZE-dV>y@#a9HMG|@dPvY1Q?gMxf=cc)7Mp+aVm zQCLg}Tn!S5EX7!sVqRXFqPfX zHuwe`z$3sNU-XW^bO*Gn7S(-sCygBsa$yG;37A;<%KYh4+iIg(eX4UbGFVbgF#cv-Qdk0N>Hgj&H z>jU@H$(lN@>%tb3hA+O=Z`+TzU+#X*-ur!1>c{WLm#^E~Kj`}SZhoGv(?htOYlnr5 zxK=B`X<7d->vN zXX}OKS~9kJPis85s#B5Hb+^4u8nn3nNoeKB;U_h1R6nkLANBEkM(n3HyChSmy9F*v zEP86{kc*86b>3Anuunws&?T#0Rr!9lWV9p4ZyXfT@zpIY zRsN#n+8X*7gJZ@#o?l{yGBry+xDq_bCvs=QSE4?fio1O}CS=%rxi zst;$nP3d?3_@MO6Crj^?T37c)&pWTT(-$Nrc6+gTe4VIwd)_oGQ70RtMr{(N^6(n2hz71+ybo6N2*#4a&R_K@3Kk#uBFOkEX9H=~5cf|5auj|}u z+A_V`pbCDEe3Prri`coROX$aj4cm9jbRFh1id)6G>P%jPp~o9%F=yB4cWip_%CE&< zw7=vsr%Sn8>H9Xdb1ggez6*oiOA+dzgw84@JyQfvlT0Ur7*{R8=8U=WdY8M`?YT4xIwexRpJh)OTFm_9;wckcnzPvW1 z*0_|r)4PwF7It!6=E|_FsVU1-b6$`A`cJVVr}~IXrY}3Hi8^q`Z|l_cnO(SLI2Tvz z$5&|ScE4Qc(qcYtefA8TGc;pn&pu6ex7)HPXs(av?vd|Z=go=fHg3qQdWk1C?BZlK z%2?|Bq0T{{ntjGZcOR!5(5~_Giy{%d^r`pRpY^?cDsXKG#%-ldDShFj@?p5c{DhzXSf9*YqBWgoMNcf@=_|iCb9S}S zPqUBD;|+_dojq$|#f2Ti{WIE@@(@>5^eT}Nv9@;G3w>OdZTjkSk(K#)>GS^A8fJB) zuX(?^ta#$0vgu#T#DtAhKN;S?{D)~3nm1vO4{GUBw88^X@RzYSPe$M4eXP}~^&OXU z8z}vr=TsS6JaA9+mG%#4-9jZVB*PEfuav%_$@a>Or-2s+9%^@M1}AEi=z@&1UX$!n ze09AkybIG6Q9Lh~;k1?cGG^(TC+UlY`$ImayzKL=%Zb=c4OVV% zleFW&j7m$CXBU0Z&stw)?Y?`-+p=#8xFH@N%8YnXVp6rQL&N2h^h26XJNc#CsUtGC zGj*p|yt&wO@{(H<7(I6{UGOTs@seVbD01CcmlfMxqGi`+DJw>Mh>lU3v}SD^&06Cs zW2_PM@q5_#O3>={JNKl2@@{+GM?E=_`wwks(a7lj!x}nOj0}4vSplzU)M4rKmF>Hh ztJ635b=I{Hv3DeUR=G9x76liXDhyAH3d{-LviRDLw{rp}ZA~v7J?o)o>lw>BJQqFO z9C+oRcf_~oy`LMNPHW@;Xl9244fWKGecn&WY*(AILkTuF@>4wnxaLo!K%v>EgO3V&Mc? zgS5IC%(`8-)Kjzw_L|tpxk5^{I{Ri<-Z%bqM8)7boa72iQu;La%*^UM>+s#QGb0U0 z%0k_@f4rCQs?ve}XAi3TSLh+TpZP>_KXCU}=;IPehluCBsoxtow{I^jRi0a?eq4-Z z*YB`KtovH? z<*YScu?o3-+3~bKy;4uyn7(Fk>5Pu26g^iuyCr6DHf3ZNWxDY=aohF|iTRKi#eL5x zo^$i~(9GjYQwEP~SUu2<={Ik2M^@iA%!`|M&6!c+(IywBkF#B zu{3qtYUepF`_3)bJifhDv}a$bxN6n@i4(sT+b4$}M0|nKLI! zqV;dp|D6A#Fpp*)BU%LXi>^nxp!e?4s>bFa>dXgzt=bRCxsu*~$J5KJuYJE)?`rCr z!`XA^j!jJsEj`V>O-@N}=#@8Z;IXNd_x&jSz2BfSC^)G1)a;r*{ipBW(xYtw&FT<=@&UsRZNJ9*5${a&|{C-6&b8&bF4Eybs_ zuN5+XT>RR+U(Xgcz+am)6=~b>#uhn zsc2l)&!twn*l_1J3}7Kx|vw}%khU!z2iP| zcgUS~#!b6hubaMf>-UYj)mr=f`qQ_{@9MLsr$2Pvy)Uv^>+A!)k3GBgu+7DL@F-r= zwwJ?(+>HI)C(G@_-3KrGYd(CW-R^$$^}0D!fA!taR+(3!nqSV3(VV^-Av)S9F|up+ zvg_g#eX~C$)IVMG?b}Jr7w4|Rs;;A@{P0lvg)O@Gy>^DqNPD?2p*u5?zjeUO>6c4# z>9w9tO%~r8*!>uNWQUio8hBYen`aV}(XVO{a{_TrbBzE6)B}(ou=a2vHK4AK8(Ur`7 z@&-QBf<7sJl&Dk0b^isLU4e5f0{4>XVIPg!K=%pm)VZT~D^HzLrjmxxz16_aR;pv^ zVM?{9TCX&J_fhKf263EB2fSe5^r{Wm$U&n6&NLtKi|PI{QxPV_F+0d1x&#>6Swaud zbXF@7FCK6}pch0(FQc^xQz{H``ff%>3<`(C1qJwg#1jW@H;ZNb1>ZS*Hu^Z^nz1xe z_IG}f(#S8u0r^EZgW(33hyL<_YmfY*-yw*?10|8~CMRu20gX#?~=@>U2P zD2vn$`5*fQ)F9rF`yWz1_f_Ny@-%acgB`UtH0C`Rl~ z!TtxLi?kj|BClZ@aGC~(EC!1$FvG8l)Zd6RY&dGsW|lE+G#sl`dpKwB+UHDBg5hl# zN6L_D#c-U`FI5Kzr*;gHr}mNy6kya_&fPpJrX=CfCH%lVw^!#K?QP05#REX`Cid#B zH+Uz4rZ6?_Z!q#s1npp#l~Scj03iXHL8G(J(5k@Cngm3C?9*dSX`pLk^Jo=`GXCeZ z##RBvV4&wU2vY2T09hLFb2j#$4f~;0R5N zKyAxfy&cL2SmBtI-{N8^iQ^##p*ava%d;k2b4{#D+T)?og=jP?Q+TnR1=|#HFqhH- zcnS(llHL`KRSHp7CIVYtQmL?=T5pi4<#0QRC?P?q5VBY?(adNDlgbmwVJaJv@u+-m zv;ef3Tn_PB@@QDWL)qHW=He^S$P*By1o(o+qbUGu22idNiMPO8Eb;XAf*?&-;F2-pD`0Cynu~1!SRkWR%T!in&}wreOa@=TWD8hcJ`%uN0I@y!905bZf;bGm z*v#7weXeH&fzYWEfxB2G)9XcAokkNQM3E2P1k?|AKNr0O)+rNVg^x}X|C?DD-BFDx zRN`d8;4#@SlgFZRVL6-1=5rWSSv1U`g3D|c_#=av3U_)gT1$nHj%K{A$Sn?tE#X7n z0@9;3@sC`LB8`xX`$SgoXD zrA-hG0YvJj)C0qiVs!)4QNeI;+pE|Of+;qE9Dpqo%H(KVUoQgyK^oZVnx)F%5yl@A zkrghGzN7?wi6il33w*q|T&|Y@?MoaU(}yo+`0zY^SUgV&#@mWCZ~jSfu-c>bU+xM`JoV%~OTlsE6#IY1%=E0qw>y`yE zHe_JFNoPpVf(fP=CGcVbb>M&)TWVYhD?Xr8A`^msGJU90uZ&i~0g13qi*OM6$W(gZ z#r&ki&-jGsWNN(@bSkwxB~Mm1g>rN27$}Q{^&;b59Hg423%N^5Wywg{U}Y-&`*sUB ztHLA^2P`ULg1sF)utHaFFkZ7f0O@|lt8pSe8=`?I0+$U;GIq^g$pp31APUrgHUz6- zjRnz5ma^tnwW_z3szx+B^16Y@TqSYUJy;10N);uM+sSL#G01q}v< zb@t2UiQ+u#g;hF_x#i!e_HRng^s1nZe1(?7NF+uNoML=D1)bYQo7uXMMFK`9NTn=% z(C&w=tRdlvC1G(&Fz;+!e@%Q*e~_=2i56YsZ>OnnZDz>#3068_4w)Qm{{iyt*H4Sp z!HA_dQyIVinhqI?S&^Y%^Q5xh7tHUCz{S|Z2tn0MZ^W9FXuMQl6$Du%WpsT9&cz}kGNy6FiRewF z%l-P*&^~2;lwN~K96jnvFKj*!&Y`RZwyxpP3N`6BQmi_oxkBqB11iRQxTG$S=TzE^g!i9?7H!nMYqlqzBgTq>4;ye3>Uun^ zn>(8D@P}}aXPAyzXhL0&oxiS!$=uPT2S(^p50EF+G}JTu#SZValJq{K` zbu{6b^(LC$6>AZP7oB*`95MM#Uo1o{hNfl(lU`9xIT&xQb z6Q1MtJXCndIGt267W1?kG2vmxi;(b`Oo#SZ&=47^gvZ1@4?@C&Fe*aAgZLPkqp3|~ zfCmn6Lb@KQ)FvAnd=ZhJS^p6ep7~jhDLh1k;T}vxd`!$bJG|49FV)GyR3IVwnMe1I zCO*{PG)j+DniDb~I!~nYnP*lB2@hgpGIuoTp)oQtO3&P)M5Jd9tPzwR78i9i>48Zh zY&8V_8Ifefe7xEpXJ%XLdQk zED@PxXP%=YB0F<{=usG$|4y z1LHkJe54sLK;{-DBtG+29)i8kK^M6rLX#qY!acxANMsuDK~TQBpLwW3NPc|GMRGLd z$w8DSkQ@*n;&qx=y*d1N-Mp%gNbfUGvJw)WIk@d;!b2?|(4QvZk!QqUPEk!ncn}Bc zu!N)sF&s7238rODsuP{xBhiSDi>Wh0@-t5u5R#vHIfbM3K6J#6s!lM~M=HyguUMzq zTO}kt4mP*sXwpO7PGIv)n@`Lu-yGiXLHQc6^YS%8Yjy`5QhGeZ@*x3FFlr!;?Gs>v zAfh_+IZx!MeGeTopwdG%CyzA3Gix&f7D*A<8*UDe6bShL`q4DDqBD;^9o}6qZ>Aw4IWrL1XxdXWXX}oO@J7f6o`lpG6w(%$qs@Xc~%XxbzIVzI>$pI1-V;6 z+E}_dZ!GxICRq#eh`z;u=|ETeIVZAMx+E|ygEHpxEg((12KG&aeu_=q^W62lGWc-|qrKA-POF~|oT)i5-K`+-S zwT8S?_R5ibv>KgNb7N~@ewG={SE*6}H^hw+0_%|6bU#hgYs(0&O75rrtLcM5@?fgJ zCRRfQC%jXA5>zU5u^J85f*6k}x0|K$koQHe{$@I1+x)Zun`mlVfkGh=fEYCulE*Nq zY?v2KjplPWR0x9QGCrHhkCq#UqPFCgY9M$5_u5%9z#^=XOrJzpTao8tZ71_BR(rMp zX3JujY$``CU{hJqY(6zwE@M(z0tJ@~N3(bW8A$tVtf3t?xgM1}L*s9ticmJNe>t1# z?==AN?3@rl00YT24Ka{h(<~+xB$GF0)$3%x-tXD80!q)?k1Hh7Gb$szM`bk3xs9&Lr?5(V{+l)ysHq@0 z#yTB6=8Ujl7?>Oem#Tnx3@V$WfT+4|W+ zBDcrgPF8th-j4Ad?69#s4ReXC8raH_Mp_>UZ2W%yWlR2Oo0QIZrI5BMWK}EEb1mEo zmW|pfyYlbD$Cv?HKw~qIA1r}$l2!8{78J|>%%Op(tW~)-ii2qeDXdU}>lICx{0ewS(!1zyuvk5rg?*@)(W(wSK?}v{1pJBXZ;c$+Sa3@lfFKbYTWW zuu5hik)^=f?*G_zC>RphxVVL*nF?5^Oj4?QsYrwee3KHy1Cwv-%n=@76bukJYDdF^ zDj}XcUISjs6GQ@jr1%#JRtsJHWr<*OG^`^J8X#Q9CWr?7faEV4tkB>ki%y{g0z*L_ zIN%g5K{PONL;k;LuttMY--F~raE_EH*Ng*t_1DDcMo;34_fh2F1VSn_(EIECoJyrKZ4UA;XdVei7E-Goxm8nsmuQd>Oe`ji!vVX^^J(b7 z`VJOwz|7=?(ZpfVcuYjOnY>kk-Z&(nagpa^`O6$EG#=>LF(ja)H-!nY*%Wc$AL5aN z!^{wgnq^8*LxE)?C^gU2T!b-&Q;-B9g`+MQqU@biZg!1K?}w&kk*N*V`Myj?f!a;F zV2>xtDzE%uMuLAk1DL>)+6znS12TXi3BRl}fUy{sVqRXFH3>R7 zI1t;Nj<#kn$YBN}UxFDFCJ)I~2I@rjPB!=k8;}iN?sQ-D4)P8`;4=hDL8ekZ8npow z2nk_;*Ws8hg4ApBVA#-&4wA0XL*QgXBpqDRf{YzX^r=!YQW|{_T`GpaXOy)F{Frl{ zfzt}&MIyZc{SF8z-vXeA_D3wP>SJIn9b697t_5p*+9${Ia{XFTLuF zg>RnQ=n?dw#j&H0L&7?55->MT7&bg-h)alYxpS2*2_^U8YhojP2^AO5u1#?v~VtkTcd4;uhof6%}E)XH1L*>ig5OxV3)>)G_9 z6*BwOi+=K@#=)xlzx6&Ox$QfCLFlh&*)#Y?@w3OJx;6ukr=`ZX&AwcJ_lP}<&pr_O zm)|qCT}11!xK~vcuIar$=+L%dV?K8AIucv9)+&SRqCufcMAt?vTGMJ(jY&;w)~Fsl zKJ0z7l4JJ_k!`HMcAkn69Y1z_or+l*Phj@L4W89+jNHLqp?Y$+UD-NAN>^lyo6U3U ztLXo1>6?gg7nQ1$6v%m*e`vHzTTQbG^gWFmE^pnE682&z{pRDBnl<;lUd~AyxtKpo zCg~i}yR|ar<;rU9+Gd-d-Ia`F7!SpW>Ib^=fUbayoANxyZGr+V0uldSS$1UfQ60UN@Kc>}ugY z>f+;49r_--ws=NR+naD)rTUl3&1psH2v=)fEaq|qG;0HeJMsPI8^c~dTglm}TFdXf z>_aUXpVMWMe2#yc-K?hTkAXJ>5#7F#C(aE6q6Fe(@hzbbw4~Ys%5v9I~zQkv76&^b*0~wEt3YEU-oK& z>p0(gojcWjb>(uCu$Wi+yKQ@Yxv_?RIIMXG{v8z(?}q2DC#wK2NM>d+O--B#UNK4-|{+nq!EjG8%Sd!I>A zFRwLEKk;+cUVPiK-`S(b`%U@s^h?(kW!iW){`#=ikXz&XEz4RQn@}#yx7XV1~o)%q{CH;neUwlGbdrK=^~`8X^4T+E_No8G@!y`}iug^M;y+sTKt4%oZ7 z`HYE`7qMIPy*D)6EqF@N>rEziU3=i4weiglyDZrCXcGLgQ_}{^+=eczG$}56)owR! zS;5EghgNi{=zN6Jbfj?em19%ALRNKidm&?#ueYMroih`c-{9|TN%=Uaez#uFx;#5N zC~a)>R}HV%D!!w&x5}%*sg=gH~7xie_$KZSIv`dW-qVlt1 z6iue;s;s)(l_qIgO;b`btaQWbOIx&XxhHLT@JcP2Q_i9G{)^LA$l{-FnQ^}9iuQxt zuFWs;YQWy`%#@7bffoiR`LW~gN3?n}tV81gqb5~57+u;ev+}{{zEdg<5XYUo6_MK2 zy-LLWmQRZ%M^Zb~7`Wk+d)=}XbGD_8ms=Ng<7LaL=vIyT|({ARkAjGcXC=Fm?K8WexiuH&jnO|rQq zhhLa?$SZhJixJYtbu&Dpi?f&JSp6M6{&9Bik;34&_X4{21F6~?OnpSDSmZTCd`fkXqbbn{X z32jfFxi&?+Ys2%FiI$FlZQWd*RCi#=IhAH zjo&u9Kj2O_@9oo%84)u&#}@Y#Xc>LlKQbg%FHxoCv|;1oO73YsYwovdRh_SS?;DdG zcWBqnh#szW-}pC~-lF{Y#0V~}bomB~s@=bLNE-BfU&;XWtG7M-Tsrdb-iSl-H?Fp> z5P3Tx_B!=u^4Ge&>n|Ax$DdIRh(7+IQq+O71D<^DF>2Lor#)RI{3aKEeCYM@>4SCK z`snLT3_ErFFlX_nk0tX18& znvXyB4*34z^!07(IyXkwrk`4sIihivJ(=W+Bl+ec*nvHT@+jqseqw~tceP}Z$ zyYMQVE|oCoN$;hSRTFPKecKswSDmk?P}oKbW=g#+cs9 zN++h(z1B9f?fPL~+oTNIs1ZLcU+Rp(IuXU@|_#cTErOU4N zHRWNe)1@n;-<9^r@*dTrb;FH@>n}GIzi_f%)``e|-N&{Oo#@l~eUr2fPk2$`OO_q$ z+0~ok+VOa+34YK9m!}_|Ic=ITFYY`g=W5EPB4?%)@9|@8jpVpG!>Ut#ntk`XdL@MM z#H&HCq)nN7uk!2nuIu|eB=SJT7R6Wr^bKNoa@Os6~ ziJp~ih;xcXA+t|lXy&(b+XmXCX)_np6# zx%B_od&}s$b}U=i7*ov57&9|7GdpHxX2(o1Gcz-D%p9{5JEoYKnK8+C?BsT(;db4s zuJ^t7qlbsb(cW9qT3TAtK4(rXNxj|oDWpc{(os=)$_`W-XJ94oE3zJX4VSSZt;<-A zPp6B&-*gOGZ!87fhS7@EXDUA()J*vXFynhQUtaWm3v{~05}LGf>7sgjQybnGH8EaP zRK%7>8*DN)2>Fejz9$l&3Qeb{+5uzqYf0;nuthEe#p6nMBjOfjm9oejV`nqz5O>(N z4Om$mi#tk^FAE4dtynR=gwk^5joxAK@DkBZL`HeDwJ?s;4jnb@A5qX1paR-tAxxK^ zlExH0u(?NP*Z!pXfgt%xf#v9d0C59uYgb1|UTBwAS4(K6%}Oq%x%d+6j}C7zEa%8Dq8IonNBzMq;&?lngrjKEpEhPT`+XyLQwi7cjI1-m{MOy zfQzTmDFnYKyk;q~N>v$!FyIOsTSY2b+Ju_lo-990^z^T3VKy;wUC0RLi@6&|(PXbB zXW$cxn<||zfpgwQ=>eL`5Ek5~dVRc9OUx;7TCgQ%1_dVvnWud>UL;c|CZg!~Husu| z+IM7*xak!anEGOqau%+7ZxF}v55KsP1$OJ~?du?Ui-J1V$Y#BOYyysQLhrp}w@+@j z?hu$N!W&G-UWGG_w<{>k5T~7^>cma+rDLo2@P~Y#V7Tt4@b(K7(LtX&bwjb;$5E;7 zquX*$DpHdrxC2$T^svBD4Pwypc}9S`m9I;M!1HF4j7?J1Yv@fX!h;G zw2M1)o5Qvr%=yg|&D^O|AVhIpXI_&OydEJeBSZRXjI2kY1VdDj;9$aD%rIJxalC5v zYU^|k|M8xz8>nemc;df0qnzpa7yYlp$A!sd1l|J9CugN65LP14B7Z9*twlkgDX*=| zz(UW$M9;`fYi?s?#3|b=K06-v&Xbo+x z>5Odv2Y3PYFa(_6Y2)-;lGe=0+KS?LQB3~=QFbrK$2&RFS(_UI;0%CB2U{yE!1m*k*vi(<+StbF-?j1?82Y=a{DoHjC1KAH-2ZRFenSEOy#Jn!{Nn$P z&USXT4ok+0IU!oNzVkx^GxwP z=Vb(xWqQv4{0wmK`Ps|8=lrZcub<2Pk&g)=@1;E8+4H@Za?jikmmMZM=Lp8ua!eXjOdt>>zqub-<1Bwo~b zz7KfLBEU;0>uhac>|kpm57<@Ok${2jKcD6O7wyITTg+$q z%QKM9$oBjKQv`tO3F#D+1Dh zs_B0fdv1*FGonvGPp7PJ;7F%Nr)&o3T>?hNpJPlJa1ha-jv{41Slo-L{`RK*XdCqO5t1W-%~@b^-SpzZS)2RbzZdVoqlFEpQR0pK>o>0|*G zH+0f`4rM0*>;@|sgSQ-CeN)CptLtMxr54>k3~mXb9=pt~VVIU@RM6l>%+ zwtGqux14mO>WO$vU?=RXRADevs#JWVR=*1&^=8EfS(03Wb?t{J_?4r;ksV=jdl3pChSG zGkVB=m?&fi*JVTcc(|R8ER=Rt^L|1rPeps8Yr8ex>5yjN5Mp?oek4FO-&8~qkigaw zpM~n{Y|Zf$-}qLX8utlfk}I`lT%tIWVBZr-_eX|T$~RRIhng`mO2Q-vvb)eP0-nL^ z(RYs`M`jvQi~;+2O`z#Iy;sSY-q;jS<#z2e{{BfLYnE}dyVkZJcjv!bsAi6;YgAe| zxZfa`-{#SFh7)+%NnEvKAC~0Pv&XmB_EN}Q5nB?Y}+ZFv?2>JRqf3Uhtj& zf_y{(TQ{&hJv_V%T;_X!m^?Omv$U9Xx13=JR-?YQ^@(2GZ1JxMYf05C4O%F7nedgC zX09rYIL@N5?+Z~2u4bYx!@jzMr0Me**mvM|j=Vq6oLwQcwgQc4eAT{gZw{YVqYUiG zfzQc~1%&9K>IT}`*?i z!rCN!apWX^44Gp}b3YT2m3o{-QHpwYq}NZ@DWJOXT5H4`HH*hf^ZHI=m&rzs?xK9@ zDc=(2b6aavoDqS17($6T&ICD^yE`Mh+t+z5hdpD`+mr3E&G6D$@QqK7sNeLVGu&3>1Mg6gSPq+zV|M#S0`R)Hg$(2>ZoVJB`^~mc&?S?lZK46286c) zelCLYuS@gfHQ>Wp7l1EMEG#$8R^du(tPQ1ssT`PrB{Y@AxT}6^qv}z(8mP!~{%)0* zPjkr6TdlukgD&!lU7Esx0C;vt-O)Nt!$<}U0WbOOfR;7yXu&0)3mlp6+unWf?8vmQ zsF9nY&E)v=@TR<&ZJ0uF%5@V2XiQmcyqm^oeY-1l6JHODINzqHIp|nj)4i$Tdn4{9 z*Z4LEW1GLq7{07XSYH&7!t`p^0rW}GUh65jb*HR3A zpf2v~E@sx$fz(x8>Bu`33ijqxzzPj7a0qL4K=i;Ws!==yEyMpalq|M0w+75Q|IFVw$7! zh#xP;)us!L z7e)ZSuNZphF#q5#o7*;z0rpNDz9h*?>?n=TsW^>KM@DdNogX%_LH~aFX-3_YQ5l{~ ziZSed|8kig27h`la^Ykg0b;ixsxjs!>MQ2w?WuUfYP1rX)8+=*)(fN4gUqkvQ?GAx zw~m|Qx-Js@8{qomwY}!ADcal_l-;YHcYFgb^jNIekKAJd1dNzC?yfsvZR|ueKIn9l zLYbS4fYXY8;lvh~Ql9nCj}7y%LqQT4WAT@b>(7|wp?!ken9Z;j3Pq7qeP`allz6Tp zF6^3^x3ay@Br231!N&sD#4;|~mf{jmd3RG=Q_7dMkNcfOMv3Sy7A!51b-{|wfpQjh zuoUIUU=X;|_ddb^Dmj?*<8Qmc4?rCzbwIK!#<7OY zO?=!>ikp5t9tvxNWVELul$6+Gw+mb7;;p@O?I|P}<*|~z#u?X)d5v3HCgY%K3kB%$ zq{wLU#Mq>ds%VafBki2V*dUN8U)xXG?s=OT>mLbIR?A0pCmeQb48S``L z`5WzY&8R4}K7s8wSv=yLGAmCulp|OP0w7XK8`AgkHaaLs8Ow)dK6ha&-=Lc%pFC?V zcJ>O?a`GVeWf2vzj_J^M^~*OhCQfF%o%rX7O5E_36lTUD&4@AG(?1Ea#rN1V&Q^W1 z=|subTc$FYbtH}3iJ%sJ(`=zI?-s)OI>jEPY%-+X_4a~xa3SZg_M6)Y@@#YLKpt{@ zfNTGLO38VjNlg}5DsTnMD{_b|I_fDDLrC+F82FvwhO*zY?<^K0u{rWiAHuv*{9e!8 z!suaO92#)dHne>q9}e*ArpK|JU2#Th3-$l@kWqHB6XS@uhpFft6SQU5T2?NX$tfl@ z2Kdf^gCZ)J+1R$N?oe-$pdhV%J(*6bgy9qCW5MmS;tjLlpkQF{2E9EaP~p5(p?KFl zKyp|Or4gt)WBuBBMnu~s4^I7YTI}l}ScobNjxcC^EH)TZn3twGib(3_NhfjE8!j$9 z`;Q+!v118ZSlfld^9EXp$o=3fw314cL>isJ^}>NI3kfhdd(X*~3v4&Wy=q@l{2^J) z5SB|gpB6#Icq4EZ66n$XEmb*XL|QvJVrMp_`Q|HJX=6ZpsU2 zNj3+OOUK&w(#ul=yn%Nl##bd`O6(FHtK&OrEV*y$VPiK$yhuiPlMcz^jd`s0y@WnX zLKuP1)9HO{^QEJt6}{1w&bL;L zWU?E0r7h}GNJhoJY%Y21?br`FuEdI7CuO*L)W$fCo_C@;4V3aGS&iTrJ)db) zE5j;fk`ln0j-~pCx5lb?da2)REcW1iE++YbNK+_%C^4a7Mr3@LvV;>1)};PR73Nhn{SFIXU8yOW@}0;1GlsbA8df;e4GDuPNo`ig``f7NQLs^3CWJ-w z)%zfaY$spX5qv!Cwn=PaX`;$}Y1w;c%LCn<;+)^Y zruVHC4OI;@;tYzn&T)ALf1vRqt7WT8f16l+0AmPHn17SP93s&!mr;nQ_661IWH%mP z{mpkfL2xy(?VN>#<4{Kfq^>C%v;GFzV#`3SgyozsjCpUNlF0Z+XR^L)Zi@3#KaQ_S zAfX=Llr;~BFW{`IXx`UJgyDWEJFlYCs!kW#Qlqma>(3gfcFsEh4$$`vNHOWfGqAvy zl4c5ls-WRKJGbsA2jb@Bd`cSRFWRGhKfd=E%O{f_uNHO{-l5GaVJXFG%uw1~-Z*20 zExiW`c0*g(12^8!6}S)cCI1j}ig{+%a_h*J*qM1~Cz& zEQ5~QbSY;d9dz91edC14XOa+Nne23v-+Wpo3 zpG4W-eL2}?ToZ3aes7EEm?u8NPHm#GTYGyVTuY*#TBAg#`Ef8*lgv@tPU4p3oUdQ| zN0t@dx|dt(YHzXfp@`83PZ5~Nc<#ajAA47CCNZe)T`99oJmgDwMolujS6 zw+WcScj;z7Uuu6tyhJbRgOyR!6xx|je9jre#Q@#Pgx?ls$C^C-jFp6?n=-W+EGNQuG zPN#=h!&JhWdX^JEB>gXxBuJw}qzj-V0g(iD4y2;@OXkf)y;V55M_==VRa>D@n7AXF z4LfBqX33K`ZH~PQw9;wO@pCZkQTE=r`npqL4RNA3(x@e=QonoKZIcnfJgJ@(s|wd2 z)sK0Mz=iy3wg#KS4#ytHVukVT=ZWs4i5dXkm$`1I1a-3H6gKJc8L$97KtZCjIOS(KL)yDYjeYUb4=tOc`G?ckspaL$u_UlV%HOg!L4&Cu0TF+?zDov=Qk?nkmdsYRk7c`oxhtQ}@|N9l~j zL)+;K!Ba{F8jw)noHqG`cTD1D9KX1dL`D5KO)?t%FRh%HCOZ&Vd7`I-{)tjFsD*QoD^xo zyfSNKhvoGK@pIb>AZn1!&-dgzb@niw3p+!IpwAK1T zV|PKcNI9llI1)7Z92vSSgJ>tSChyY$$C^cB7IqbT1J4^3`S+B$1%yN{rDj3IVXiZ8 zrLH}-=^t@{sPcw~3vZ+NVpuXuXGOmR@x~9zQ7+uP|k}d#O9By(wW%McO=7;x(TySTNc-EUAAY;kH@gepy zv7XlttqO5lKi+gDRtkOTkejYAG5LLphAFKRG16(i*FpQX2t29Cu~r9U>Z1( zg$~D-NnVNj?Qz`mt%s^z)#ZHncC+YKaBn@f|6!auOKhR|mOBJf%ngqvde=|+%O(%} zMB&GPnhmV#AAwzb;qmp!u9BWl$NlrO&E&pOvl@P}V0J*Cp*Ffr7=ed;sWW2}k)uIG zf!E-_N{Wv6^$7(O`fl7T)1C7A+<)!-apA~gH70b9=tC!v2QMhGC2;=cW3s{Z&e4G> z1#KsR5(u*$9#kDmLS$1UoUmz4^d1u1U0gs&IQ)-qbC2*{Py*k)U8;U4VDU5Vv}xt8 z;hZgNuB9roau?%QsxtoAIXYQA^?rAAWOe`j_DB0;`@>n+YPPnvjTHWr!?_Euufr^?;)5+ZX-|GV?FdWPfm3 z`ZoGjwx)kDi~wHK*zG@ZdcSdFzsQZBYnT58Uts}1d5&My#!sH(7wPen=lDfC0C-nP^$r{t83# z8y5{w<7X%S6KVj0Q<$0A0CE`spNs@dfFsx$nE`sybI`JIF#QFl=EaV`s2TwPS@mqW ze}XUiWe-414J|tpGk{zJ#Jm8)$e0*dX<1qSiW~N=B2)Yu5;szK z`bLq6xbvu5b={=lu2siY%_J*KDOd^0bQ0kK&fCk(#q%7;iLNaxcGIPA#+T~_j%Uza z56q`HuU};VV|yN*1%@ZU;=N5X6BCoGOo=632f90`n(8>giyS=anmXUuQQ|d)&;OWh zmGt@aa^0V%=;(H3Z53Bm&y3bC1@Fcfs{60~aOqE9m->WXS>&G0VH*a{630bh0Tv|Uhm8o+|MGQ{}5F%^8 zo$fe;#vpakz1YG7Iy8YI zM_F6anB?LrTYNz#>)w>cOfO!#xFi)vIDY#y;XRKN)`;>EjCgmMOD&MP$=((TVCnBI zvYJ9YNqi6`@Y z`NB|bRHCG-a45CFT^WdK_x*A#8~mc|Y}K)OpA`Bxy}SmE$Al(R`4SbR_hHqHz+rrI zKfd;ZtB3bV?~T8$K+=LAQMny=uveZjS43|a|9PfDJA$;FHz(WwX_=5* zyQdwk3bNxPay~XrDy?Z>yOYSN1Nf1W#zKCTaz~6_I8o)jpN?IQq>DHq+r!kb0kgVn z@?{=1txb^6dgn*lBKZ4=%!r-KNiR3&dJGvd;}*Bkkyq~iB#^3hj&=Jn9fdEmydW*@*^+f_Xj;A1Id9I_wv-(gp!a^9P zhp5aBj@wREbD*L98--NURU~t5fGxZ#@Oe1P5(Xqlj9M9HsK{v)6+riSPe@{VlybvX zg>HVp&qM-)l*CtJbDH}W_TLtf8TXIN#CZv4dEx~XiN1R3D=== zvwS=!m@G>Hv78H-Z$wMrMfI)ybTko^IQYIRshL)w?jnwC-31OkI zct5B(M>AWNz%C~>t$19WN(yA`pq;*TBX_5gJ0r^W60?xODRHp=-E!tO{@_$_O&N#S zNAy*&rd*|UD21ypJWdwd7-*1pAOh*7OlzP4)n-PkaTVFj@|?T}}-d zwWHtP1#?b;9^$mOg58*}+{44fE!|`oPe-E7uw&6|sQ020)n$rs%UG!v+BB35 zoR^4@>uw0we3e=pt#)L7N3BHthDy_2dRlY{GWrlF5^eq1L}}@AahreBAg4w+jiy(C zDsLo5TtnvLroCrM0qN88}zjj>Aj?H7qB-g4OkJuAPya}iEi>!2 zw1HrAFS&l7f7bBU_L$=O!AUc&Nj(}n!I125bsPWus{5Git!}sweSU=4?cR59Zmd?N zuuM60jsRwl>37_OYYeCM@ySj!ILYW>+OKRL`SK(2f9#*Zv3bti%DL)K6#D6_k!nHs z=@_kBKwiWIhS&42(p|GJ*y%UBF6c7aIW&9UorQHcU4+CFaFe?ZTm>ke`R{W*;L4%3 zHt!iAQr;Euv_KPuB)mO~LyEE1qMy-(PY^Finl=i|c1Y;ZU8+?ZXIKLR)><7)LH(kl%Q*um+a-JdSHSWm$ zYW4kTIhSEbMO+mg(EB-G4RC&cSH%*dZ;LKQr8OVa>EiN=zJVXug`G%jpYH}Do=mV% zz^l=OpR1HFbh1i?-UOR-nlG;4rd zHQa8BL_GMQI@tfq@d{WOFrx-%FAi~J@HTheM<;ziLBz(hmz=@C8t)-AsGOiV7O^24wr<6S6RCtJA7@yKanM->Z23&QX*9S-}qJ{AM z=#n2fghhtzuPPMco4DG)h`*{P$SVp6N}*lDAPQqH38A#iLo1S8{%QvBV3mc7hIqvX zn!NJ{Y)Gxk8>b(ZnJ!2?3t#bk7oa@`%*hBZ8T-tGv2|`{;M;Q2M#pD1?@#QGjpMj5 zS9c}34?eN+&KL=Zokq3exc}J9wCc6^V0hg5HL#JYY#<==iT67%JhOf`ogFMh8hu@X zCFjK6Y#G;MC85+@X1IFHEvO)BdfTEXb`7Po#4M!hrcb9-jX|5MP%Tsih-9m20IJ}w zYdlUJ_4-mc+!(@z=w0H-rmSE$b}RYzx`l=!HoOuN>tI;=W6T8!a@jVp#PmQ}2u$6l zNvCdK28ps}Gjl}6!wSh|Glj_fNutP@8JZ7zVwEjXp$d_Pa|$qwG6}vI(Uv%ocrfoz zQeC*}^ONfS$h?L@OsJ`mKr!~V{M#Je7NW5{hO*M6)2wJ>nqf#uU=C4{3yu(2LqJ%H zf!*s6UPHoxa7egnB9JNpk=Nb0LSWzh!`Sc(JMdc)Cu+XfA~aMUW(NBKHOvX!;G#?k#yvb~Z~uu$cLG2)mVeoZ`< zaXj;=)z=h?>jW1F?e*N_Jd;uKAs`Pg9HJpm*y;qoGT{^=Z(&CG4|Ccqfo5@Ntg)<8 z0TK-rZ6x5xYyE!CG*H|E<@0NPp(KsDz`D-5a%4X?J1pF>Kltr+@c#e>%m!8!U*nAD z`4I?YGSI?p6s&HNw9G>5LM8L46rC?lAA|7gG6Aa{fN1ht%LcWGn9hJnG zhb*GBjT9|UJGA~vZsIfTZ`t!5#bEG&%{!xF=^V~Rfn^VDC!0Qw)IntYPlJ0sPJ))X=07re&cX%rUFb~8 z*Xlf~3R#If_Yjjl@$tQpaIc>&JCR9we9t#pWc#$JW@FSgnZkBS4C`|)2Y$K?2YCty zyk2P*`9t+0NN|%E3l*0N=YavJ^%LpGJ(xdUpLu;ORH|25pW8=gPR7$1qAM z%e5eo;tNtt@WG&h2F+`M{#Mq2D6AeCnxXY#{94G)Nwp5-_Z4RES+*}VSlcwh7){D| zGr#ZG{T8M);H?ZxDfV zUXmw`r8&r}T5U%iI0SR*FK^QOjIut#x$C7Bo_~R^fM;IpM+a#o$apuSc~Qz_Zb>-A zR^|#p!&ui;&5p_l#nQd#ANJ8Xu!H|;dch9z10(ez3;3~K01L<+tabrP>)zJFr|ddN zd2gNNN*lR#hgIHcypy_p_AW?EIcdk7N!QJ^E_s^P+Qtpsn(L`kKUIn~?PHhlWAE;X zb)of;0oBKhfHM26HQU`yBoP0=iMp$nVu({tg&yQGWz+8-cY~Y)Ur5@Zx(=Cx8>t4Z z=P_-daF8Io9flbOsoQa&$FiE8r}(i>#aW5GM(@HwFHHq5zH-cc*E^+JCHGdFDRT1C zAEnMR9?egmV*WVf`HnUiSgMshzF~A}zD7cd0AIr}@_UZ;sldRirGzexw?{k`(}xxh z9~{%P>WfPhM~*n>vA!|4o&`22@LzQSK}+I+4r4yz_sHiJBg$s_No-D*m~$P^a?41YAa99agTZD7gla z_VN@}V~8Ygy}>A#4grH`GuW1J?BUAWu8xm-2qgT+a!Siw=Nqgi{jVxZnvNcmV2iSD z$~GPQ^G}m1Sw~mQ)V4%2a2hNh1UTP{;5Y1D$R7$xW-Yy1nJf(+Q)j1;lU+NAGYvIO z_~v~Iis4w(u+T4`=(qpfe;n4k>xtgjgB1ta^zrzjGqr|m`?Q(RNk4fWgc(L<1M*uu7T|HuoHVRg29VyWR^*G=2zGm}yW@cMP-(ANC^jvqj z`s5TbZtLM8?VG3TsB9I(ycH&K6`2X~;m`q0Q5F>X-D4xZ!U5Ob;CgE7*%NU$kRjWQ z_mY(b&P|zYNg=Y$Rg|SWK^Lm+ z2IjZGlozb=?@IcEp81nVFnv2a8bFwdu_N72#>U#kj?UbOj)9$n;Wtq)XyRWI^^dfQ zo`H$uKeXuuFZ{cL{*Lvm^lglE?Er@v89UfG(s9t!&@(gsCqci#ga6WQVrBl1P5KQ1 z{Budq-Spqt?mx8&;1cwJEgJv5OT6HEf5m)%78~efW(;E1O__8a} z|D^6;PVM-+U;T!`{r9$cR`@S4j4zuZ{ZjIe_^F@Fz`uZFeA!j%e

    >{MvJL(EmnI z{p%vA7+3-D?*9`Upr2TX5UX$6iroU6uUHBt~&lO=4gE!b$LDAOf`%J(yq0^<#5B0YKaJXvpMWuGGF&eKYJP|GmZk_5484jwpZ-{> z-Lc5G_PA)+vHFBwwqh-Jyv#lGA-y_X^YLnWXVd)${?Pf(cDaB{`YhO%5yz*_W!&K@ zZ`WH-%Q`0stUeAh&Kw&v*c>+g1f z`UIM_iV1|(jYMMo{@e5g0lqfW0diyFj4ix10jsC z$kdyo7_Kn0_5=~4VzO8+zhHKk-J<2~#IuAEbFZc}^2VqDENoW1*`qkV)h;HZC1TrI}~37ih6 z+bhVTmWnADB8I3pfa}nw5BKz@|15vEau(A2BTQ@^cpHhbZlvYHBT>kABIP5{j})0b zeikAs)`%##aYOk#7CM+a5pld9X@k^$bM3hk;CW}w!;>AKW!Sm5itfT9(lhS8Y9!zJUmp!o4{B)VUl$C}D)q*&KGaI*vJKF&rCIbvt(3w`rijf{s9-AdUo` z0Xh~jLeOuA?l^qM5Ys?yptGk#dg)l8;U#c&V-pt=SE*P)0(ba51!ht}*3;|dX0I|U(A34;3BRbd& z<=1P21Rkv2lefcf8^s{iEkl7)nGR5lE%(ef9bh#Zhwa-|C}2UGUZAdYBu+rNgxZGr zKmkM>#diZ2S_5rR^?^$X{FDEkz36Q~@Dn^Sa5qW8(wwIx_9zTyF)Kec(^h{X_mnj) zPor8W#{vmd?(^lCA!sw+HBNg4iBg+i`pLmC(2pgD_H!j@eV~gIh)6l}$x5jcx=j(4 z2pFx0>k27JYPdcd%g-UG|OWfAn^;$?w-&z{L*H-mj2Wt$$&J1 zZ>Pu|z!FE*F((0ufN=}w>$5b-Ht{HUDSZ)YwPK&`Zx-~*LDb9)13HtK?j1lK!qQF5 zh}o(u-ckimJu{MoDXKvhFf+8SLM4Ps2l2xkCxFj80eoJY`~A!(K;QrLd2yCjD29kA zjC%}}R&#yfwW95kQP-*7_0IGprUfbx5U`*^P=r!Zv3Puykv1B>ncfgXO)U}T83}JLv1lPfMJ36hDv6k zUPFZh3HlQ{@Kz496mx}v2@CaHN~7O5lkR(lx}pFcfO55>r(R(&2N#YdiYeudYCIKu&-Wd+4RNzutoTqZQ z{#p?sNe~5R!DK3p8-)T;yB#(D3VFaw!LW#=_6Xe)6hLm4wJ-%4Cez_4B4B+Ac4-ry z6Zf60Nk$SNED-%A)d4Mat{||$LVk2V1R;N}TpND5Er*NJTM?KH)n_28aUjwh|&(`C~~E&kW2 zbY*<#(mg8tS>66keibl))%fZZPHGC*i@^#Uj8lp%y0oj>PvIGuX1aMpBU)F~BAPmy z8oHk(d+tLe>kLv=TM8M^^Ju<-(7!4#p_y5;qMdv?(@o1@ebs5`yI%G&?Ty>~W-INz zNI2DwLnpZao54u4Com1uCDkz{l9z~9XN~0dz&Y$RWVZ&^PFW#uD@^l~fw)_w;g;**?q3!Sf9bGJPfEP(Q(oI(%$xBo3+8I8VlpY<_2H zbqTdQ9wAwE>`j4!1KTq{s#Yz%WCtqVoU~Qwi<>jT3zx-kh;FRIO#?9s{rFl3rlN5d z>630AY+z@r!BISFh6H$l`fx;#f^lc2Pt53Yr>$g-K@$c0tr=}1&wfBlAFJ23d>eaxA4wX z5SVlrxi zfttc^r_)bItI3VjuCgt|RFi=GFldW>WAkAd$Mq3ZK%J1#iH4B-w2z0&E+NSo8-^CS zg|l+cTr+L?)u_1>W;3`>okm|t(4$FDS`R)g9lD+G`}priI*g~H&FKOK2gJk6mw3#9 z(Nk3xMh#JZ+R9EU)mG;8MJ(}s-)0VB;|qc2x|2S&eG^*Tfn7FNY8=p{pw}OcfVnU* z^DjT100FP_MZ0eY50i#WNk)=I#%qt?#(#^oFIa9{RjX}#Ry}t#cBvH=%_b09 zLo0KDx}6d4;32eqad}2`aaT+}-aMsX^x$<67mf>SbVs+cOW$q?cu|eHo{|J~ddKuQ z^lJOJv&R{ailBFw9@WnBLD}IXVv(RofN{8N0!R;E6^n$U zkZZ||1`arwj5;^zb_EkTtJi6=U~7Q2yz7{AT(f>3;sEkGak3?7!%DF9ii&bvp;cdS z=h3-9M4l#BAHS01_><`VvGDM_Hy-2Spn5^G18ivn`c2;P^Ujt980Kz_@D90eM@Xy( zC`cY}_@&a?Q-ZVEmIItNuIyrRP{4J8Yj*t-LC~vLaG;Th<*ARcj3_eF6?oMUT+zBd zCwATip4>Q9@o0k_xcSA|Iej1lDg@cL6r;skLqzJ{(099ZcJ;b=NIoPUKkxkh2ru>t zKQYpP9PiaW#wD#27t!M$a1h-3p21GoY&#yqDxZ4JmHv1`a4BcLQAbo~X@(ZxN zzaxqX{4fLbM)(Zjfs~OZOR`!8r@7iVX<++RPXrF{7rK=@dq%9&DL6cI5oWq#wQ7lk zF+LvQE_f`%Nx5W-8rBBF7kn>b-(?lMUH1NQ%^CxISNXH01a#D-j*IoX6+QGfYse{8Sm4qr{YDb6v-%4psxRto zi^o0bX7$*?z0pTr`{42))-*@Oe-5M-EqW*5*1t1{g(zPIRk8t{GYhdrDUq+@-(>E8 zMA^E^Jw^x@q99%Z`fBGmmK7^sH=#-~kq=9KdQG#Zn@U-KFM(?Gaenapx*Yyn`Xm?A z9v8>;nF~C#BoOy@5mVl`^yD8#NAjV*d=F1xMf7C@mx4QemFN#?8k zp0z-~2IoMUH?2Z}`1Phc9I|MR-UdcR0(ljvIvIr)XP;4sB6BTD7g1(JLea48P+-)!_eoqYc+5||?^b1vh1u<@D z)VYEw=_ppyR8;ge5@(Z(QFJ(>^?j4`1BW`O=^{Ue?j zm&@CEc?#7YjNECPUTyf`0y7g7Xgd{$No`Tl-8}=UMpz2Jzyph96EUOu?wJ^fBe4s_ z(I>@XlDxfCN6NYs21O4v!ffg{6w>iOvXvA+$j4fLzEuAp&!b`EkkLS>FYgB2 z`D%&G2$!@Y9-T?{WDeC7T(sWRn9sLd08yyWIY!&@9^w5yC0uOGdG_TDx3J5GGgh#r zD=_axKq=SP>!dow6yg>I<0bW+W0ynj&vsG5bf>}V4zX`eFPd)AKeZ3;hT{=yDsrRK zxO*{b%QLFqcpqvn-AvqQrFM?nB0}rP_nr|*d~%svD^8o}cf(;1+)0Tkc;1m;Sz)+%LG4>E{yFe+69n zb8YAUhGhINT>7sK$zTU;MfLwmOve9Fy!2%N{kM4O�$=6fb=l<1ctAV1+**OyJ+} z(wED>;idnV)bKA^D>Wl2X1a+$%8E%h)_eL#XWr|N>V6WqEQ^7beR43ULc(8J9@=A<436ch~hnL&L8R-MM0 z%AUg$JG6_R!d1LM<;aW7w2Uktr+5m+A&br0Gk4jx@ndMPh0Kl8mrYi8-YM`cEUzvv zdsjDhTHA!TOfvcP$^}Ag{(#C)f${^2G`};3f=dPpDoKCjcv4U&XI$H#a%~uMDNkQA zu{{URq6@QXdq8eq*?K%Ha-BE0e%Dyjb<(Hx`GaoHK>g)tlC$M3Bsnv0LzXSH(}!j1 zQ}h8z>jG*Ftycyc;xaf}1paWIwY9_A)fY1l-pi5>Tx~+!jEp+fD{>W@a%E_(DYG=p z9d~5xRFj~LnZhz;-+--+vJ^^GLPgS`NtFCj=x z<@Y#;O)-lKF$STAe<3#{sGyOdU|H|Et-2Ff3zlRAmfo2`9FY!eQq$T<@Njg7j5Cvd zq_=p#4w*LHa@;Tbuu=6STm_DJY!qR~YIU(qMqePHx89V-a7fEJF03(P*G6%ILAhfF%e zyVNObyTEY|_6Wf~`IN%7>D6@Gl}zV^0_%t-Kj~UP7xtW+y;yBg5d3iBoov zO0pd{UfWxgU<2OK{ys-y!V@SE>+FOXaM_OxSld^2<;3hfK*WjM2JX!mgss6sZ(ARa zJoa5s1g8v}g*TIZ8&J&#%6<)EJ*_rw1DVUld-v4v2f@Ynqgid z)|3Iro!KG}EE`sVRGvD6yisNvrTCL7t4oLINezq-MFUN z-GNmaTD;$xJN8FUnn>)$-^8tvgH^@jy*HeLdQ0}&vPc*QB1-Yjp%7%J#H3XlOYwds zKr5kp8w*r{;aK$4cmWZf*(^}3iS^;g!?I5Sawe#JtxJoTC??1Vqa}}qCnrs-(2hmG zJ#>DArdoJ|iWHqhUK$b7nC80wr2LHb z%*@Qp%*@Qp%*@QPm}N1`Ld#-i*D6-R8Dil%J?fS{xdTQaHH9mwd+Ppx>{LcbUbqmb{ISH{M33G&b5w`B_ z%ID9gw1b8%;D7scHgPe5!wOi>(b^^vz6{_6 zp%P6+?AMHaildhN=ooKO?-7!sd8A&&_5i9|iCusrN-1@22eLbeRjN3~TJ$=4>L0EFPWn9nqM(V!8J)@8>21lJtAR8)Y)#dWE zuFo;XBHHLjsq-0Gh*d--m+We*X0BZ`hA`b*n96_ZYcn>65RnR z8nVsvT%tVP_umzCY#p^ms2r8$laFy~Y-TXz^U!ceC;S__vHHR$(8828Kb~`uyw<-P z${H1`PWh+N3YVmaeHb?v<~*pebLzCJ4Ouu&teyt1f>6doWK>n_@9Kf+=Kzd8lJUW? zb*(57V?T)`oj5p(L2e&h`rv0smL z@$CP8nmplZ-IX$Q8Xzh};^5HOUmL4%v?kEPg`Aa}(Rw-M3BGL|PvjF2*xueMKJ3XX zu~QEmtC5Qr9LF2ve)f(pznL@#JFelSG21CG-f`If>h%?8F;jM>K|P6&3RRXUVLprW z*X@{c<^h$I&Ks6SRP~v1VeB@UBh0it}7p%N>2$@^7FaaS)dB{x!g<(S2@Iv&pac=wnd%B zH$ax7q?$wcW5f?ntQJ$g4bMt;*CX0;RONhR~*z9DGhQ| z-Has4mU$k>%X6sI<(8Rd$R|3I8RK_@7-5q4`p!LkvMG=fUp08?Rf5Cw_}MEt)4J5A zL5Xg*YalJfv-K$|is39AFTb4m{Wj{?jr*Q@OwSL!UoVftR2HCd8;?ES z7hVwuQVxxnw|`+z>F%93By>Zz=?I-8fYj3-P#Nx^Fy^Uswb!PY(8^Ntstkj(hz?=I zFK-+}mj`C2!KzMwfz0e(l`pE)Q%0!hUno&@4FmjL z^-9j0X(WCPu^5?yw-j4iBgzcHBKv3}y|UQ`O3LD7Vsf#bKMn>y(_pq(A|D23K0G1y zka8Kbf{NWdoCmE3*qHp$-6b%w&V|}`Qe@yzsCm)_f3IYSeTxfhk{ZePg5IhzIYwH` zp!r-iHOyd}@C2PYF0j~vu(qL|$Mv`Aq z+VH2m_9*_BoUP>?orx=gGyf87g+RIBSpLGt5STDTD^F}vlF|yQ(@b8eWT_}rOzwC2 zE1D0#l)e&7J-!mZ``q<_jMX(6T~`%v#Eweila@J)$ao7@()4cuuW(#un#LC@Sl>cR z$1bOI9~2Z6n$~s`VxG-E%zO-J+ia+BCu$!BR(i(HcI%;qe?)LZ$n>-!tfr6bn*4Ttv8B|yiqP)7d%0i|!9YR)d` z?0Vo{;|sc+r#=FMwfqe`y0CaW3}=>(d9q*u(19YNj?8{t&sl@bjiuc z_OH=p&p)6`C-&ZJ84x+3G5N)ZJsJ8%bPP_R!pYc@e6nX#Zu^&W2lr><odShKLK0is^yvAG{; zxKiRQBa-n)=M&3!?{~}Az13F>3(&Kq+4SyY#F(FT&r#LC`=}chiiIUa&A_u~6ZoeC z@(t}Y*~0HBl4xl-7ml1P{Jq^-KW8qXlDNiOj1LgJgFoa)e5ikMY1`JrQwl&yTO~oG z!%AU6T2bRBf~{t1<%An4w;4?0Rr6<9`e3&6S^zDTgFUwF(y_Ha z1F%a}5j#_jt#pGgIn{n{pN<|LuV0s6ntSWMXe&U%BrqQS_(YXvp5y{y)gDN(aqNJY z59B_@mts|n}%zem?ky)Ms;nmRmVZ86k1x4(e)Y}t3+n0p*L-&=iURp@41joAzs z9G$Yj;5#3!{i0~e-P*UVeq!I=>#u1|Q4CD4cPC&;@*WSQAvSuOOOkXOOHDNI z%(u{R_Zez-gSjbho)U2HN{J>vfd;RBpp{=?2?fJjE`{v|m-$czO~lRn#Q%i=j9(Q6 zg|SK_Vlo!3^g2zkNEcqnGzl3)yap*jafiLVWUYzjN}noxFSiTN8od~AFSlbRq}DF~ znASx-2uo9^Xe>4gyA z;4pg%6o>N0#Os{-xM)dBU<`N-N}&||Dx85aFJ{0UEZ(LJmcSWeUI^+eLW+;SPDw;e zCb%T(EP8E{Oov~r+#``KE&s|-zYYV;%!LMG8=WB70{x~IT@FvCLlrEiX%4Q!93=Ne zI#LcA2|nlIq}R6jn}}`n<9OqQ+4dB<4AD1o9ioBqCn!-p)2y0r>!ws;>)+6p6dF_4 zO^d+RQb86PC*rpN4S5I3!4lwdbRPgCpb6VHqrcfoM+z>9rDvASkpDH6X5edRGV%Wuuc%zguv_W!RK@GbK@B|%K*YQ7Aa#gzAy4dd=ZTBkH@G#^V3!BscWXkxcN;>;@T*07B+3!!U$?k5VIs~5UBO%B zx3eOd>}l|D_w~?6u^|zk%Awi}wum+NBBBkI&ypX?my|g@pPWet$b^kis0|rmNbh`A zh3}pPGd~HjOhSJPq$8Sc!whD;HZ?NbB4`oH1cL_!V1-(uWcoA+;@z!z!P1|saH4N`g-VhA zKua*tIbJ15{le@pR^9S06L?$c2=SNVz=WJFiw7I;mpZvFOYQB*H3*RH4WoT!JN-JE zS77c{p^-k=A_>07Y2S$+qek>jq4o4=ZzJS8)CYw*J2sXjia~ehi`FQ=S+rgrg&x^5 z7V=R5y%F@s>oKH;dvBr&o9K5PibAPZP>!`bxWB_6E%I=?uFFU-6j zxbjD^q0I;c?Yto$W-*rEQ81=T_l6yEUBEV~&#b1@oaT^#hY5afX}8FjJD%GvEuUm)-Bf;uv9(9P@H`_xnQNM6%{e&s3{u4WG>6 zr;YALH>hRYXjCAaNxZz_Hm$SCBZi$S8}xDk%5*YFn_=SeKKO60-i~?Y_f`?_G{Ued ze-bw~F$@Ean0xD}SpxTNXBE5>63#HRR3odstb9ur3Q`(DP=3k*OM>d<3=}>41{qW$ z+F|JgaVY()Re?A?um=lKWpE2!Bim(u{~k`Bf&{!WL03O?rP`FYUn2?)N}XD7-a7gO zC9C~CVhZ!zOTfMe6IoG&U#aJq zsD9|v6HwR9rw0!%huyz*X4kWGSXSBmvTD~WIW&Im6g_wD-Sp!N_6*D!J9(Sw9~7gk zXXI;Ry=OrmeV<=3Jj4XM#;^8Kt(&wth=rlqVKT);D54)`;i*Bh&}7!T^}E@~8^)JK zraGA~B$076*zLs3OWS5 z3hqi85I=)NctdeVyQ5G7(emzEbReu#t29&U z1wn~XzZIb+LdqS~4NL>ln_v`zG~P7e66^ze?5$eyEttBF0?Ab1)|sB z46Y+p#Jn;nyxl)&kVHQ6~cC@it4Rf#hkYi5Ge zp`5KdznY{frJM)K2op)}WoN*&^;&UrzUGp=c#bjzv}^v9#D_sI4+0)+UnGcd1D0Dy zZbz012{Y66E}Jy#8$_4I!go7j8t0eyt~#vCLFK{4`%xdRhdmqG|qCDAJ>r*HQg$NRNM!idn4)RwNn@g$ma zUWxN{{S>noZM4@A7W75a+kTEl3uXIA)n~8wzlUl*2x>P4k7bnzxpM%dW=rnU*DGf z@RCpaE?iHdo*e{cC*YDYL35vzZIgDG737gy$R>R(SrYsU2j?2v9d?A6p3LCn{EO0Eu3kX5Liwh=B>$MMQ$4vFwM4tr*wjA*f&3Z?eRgF9{%VG5^>R^p< zLD}s(1Uq%eEO2_w66j&?*G&o3?|fwp)W9IXZahuD469T2$(0KDx#)%8s9>abwSfd4 z`JYEoLUc4KUS^(H?v5D)JQpVXAU_7+Su*%OB$3(Dpp*ivsR#Yk6M?EIXE^s+J-3)9 zAA_BaH%C6R8nW}-f(X6m8rDi&2-h7NmXV+#hk~3bOLaL5S)-AtN$G;h1^OL4-kSiG zbp<8Sn;Y})r!R8a9n66al;jpv@P3$*fanIF3ZaC%bA<7-HN|8(JHZMF=h(eLO$QN- zjpucq!on$&z68&15gRxm>F^Wj@d#bBKBB$YSJsOA#%6Hf6NX%|q9#jTx(j|4-TktN zh%7cAUXq3ywn2qbVjA&sLrRs=TQ*_U^0cJN__jzSlo@C2DQZ7C@I!Lwk~fXPj(h?q zCXN((CXG~zbFfxj0a@0l+`2EHI1?4iStqJp7o=qk2ChTx)UhQKgt~FaG4ydLk;2u55$~#RP@-h=NJ?-w(Ylt7f=_8> zp2Vc;8szDkt4+bl(h6%9WH8)DEH83wQL0EsMA#QlgQpNbBef8EsDW)kOyvr z3!4xO*26K4D}DeAo|-6-{uUkPBZpRK=dUd+*I8joc9|ABB(c3rGPdsmgOO@(V$4xd zfl`HiolhsM(pqCx&`%;;HPyYxdVxlnA@_n*8zVJB>Paf>kA=-~RIt{o0nv~$~j>(FIXr9J!W0)oFF#eL&Q}53^&9Rn8LF8>y4q})@-;^*w)w(TV zov8SMPj8Hv45Qq-+m8Hw=0;<14yr{XWD+aH@zj3T5u{?92)8K2eHTiX)huM4-$0`o zxV+;w176I`s3Q*S6K*_nVRv_%tTEM+OK~fcX|U*;oRM%J1iW~!u!=B5qk69hnVEKX z_b!xgk^Ech8`?KVR@4nKcj9udrW?XoeZBj}Znj4~QTWUA4 zrcP4PzZ$4i8|%V6qJGkredZBc+83yN&ekOzay-1g@uc+wtW_*t`Q%zG;$zZme)din z5Abkfy}s`FWH^gJ9PWt)cNqklA8mtz>%?iCMgAq zQeo0Ce2@t0*78%;QAepED>87jvAMuC6jjsLa%<sK!88-zg98++5P(hBSgshU!-FEvvBkd>A7A5h@5hLr=(1oHc=!GZxuV&u}9PgjT=kd||g{!_FVrk3WYaFS&mdl#{i zJ9|I>@}`J0=kf(8#Fro<73`H74Yligrn%#kkP=k!SpsO-&++}|%Yz*N3iQpzoj#6r zWd39}O4X7$+Kw#a{`Ra;7nu~SJxiChEz2*bP|?s}U!R^}+BR27^t~kld^h&J>NABF zBVlR;oJ%Je>$;NU`}4)^TLtuOR5yRq=YhC#MTf%N%4tPJ%d^9&a7Pmo!)7J!@7Oo3 z6BVO1WUlUBs8VZ+Dx~ zxz5ab^!7s~6qq@PZKf}d?mk$bfmUJo#>o*Q_j~8-m(KUg``_Q+@2_c=R=dv+v&Npq zcac#iSNj<_(WQ|MQ4#WW1qYJ`VBMZwAp-8O(qZRM3;I)HM)sEv?J~EX8*bj8oqsvr z8&tkrxo>Vwyzjl8O)YzQcG=$^UF;ep?jPRn3q2&RK)RZC<-I@HOI;CM(dW8RIoiFW$j)D5ov;m}42TL3oTIY)(&B|M1WuO2 zFk3q$-;|k^LB$+MfBLORQTCXYy+jA6DL=R93kN1=F&6HWzaY4xb*2NyP!9sE15bY8cFmbFJB` zTF8X}l4X;f1s9+<8YP*;^tsUVmFxS83w5aj)VaVYss1$7Tob2K>g@GCOk5#5TtwqK zMEbWHCdOw=am3bh`Y=`QU6^G{A9XF%7$04X(3Z7ePy#Y`xm0 z{m5lwENlcO1$u@rEDDKRQdX{CYx`{0;IxfjL;yltjHCRmOcLF*MA<{?<|ig}rutF4 z1f}=`{0#O7KG*<()Xd| z+S#;8lk`Q1dBFu7P>%vO2$;WuQ*0Oq_^xb7g{{Dbf<~Z~*8|;B!o9YbdVrksYDd)e zC9J2iExE|DgK~)IExC@@+8jmC3AuZ8aE`Ly7Mr0!WE@6eVxHP^!D+ z5UZ**IuGREl$}*T#jeQ#_q!Zr&p|mLS~-CG-5zki=lsk4zAm}m!9k?BF4yDI>S8~2 z(533@2DaoHYf*(GY662gdAp~hl&U!cXVx<%|;Gm<&fNs z%$VEzN~n~yd9_8PzVu!GEeZmIS5+6GLz(GIZW?2uVHp@;JA-gV)5O{|FJ&qpl87Gk zHth1(5GExfU}L-!7p}ALV~wX@uk6d;DG`0CjT`+%hEens9CbFes-$nFRT76(IV1rh zoQvhPF}cb9S2y4gb(8SiILB)(f})$`q-t0ge(AC~Y-nI<`Juqzv}&BS@oDf5^h={| z*A-kGP}6ih@gr6d`C}##{Uaw=sYAe`F&%ZMl{WSZMLlTRQr#dv-WhrpCqn?_QuhON zrVW{A)tLdSo~8y1W}8eqkq|v^83Zqd;ulyKy-MQYaw}oYk{$lP#dIChIMnHUMgI_JzfbxM_|f+*;K_Ja7qXQKglT7+g~er&AAA*4P7sE>f}xO)Zb@uV#|K zDQwbF;X-VH7T;BwSJiTlX`&%S3lkglzivT1;YnT_TLOuK*i|8Q8OZ`V5|M?St7$N} z!xAequH_E|{h5l$u(9!Z<3;EzA#vER97l@t_od)SwCWI?MRvmT3J5_`pwrAR_5K77 zLZUGCRV+7dd}5%0{__))>Gar0LBSsJ?z2@dEydu-R6G9Pmp9#g!o3Aa12f1;w1$&@ z0EXJ9@wbv<1tJ!DmsyW43iaeK!0q8RI{5A6%vdnYmonWiIQ@pxq#B|7@%B`aPo?ffWS(4z@Ey%$`7b z(SBZ|6FppRYia27?cO$@Xlgj0`Q{Qq+V(C$(aNDT%9Gz$R$Q8hjVi6D!uoH=zE1t5 z*I4L29|4^h@62$aW9|vLoBB^c?I;VDA`s!i#6yE8b7E#Yq{ut6+hg4`ds5J2y1rB} zbP1?1jvc>Uv)ytU_f3ME2X&%U8x7!Y{j&K(ta(11j&?$1AIEDLll(NXP<%b*Ukv(^ z=#*ivTUP8-hL$W|7oXT}yxBAg41F`93Y}-|G4{?oxPFq1Q4~BGtXceyWjx|>yiuR> z!4Uh}34J^z82SBlqx&%U=6e<*5Q&qf@Gv?9i1J{ZXf1q|`rJV2>rEedTRL~EQ7mz= zGtqb9`s4cANQTt1PMVsPplzNBOAK%#qB9y zW*aicYN;V!f8cMR2nPefqHLDQXjc_4QsXFNqoYUZ`|%qn3^XCFGV0vAN*M5 zf85VK52a$?_iw<}KQVf>KcT+5G^Uyt;mYwMC(j(9$gw1+z<~ZLoOb|{R}bbasi{cZ z(q)(-gKbZWVP;3X5GJz`PbyTwFvFcf`r~>=3WaNvPiikF&2ARmP#KXgBConsnn6bt zxv~k|-fa91Er)SsM!8z-B$4!Onof9Jrh7vOW!I7hcU34wOWX}Hvv-A0bIoej2EPYX zo%mZ06jc+vu$fWi5_2`WI9aj>uKX6^XezRwG2A4wP!Nn6rY)!`#-;T%dh9&$2<$x? z9DDtA7YLqRyGfx5VgW-onH7`DQbKp{a zfJ_}5&cuQ;Ewp&QA&;qcl`oh}%Ca%V8LVJYi;f&WO%U@sB=FtmO|K()G^(l_El2R^ zWm-O?d9>~fX5<*IOx>(HP_Nh!^*S1S*5s?Tpzw?4=;Fi0jN47NDCa<_e#qO~9W4lXQIaLiAnq=pXgR02$Y_!k#} zX}dn-806tN@=%qqDUP`!p*P%1YX0#$oGx(!M}vz8uLJK~7pKF=S|Q`O6gI6(MNlCj z1DBjbdxC_JF7fYdeJtkE4zP(f8Mt1^i$$=6tZCD9h0cTNPmG&E3DRY0T9>%(^%{0z z2{l|p^XLTDjSNFzL%>Olen@(=e*GU7o!R^f87*YaujaqU_HDnuT#7Wsk^D@*ID%N8 z$(=W)bRiOsq-XH&wL0MNg$+&2tb!9OYJ?{Eof6*W#8}#ZTgLvvm#aN-vJ?@!kjOOh z%MjM=#&VRCiM-M~A;9FxU?=IB`%xZi%dF8Qpv;E=pX<5=8FrQ{G8KdJ3UVElUoG#- zy%BqkVq~D45%?vvBY!4?2)RQhHaaN+9&NA@n|OVAq7**JR$hzKFwqKE#ny@(os(0gb(k) z&3Kv?w!yjQ%3AeHJzM5jm&n=~RQNE%z@r*&6w9I3eN}XYEg^vphoGLrY*JZ;I)|S- zW!nwaEn98l=JyQd)ObHW)-pS3kxIo-?CAjZu7&v({DF+5p-7>XOiOXw81)Ue?b~hkz430#vLE~hJ{PyRc!RJHqx8fuYm=FI`4uZ>})C4$?NIo=T?GVYR@fx zPj~fwVsBw}WZm+b;leB7cpqOuKLv$uz}wC7V?6o$$d-Z+MF}5THY|gx{FNBCBx_g` zG<3KmcrgFND@Dck>y`=EtXh>8hQV6ia_OM>C=O236Be)FLmLG9{L$Ot;gJ1_GqX3w z?2leUPfcm3?ui$<+H$Zd!AaFo$ zSNQ*yS??b<^iM_6|8G?)>pxAE%J#RKUjL1v|FaY7|4&EJOl(YC%q;μ_o+f%NYl zzyDzt{Xb->fMRw3z@q;_mdf^DBuo9Xa{T)&nu+xvGU}K9HH%gpcV6Ij0X)Aa_ues#iHF5=AJ7(e3?p3n~9g+Nopo~ zJl=5qyL1NWK9W$x#S47Q03i6aj&!${w!?@Fi|=l$K#>}=EP8lMky-{uAQz#7N*d%b zGj#6oRH1~xXRJgiFX2ln=nD=PK7af%1;v;}%DuU-K=wx)e`jA;UpU(G=_wUge-B|V zKNt4<&u(w<3>0h3&4;bW&kr+hikxLze~Hn9=b4z*gGdmA&+oQ9#0D6T=f5`ZECpfZ zUQB;w-4ruBBv3;fJ_a-v-SmDileJH+uTo{%rca&v+66>BO*}chS(y(eUi-Iw*rTrP z(8-Ctw4m?y4<_t??d8&GIFqemBkj@&Ali1n$6H^a!fFhD{ow(g^B!zRuWs2_*LpJ_ zoSv4qEQq3op7x-{e`hd@PwTRI;tZ$z@KmB5USRZ5KX`0ATe1YsOb8XgGlsST~RrJVVdQ-B{R1m6A*q*p_ zW$VuAK9%bmc%Sg@%6&&rV>nW{geRy-SwCL?_WrVTH0=2dd*JEszdnAo_yg#?x%c9B zB%7BWqdg~Trde(eUL~>a^bMrU2e0*H6_(>?#JcZ8qn9OIC)Z|bw5@DoHKIg)ZH@PE z7p6T&?e2(yw>wt=iTk#(`}Pa^1Ex|a7^h#~?R59A1 z)@8~`wV@ua4iKZ{g87MRN%6*dn|FntA4lmLVn$DQAst~SA(fWLi?VxZ2GV*}uwyIc zyrVd5Aq+kUDMw?9R-~|G9jco;8~~YpAt!X<5Rp7)7tuU&ca=T7^Uj^dI}M?3-v_S+ zL7u1W#XUSMR%78ddnQpF`dwirgf*x28A;am5fp4Aip&gg#eV{ahTkIYEjEj-_Z+e% z7`TQI2%LmR*c(DoW#=@VnM((hMbZq3TL>i;M>(Wiaud0Ph0ayg{Ts4OJaC*pQ7N%G zdvFSl!|N3Y0`DhezN-xhLcJA(j)Wf3y5kekdM#Am0ueGJVe=L@P{az3X@p0i^R-h> zF)+x;qj8n0kd@WqTwNwvGsdyvlJx#nh;+%#8i-uOxK{~Hz&s8V(k%o;=;K~2LTyee zZLGC;1;A7k<>xn=n~no2pIwm+JxK;9Wt?(ZW!;Z0!$|dh2(+?A^J0QE9f_I zP4nc>93;vsawBR@bZQF+VOnI4!~fBV#D22!Uv~=KOkDtUqN)DfhUbqm9Lkfn?GRYri z?ElaC!7jwgJE4M*bwt@{qSsD4q8dkaQiT+|1Ay=eIU2r;3gD8;0WK*3C1>U^67H4X zA*5nt(WG~B5X}p5u!U|(;JS*}vDDL4vcL$Gwy4z6a93i3d;=Jzic|}^Lwp@t=Tra% zi*B$)7)A-!z@ou!5>;c8fRRGglj zE(f;;`WyUGX)<_9xqJh(<=aJ)(C%drRq(^WE|X8GhUw)(mVhZD91t4Tzj<6rUi0|7=v*$qbTdIfNxdbYNb5X{ zJdO6sW=c)wuF-(&G$^NxzNAWRQX};52hS}f75u`NdQJ{1b%$KYNbMjKI_cYV>a3y< z^dtTq3QU3*RVSfES^b?54UyoqG$vA$vNPxosalpW>9geEunID`9%Z?5vp`nm46;v| z)tH37r6Md5PiqL^l^0$Uk=e#BvYyftky;v+?8=T!nIWt(nGIjQ^a8R0ODQOC5K>s8 zwgsapAuo6s3I0BpoGBr07e=w<&hY*AAQifImRWNsr3wgpkEkdvtxkkf(p00ybyf!w9)+NKsk!-7&s`cw-hk#cqzfEC`mfCl=rP`jtZh0#4|~!eLflFRp$o zv7|s;V8}9B85$_wp2+;^YWev*L!!1dh=1oPIG{8?vJ*w`1iDODg__eBQy1q+ci{e^ z`$G@lb6QbS;3JDJJ5JG9=tj5!aq*HQMt0X$ywIJ~>uflcKDm9-&;1I?)jY2kRa_an z)|BezqxK?xx8$6;5-I z=2Up@>U!cf2cuyV4uLynre+dz?;7CR#d<@f&QjkYLnAUQfN zjVnj{P#!Yjo=ezKiXw``a>&*BjJ=QRTbrj>xcVZGvK{QcvZ{U3xAJ#3fm^RO=LMV3 zZWMf)hWD{UgxEO&# z9J^NMw3vq-Ic&-y^wGPS%;%=8!0nPkR?rH{WafK>^c3gn|EPu(#sKkU2D%+StDgBC zz@l2Un+bFLe4=XB3Cqi=u4Ji{paxoR9gEHmd1xe9Wti9~DZ8#s(jMb*`#Dct)Kdv? z^-P{xqgGM_->AG{ApU$0Beua?UXN$c(gBqZjffA1+-iIKaEwf)v&*D^{BiNANCVqV zn)`xd60m_!i@~VrgK@U8%R~FEEL1}r{1xHiSs`dn(gb?Qe@i!z)eG3ZedqI;_{{@~ zoCcghM|=z{C2f7=9X5E-d2LrDctZIaq#$W@FC46k3JX{Chk`=)v<&AmJf|&6^RL|F zRsYnhm+DucGxZ*I{^y%lYd$|hjK`P>h68V|cQ=+ig}&E&L`ag!Gl?gQ)`vJewA|G~ zEX_qjB93*B;RFnZ&!1P?Lsi01mg?2c7}I6@L5~tGd{nAG-od7q)1Q|M{2!SIZVhn0 zpLq2@<(xXVb&RAnAZpOd0z1g`=sgGZ$_&xZ49q(kZA9vZp$lYN)$$ zhM$p_oyUFwF*nL6e5ekERdQTe%7(Tq^tRp!{Yd$3eJS@dvUvL${T6vike8(mO9qW< zw+V+0M_G6SQR?e&Zv9}GaBStS&%Wc?;RH++H6@gY>DcRBpR3Me7=HJ!_bXgsneIc> z&IKA%8TMIMITwjXT0Qpeq3irwb*oHnu@w);r!yB-``ID|w#I{tm-jKtRsWFlOhsdth(`!(zpW@O~}ep^}-PE zJ%0gLUG3TCG&Gad=;BG9%J?+0#B1o4z#o;s7%#&NNk*~u!lGaq-FZbJ2cpRtd|aK? z1EVleh8h~0sn)CJt6?72ziJ$sPiDBbQ0|PD%<(v)Bik^DPp<_h1G|q44(vWBN3=F6xg(V&G%Xfk3vs%-q zbdE2Na18k@azx#^u=|o}X5IWX_lhAiUi+l-JMBlCe1Tl;EaFV^Ll618KtJsn_%n{|wJcK>iTC>-^%ut{sdf5|}}s{E-G?ip$@VRnhEh4hi(Dh(#5| za8X+jzh9p(QetqJ{j~8!E2Hre6nn4QmxC_1Lcr7OKG*bNfvHyhB;^iIq*3g#4LW`VXF#;W$>Zge$v^+bP_S>kSaqj5=WnsQdTAt6V`z&5o zzt!kHtV`gr4bLOQLx4NtrN=ACV;0}=JjnLygF~g=z!cky);i`*76*3r>+aO%)b~ef z%`&)JS0ZMWpd0vtgfSC}8z~TPCiB3{<_ueo?1dDubBEl{Z;usUEF{zm!3`Z4DsU3w z+UpE-R%5=vNLpSxIs7vEq9*8%Zm2T$9eU-s#pz{^8klKYJ)*v_5sGDC9E?Vl%P`QW z#=&Ofs?&FEWZlrwD-BnF|DO2$k@0UC|KWg)fBXbZKhNI_NWCvjc6j3lu<7}MG>LJX zKcpR=pz!T?o)rRtfz&k+u>K91?;qCj&t$8Ba`XSI%$Mz-Ci7+cZ<#MZgZq~j_dmI| z)BhE0mGwV`t#bT9kASJf9qe5JwiqJJ28@KvoPRww$_%Qie|`SjU9PIiM99qahlK); zGZQj#{XH39m4%R*<6n-m0zUtFzWzFSX9p7%Gglo3K)pEzRWnalJqAq$V{0>$zvdCQ zV+0KGH<_)t9bjn2e^>JR_fky%QOx@1dH-uo(P8^X$?u=tzb`gIglzvslHWgz$luT6 ze=qq3M4S0vXaE1ftP&{i{QboOlfX+C)qTLjKy*sNaC+<%IbhvFgyX-#@#4)Sx3dTo~H?^QX7H7D-rs&_}=@B4f>R8CU2Xn)KwSbEwxp40eLswiOS&kcC@^l|Yo zi+9-8-{PwgP%D`j^w~ch-0wxjMa8Z1$$f_IZ%FkN-kUIZ(oj#@vvehQo}_5#Wz*Nw z)%bnZMGX3y`^#4?u4Xk)eAFsFYXxUrk~`6v{}i)@cs4sk4Xuux{QeN7Mt>z4JG)eT zQCKo$&bl3Um6vCddn_?E1F!dyOk!+!oAj;zp8XUlSVz}^3yei-jThfpilX@o8DU1_a z#M&a*;%_nBU5fGVioLH=>{W>_IE~;&((}*|65|o8@mk)e8g4B+1U3p)EZd>qyjbCk zXJH%`D7$AQZXb((e1)=qsF(Wjt@?Rvy!gn;<99LBy55?DN1Kt$+Ccyd8?#vn`2fY~ zOGFw>NibJfFhcxBB+6H^E8Ox>csWIcJhWlsYxIECc!HZzLojWiQk7%m7IU%$L(*FH z7I|~`WlQaFgpx7!h_7^aV7>;YMPC)60q%TQdK!Cr&0c&)xb~P7&<#)FyySB$qKXxU zus^6s!N?AjB26SR`X^q0KO?FYRR0#OYiISN2>>r^QJAzI(0XtC!S&+lt!$eJf<*t} z{G6}D^UeHwL;FmHL$9^n<$$d^dNB=8DnrSrVkvq4L`$GO+|Jj)fo3s!()^5J?G=v^ zf?oDnmQh&xF7brgji2I-Egmzp%!i8V*Li(db(?t36oTMS-yCDuuk#bzpg7tyhSpc6438(!qvm6r*1%k0NhN}@ht7BAoAE`%)sUpb z_EYoEZ!*@Sa!#}(jD=;;1Loud@Orb_BIUfcNXCX!c6U!aU6lpKN1ih|#e4anmK$`<2;vqgBZejfvWSFv zY{V8rkqmMx*h$^~*n=I;HRlaEm>H@mew08%5I;(HtoeA?3HsIowM=X3wN=Tlu z3+-Mhv9hpfGGG?K*}L(D$-N^D9}0<6+9)n9NH3qkf44uYsfFl#1cl_Rg@DpgY)!|BT4G>!E zXl@06TGobO4uwo_wH7_>j%1?QQGN@wHMuz^)(MnElLnvD1Q7-MN!Wo&L9lTdFtGMc zcnP?xw$8j2Ck@q!fs&i)!+T8Fny2L6nqyU;E(_mYy|3B<~WpG?e zlCGGUnc2b?Gcz+YGg!=ISi2tF<5Bzr0MR< zXG4W&)ytQD2=bWNinA2eq5DU4f5TE6CoYbomo<$VJorAOqh+%DNBxx11+AcT5^%8! zQ0=$Y_W=QK2)g2p1yhcb)ealSQ7gxm0rS;(QeTzt`72-?;)94?1V$btzDb6J*cRM6 z;Wg%HuQ=CYZ5G0O^-UBH z>_``%kMqYiJWHAF_eF%koGHg_L1F}^E`Q$cGN!ken}^}>OlWrvo!oQrsPiz%*EPX z#L-iinGv|=fENb~0Qkzu4SdySQuA^$|9uoxKmgI)!R(h3@q3Z~q3rx7>TjXW*nfTe zo3g|4zl16Iy>tAfvct;C28?(2-qpq2*d7s1DxyL2rz3eY>PuC3Ffk>G!4+q#5Pr}8 z<5?E((D$VH+f8_|@2P~CO($9|RfmQfTW2=Xk?q?k_UK&hgsqxHV>fyw?=nX&OoU;{quOCBX`0P0VislCozI#R#o!HadpFC6fRWCQU7cTB zbT*PEt}ZRyn%i2l31?)I4&84W*5i-UC|d(M{aXzj)5df!;{=CKUFzEmla6l~v<2-) zuEyq0k7MAeR(37gJ1V;-Ed-hT)3e-G_&)z^;ud^C&(;)zs%of+--DEWF5#X>3CAAk_{BlSPW^B`|!~C3Y9pEWP5fD70 z4V4pDk5H&~%*qHEn3cgJ)a#&|D1#y<9POgm!7^O`t z;hE(h1~rmn-n7H6%l*2*VcAb8yHn<%acRU;JG(=s9nYfaOCy$*m(mwJUrsz(qYw!{s`lE8NT zgptq~UD11=>n@!3k2Qb{%^uQ)ii`N6$jm*qdF7lMWM%Nj_$$l<4d}@KCIyNBxzL*5 zg{O8HfOK3^r)Qa;KX8rpXl8}|COFI7Q$OUwOFK>aE~QxxR2f(!8TkJ9UEq#k`~XjN zo{7HtLk2VX+Y4n*k|Kmnf6C!MW{39}#`$$BZO{MhMu-j5*mdFed@?4@Jutvq#OhX%5}zq>19wDKJW$?H$h@RhNps!8`)5v$I=P2Ve~DJGV8?uYMi-lw(2Uk zo<_Iv7onRG3`g4a0$PyyG zrxY_xNqh*o>{88PJ|beld!|Z^ASDWOVX4GGlne|bZ{_oJt9(V~JbFj0q#cjml2{%nt@jdkMH$~#9gi7pdc(sq;NeX7ww+zrU)VxqLy`_h_LHLO2Y~RgsVHJ9 z1B@QTC$Xe&mpD1Su8@g!`bKp`A5k@AXbS4)KTdRy2XMdK2T?@z1?@COe1B#wy%~542-puU zLHq&3r+v&k0^ZSfD=SQ7_}RhG5_}$M)56L_hR>r75M3Yroz9?j%*rxcVLM(ZP}knx zP7H*@*hs#(E3Pn%Gt)RoZnkM}&SYPbMoJa7h|a>+}-%W-CuAHu2zR}4D(TPn;lKUM6EiwMxFn;WYU{D7BE2H9!1KuwhU zo~aMnP%h-eL9#pc{q*WM)z{l^kv{IVscr35=C%$7IpUbe(Wu@@Wf%6j!so76$PTBuFpOF+bNT6IUJJ3R#)*N(*mu)|LLb#(KqtiZR^z#) zJO7LE-p+YsxQ5&UdSfQITW9yWa4qh~1gJKTmh12jz1C{(6JCa8>lK=FQDYAe3Ke&X z$jxShc9g@eEZ}hWo!)whdmQ23%Oh(|^|#ND7Z1kLlMX-AcmrhJm2k^9XHlk2R}sx1 z9k06Nk}upsE1r75-J%yn7g)3&12l(nR_%3?4sZI8Qtfh}jyRRrofdk_tgXDATBT`- ztL^tw$8sdfsVXmmcGRQ3_p3ALJ$6?tKRhR9RX^ox8?sqeg?u2t+P%GLeP?LTy34Ar zFY1$+p^-H*NwI(Raw%<1C(-yp=nOmszTEhF*c^kZVazyy2u9V3+953ne;3Kph<;vA zq&@I>${m+tsJI)2f?!jCSsU46bQGtyd)*jZs7plxK5qD@j=5gB(}|283PuHts!H2q!Ow3Z@GFG2O zta0{?T&-B~i5Wuc1kR_eYMV^}I-bIPn9!3PT=)iFPsfA;E1N{=8Tmz$3U{bh<-)bY z1y=zHpN^58!X2B+H`1!!I`up4dWyTN&YHkG`C48o8e8{tJt)JYl zptEd9Ly^rI@|-^hcq|X%x3muxK&{^#M-OaP(#l)1+^=+6f3UGHaedM@ZO3~J+acd* z5|r1w>jdj?=^n_YH0GLGb!eI$7g@jv-RhWo>N%zQauWpEoXIo^bkmv!bf3EISJ7*> zmscH*M6v}Ztr1juW?qOsg3WMn@?|&TzVTFcAOpc(2#r@m^{M$N;g}sxS{y-aZ!H_(Z)xEn3{e)- zTVs|^XtCeP8N#`6_IkBomigV2Dt<0wTyjUjQq-bzmYYTbWU;<{m|&5I@GC0_TUftm z)p1Srh*2co@z?K$ZY=w3Zk{=bWLdS8^|gX)ofza$%Im|w-X@>Bz^?M{ zv9%Fa2pM#$!8&KhzKVS#r6C<%8@WieMR`iRvS`H|NAe8;>E&9p##mYk^WvX-j;;^c zv+6%?Xwp;QLy~F6zK*u1n&2J(iS6Ap6^*PjC3Gw#*v{|q(I@opqFHhPe*DjM#`7^AZcB%r5WtQB`;?Sd}v_^ z1hRH`sGkbqA>fR{m3VO{hJ^!n$5k}qn#40fW&O!gqAL14;kD2Gh3vSV$IZ_eLqr@X zh7ZQ7&%T38WMfhsNffB{u8hkcVljiJty;fTzHH;lKh0vgZ8KxKvI?r~?`r~G=Q#3m z#Q(&E^joF-Q?>e+M9AX!&#rThf0^r?<6q}G|3gv!cd-0_N67kRLgHj*0RTOv{{s=S z{<+oYzwn&@UvK#@d((e-%YS*!|G#<5|5&U4U2pmCCH~uh#PN^b^4}l-uL0?Q$y@$= z-}p-d5*s(*9|B~pYRWniaH4hHRc|Wm3E(tUcp8s{pTuy! z`FE4~SS-%j@tZ)#V}r4+os^k$9+wY^dN{SHY)??BfV=k4+N=w{%xd@JWOS|=%P3TFRGrI0E-L`kZVzn`1` zt#))@zFnjbz*XmbW0`)!0@vQ0O=+Fya2RIMr~=(DdELv|ZK3l?@{TusQGr>KsZoKV zV}V3Tr=#`Yeonk3NiE_~!1YI=TYR20RDrXR5Mg7rg1>32AZ7q@)xxU*p+JZS;rs)c zR91NR&K_pfT4W>bu$dm}abmM>ZKo=rG=2A$Q3{SNa_Q*pCK#Ajalg@NeVg=?jEu>O zT|z!)Cg#8(-SE4#dFtJ=J`~cawhPMB*+dyY(MZJY?0rHe!iN1I_L#@VNcYnpYX`3z zOYDfLu?kS-Iuc8j8Va&Sh-2Z&I$aO8CaITHJ>1gDyBoQYenE(wS9s4dw6X#$Iy#ti zsM(?kb;-)Z5`*brnRjk@%%?n{qpTkdG()+c*)5kuXBx#oM9Ck=x*NYP1Up$3pJWOcy|LCyI3fI?DkrnFA# zA{{2iPEIDz$Db=*0RM%iQnJuqDe>g1#O>$-L0UVZH2Sdzg6|GwO^nT5*i%wxeD*W5 zTlFB&W52lC>M64bZW$aM*lu zgjxe&thg2zhdPU%tkp_udUR22ToF>I@-F4md|Ul$p53S$xDm|0CmdQSGK#G6jaLi> znM359kc^A-Vh_fK5)t=>LF`=ki}w@EG6rgz)frlEYlLd{s3;Sx6zBwA)?o^o9o<-F%gWG$G-as4Ejsq;j*8t`H<5l=;W^VN{jR}uVG$~B+3Re7Ivp2mZS4^k%W6e0z|Ov7 zKixbSKR|ZCz*(T32xXmUTbCOHU4i@_OC!E`@V=DA-vmK_-(uX>0NYWs*br5*FcVJ8 z4STdf9VXMxwtaJbJ3@c@V=*$8dcDzQwr+l(t1^315`BdslS{iVPPZ~E@yJ(Jd!J7! zNHK%P>Q?gg6!x2_Uzow96~WDGb%ON|=cUNm(2?=8z(RKy)J^%OBEZG1kYKppIye!U zm{(&;OArETPtIV3Zt|e)=DfZX^kb-_qy|U373H>7zDvo+>>&`MiZ^BEGhk=VykHaj zQu^S9QJ44LKw>ldlvhqsNYUtaWvVO%0$F2jEfVA$2}Ca_D6COkF8zDN1@w)S5TMpS zF5E;2m!>Gw+{rOt6Fz$)SRZnoK&1%-n=0G*D~}Aqvk^!2L4CA9q-vC?8&vC(DGzTp z&V=SqD3Gb>2J838rHA5$vK<^hziK|njjd7J1gLiT_G_H>Aqio=HUC(6iLgisI3hx3 ze-*%iI^*x>B|tJ16XFdO^m!E!u#LmQ0#W1tUKnA3fW5YEbF=ZXwV@wnkXdKNb1eX= z2zaRWiJHfG3R?~JzPY|0V7)kS;(FYT;1clb?eOV7yNa7ig0bfWBSv-<_PHm|DG0I6 zEWz2`=_k&~A+qcWc_#j;NPK}#rUm7nr-~Va^{{_!xT~p|1Hdizn;$P@U%Okgc{qEH zwkBlG^E6OqdH&(*rlwrk#mh56s*K}o;Uj(VynZ;v2HNqr*GvCusW)=&@WzmMNk9IUa}7I&M904v}AuI9@5ekR-RS z>*e#kGWf z%zPl}*Xg|31J^hoe`MXie=+uz+)u`nwIo(%X({#9gIA7T(&7p#^i@S+$#F!M6J|$B zh2yJ4%J~MDsg)6bL!&^b(#fi1Rf!9AF6#9|zkiTc@hmUKKw@2bKzz4mS1Sgzf8yl5 zG_i|N!zXXw!F*7k8d07;F0;bPdFm2HY#vHu3zk7AJbSJp&(8B7+L$Doi0&yTG|y?2 zTUbALTDrg+9}&&m2Y(p!<0R)8C8#!uL>xe zwGK=YEo5hW5?eJIAT_>r^(u&QDofSUKi?mIwrRyxZ!gHhUaw>?0xA|J))Jg2rHt=uW z@-`zgwcvfut=2>VfL){?W~R}I_K^c+NROegdq$q%xJb1L6ZiUf_-MjIV;d2H3tbmy zln<6()XYWl`;5zsnJAWbF{>ShCW-|IcU#v57X`IWa8#+Nc3;k!@kULK;NP?3rV@mV`T5xttg`S2O~JoYQL@j%1@ zlD`(M^Q-l2)JX%jm-}&<>cbeeu#u0n6tM!;?%X@dbqnr{T{-k`$6`3{(qPV-Tf4A? zo*FGIJxo#Y4E6F-yia&RJKRQWCRVnOS6K z;6_3zHl%|17K_J!P)MOmco&Ao*;<(8gPANQCJxerPFE_%ADN)78ZbwpvQF^cTmq22(OXO5 z!wS{cbg@jadhH#2Z*k8y$M!odeLtTupJ)V~*e&^BjTPP?_R7e%9_+~EVD*%KL%i6%6K=&3LnqY(u6 zDpp(v-;e+%>H5w}p6nSTO2zpd7)-)m$rm7>Sw=W_^u$rnxr$MYp1lxqgq8NFSA#^7 zm(@_v`9L<5LAo!6;&%63v+fBVm@y$-Sp}phO-donY@(=*&_oSBST{8!oC!I?3q`BN zVlAI9h3g#eKF&x*&#xm&Aoz^kUDCf3U8I>ubx|o{aThfeDQ0<3Z-E^V5dvidK8Z27 zZ)icgpt-$ba6;$FgaR*baT>0VNMf9+j|x!tS?`b|MvG^P7CJ?Oi+BY)R2ivvX6qv2 zL>|tXtDM=*XytsLvcHzetqsc~_Vkf+`E2~?Ul8KjS zx(v;ar9uZM*P|O`kWT0*4Z7-mshSrqN!P<&*|J8bS__e^s_Q{t0pPliC>mXH7jXmP zd=~3Y%E@wBVka=P76UhpDAj>GM`H>Ogz``1=qw;2?U00Y0VzQ=xm<+?);JdE98^J! zVJ)7G;#~1Ja;e=EWywR7wZbwjf~C1Cxv3wEQs6?m6_6cpb9C}_dD%YV%YmBBoSRTz z8yrq5Cz19!c{#OVccSx90C{>Rj1V-+7*zb(2(^LANxct;2Vvu9*WR(qN--5-r9-I` zal&nBQ3B}FB0$mBdd=r_|uwXhgwSy>h} zv#)r_lc&+W^Vqq*)Z{IfM_!iG4m~4)2avKNDEAV9Xc5d?MSd7qn(}h3j5{RFg23WZ zxgx!1_b<;~yTMA0g>n=17%dr=>4DqY>U+jJgEY0dNKu&x1}^CahHMV$vvpNpu)yO~ z<{Rasgin`VnRHln#`m3+Z1J>Z6qq;qn~H;YMD=VR2rH$v6es5`C`VSSKWHv%`y6nF zMj#73?)JgUie;cJu=CXekXY4n@U;|{2eiCqho`d}^#YK>>f9$4a;|c4KLMj4f%Hs$ zjh19-x3oBqO`o9J2Q^HZ4n-~GkdL+#Hzdr&LUm&QWV3X_&QT7F>10W<;FB^zBvs%r zU`4W_RKKlrd~{6Qom*7W8U&{%<%Sp>^k_v#wrOBtM9ok5=&G8}8%snXRHlFvx{Nmm zdNOXAB>O4tUO7F!XPpwZcrff85+Acw{IE6P@M{RY61^f=t|m=`RgsXcp4aB`fh>d0 zP*(631pm*TN+{AO^$-jU=v?w;YyecPQq#G4M#bBlP;}&oSm~$u{2tP_Iy3fE4m^30 z3x~W$qns^UtIrdd`na=iowLppbkp@OSrA*X z8!dZlrO`;?BNX3%=dQc`w6<;ikqtCx#ObT^8*Vu9{W6Gg*&-cn%h}7emEYrO!I@(e zW%E~9vcqDZdM=)q+kqn)e=Z({x1FbY^aqIV)7~C;YR(@st}So;US$Uq5AjCX<1q?E zSR&8Cmo=`M<}B@CKN_I!;CPbxb?O*mH7{7Em2cFeGNHJc{wOK9zS_OQ7IZzFQ;bXO zdc|w5uwU?KHTZU2%3;Mi)BKpXqT#vD@LF5b!(T<3D`_(=8?G6av-*5>x@~@yCnm5= zm#dg3WToshr(qgo0%b%#cB-FNd?dLNWH51^b)x}2G*3n!N$ z<_--Z<5&%{k-RdY=*qA_X&?2FvjI^qX`W02<6>!L15FRpqd&$_KuD_7cyy}DsWcF9 zR8VLiBc94E|27r^uItWuZ>0o7UqBf{Cq*ADH(D$)2Xdc1hj0t_>F4zDo{=1k3a$|p zJ_ZZEVHFQJNGIy?4U)~SJ9(jf!F7s+RA?s+c7Vo2W-;52^NBPkR70TpVLO;7Xa~G{ z6&eAVF#-t|FXt8=c^SZjZVXjjJ67v!c|?*Hib0vH4T^cbC}Kd3|Q~?ask) zqBK>?a{=!T)Tq#C-wj_*Wsk(;^T|b4#?8?X_nL}P=+X3`5iNALET-PLgLZk9sUv=npjLnMg zGYt|UTxWTBr5elawMXUtt0B(^drez=ZQ29@^%@gQIqpn>jcixW-%0)C@6yDjc1YOn zQN{XoKLg&54sLI6QLpd!zWBXt-L%JbH*gYf2tKwxsjjosgam9DNp5fydhbvS)?!u1 zGOd^IotP34z`dH5uW{h3!hn_$#*f3iuWx-)NKDu}Idu%^^m;0l9^SiGd($@OOrde| z=u$b(UsE~P0@dJ0&8uS7-t&C9`%X+GC?L@K@O*siv9_`yDA>i--7+n6NUfO7rZ}aL zDQ;!25!sCe+8?}l`;ObiKc-xCoLLQ%L&Ib4nmDXk8)Ip)kvzYBts*g5Y87926!p0o z2v#j~XUhI(o`!!lSe4^HgH<{HWnfj#e;ru$-=2nFSk7P9zjJZ?_B3$+&z#Zwmts|a zAKnA7{I=i!_Ydj)HE#7!-^PCvxB7d%|KWD{N8BpMKj2n5|Cey9zc-P;b~`Y0asNGT zH95`J5uXz)tovB=d<+cDUH(b|sir+}M{l_=qQ16fg^U)iva-d7VI*Z2;;{PX*7$Tb zs^ohl<(Al@t4sd0k6Bw$4?nJ-WonM0sgB+j`)@2<9-#!<{L+CbdV{4o-uO`ULL(=- z>w4@7u>*Abvouz1aTk60AGluFI<+b_pP)H-QCDjZO`6SA!n+#->Rv95yE}}d_%o%a z-f?`M^3Q%_Ms+scU?d2TE%7op(=*^>5aiFC2*6hmYXiAxAhWvc%8ykMIWlSsWFJwn1dFKgARC@vW6qL)#VH ze}>TDzl&W0d6qg}yzxfs4u4C_=pQ<9aAAK?l*~&GNx*<{#q^|6(b9G;OPIpk9Ra*K!=%$iY-NZKP9|l22=$1#ZV=&#G{Kqt!-IPf5gJUb&X1m z7LH;8xb$-2y8Z_d`|UHpGiPAr&KThU8 z{cc|jXkZ7n20|KQ7Y}ibM}~XBh1&FEd>F`76_Azu&GF&C;%R}{FP@?RTz7lcaDO$w zV}`-?O9n#2G&NFY2-?w!39u-DVW`U7TqqK93EQ=p!Qo*{99#pil{&KSAfz9m@xmSl z2yKo*3Bt`*BRCB>Cj0y$2`&Pyj?7XoH%1q-NbF z#w5hBhAL6lT?!>}pO?fAZ)Hy|q4r*&T?5!h_d!u4Q}W5}A!<1Tfq^;ra4AnMd3DHk zdQBrGEyQpgX@LM}7m+WyynONx(_sC<4hc0tT6f9NNsRtRYv-W^aLU3(Bj|%J_szsb z@ll5&w24|y`@u&ew270M4x0eS85l3j@h30ctN!F=GGx=|!lZ=#zvunm3rkw4nlup< zcxpJ2m0Z=xObXU+EcmY&1D-EWDLWZ07Zgt#vNP!|9xyfh9*?@9Cy(`@3sOWP=MF@i|=Sv>l z!&_y~a^bj!l&}!70)qo(Oi^uqLXYI~?%(SXR?IuFbqwz47Y-Ix*Cs?0IWO7rPUUa#kIy=(j=@gR8& zF%0qXlkvUhN@O)nYIm97f}ZvP~Ivz$uupDTpIzpJr1}9&jXD6Xl~a-kCBOF(1M_ zaA~EGM}7~GW-P=761fl!SfBDvB2`T}_X~rW)2cSmJNBzfBqZ=^I3g*^$iY6~%%SnD zNCl3qvK6&$b2nFPMyuy3H&wkCycBo~GnT^fyCHjJCrVoa=H6dFP9{=}nvs*{9tap= z>|SwA_6)T*n+)h)!g~*jyX>hGTWdHeqK714G$ z-Q$L0J|8jhVr>5h>9=^a^tWq)HvF?-J3()s+Z|yM5~W+OrRlxdy%|qIrU0;zFPnHS zWIGZu`EhgQUMHbJ3LQRPw_Cd-D`RQZM;3tr85|IpB?VXAMomnZc=Ruq_h_<^gp&>9)zHMkMb2aJF4Xh~8XOgmiywlrb>U50$G2C0!ivZ@5uk?ukB? zbf0P-Vt@-hzU$XFc>L{@OuIspnikxf488(sg&Ci@5eAnFC3Ledxzv)_pN4z1y9~9< z-FWM|FHr;P$fdKxp6>AKoV*nJ96rMZaW*JWnf7%|I?T|0r@79_+u{K}!*TShS@w9u zkv@sm0_KDsa#mYsWiJktN6kVOqnKv0Cx9Y6xK1x5{VIQ<>mg`e4)(^NGJ;8gsmY z;hF|UUsHc>o>;ZDHL0b%Hf=Dd_$*An5jbaJmfjXbs+{s-JbR)K<#qW0$89Ihf{$|; zHa>W8uiwD~RRIpR`04kmk!@jk>yfzzU`iqxorBr&MvHDzr*0gj&6zoHrYU=v)%>|u zGddS5n~rF*vPyXK&8#$w%k-Z2m$uQqlNKZ1bIi|iL+f1M_-~nO7wOawlu)8wKHSa< zycGGJ)LvpLFqyrK*2EDtHSj34x4P2Eo)mB`4P3z8XL=&zUZmKO2+9`}jn=g!~R(Zzgk!!Qj0S9 zfYeB8(COKKGGWnuqCXHCL2G zJ@IiFOxk2|2BKJ%M4DQ*z`B5}FFUlg_oXSosDx}ABV_t%D2c4>n1TL#o=7!E&N{8% zt%4xEU!>mMh9JE&ufNVJmEq!vaeZrCvu=e&_sb6E3XycDuCj7*lsVdlOeXF+W`|k^mD&!2QDU|j%d_asaAt;)&2#}5uP-0vyKh1dkRdUZUCX&>bSiQboV#qX^gbLJ@l3Pxr4#k*lUm^>bdt~2AwHaS!n z9xGvV%Vy>H`A^xEMGqykgIFAcbfu|qxs0R31*b*aH%hATeYL7c#-#3dxm$?IJo)5^-}5f=%M3F z`9f4Q)e*z!H!OI^`cQv@@W`M4*((b*W!z-P+Kj-1=u59mf5n!#tDje5Mido|iU=&N zODV`v+#EyP>n`q=068RYTLVZk+~Jo-SDlJA2M-gr2{UHHiL~SPO^cUr*r(5Jchr*| z{rmaUi?Ntq63YHOpZ$03>ds!4@%8V7v}aW{Ua<-x2h4)~8(OBAoR&ghP~ zK5EX2dQC8@5WyjRbkJGOdHuZx4hoi;4@V~_uAWJJ<7wY7Hb$1NeR+xsk)gmjGNP9Z zu5c0eSf7S&{Ydr&`x|KWl^Kwnn(w%hi4*qr4MCwmW*v8*{)x%-x834T`@z2ioXz>4 z!P$QxNtuLQT>r}h!ymNb@6l&T!t_-5RR2kM9G74?=9s4DT{X{ex zbIw|@b|GiCPr&$K!xD?9?LtW>@p$|bff#M1sHL42Op=4$a*A(q9Er)Y;A$i}p`Flp zK(MY|H*V6fr{HPrz?Z``G-+uFwSZoFH&#-E4xd<Pi-&tHEmfm{^~fv|w#TZGJ_$ zlK8AD!4bFQp3U_A?i{fsOU&z5iEmkSd76uK#=WbH=`GL>lO_41UA_9PdDrA|wfoc1 z(6{e+H|F)D`{DgUz}&`gFM{Y|J-@h_WHH~%m9#1@?tY5RV>-y`hV=5vW3ye4CY(-CCO1Fp>!1=taaWqhb^X?ufep%Ow*d%fPieg8_9fy*_BcmD$){qx@A@a zaSWDFSwR5gbujJWZBFExMUNbqOFfDx)fSf?fYL~d$N3eE`a`hy@It6T;#`G7hv&mP zS&LZHrLeqyHud^39V#eXFvtLvM)izqqkPSbV3TkAGd}d^MPp|G2n}qFh4tKWDJ=XJ z+6SXtYgA@hyBvbDb4x3=R{5>O4}#(hQ38>|`dT0#nLA)@0s|1fAk z)w$s)d}~$yz_G2ibVPOy3KeApN+5nt)sqbP5iXiBA;Og*UliZl;75v}XtYwYWdU#W zrQAZ9D}y00zv?~lfYE1$zye^ZU`!GcD5WqNZh4)%7Fk@5z9NKsBl?KWA*VP+B=dV# z0=TszC))m;d=&|%fp~yjPYvMt&%u~lRb(zGm}|kL0U82(QqHq+`31O>x2BwET+@Kf z1VrlK`eB5O)_@?Hd!o;Syx}O}8rg3bH>%=d0Ux_+71wqHfE14cDaL4%-%<$^6t4ur zYK6^#6a)T7@q#oSfR#Q}7MHo!q!QdB>@-Of_Z1;Vq?uN4^t|Lt%8Vq-7X#+qb~#}ws6Ep(u9$a9@GK7KBoR(vn?jaHs1R zX-PK5vC5<-5&wK4)-KNwf?mb?=9v#F4IAiJ6pB+aj0NFl9s3tvZT{9I`I zbMllAI6lbYzG5^29%-Obj6s1sr1}E-%90D9*z2%9Rr}RP2({W53L}ff-y-=<`#%>6 zU$J#Y=r{uWmQt7$C53)dAx}rpvH;>c^1v;n1I7=cxS;>o4G1VpAV7@HYSg@Eyatug zJUz6I>)ZP1!No`(LO_^kUONV(`Ms*z=s^*VN*E%JMws9qasmAo5>W`AY)q;*I*ry) z$#0>hZ#hQ!Yic8zp8;B2w9&r26jem)8YB;zPr}6vdG>1mBT?l_*U##;wyy zyTU5aeyOP5ouuVf`*yUPL+7AgMs$@Y<2LaTe%GgEAwE4Ca#(OXTD~U&M;Nc4WDu_^! zaq(49nKV361UMy5ZVYslf7PO;c`gJ6c9j_XONhVgqh4lcsz7w&U}1p%gvS&g#+MM@ z>h{q<03EXtMyVN9Ll7QA*1_)=!7_no>6p4^=HkG^-&(Zx1`T;CfpntJMG78UI(QyP zPWb)R6rz@}B*~n`pAdSqP4gUTFUisZ;s(}fVs!e6KYCr#agccsB7$+ppuFiAt}3*y00V>!6{t#cm)LG=61*NSY} zD}>2Snh)0?$rXU@``sBYC-zdp^p{7dB}{hMYNF1z`=T8bkWc}lOtmgG|KwFCUvodG zBZWK^%Pc%X@&iBD){TT?`_u%MaWsYeC7iN%(ha`D!{(`i=1Upl(g{RiLJ4I6!H2zs z@$c|}iT#x}0m;W*1m9u_ObX>#+r`FTH7f%LPmNg4y1+!$6wlTaeQki&7cPod>rNG#bY zxSpEQrd>LWQsji{NSiR5tYu{pgu3~?mWWIwaLW`Q2pdsW+sl7eF`oY*8}d{O$fe^C zB$JqPjKWY~4{uODqf@yr#y=cb+rQbfPGLA>bwOyv@fS@Qyp<(o1PyRj z)Wgcs zY8&J0Z+UVZ(DCx`oFcyCwxJ8NCeWYKEJxRw#gJU3W$8VIPfH8sH<*RkC}pOuu5NEd zPXvvTxtTct`Z-B{wn4+iuwo8+KlQ9^7)FkKJoq+7EEwHxDH|r>^i3f92mX_*6k&|> z?xEnzHujTi5qC5gYO|su&hi$cuDL~LKeV{Eyz0zM=8H{QLQY!LGy119V&di{hct#^ zVnbLk9rEK{JXx1cz1^iIJXMLo{`YWA5XR?F{hc7|&eN@jnRCKl_pGpww@C27t$11q zWjmV50owLw0y|Q#wmFqQyHfq2%3{iWs2{C-V968mu$j|LJZ0&!vR0Q7i%S)Z-)k-t zAZnXa9bMHTpW{ZesKQ@eO>8tCL&?mmJ)Z7Gbc{5OA?iVjW4IwS?gx*})dN0M!ZJz} z;TXi5uR9pN`MPk>P{xJ@K7>6ivSK=>-sNn9MVDF|2C8dU#B&L*)uN!uvayk$7-t*; zMmU)aSG8DJ;w2J=xQ(W`wE$WwC%Fi+At4zS53jZ1boP=r&h?az^+R^qq6OD$C$}FA z>`6u68}w%kOH|YD;-AAI0)aq6T2EGq-Y>d`>rt|cE6ak~jiyg>>8gN#K0t;)fI`=N0a{P> zOllTqPE^jYR~g%O!9M7@=w@!_uk|&?a+hQbva-K-S(zD$7F;_mpTF~_X&>|2 zxFh^Ila4HG&8viRTe2_m}_1oL&d!$g2widmm{aEfz*E;=A5SsGw;G72TJ)jvO zc4}N@NroLhPe0=vp;-Dg)vx-iZn$~rDQm0dEiacjW(gs(gbZX%SJvJ;j1 zBD%&2=~H}QSW!&G`{mBlUq?`sJngP6iRb0H&|lN;$2I=T%Qdf{A7^%6PR@bB7;(-NO=dVC+IgIY2Wi2BXXY6fV2E7bgVglu!O-w^w>SXz%fgRM2x0G- z*`N(BO25i#6hSHs+pbqHXgXyhYR}2rYq@l@$5%{WpVoy>VxO5p_X1h{M{toKVS-CR z9KkW7)40h-Lc`mS`xGHrHmC7CaEP0XhlqHvth9rPLe?OX-5`L8xf>+8VysipkxDJT zs=Ls3R|cNDgF12pTujj@Pe&|}@}S+$=4KyE84PEaU&{%Bkz_u`vmDh3qj1|W<$SL! zn9w||i1JIOF1(^yI>@A&W!U15{v^<$rZOhGrFpCi{SY{9W1|B1Z+A@1vC% z=C(>ky)rUq0qQQJ&7_P3fq>$7u0(<~kk0mHj-sCC5US(7T$4F*rKQPJB!cM=)Lk|4 zZ*mW=%=%#0t{+fiDKMxU;!>{b*HC!|Pd?zv8c5Pz-^?V`iq?JJJLKA6P9KFg;e7pU zaNw>^)OSy{bn)}PPutq^he6kSJN-0m-y$AmP55HQT>M&;dRcv+dHPGV1LHzcyV@Oo zBG0y&eyzceH9HF2LNIP?It_KY#$QN z$_xSE6K`ps02^9m)nTI%M%2yaPr9)`3{kh~Y8EF-{E3Sw&ZPWPb69MH3fF36aiWak zhXBCcs-{9~1~=!3&iQLFb7Ti5ecP(!US4Ug{W~2bLY;5pwzNO+9G&38SYcYt00mCf z7f>bP_1svtFfDo&IRQ7jSC1jDuC=*7&RlC2Nv>0!?rpiT1?oukcwcr*UM8Z5IcCt> zOk`MX>SS5HscY2vF%qS(g61z~b!w(JzTotUD=2-ku(v6#Q~qKX8i&qVxm^GyxYAXO zRz{JMk-w_A;nzzg8TI)Sbp_+Hc7+eQeDlg;< z;8X=ZUz{PEDMQMZl5`S2vhpId?~pF9)Y~z^I3831QfDJBN=qS;LX_jEJRmf00Vn+! zEyw)h^iA?eJtFX9lG_>^JQdgb%e7c+g541qgn*?N=vKwj6WCyUodjll;R{lV~rIsHWr|NK8!p!^%a)M-C-y~?RwI1h8og>C?zle)= zw2TLjofYxp-4U@-VtRgr|C0qJd>^DIE%X%rK zxvejQy^yhql6e|uCec^TP}(9?ibC@w^QIm7QZD!X^rcz!NeEmC%trpPx1z8a`+-{mSfDg5b3v)|L#2oWAB?qZN zT3|sO7d==?21{DKdfa^h2b2b%B#~zeY50m>EWUZ&TP?@bQX{`AtYX%VwlB`9#OI~~ zk4hWu7eJ^tD;i@;KmB6w!n>#&EB_~J{!iU>-Di)oX zv=!A^t*ZFuglXWk6)(j-yjW0c*+VU%xia+lffCUJdmNIDpoBfv2|u zKqe5ZdJccE&kClO{Ep+B<6`Y*f(x|W)faSm{s^bGIVH>E5Cn6QpumxL8XCKH! ztOcN4ZMDt(qZ^KBXEok*{IiMnmy%#nOF&ntk!Azjo0_i*slEsi+2(Y6Xj9k0ifER< z9&TeKFkzVmp=m&*JZW-U2{#05W{e?C8hwjjc(auTm5(|q-LF#EjOr(kABnwFv zlrz%p?zIIKtY|m5OSJWV>g3r=V%Vvm%=sD+$yI8SO=;a5-ztrAM$GF7v*jm8CURS> zUh=W6D)X5Rr$44F%dZe6No@^YLrvxx;!TiRfoe%YPJ$5}!y2jLZWm-+xuL|T0?Xhb zt>sdYGlUYZEG`$n7A~)-^aYyt+D1!XM@px1inkYzI1~$4oa=d(q3r1gKz z93n3LY%iPZ=4v;E>3ba6n0Vjg0N2uYfN?k*mi=ldowT~1&#aNt0RZQ>0FhH?qPV#< zy#uA<;Gty=jf>KioM*gD%_4QIqj@+qDfYUhTCnok%AtR%T{Cl!Gfkf+w?eufk8`1R z-l0(#Y6y^!=Mdhm5v!lTnekcgpO_0AC6AI@vw{Pcmc`}!0RhsOXIcC$Qb&ru4YJ-M z*hT@>UtYYuchkIh7+K9}7qUdp9)`P#Xr{6bB%xz_4Za2KGgSQKkJ+Uiec{E*Ukl=^ z;04+=cB5{-B4<86nY5kIW2N`9ZQJAVzP=-N>xJOip#~%2rps@|L{%`5Olx4FpVFtV zuUoS-9X4Q@5gcjqmD{PIvi{R=hg+vs^rbHC(#;=^Ea?CaLBFCi5H5h8WJk0|CSh9k z;ZkiYxS@{dO!uIFLdnI(#VOH_J24LW5R(BBb2OI6(YfL2f`iREhq;hsro&CAv=n+D zQX+9=x5mYFW!{{n?u z#NL9=8qvWEk~nM21$L3}_&%k#T`rgWH;DmGx^={bW26}FZubt|X;WdvTha{YPrB)m z;8`1ZPC62sdNLB#K@@Nl>N(pT>~}=r_-b0ib!*cCkX(L%f<0vrJLdKb=_Xw?QT9-W zJhYsh_o|eLB{UCJ92d2NllSIvY5?-=@S0G17nMM7=+bLDyz#>tgz0r!d||PkqD5F6 z^MqptXbxy|o+U$x{}TUJ5cjGGZ7ze}1mbk)#Vv88BZ`<{lU3nj1JCdkru} z>Fwe!m?Z*y%;7ip6@5`w*{jWgYD_d?`imibDKj|1zCOaVXv;l}6vYc1`xKofZ)j(Z zI|IVmAkeA_S<-of$!G(6Ev6J)YEv~%?VEKES6X+L zK(QDL=kV_E+x~a~G{9-V>bkvgTkq<+6GA|cBmo11^6ENNGDIco1QBAm1Xo(UQgQG& zX`9c_wl23Zi5mv4KiNuwr3V1bQOJHmdI9FJ5lHB~Ns_{`mr$tP$*}pew)P+ICT^-5 z?;bdlCU@+VgZnpZZ*1JtJbW@r(R<4n@nGwo&T^m(Z(;fA<|v$YP-3J_UV^bD6mve` zDDo}##OS|=BL8O-Z&?5D#2eQCG~x~0e-m&18%6%FQHiJjTi@yb1&y!&AHc}}dq&Pb zfZqRO82PV6@DCXIe`VzSt$X%wFf!Z!M~s}mv&;V$MrQg}%Kx7kIRmO@HkhOEA5%KB zI)E`^E0=FS;(jC@7LtR=Z~;ceuj^GsX_2%y3}QLCnHG1%O-94LVEA@`|!IX33uFz9DL6Q3qLgK@tCtLE^7c|7dB zC(k^Z730vRioW8xfabAc`KIHzVXIE)ed+orKMJweP6x%;1DiMbQ6RTIw8ltaicz)$ zD1-sV)Ar3kjopu%4jti&TDo(mLI2qw1=$j#QFq~KlZdv4XF-6e;KY=n3BwIhxy@xW zWNE|lLYFxTS7mKpUQXUd(a&37eAz<+y_us4DNN(*zf2la>iA+a!c0mnOr zctm(`;oHq5Lf-3hTUl<(w;tO&}<1ta5SiG!YnvBq$v{$*m(17JP?;MA-`(iHYefI)Z z^s3RHlDnp&+yp?NM(g~1aV4^CkztAcNSLz3DDk%!Jw~i~Tj^l{PK(gi$c_f^P5x{#4J5FoqX4WTRUrj1!&t zRpC@mpAd!^l-b4;1`7;Mas#B0>NTfSr*;Oic-RFoA4D?$e>AtF7&|y)n(HpA4`+D! zgfqgUE-;@rT4Him5CNg-e5xd?w^ju;y7k34u>mxaBf%}slj4#29z9d!b=lEbo@5(0 zFCx!#xzI(ySlWQpC;;@Pu}rgI0%ojYJ@I>1IU293zTel3R+Rs{ZW^~1cJg_^f4iE-E9>!jjufO1A37r76HCJ}!`b&; z?Lz}cSPP~?JO4GalB7@N9H_B_eX8${CgQ0m9F6xjGk>o{$@oA|<0M|h;AUkDg@&1f z^O>-s2EfW#by;skT0CO!oYhi0@T`7I@^=8>XUYf#5u&nB$lqX! zLo8J8EI2pyy*@G}%#oYehCpMNICWJG?coix>Qlbo%P6ZeU-}gH*G>;7?ES~)29AcF z!e@UT18hEFdW8z(%(bH#3bQw5`g?OXCgon}#X$1no3;;S-`qYqPcFAk z^Gj2s&L}YiX%2Txe2F}+)=Q-^y9P^3S&>rQgeWIQg@CTt8zfjzMmt?nWB4U^#eZEG z2XctX&z|AEiG}E|dnPP(xeQ>Cv~yqEzs7entBTIaqK!fr6kCwGl)Y6P$2ZzKFd8g>e3x}8K8tgyGWDG` zDUKn#E+0r`4VD{OI@e{+%Yn1ID>M6k#iv9MeF_@7@y-mvJc-u*qf*tBtaGb>bzrE~%KN!X~c zaR*n+x}jrgVa1ju1B7JaJanOwGq9;gniPE0{Oy4{*LMK$j?)u zGLAhv1T8F0R(cK`;xIXpRwU6Vd3)d6?@vjVW~JBFl^#<&aifqMb3Vl55Urg(bH-D} zj7JsGLOvHn?$r+)oahLDD1jZL0?qJ<{qq=65QVa%24(vxe0vD#$}DCMj>TiJp2&a# zdrs$gb+G;x>b0}jR4r*zGMP~uDM^n$G1em3?Vv(ULsh5(V^vypu4BGH!J#Asqmr>? zdv(w>tM9?`cMInq^uAu6-792HmJ@)v{0EQTDYZY0h8;C)Vwrre_w(rc1cY(ElncGJJizjcB@1g~Wd^O{dS9oy%rjBs_@#bxSuhg1!-reqESOH- zCWvb-VLM2p*icgNK*5Wsg88!5*WBj)fJM!~W{x$#Wr#9h$WTVeie(z}aJrE&EFsuy5)zV|rXbY!yr+bxSCf=8)-=`4 zsGi*^?q$*v2zVXc_`+)uzGkkw7Hb{uKz3~#`PeKSR`c(4j&;{8{Ir$a=gXfx@D2Jx z>k`gq=U7=Xh6DJuqZDypWqM4ydBHu3fg~;Hp2ku~jRF5e5KOYp)!i(-mhxK@zeq;{ zs%UVtmOd5?#5{(nN?~xUM%5w)S#i&}$n;$ivM8tKplK>(PmX^{t&Hx+;!xN#eTfF^ zul_YPcxwaU_nhqC1GfdNzVjo=uQ=S)W3aMs4SE)cx8qlTe%txy!TAfN1z9LH!W2v0 z!VPW?FONqd9wxuts7w0E0H8Evk7;G-?r>dYGMl=}edL=qpD z;7q9QZy3CoCwi#q5L^3259k$wbEE~|DHn7MiC!y{NO0#=uW==nm&)rpxRS|Q<-tQg z1XnMmpi$L(aTjrK7`*Sn)VNo_za$RXQ`NN90nBgi6?XCZXqEUfzk?+8YpA=q^Ik4W zELD&!2PbTht$3lB!^@rqk5&EPu#3iAD>JD>ndqvv>3ovKAQuYFbj7TwCXL%lbM>i+ zT^au+mKF9p{tO>kjmn(w$?hS3%Av4B<}4LqYtyYeHm5s-QDjWSw#)BWeyf~vX=mN1<6w$5xcOx*hBB5QzHX!JlVYzXQNoE#E5>2G^H1UlaA zYIkSj;^lP@H^wMjs_ApjO(!2^7hspr&tPL zWlQI$4gseI>r5IZPN($rVQ+KeF{H^s>sE!)-+-$+G!nR2)Kp4YD3zjYXpwLO5j<}4 z)k^ju(REc^@|4Q7or}b0!$~vv@2&yJ z!Nw)t&5)yw0g191J*%upkePz?BK(Pd3g*DF`1`DuYE{p>C(hx#PaPqjk_ruE~ z%eIuaT?H&}PJD&7*Z5+i8A4?MKs73LL*Gv;a7n?t2&_l0mV9M`-R2^}A3(N&Jdn2e-$}#iptM0 zYU!&sZ|boocre~Q zqrMQwo|-eR$6T8$UFfB9VsY@tU7E}K4j(ui{4xIu6R8K?%WiKvfGe9y+T+Jw6=SI6VY{ z>*|-KgX`-_|2ozA_bIS?hc)qkwetG6R>FU`GU8;ubDVExjkE5EO|YlzZ{U*$KB+_r zMTYm$iQMw62idww0dNe7gtLcZZ457{)iy0f^hriDiC9Qd3s4YO^CYojAFJl+UOP%3 zrHxwL4gN9XtQOa(td0qZGh2%aatzjNDlRs3v?{iruZ!HlxUPsl2A9!A3*+C^MIOkH zZOnBC_=g6s*C%xWTA@9ojZbmz^(+dwp-~;v5^J=G&hr$MxYF5C7Hep&ECRm~JSd$l^eV>bDzKkp342Ko`wRmFT*qAB)NENd30B7o=-iamt!7(G z3JUvT3o=;R%*7zMbD$jdeKtiW@mYGqJ)MAawWxxD%WJ(<;ra5tX~;8PpuH&j1dWZJJ>8Jj?cf;LF@AEV~Vp$k8M?B@; zB?3+kTt2+V*l~EaxowR7?<>gratbzy`*%8rr(XJf*x~$SN>Os5(+pAisUsQl&OdaR zsFDZ~{+^98Ij?mMc8qldY|aFfdDd~4;{-(6ejP4^Q9&%AvfZrrE*`Tem^qaxt>H0m z)M;jsAh>Lt(-WJ-&IJ5_42hQ&YBG=@1am}I?P)T8aqa#}2@XyZmp8wWzRXyD|a{WtI<{r^;Q-J z`#lk{Mmk+?9JGOM?D*0JBRi)XK(SjE6m=~A(+1$P%H740?@h)@%;q?>t59uNz4xk@7?7|($NlA!BBW(2%BnkFlxVCQgrXM-^jG@Tn3IPRB8!4_CO!s@XzSqn%#vg_z99 zIy;7?|--(|Od zmcRZ}2xWi!7W}KKXKiisO#@^5hl9X>0-@}m)EPm08{7YoeI{*WXr}iczAL9^|4mK9 zV_^Po4X*#z;QAkiv5bs#tp82bSQJ-lsoBHw;9`R#3ubQ%IFESOt{rdSQwIS$?%t%Yr0;eAW+o07&}EBQ<6)$EF;%!LC%07tUnkr{Tbq(B02u?R znV)W{HFHmUNgY$LaGzLJViLI@#QF+k6vmh$6MG`zoCZ@pbCaOE)3*#u5_Bmk-_-K^ zp`trK(Q0SEi@LF+2J32txrPE;TI1w_<)f1G>VQ4(vkTP1W!8X)5DQccp?dOmNKR!E zXT4%WK(@KOsQiO@2{j}{PE#U8&L6azE8wM)#F}6W2(;#K?+VZ=P4Cll-%B?l=s24P4O4-yAJ24iif<56&(rPSL&1Y1caB^ZJ1VNJCe@UT7Jsu>w}ckphZ5ptd+X4*+K>9z=x zVk?vfvJ5@eka&0ek?vUTQ0R{Llq%gas5GqojKb0_XFWS>6OA<#>?-Fhz~E;3R!`RV zmp#;#jg_e&M$mBdRd{ArMQOccoEhgJZKHm^47L|-+@&C?DSpP2H$I8}0XfKQ5dMT7 zeFFr0RY91~7nuN77PN$BV~UQ7Oa4I%^`wT)D-rDYqby|= zHJ#gHGs$otz!D5b2~-kFVI$g*ih5`j`WhGA7dB3aG+vxG#y;TAV$c=KhWU9=S z?NkQgmakfmHNP7hiJlsW31QE{S6$ZxRLR^~f=|?oo_IzF?mt)0xg8as6Rh~bP00m= z(RWEm=;EFjNTz`!$nBK!$Z?3Sth99!(W2NIp|NrLrszCfUtd#{Gm|n)^H(JLg=6??O8~w;Oq@B7)U8U}OjBR#%#5gNi|4QQ2(62#dSl%b_sN(qC5pzo z(qI6^RcNfTdENi4SvJXstr-6t0}do89xTK%lQWA^sLf$L_{oETO9HnU%L3)R^GYUWnPLTCniqX4I_1O-P z>x0^y8KY{VqPup*Hzxf@q1aNFfc0q8wt46cfVQ^tHXTNS7{;f9QpNdo@g@G?Ot=SW zYQgysh!pRk6KtWa(D?|9AO?bIuw&=Hu^k)z@9f(AA<;|-x|E?N$kUtz(|pr1=NTW5 zUT)U&D$5K8gB^D?s}bY0ao)4eO%F53Ley{J&MW;HC-TM-Xchqw+zE$wjQ20q zhj~X7E=wHY!4~_C8TK;4=Ok5|QqhNP;)lpS`}E3b^XCc$Q_0a$`(^TB0-tJG=>Jrk zoz>5Sp%;=ytP~31$FHr!D8=d!roQ=24uy*KgHU=%2QbS+0ZPM8SJ^m|Ke`8|P^~zD z#fST&QgKfR2w!ASTi^llQ>Vto5mWfu=cjX*@{B!3J#?0S7W7NVB50s4vL7SwYBsT&QYu$}k*YS*gu3w2nW7^asL zvfD#q@4THcF#cVw=(c;zb#I;D&;44_FT7qb*l@h6D~4CIbxA3dHM1An*=8KQ=lUU^ z_ZwczGv3c<+@_cA#bl{L#dm0B3Tm|(=pV=Y|t{t^&Tn$>524R_0S$e zrIr-_B@QSo44heGQL|4CJ5q`{(jXVo%8@4FTk!8xU1O|)aMzS)le-z#wBO7L z$F`M>x){>;hW(u#MEvP8Lqru?B&p6G*rXsaDfd--MDYXD2+Lvjqw^*c73GmZH1L;o zVmZ@E1A%jcj?2U%zsSj(j@mV9kuRIKubxr!zPg6Hk-?v{hPsh=zqE#VZ8M=T-ifhX z@JpB@h!_dUsh1hz)}=H)zipY=x|70s@&K7$D--v=M1-SxKEaCeL~*}>6lYm6zAz}} z09mXq?SG@s0XX#isqkUOQ&gI(@`6IXKLnm4M4n%B zR-;M0rKUTHu(8~uSVr;Z*gt8HX0+4VML`dE#yp0hDmI-NbEbICR9WzqrOe6iB)DZe zQ_he=G>KS0sb#s?F<4EW&Pwir47q**fb8w`Ahi_HITM5%`Nmy~io8CD=?Vz4$1%x6 z&_+)Sp*GIua1~-S6LhDd(D3zqV|F%8PU@ZuLEnLl;3-RnCB2)6cT~A@P>wqDb1C%& zXMu$WUV<=r)}*0v-Ob;~w(N(LIjpS&PQ=0)O<0@m&{y=6`MTXcTi(?x1P={X&AihI zW(=@xfft>hn#Gj}3m%$V4T0M4ykA&7-Pwwpj0Y1j_2WnO;QZseHSJ~PZKoNEcE~KD zK}`=)i`SL{QcH}nYOxedu%_l?l6(Y?gMY?;h&CJgQ(deIteWXxuKHOdM-(_(-k3JU zy)~6UBFX;L5diQ-rTqT-zp6j4lRg0#kCj@$TC>I_RBW&DdHa#_tGhv&ELs-kiz{_1pv|5Cmm)44cQMo@MG)+3C^Gupr@*?txvbzcvOc7oRGUJ0e4x}#f*&xgiCp%{ zPrZ`UO_X)rau{dbLe|>lT46gk;(IIKAxXZyC~EkeF!QT5z(PHaRs%?&5T&;!T{--X z@e@s8RJ zaSd~$PI9_ZU@-yL$!R_2WfeD6NIN#^Pv=|`IKzwC??*sH_Qn}eEI$~7Gb~3+D#HY% zUrV@}8q^fgq)GXAoNE}K*HZ&ke#RJ@q<}o4W@FkP7ODdP;1_-$Lc8@*nHkT|QLVbd zP0>S|9>}H5L_^lI@VV9;!q?9;0Bxpk0F(5#$WS153&m=X_3xu(&%|WgkpMke4aEf8 zl!#)w17v2OEe%6R;wJ)noUulL?S!%)u&RSBdfcO#;7Ee6Bxowi zT-=(f<}eFS*Fa9jsvGI4inK>mm~r)2MLUgUrZ3J?38m=bov~1&*D1_1Kf z4=0-D_>!=`j%8@B&#KuES@ShtS|^$IYgJ5U7+B~3C=6WGgYAFTh zu-Bo!!SwsIC29c0Z~|zHjnRv;(Z_nb^vAowTg%7I<;BNE`sC)a&EwpUBs>{}Pm-wg z$!cC7w}-c2u=3jA=;|HrhOl}tDUAp)0yA5ur<3ucNnY=dm+tJ(k8atoSBA28RT0b{ z*PkWO06jAdz;k0L1F-3|C_&XCq9$`0i~Iz+Fip=BtL7<;?z|91u!h8cW_F}bl{elG zFSc>c0h%MgO*I2&y!mc0t@e?;#d?qBsgeV>n955Jf*_xstR8%A`0ey6Y7bc$3FezT zCt21%7c3?BjNc~Hnb+gANT7%!6heG&^P39Gj5IT@CH5_=G^W##8FG06t3w2=-#8ge z8exsDg=`~I@-8>V!#uDz>J_(1#uLFEYq+M;jw101^Hh&@c5b;idTl)Ga*cWvqAf zpglM4e91#BE$MoxN5WPzw zHvDC;1p^heG1Hw~N11=pQ!gO2h(aFY=lI*I6Zfzpl-(lY5FFtgq+47K2BJr>I-Sl~ z{PJQ-P6GQ#A=h9*gT~p8FzEWPGLk%rUk`JPqj;JG=0{4#U4=pZcQbeaGP#;i`uDuy4|XnW|7*k3x{uCEaw?9`;+ z*+Cn@1F4GNnmm#%QVl6vKttEfr$v?wvJwwQ5p)Z9NK;6)M?uCvvNrA!<_50}!$KW| zsMQHvYGQ|=_rz8j{}D*MP1Rfc)T+*a5|Aq(Q+ESrOpfcR2v%~}cEkMTwekXXuioeL zfFrgS_{qfRSzmc+eFlz)gP;I7!9Sc96eDQBCi-zT+p|0U*h;7NwDIon<&qsuO*Z_k z>!_7`w7Yw;d9=ye%zIt{?)$6%sCjZ8wE~~!+Z#M^&N{IIm3%R)Kq=#fo#wpjOC);J z00IxdP%92Cx9R@N8lE-H*y6S){;u;>H0QS2GQO~^LgM8)Rz`kuj5 zzA%_^^%xv@rE0fiu1gqjmq|cB@5^NH)UT)ru)-KY<(nc6$k6$1Hi0GA;c0B_dv zVswZ?0J*}#(cIlV5c=_ML*gjKtIz{oE&nw9^ylmwVY_C4d5{@Xwh>&(FK~>=cVeAC zu>+0PaEdv7A{nW0Yq_1AUs{8WeH_RAK}ELMJXehT zh7Ns9(dBxFIQH%54WEeDUoCo;QN)5-vIH6v^1eHT`Y^%SB7a(4>iAg5)IeRhnVZOT z4*i0B<)t$YH;Z3*31L~yQ_ldFeXRcgHq=ihO6AYqJ96L_wMmF`+J#kA7$wqRd9grAH)yI8?LLbU1ub?*-5@Jc-TSh3c?y3z+je}}In@Ek;WQw*f*C>X!n9%tZ0=?9{;$4G1g=Qck$dr<4qgFxJ@_(^;| zQ_Pubk{qHUT2HO#@zM_-PL`c|3YMT+h*f|qUk-|?1W>!P^=K33rlz4Gi7SV43$hf; zco{y{L#0GMl5LF3YMn1NNh!7=4SU%TjlN9tLK;)^G7@XeUT@~}qD7#Bh^;_4SHb38a z#LsGQNr)aFYbx}pJJGo(J6Lh=EIuEO#_hGk($(1mbeiNwlO<|4N`dFdKv37AK{kA2 zC<|#o96ezuVn0;M(sk1~S(#3mjbIj$tAE(rXfw7ADT#k-Ap? z^sI-9PZfb;oOfVH&`!lG4eQf|(d&UMGBK+kMH@$mJ0XOBP^b6@WDX^RXKIFgPEn+M z@g>*R8SqmNt&hwCG|3QJ@X|DGRNds5y4F4335HYMdtu8`zT)i%6O{8Z{id$Ovjm5@ zkc3`@e7gf2AppyF$GIqK*n$>T@zJ)GACk5XD8TNV1Lr*TYE;sHTY!_#=5YrYB@Q7~ zyv^GdQC7~Rmr6xLE)QPZwAQR}c{16ysm@m-@T?tl0BKg!d!gl8exrv)L!#GR;f+Ln zQb=g$h!Q}^FeiRYX|c7fP76#5yvxwKr^*erzx(sY{f;P3BbxR^6uUYCb0s-PnTmgX zLzf`YZr&2fP@7SkrwWH8{>Jj--NVVH{pF2IeF7B{kaYe;=~qJXo zb|K$U_RX?jFsi#<1k&=*9(Qr!t=R{n?vJ!oCYOH?m;9e7O8;>+o}G@7g;vVQ+QiY6 z9*>@hf$rN!#lg|uNY4ryLM${w1x!sz73FiWT)}_Av%KNGP zBjao1?aKrdI0vVQU!XcmC#WHW8dG(Yk)+Ct(138y>P;)-ryOLDv8tiI-!IelJXBo| z+?h7fVc77-1FUcmEN!4P#(oiE;ckqSN5mZkn3Z&cJc6Js^*wKdYjQ)6N`2Qp`V}6( zVU@Q}`dVgHb1XVOtQafJ)(IZ&KKXpY2V&kZMv&J zZYkT*u^*ITjv05gCv081_IcbhQVspA& zY}Gg4w|l*f!(eeaK99^A49g~Db2+_EtUCOm-PtP~SFQ5)xp;${Y7@@Gcxs`tzu#i^^VNQSmA!6Io+ z>_3b?lszc5_wA6OSu%67ZhPhEoXWAN!Ymk{Lp}-I61ggHS@byWe29GTebxOae*Ni{ zkbUj&I8QDYu$RL|c^OtbCwHToMnRouYm~qsEiQjVVJ1;jCqk|wk)U9dZLh>$nW1V; z#*5JhjSZa%EeI7GWZFMMkz1z1f}cFwjgYm+mft;ftcdmjNUkG@Au4S%i6`B5#k5^q zm%hQ5WHHkmv%Ziw{l$9!;dIh?tcBK@y!Ax=ivOC+e0;dgzg>>v)fQK7St9HcHmhTD zZ)GtniY}rQTUO@sV^ND)4?*q%xMS+jxg{}Rv3HSw(RUHRhT;mU-F?h;!?j1v*bsNfV5o73 zdZ=n>YDh-JCx5Gi@zs3XoJi>vlZO7$>X1w*Y~{KtV?gmnV6LN>K4k34WJ{e{v%IDQ zJN0vY>q+JkuHF2NxVv3ifJcE&(hv`mSDyt%mq$g98)7Fy3C4DXksff60g*mEM1E{ITLeGXkUrKBAwX!#@3)kZ46#1elnBG~Aq=TOB$YGAcOYIp zTBCUc1ftlWhLS!D4T*76_R1birFiJoIBX>i@p7_Y6-Am#e2+3gr%~TqGF;m0I0#c} z4R{Wr@O<27l){nl)*U5*+q9Z3<#idlFRpK#=B< z$c+=uHBlU;>^W23#94rE>z-peW;|KTUX@z{8x8@{(O?V(!rMXvN38BWWb1txW|CCL zf?`A^8PXG^%pyj|q^Vfkmv{kC4q4P=0H7ew`-H4n2X}tj(m3xzRU|3p`?M`NX6D0pQ2uvH0`SNg2uwZ7^MKA0!AT*l zUA$9p(Osg9z;Ih+>%Po1NLcx70Wu|~oryi-4t7o!q{x8p^1NXziKBolt{mj9s9v8A zSsz|n$PaijKOwrNT%iI5$@2jktlajMC~UScbhZHY=fF!nbeui9C4G3O9)VM#(1c!e zs(2DzSYivwU1dec-AekNc*X(ls;5e;2iu2Or%Dc)PHJy?+!`3}fyp#>)kMwSi?6~s zq1c~bO>BRBEGN7*zwVMl7s-TB>RsuV?5c4XSwn|)Y5=qDPN|{zFpxY0tT)of*2D$i zzXC_}riyBt7*dwqm=L*BUm(@vWh%ry97SNal6xW40^ybpvEc{GutwI<{SVEv+JG<~ z5BgC^>t*Jjt)KG4UeI&0jMgV#?xA?Jeg`YGu4z^7Y`dre=Mx*CjZkQfq=d`&Zgf$* zmD8URKM-qT3`pQipQiPGGOXIbcdvS59jH66|%TDX_Ofz5a~u| zRnrR=iYZtmD#4E^BPV!HM0JQF+reZP(>Rh(T+qtjeRhn<1L83#oED)t+Zqcum@x4$ zeiWd=JfXj{C^d{pGXpKZSnzC&n_a)nWU~dXykTF*8KWP#_A)aifg@2u_P1@XqJDn;JqAA_1}&8bc_KGz$Z_UOpt8T8O1eGUj$=+)fM+$qU>3HXyi! z6aiIs_+|XE`R*az#%S`_=1^r<96c65rrp>S$E^5$2_u|8wY?F&V7WeElpYw5MR!8= z(5`&ByM5PgSwprx=srPWg>%LZ{kR?Uo^J?hOo?;e051bOHlv<)mT&Y*I!&(GO9CXQ z->AEyx&t5_am^!f$_A+zf|cSZ&?LpNLt~T;p-+ftW35N}Di4(HW3Whg@d*zIUeI6Q zI8rQ_5Jgy+X9zR|Oy==&W~K5Q;=6v7WaN<3BtzQMTcf(fc=#J^3~xB$+-P+DwmDdT z$*15ql9N_#RiaedR#0^667e(T0)6DU=|wa}zhA-*C=SlKd`FaN+P=%g5^1&r^TyfE zH)n!L>j& z@cPQTWS#{{A`*~eyd;?`oNQs{k5ru;T zq{JV1t&cuLAMtUYqH$_)UL&e|@cwZlJP=~~fV|6aps$U;k>J%Cq-ka6GblS}di!>N z>xA%2it-toj#F1Ps!2IL$}sIaHkQY39|B&IP?w`m5i6z>hKxJc*p%B)|k z53@!(#I1VJ8}M7h;Ie{wE-mSZE}t6y5F3cGWXfi|m60*F8Tygp(GYH~iQ_j|@|v0ZBzOyFkFo;+&x{5e4di zpNQ*1GelJMdlgDWj}k=9%6@2`1ZZ#C_iAnALO5HoCQeyj1%n;>`7KfX`9VRMF64i>5Kx*aL_)4#%(qw>bBd7#sme0}Td4{7Qyqs0 zj4dozLghRO;IkY7aU-G{T&MPpAWv6!Bm`L&uGm)j^#{i>R*)26e^RFf2~nM!8A#vN^M;8DIgpSV8E3V*r!=>+uRIdS;J z<)Wpov(~#m7h|D;JrJ~-?w4A+^h3or*H`=Jn^1nd#h9nV40bhm>xZPO7F*YDwF8CmchGI!S;c3pmo6*nd?Pi29FMZT z0h|kZdo(;n^GIpuO**#Hn+Gpk32!ND73ZNT$)U+W#6APCfzMmP5>xIoA%)Pc>|%rL zWbFlcATpA93wmP#l^A-U^s3~8;&ARdyZBR+E+>s2_#si%MAby|Y*1;bD=8|!_n7Z0 zA7iPwA*-RmhP239m2|wR{!APw#JdOGmxq7Zysq6tpi@C!sr%_#*5P>g#qwZ%A$rOC zZZNF~{=ys^=ZG8nkX2YD9pcs`Cy>8#vTD3~L6BKw%DBC1!KqIq8r6_4_Nm8q3ad?2 zbVr!ZpYNd3)N4&a{=4IOs`6r&dcul*){(A zL@&=fdh(8@irs0#Y!@OtN9JjQU%H>O-eyxi%5$*YoXRX)pU|!DFQ;)PvmbIDV>f8q z83{z&_>>-k-G2L}SqGi!?J?l6%|E90?5aG6wtfJ3UvmFkPvNeV!8b+%6Os8CBtXa- zn`0qSxCOUG1d8NdmQWDL!Wc7>2NP;6h(e`^IN}(q*ad@bCF55}YT-p2kX+`^FQVPj zVxg8)_rXe#Z1!S|MDUPciiqGj-EnfsHOs5B{U_(rs`=;h9uRtxVw*mwN@nYDZU&AR zj2MthD+&3^8>%H|IcYS$@fxil!Ee)5g61KqpfppgWetiiB71mLN-K*`Q@jBdY8vF_ z@TH?qeJvKnM%HzjWuS+-N|9uZI;N%osLK;<4wtUxSdnZo66nrc0#}QVLa|WNZj

      8A#Y#DOSLCd}loP2aETY5A8MU)rrFd6(KuvYJVo>fKgv_u|>*v>B`= zd&@`%^HWgB?>n&al;{~qpRmIDbYGTj&*a5<9jKp{g6E5{bzrqz_B6bd`0Gh$7OU46Gp_73UYjZ-E{^VVRK zjbyI){^1URrLeyGNug^i#`vSkp+mCG(NWB5sT%c}49P?fQJT!k7&G;Fq)GUaCD)i^ z88CahRr(2<)a3A3RbH&w)7@mHG0Xzmes~%6t0)PvS$JEJ?8tZAK+DvagN<1+nP`jeS8XdOZSwswk^F7509UdzuJDY!cdZqXnQLV61joNRp6ug)~ zTb_OH3fH*%hB4n6aS4S&S=q~eqy^L8lAxnzE1<|RQ$KhS>$oUkoorg6jigmv{v)d< zF`xDW*U1ugDO=N0`;w}+Y1Vz+vs_^YG!^$l_&xk2(kt~#l@~)f>vzr+18%RNj;gyV z?$Zy?y?$|QreSIw> zJEMg^NhW$j9ahMlffhV!i%Exn>A_Bk8;}k@GfVyTG4>2JOqy8xgKSSy`=q&B+fjZq zOHn?~QB$)AXxO7>U&wb4dj2fXfcT0QgRreXpGf_693Dwqip!Y+j@`^y3{( z&{`!+o<&>Us&WD^j8Xzy2Nes?XM`<~M-G*p7Dup!Zul07H!@Qcn{aKXZ@cLBn&yU( z6Gn$(G5(yKoN)J@c8H>Gdq!-ldRXqPLknq{d!!(I?a~tTS%lipMzzb({_qdXJsdAminnS z!x&KS7boVrp5f#HrbVN?u;CW&E*1?HR!|HoC48*CW|Fw z_T9B*FiO{Hh56iG9WXOjXHG>G(#QvVqfD|h$=2VQj;v{ruemH`%-Maul@$r^8`SnD zjJceX65ux%O+)sr{gBq(N><*tHAPWJ?EV@sc|3$_KVMZD+8HPul!o%=vy$-H8u5qCRFi zJE%zA><3ej20!|(F4QZ_!wvr>;-Fvik&TPqSqaQh+`tNEcjr{`6mx$8l--xyH>e9W z8-5X{4YCYW)zG^@{R3V!G_U=V6z}mTO$xOEkE;%*N18K`9I7Koa zQYClzUC0yV)J>HtQgoV34~hVeKfRFmi&Gi;GrjC74&pPqr`#FzJ-KxY4m8feI((aB z{~2c08J+T)pSB|3jerf%0Ptg5`o46Uq+e&)p3OFh1v9*=JIx2rHbVM>VhhJpno}!P zxn9*Q z`Hc@L^ge9)U#<$-D zCrOf-@rHUH4DIXUP&%GaI;c4iPl75`B=HjwB&g)C8wh%WQMY-dg&y#pqCq;rqJWCF zYLUByJc*}3XdqwxU7z(&^t#o$vASiu5xU_Hsn9p17Bg3cHPQ`3likrz_#x+kKPS}J zp)SH$#nvx6BhmZU_Dp!MZ6>t>uRYh{ z@M(W^z46?&`Ej;W-8uZGhc{y1p;LE}*a-UV{0($ee=0 zy(;Zxsrmh6;e2FmP}O0gLujH-7d<&3LhPt3&Pz|yx43~JZgbN%^lY*3&6|r9sR-Kq z2fVlGK+Bavsv84&w)W?8bOlCXm9A2;H}UDnob=RKp46`)G!W!3xols5;Z`CHO?M@O z=^ElZJ-TNWhW|>~KG5dD+dO#wj-Yn2y@$u7^EqZi$sUFqM)5iNKzx@YmP9mD82&-d z;x)rTkC)EA{OXAfy-mwESqGv_)&Sm$Mrs#{Y&XJQ2ADPl`X5 zUQ?fR?@L+P!cJhaJWc1BcltN|dDm%4nc=<>*Mi`P;NXO{c|$CMz&BuUFF7r^VbpfJ zC1o&<3eE9Afl%ix5l|)~S(GE!yX1#m(XrO%vL+TK`2EI%5E{o`GjvTkx!M9 z$=xb28%K2%E%hzAl>5NG)A2mznaj07{Q~XUd~Z!_WB_`_c5OWG3n*03O<6ymt<5&) z*fqsyS~5Q#_OHaZA5Wg$_aG}F_xQgk_k|N}qq^wMS>CuY z8Xtg)@N3{NJA*NBKV+X}@&^l!IMH|ydSmLF0>5!tnL0ZE z@5L}X0r?xpW%eq>Q(gP@JrW@lU>(4gEe{n{w@NQ@f|+n`@>omoqV zK9IMUHP|yTZbdUyv|~TdzD`a@_^QF7tPGo(yR>*=fl29*7j@^1h>jskD64_u(!rJ; zx&klVtdi_0c8c2?x?ySDq%l9%&T|?JbKc~f=>va+RQ9N)ZJ71kg@zRvE5+ zr?2}fjJRM`hC0yrnjg@TQRrcAa$p>fshjp)^t`^U0axJ*u7>$=&Qhn?@_1WxDsaMx zOM*6!!Qg#S*rLMMdYD6?VaHDl+0%Ddf9-@p{1t-bzjA4P2n4A>5k%GXjM4gd+qxWLhnJ!b@F7kQk1Ca(lT;IQa2!F;zqkO)$ zDQn@C(vR?IwQh!UW?a11jbON0w)Graan42+4UH@gvp;5IQ#@=Ys8?x#oV#zI%LGQ- ziP++}U$GapzD3sB)?0g=us>m3bwF^FX>ldSRi$&1p(68QTxnDeolBbHNbi=q;wO&! zna827&dDLRqZ1S%gEMPd)ckOJGfOg?&ZNz|#x*YKn=qd!Q3!?A-@O!A#75)}oxEF* zY7P%DPiWya`Tvf-hjJhegDO8HthYC|5{Q->=n2m@s)oCZgLDEL6 zg=7PjNJw!GXH(L|)A0GdjJn7N;K*v7AljvW!x9_okg4%}_MNIAI2?9Ju)iCQEQ6V*mlG zOn@9V;L4J5SP$JytW2!5EpgK^EG|xFR$(R30MK4gL(pG`zMKN&kcALt7G`#4Ze~_r z3GkRg4tJ-1Aj$?o2>0exBl2T22|F>dpyg6pE8xrzuy`vovvL*7nzMYvm4PQ8jQeFa zBQrC+s~jg4CE)HmUkDQ5x7DS-+3Rb_q6cwkq(>znTSMuXq9zwBYq!)Ab&w}xV=8AK zCTZhIEf<>p>jjk8@w)Qx4o&LzX#}lCvZSW-F=*)fLO&)*psxtU*QptQYKwC?2m}6PS$H zg`hA54V7>@Fc~`x_7N|#FLX6NJJ!{{b0q%`zY)LL+RbICFKNzZZpb#y9WghAJOKf; zeME*y?HY4{#3y?%eNr#xn)YnO;W63|_lB3~jjBqBGii+RC)Se0e&5Y*5|+C}ZKr-` zV*F%P^3Pxg(S9RsG5n^{J`l1K14q3aZV;?<<_&MtwmwXpe8-iC4+3bQPG;R2KAIA}g+wt&z+6(f4+2V|QG01ZC z<|6up8TqQd(1AmCt1SBqjT=t~8|ti#4D)n-7ssyU3Cp_rlrfEAM1A(CY2We>0n;cC zGh;`kC_01uQf6_!oXq`58F@bQW1&R?E6l_1HU?(Va65b;8QlU0daZuF#AgLp+V=n)Eb<8fs zF9Q1B-xEYK>T_@D2GA5JfwQX!85{}SgL9hlSt>Z-B@D!2?fV6mw&Rd7;S?hyx(j_5 zN&5xBnCwC0EeGJq_!lDJ;MbsWP!qJ-s{Fuy<$y?y&~uC5MRL{u3!a*;rZzo}FZffR4$j-<{FJkA-?d`;*5+336oL-s`c{N;`VJ1Zu9SZ&%&m->2s!>hk-qbh z)3-LJ7gkn~P?DzpP5y_PR?LM#6AQ%|V*v9BR zZkXdAK&ODf<^TT35)&Ki-_Iy%#f)3@GQbXAc*EeW2;1{D%m_n81Pj7H>n-7TReu|+ zS{$&4cYhvsInsmp%un=UEct8MwS5}+p|#PW3n-p#WXFP?9!V^{Uf&p8YSiv#h8klF zll-cx5;$pHCXp5H5&@>7`@+sm(WQ@M$5tn2!6dkM!I=YufbGEBP7o#Aw*fo|1tT{~%Lv{)xu_ zHy~30=I`&I_Ddvo&C~Ns91@iHeCa zFtBqlFtCXLo`4m^Bnn6aW-}Y-pC_{*067|f^T5XZ7lJhFU-$3=XxO8RzpIz+Po5c2_YXQ|fV_8__qzA8-|gPpztg_AdB>Fij3(( zG4avM=sP;e+8UXgm>V1Y)diM!c7Le~(DV#*Kk)MZDh9>x1^>PWd6lsB30YZK z00>Sw-Fl z@o$R!*~k8MMOYXBLjur*nS+rI@P`l~3nx1rBNHv`CpgM1Q_|>{r`u2R(3i7l*zv! z{%_xA|GIcqz>MT%1wf|&!~?b|z>H*~V`c;70o`X|_-CM0ey^DS?crx)Wu;>TVB9dX z{ua-~!9)l6&LU)DV*Y2v|Lw~`@cpCmeKr4|2b2Nq=l?ki|N9R@W{&sm{Xb8w=c`@V zu5ci~*kr$f@^f_s@7YR)82GWsdbY2~w$|JJ?A^DsvnIVOH$0#zT3Xfh5e|zpmP7(v zVKwDe;QnPdjh*?&$tV^{5_8WlA0rocUVY_wawhi|;PusS@o$Ld8}`KcxvGdHuyazD zHEmf<80Wfl=45C~GA8l5notv%#$)Qaj)J5I)?X7?CLsu=lq~c61RUh*1%P@4gMq@r z{2a~p0#eMusX@hSFCA~EiV!(c@k0c@A`aC31QX#RQzn)L6`aED=Ov9*hW!+H>Ey4< zBnOob6l~?23z4tXbW17fxDq8dq)UJzpS_zv-Ob(4m?;1zA0T-7gYu|4qKF|QXILVM zD7DuKK1q1kEb)UD+&$wJE|a?I3W_N-+AxuSQ8%I6ar&+LfMfE+lu`aD;PrnIke!3mWN!Ngy5f(-Qdw6O)33Sh%hrD0ya7K^Lv>xd!2$+ z#pJMZIb{y)I0Mbf(JBChAFY)b#ml+APQT7Z6P)q)C>meGI-m2A9cvfU?8=Y2uvy_> z(fJn*=(+iKc>R`k9C3?jur6vR0Iyv)wmf}>3$GVfC)JIPV4J@30_9F4FSrxqyrEd0}d%2F!{*tOWeETs)!E}*+72U zbu(v&O|z5=vrF*#J%o-wN_&x!I5K*mVW(#t5&|F@?ENBhu>~mnklsi5@72V$ z9FRX5?fqB-v_FI+V|LH#A@Yc~bI~CA%~Ga!A=0XIa|v$pkK1? zDyE2N4(oW$X+E9=uaqC4Mc8Zvx|Q$QNPf<>)Esw99ia)Jyp7~~V& zw?2(-sFb|*-_KZ;h-E#4)w*AxSY5_f;hXS=9a2b(2To4hYB!Vo7mYYL z`*Qu{Ffdees~{%Pyeg;TlWgyx)zn6c9536oun_%USW|R#e|>S@7m7L&K2e;3^L9g!4#iEh$F=*S zzgY<<9sYWKu*p^m#~I=RjqeDiu-N%>yYvau4Au_VpF7BtF4>cf6wg6mU!mxL=v20! zTxAjR)UQ@)!UCF*#T_(F$6ZWT1tK!8B<$16n^6GEWoigGr=zGVyv=Coj_&s=q!hI< zInL6|A(?skK+jx?NjJCaQcpeM%r)IDI!b@aTzwO2%ZSmN+v{13thNS{z_C?yr-3ai zmDP*c(X|f3T7Xq>ocZw%HcTz{$i`896)hp%UYeOH!{>9-u6{cy!t>hCyAq1wWBY0% zWAJ5O)aQQv_LaEa3I3Hcgx#A-0{Y3xSI##a%w@?q&kUa|Z|#Ksu!PCIoF@8VgAbjZ zXZY;Y*Y$*H+xMHQE1C2Q&wD#h0yeImiWQBnX}QuaHx?%ikX~i=?f4tFuhl#;{rl!u zT3o@ElL+B6)<7=Hvq*fOb3~0)gv;+zCQRxX-8%a|QdH#l)=%JoaRdnl9eo%;P4I_U z_})0jTu{=9`|5B&I1J{a#yQf-t`Y%X{rc96jV%Sk=c%iecHqqmLFC{5!5;Wv21nuMvYZehe2+j6r-uF<{dumC zLb|5)&Q|F9+_kia*Z<>sTM3VFM$3WSH+CToe9(-Ut2Q(hQgxev3t1H?&AEO9A42DL z3L@Pyc#2l7UQgOB@6-?LBgYEXU1nCf>*W{okPJ^DFX#5HC9Wl$uG)$e zS&XHFd9X||DMKCZrfW{YwYnhP+8w|1U* z$*gx*>Mk%qD_x-8Oy)i6Sc-#d&M9yiJIC^NK&Z0^{b8#z2c2!5WUXiWkNah_9Gmr( z!W3P5Hm$UYAuS-9f+K-Y!@u`RTY-OfDWuD7-{= zet&XNg*W8c-&x_YZ2y6Q;pG9i@)_yA$=XWp#RK%^%-_}df;FP7Cf}w-RPVOufXXs6 z>g(Mf5hEAWyK#F&Bs`mI~aC)wOhe~62V>{l-d6aPY{#|hA*#3fZK%RnKz_<*f znF%_B!emvV&Dy@Bq`o)lJsEh)rQJpvUG_u=#sU9XrWUJ3(o-qd1+&kyugNF$kmbY) z-VxR^|FW=)lY%sZpW$~6fyjYbbk|2K%i~-NGfxfSa7WtJ7KUVDy$;51Q4nVU0ge7*Nl@~#V~jL^rRQCKx0(?hx6d6o}5bJ6d_ zF(VzyNSzxLu4gB;d{w_M&t4u`@Os=;o`Z&ME9GaM6PENsY{V(9LLqChZsFhS#+7)~ zJkQeh^x8x`_XDaW-;~30+JJjbDrsgfKd_C$+jP|C=Xa+>nr$i$!tI|@4JADskHMM& zOI&zJRxViMq8D%>>)@90L{Z+0V-iL?gc8K-PAV}=X&p$*@Kvt8dpQpTODsBGReomP z5+y4?p<$@V$8XW7GJ%BNgNZ??KPA6(m-mM2b6_z4rS33;J?B^fAANfMwT>Sjr*icZ zOM`;V2D2lSnpq&bbH_=a!Y|L}7R6nFY; zW8iH-C$~4aFSg}SDy`RVybdkD?Xou2owic%yAb^cxwMqmFU9?b1M#$TD~k+g+DoS; zPp1)dVdWBvg+xo~uR7uS%$I zgCz~v9f4_KcZ-?`Ut-G1bqzz&%}kx&J=-mDta3%sMJwhQHhlr1i=vwueJ>s9H5Qf6 z)%9#selE(Z9u`;pEVI@8QNM!9sW)>v8Y7r?`GC{)MgP3jv)Jk)@}ti4c($#7yG_t# z3Q`G%CA|l$>S1WeuI89QArhHJT!iIDe}kt$)S;2wIgRk-vHc~_)i`c{8mC$85TO<$ zj!g)rDD@!XET`YHJqJdg)V(;F1|8ZD24z10o`k@@5EAPiOG|AONU-7RC{gP~vV(WyBZPrVZ@10yieN44 zv_GPNr@CIc**gF73e5miag?k3U$_JQSlIqDD*X5U04{oZS65d$YkfC!YjYbXV^arx zCu1WzLtATlK{;k7c4o@|bl5O5yqn7Y%`D%IUVpI^p^z0+XJ!HzzX?T@2(>8GWo5J| z2|p`n>oT%3urf0+vCx^@7#VR1+8CPIIuJ5)adI*;Qvx7w#ch>s|E}iW>}vmg&mI5j zx<0_@ZEO0w$Hq4F00G8sbY@Q0R{u-K|7IWi4TB4?m^1wGsr_4gA%Bds|IZil|9l|; z1LD8Y7sAQO4nTPLpSrK}5Ad|O(tp5o14O+$egR%LVJ2M$LZ;syM8)?EfaEvOJpct; z8Bp;baNO_ZzkLXRasUYRAJ+jO4X~a9EVuwOhcB_0BCDKxtKMe{EuG(kOqhWL}mYG2cS~4bpmX1gbeh``UZ~lYV^ux0ACoue)^|B z|Ei1R&qnaRg?(lOIOYLD{sXA{PyVmn4PaRXSZM*(|2HhF|CFnpje~{x@0QgzwH2Ec z31qJYwI6w4$t+7&>*#_&;)djz5%Dr*dakiF=qz(_oH-If`MDej&u5+`t&+ouxMTgv zkSQ#~=Pemr&QJYTPF(Hx6t=@8livg*I;+2aU2en9=;$jo4wvuq;k`C*zV99PXV%_KLNj1N5s`U1G8<*Joo@?)lZ_c}XL#Y0feBOUB1R|m$!XShg3>kCC$@SdV^PTKNVP%Hz#VU)H~0%7%|%~ebTA436rW6 zOV%LB;y~ZXRo)0oQ(Uqw$2+}IVYQw|dy4eV<~_yJG*)R)rdDxYHl$fo78PH~Z7qP` zt0v<`;zK?*P(oHC&%V;A%{5Yh@EEf1R#~Wn*2|S#eOFYYPLZUL z9a>?e+Q2%;&B8|e%_3#b)hHc+6ec7c9hg|}+DB@rrPO{NrlLAy0+&7EYCMX#1w!{} z8?on_g48;S?_1-ziS;})gom;LNwM0vGaU)DrVy1@A<`(QU1vFIQ9*^0wILL;LnF?%p5JS{c_K{8bnSC(kBHx^HPSm^vOsM( zz(`1Peof){l-l&n(@j#g$*B5*S%RcEOc_sXw`czy-g`~h0xYCQSudyavs<}&V{^2E z@b2>pbhxzN5y3_6Ix(o_5+;Kg+f8m^gK!-+z7~aM;S$4wQxiF+>p)d3dK4eHci^@t za%93&yF=gubIDZgF#`Km0$R51M?yLmeZ(dm1{zcv3@>Utl-8-n>zf{_i60|?(;^2S zZhqP4J!3OVY==lTWIhz*^bN#Ew*nV3YwOpgVwphB#qe;Om9~I1n-AaSLD#7z7#e+I z*Ar(8J7ZK1w9v3OKZ|iqU9a4N*0XVRi#fATf^L;# zB!~$65uAvaain*&YL=J3&I-AS1Ac8z4YaMRrhXYynDJv6T@!#ngBlzF!4}_S&2gG5 z98-T*%la&_Z50#w%7~Sjccb2~PzhP)#1iZRJ)HWh;n2>`Z;U}xhC8q~dO$)x>k0|w zXKgCHMUcE)8J;ETN&e)+suY zgCnuaL|%kB1}a|&M6CQ$42Wh3XyAzhZ!4C^rNny_b1SlG5uJkDlV|j-`nj-DGjD*5 z97dupSBUC|KN?dD6Nnb-ChI?RQBR%`C3zlMEr`!BDNRZ+aUK>4>l@Gx`eR7rdk8R~NR|^iGi8)Ob z$3#J&D4CoHUr^9gnCp_4kc@Aix!QG1=W0!hOwIDj(u-}^YQt|nkagWY-W=R+7g6dT z48=dMn0p?mx%Hb}$%5nEq}(5-928w=cMb4niFZDp?r>c33B#*ssIb2fF)mlG(rs?+ zQ2eZ+zo)u1%8b$=7Ki5cL{PQs)uXdL&$f{^NJfoJ^+{b!#f)`UU=&R9ZM_?HucqPpa2^QRUo+&>2Q;P$f=J_bH zdNAT-bhO=@E!B`12!u7p=kbXnwN!7~=)FDQ-=X0O?>hKV}{(bG=$X8azX(cW33eGX7}agD8kgPKE{lMzB?uShzdd6-kWc3A}BW$8sM? zpxA*8ZUdsR9hglL6Ob0DXUh&{5aj?YT%>f$*G@(fb?EUVMxB!E>+%HGnRQgDk!XVp zW36#%KAYMRe8jH+b&?@B*sci{TP~VipX8L6UkSb;4WlRI_9;+SqfKNcVH=L{*itW( z=s9lmYX7V+^drXZ%O!GAOPAr?-Tqz$n@{`(be{rhye}<(sPN9ux2F0{D}PJG>kM{# zJ^aH*9(#kxQZ)#jji0=)%-~>TtPTcr1e+0^4v^`c4Mh?l?dD_2G4dhMo)TljSW)32 z&3m;5s0Pfy`sd+O$j~EEvmZ;mZIIpWM`!0&J^N6ms1g*uOrns~XAP8{FgnO^A-^$_ zgYYd5Lmnl|1`am0q<>7^tW2)>Xi6UTAT_H?CTa9l=9qkTp*7IHRx)NNs}DL?)RdzK zj&z4kEX=L2Yo#-u2kZjNum2Z=0S74=b0z%amhmKBpfnzRs~#e)mQ zaY}Bpj72KP8=72^%j#;tV_Ikm-|XvS=lD$#oDrmO$20$($}CP}tjNVH8c!JTP*q81 zbX*yjKU(>AED9uI6{KOi*tT>`FWvpZMd>kF2rl5tJ0fv0y)Fv}lai8?op!ZndjHEf z$cyeIdo7!p#*G(4S+U0&+pfl+tg*TJ19pKGct>iH+CssBW0AxmFV&v6Ze>FPIaQ3D zPKaT1Y)e3StVKiiw*~fXx8rMT#&1g`I_8&;rkbr0r_>w!UmFH3wyV5iV{__)n6v1P z$sXskho^NU113QASPprBrkd#qXs5uY#RhWfWRK0KO$%>9^q7G4dHwU*YHCsfdW8EI zLmQ-iC>hb`C#HsYQ|_mAziMJW+|7&8llk$%U-r+#X*R?j3G1xPjMfljJA2I&%4YVx zwX@*%@B;vN@j|LUMjuIO~?5WHFXp* zbuh!l$(pBb68n?ToniAbq2PKMo#24F`1grd>K<;T!IHp?-tTx_EyvL<5*@VjXt$o` za1w~{KahxQ4kL5|wz@acNV~jzK-vSVc}%v?6RG@hw`-q@H@0n|?-!he*`W zR%QKhind2N+ur!(3&zGB!8e2iU+c*V4Q1H9n5NS>JW}#0@=u{i=3vl>*+;n0={P(o z>=IrS@nCs5o81#lFJZS%6|%j)e((#uAt_xn(#C`K+AMcF7bghx8mS+;bBlzM_f3-5 zo{8&p4%p42vYyyl`nt^tfc=5WHiV=hB@QdXzxh4EoO= zvM79+RB$Pz;?3c+U6LoK$D=U)xa`rlq9>jnC4^^gj(R$`xs2`*;hLLh9iu3t1Ch?i zfqRa-hCT0qAX37Q!7H?PI}i-H{!t0n`dI_f-(@}lYxql83lAjJ_b?ig&OGCQZ(n`4 ze>%IVU@?!`9ZC)&>`~2^f%(WEQyWS|B7svLs=b+4hkOZkMo5R!w=42N2s^5NQ<^1~ zJkYN_VtP{yNpSgaP?HYRh-VS~SYT(fpTF{Xb}g-CcvfNEeb4dYrYCemzwBJ|Xpm5I zS2$y9$8n7#aR9gFnx1!a8?JD4yfG^#=#%uD30bVw#mWhP5h=7kKeLGct*GKlrxFT5=jTwJSNw&ug;`dcv5)$vv8h|x^IsYWaI(|- z0}C(+<@h`M^F7jFWGsa_2!W#^l|&7SfQay#IaE7VxjoA1oY>dZ{4m_8|p_4MjVc? z{>sm~1ok<>D~B47!-EWz2%hZCvqOXP{lif~_p!nc2ywX@ogY7_sl426DJL2t+TIJt zDUV+Be-`}~O)fvJHz)KVTt)SC*o1vDUM8buj@o8UNety{QDORhF?ryk1Ed}?XrFOb zjfV#l-;a#%7p&6QoO(|mIl zFx}(~hENBjdddb03hroCwVC=Yn3J{hI#8TfA5)yTX2n=&YL3goIa9P=)cUK-=}OQ> zxe5GkC@4gl?gWLKn#u`$bmyoaCvJ6yajZb$&!;CCg(&P4D%%u(z z>cp-$^Ky9M%70|`TiD18|B_v1X3`2#AQe;-J~*As&2|(pq05dDp#F|ag-Oz-4(g%C zF0yz=wW$z5=*wkGkkkWjCkM6(#9d;CzTjM?sW(`uy#O6HYOaedo1_`ANj0xp+NCNTmggmnYE9^W;@HsA!fR`T2=aNOuU+l&*fhb(X6STx&aKObj z$HC0b9k){Yh#J?qY*nXC3X4NSuh}oNA9>q`m5QfeN;)h32;!zn{a$=Lhjg21K-(T2 zyuBn;XTWEqebc`X)8rf9FY2Lj8?=6FpYjXRvdoR#IctdbvR||jH`m|?qX`rfszNnG z7IL7<#ng9l5OUz}e9F(hxuGuE)rE!@T{M^gi;DURl+=h%07HOq{>dV4Y!kr)8JJMX z{uhO215SUf5aM|_MS~4QP|S;^n>VU_sIig(CwN?Ax33+RdPFM6#o9+o%>-OuTvDKz z?7jn~RlbRa@nWJJ*&$A7O1&-Ka%s60J%bsUO}sMhyeH1-nfCAMtODCs@9)-rA6-$; z;6v#QC%pUEiF^=^%A9-DuQonqIC(QLT^FeBRVj$vkC*2%4_rm|0+EQ%3YiVJK?W za40m*FHhLI%4J#7l_vsDmOzRX`0@cH%Tb^pmY|~Kq+|F(#FQfzgS4jrj_g@)sO=Q7 ztD)PWi|lRsZRzyaWA=mLuZMcL9fIv*YTa5Y{1MN*_SSlq2JyXO>WzyZeS;UOIc=Sz z&Yoeqptawb1x=!4u+8B?3}XCM`Jfr~KTi1SZ>PM;d)41ISc7e~diUcGgBE-71N91Q zW5jS#Al|5=`cXH@!Ev(@H%h&Eb!vnmQ!-Oom*X81n!DC>GjFt!$AF1#igJg{fyY`r zpXJNxujyEt4ydLXBhTU)k|dtNSW@{0!z3Ppo9TjYDy;O>QQ#MEDOxX^?Ik@}Y{H$h zF6$Sw_OI96>H^{tV2nEoHf#I-t35-uqqjDhL0w5UQbJX@NXCL`{MeRGj~b zoXb(5-zju}nn`#pWdKBsmI#XbkkKf0{HSRDaVoR%u7~{Hx>Qob_8PG(Q z#IisbbX&ineg&?o8&Q|>`>v)FWCBBToO1D5hXag%^xQ#~p9RfBT50R0QR{K0`jnAA z(5}E>Y3^s+RAOeeQ?FH^eiB0;g1*3xh!%$uj3HR}5Z`fIi+R4=nFxhhi)W1`c~9UD z9Lr(7m!up`HYI(tBWiEzIV3p+v3WV-(f~tC?kBr+Tw-bcPqzg*j{}mX%RLg=2jxNx zizwck{dI?|{AvZvicG`KSaoRi7+HIPFmxUXDCCJ=VH4p2lj7sYzmg7*U7UkezG1M@ z@lA8Py|_1DUxm2e+T%_v^F=W*4N#ALnfmC)iJ$L$r>T*Lie|fNKj63nQLOTfi*Vy; zM&o0BqAD7OZLW$r>UFH5j73O}JdIrV-V z+7~dZ^r6inn+bx*xoz2^ez;x zZt(g>K8jP2*nTx>ASc$rBQ|%SUV)rC@(V<3E-cp5eU9H_f-_s=`qtmQhprNVsRf@J z|HM5Wf^dM#7ZNEa$s3d{h+JO2ENlpBPrPdf`ki2qFAtUV9WELbSXDg8*>NVT|E56% zsOw2XO37EDsV{lzP|UF9j@j^LO+|}ztKN8hn(1c1WI^j3VC<_()}X5+S#tOO)1h5( zch{YUwJXUqGuJ8t{xx+%Cb46Qnrn`$%yA&uf*Sc;^RZ=rbi9x*5ood3KUt1<~)-*`GxMq2?l zV1m2J5uC%r;de5oi}p?(H6`8bS-K=s{O<#By!ea89xjB7x1S7Int}I~p`t(FfOjz; z$yea)-GvW5TQZeV`RTku1NeH(ooZP=&rBpeXV(J zdAUFC+0FGE=V<9afc0AOgMyb32a!ecIexskiK^N09E1)6>u=Don2;JvFn|`%{A8Ha z7B0Q`eU9(*Sowa$-rSh62MJ$zVyO#h2_TA~US`t=<_!KwL8a(v>}GrG>ZGgdX6^L* z#mLI>@$*jC(a=%Rt~MSXUiJNenAP^kvc=&-CcDQBX^Mz|EbsQQrv1mXKynA;?ml$? zcoIv}SMpAvlGen-zbl9MlgUBQ!pTwI#6iix+794eWe2!!jckogv={(+xQu|m|KC~% z;32d&u(0{tejR!dn}0tZz-7__Qg#21UqRH_#>mOS*5+p*FMw?P-FBaV^{3Sikj)FQ z+$kE^nEe-LRkH9f(PCs``=Ik@Qtq@X7!HU47PRt5M_@G@l2~SK*cs02K2%VQcqQp^ zJj-Awba7a*!3h>@TE0klx{Mn%MWty+JD_Ji?{;ra_A+J6`IFCXl`e6ZZ~ z3;_Qn8^AgL|BUwIztnsDSGta0ZZ%%O>p#5wK|I@mTUpM)0UB~apcz}T8mxu(g z{-yo;cb&(t{ePQ90lRl*#_P zz&{o8d$RoJ3;C4||I^vZ_OsUnBP$&{6QB-;4|Ht*U>$!lbOMAgOusL||FDk#c}6|s zui5pF@%TGG(SQ6VGqW-OhmiVFHEY|I!S`?HdR6$Oo!O7F#SF0QvY%w^+aL77DPyR^ zJ~UO=XNf1~mgaV}Pn^Q&k)+Y9-DrZw=Fxm*ZegC_z8>ux^B=LnOB&H8hedjkc}c1Y zPRSV8CJ$LmPt$qvp_MyZ;`=7Ha=8#~NFLQj8s0h!1wwDIbQghVJ>fSS>W9J?UK3oC zA$B257{0nFLEd7tA>q}r@oMVqB5*LIdHZEVJtbjxgZKwJ+uO#_w53s`2D^0H9+J1}O3lRA@)Nxlo1|u&-ES9=8-%91kkd>zM#7}2C$R}+!cBmYL z#MEqyk>tL%s>GV4T*Ed@x^RZpp)>);N2ZtT)r~CPJZ;pHH?n&hDT^?p$kDPcw+(Z8 z$}HTtaB3oc-IcvAVW3`01b*TL17IMkPa`5vo#6x;oW9LNw!)O%rVAR=^XPtckc#)h zwVPzliXNNoQ&3sdQ)G2pri;0Nz-ulXWexfD^`uEL;t3ZajBiGy9ywkZD**<+f``?C z2M?X2RBBsLU;C-sR@GEOB{ie#6bsbZm*avjvWwP%nWv(XkL&e69og}6; zs=NuU=0~3?QyAClRmj}Q9jq=Ft>|0eN<@fHBF)_d!VANe>W5te&2dfFTfUl%>{Dq) zq2qXtxeeig$C=P&n6wj9GmM9CV%vr&Z&!a@n#wsQp37MW1~fx-7Qp{YMyMDNQR=b_fS zlNOf(p9PoJxFwD*A_L|nMi5HLETY_fl~BG7$@T=$&6hy|{m`CkW*A;Rl{9OEbQu8z zwrdiCYkqvBu9akoDBSuYJ0s)l~8`1ZC$L7;~|(#LU+X-=0AF``=dW+^Pz2Ppumi9 znhO$rMhaJrFFW0>k&lGb$?X6IG=8)=aaK^rN6AX8!&a$$3KN>g%{*VU9%(Q{Niy4Q zvD{b4O%l2-3x?*hO!wN7%LeCrpN*)F1H~c~U@-PfJ{srgMP(hq=cpl{ih~{YzW3Sq z^RkcKGh%Ye?krUus>yo_A7V$Ly4Lcr>}9QUQSNy^R|cO!HZK(J4K7~OHRTuxA~ma` z#%k2k&nqN)T!fI)jvc3tKMW~irnT!|rcxv8LETfaM*KRT2ep(rk5I9i1vRTU!k6J{xxEHR*(-7A|fq`&&UCqQMde& zPv?8kI-^e9PF2FcAG|=y&v&e&aB3M&kc)p4guS(4ETwx!YaKzqaIqmrP+D2yS733)H6z7_ z&~dV_aCO2)t_wE{>&66@u`l2k^kGL2tfy~5rp|;SM?~jLBfuzjM?Vej{m6ZVKC)xoM5LS6>dE|mVd3nf^CtixJ3z-w zGNl;b9Ok~&X(h$Ohlu)EUcCP`N&oixOfLVUQU$~QYTSOS+xNzm`O}%`d&dd6qu7~` zjIOgK2C2#P?b$H`I7A0{$w#VCHJwq>IgC{ctCCmzeI&;tw>{J${!%p$xPsha@BuKu z<2ut>iTcuvhjI&=zYCRbm9!960h8#8hlFd-|L8P!iA4(gGX zSjK{gRJUy2IbGd^V(Er~JrvaT?Xx93>T777zCz9>UgDGuBe$ZJ?KvM)ZIb1J@so6D z&fakNC+0bkAqunPwIPj`5(&oe7r6>i-vHPd3k^+ootJk1*I4WQb~#J@sW8e>i(wC1 z^0YSGabX@)pq-0E!XGK1QAQ7vvJdCq)Q97P^Fj$;P`mhhl59-Ojjyv78llzi zEUgqd!CnNs5P&+~uCXUl>hTVa0Cy-C%3F4c5c4)ydv{GxgX7`dR>)(-P zcF|(bht2BNL&4N%%h)MqGPby z=9zhfpv2=5jF;qBy>pjy`a>-VXX!t%jMmuu)wA4GLaRV?YW!yQt3tRkCz_wn`4P@Dp?3QztQ)vl z>d8qxEeduo7Rg3pIzq=J(9=*CpN@Pq_*|(_5iJ}#6E%yuhv1$;2l8ISKb#;-C1ogk zGs$>mhw}dVG`4{NwKEXW*r9N)_hZw`sg0UbwP=vCEJ1#~cH$ZJ5mkm+gSHYkh5kfG z2zU_x8gi*3+9OfLT^{(NxY)AO8Cy2D?0AIcSj}mBm9|q-wy;e>_E4=Gf0F@h6f28F z4+I>Ti)kxkoKmq^cPA`{M;K@8$IZNgPZ zm~ZxDlERRfcbeW;axDDaEc>9FPZBPmltp`A&{d+ZEogYiJITcL-$qTQ&_AF-aNgwX z_M(t<9yS?0-kvplu~o4AVcNEwocu2PlB>fI-~OE;>sQuWIGXI7Q3{6k0anX_`l6*= zmCtP(9A@-Tw2%=9V4N&1W)t2GJ#HselICcoyXWVa(wR1dOY3V0aD-_B8N$pa)+_hHi?SQzxU&Zl>%@ktK9&xR2W=@nk^cgd_vs!H`uKIDFHoN!7 z@22Ee`m%RIF;-p7O8%N_4cBcW&flZ!^uA0F@=Htl*D-F`kfTFipZh>mI8Tq>VG8yy z3YS+qT)TW@J7!Iy!^8rG?_8{-zvAl0rkSS=armbzaep0@+{(6SmKiBXO7O{-E{Czn z`D9CT`H4U*T|&djamt8q%4Sj90bj;sch>8N6-ZE+FaCFcymdW#GyIl21xHzvQn8|%f7us zN2#t-r`W}pNeh<1a*7M0g1V&I_?rxPE~AJL2$Yx9ojPz#LYd$=>G3iO2c}NjYtB=s zw1#}jg-%JY3Tf#^oP!W+-zGDz;67jbAFl|HC`gV^@Bk=*6#bLli8*lVtH&rq< zoSG?R!1TeK3veA8^d1siE5zB?;T(*uKwraGS<5YI(fgJl%%e%DnxpUM!r+Ur&CTi) ziMW_!)TaA!g7*)P3KlUjF$FX~ zZ|(WtPzB#Dswe31N8?=t4e{6%*3b;kc=;~VjA-n!pVrJRb0A*ZF>+No;BjX>VvbfU z3gPtCUpw68tf)W4co5i3;;}85sYRJ}dwhwsSV~N^;!Ha&3#Ru2-^KD$App*&*`0|nO}SgAzs%YPu!Lza%q1|XL>s9JmGq+Akbd_sQ0p!&YvJ5G zvf`~#rgbql+jocL>#so>BgADcnQMD+yx5wTJtJ%->M|!ea$*M!Su_nWR$Rk|kmg~` zXpaH52h!Wm@pDrYdr1YJ_6EBn0Urk%yVgN6U0LgEC3n+21Hee6TVr7D678QbXjT?b zCl)5lhjeiVnm5_r=J07>AE`Tm*iWPK|1N_2CvV4J<)8z!5BkepdA~4#KOV*Z8e|sG zZ0p~u{2MY0fGK`jZ2k&lmg%=K>0hqAf4TDhT2~&x2gVA(oBm&eW`Ei8{;=r%tlq)a zNXf)Wi(Xz>lwR4y%}M9~%znr6+Z^{#!?eHd_@DC%fGhjOfB@jeUzqT}!?VA(|2rzm z^xL`n&!dryKRft5|92TYwMMU9dH)|@3>n^l$-6C7C|7mT); z)G?T-u2p^K^(pn3PM5^KO~Pll3oXw^RZR_G2t2mAT|zCH=teC^eqekX&?V_Tn9H!y z9zf`mSY3TZ)4H1*lM|d~efj#f8Y;wrF0jcl{k2LQo~_oYG1g|&EjIe#bpLux&xW_u z_WUp;m+7KeP+3BjjPzTpmirG9|C6;y;~m8S-w?m18uiZeC%fyOwv(rYAt@X+EJB6O9i z61n2ps450x`}!{?IoHQssa758+lYwXz?F3idl^_2``!S&oqoZt*rYcK%ErTZWx zFsHc#uhqz%)_td^Pp*!?nC2<90T(2#CfWeS7$k%*vVjXLr-Rrru+|^Ch8e8Uz0uB} zg0|iX&F{E7$^6V9$V3=B94VDdNXlPe@P%y=<(tf=Bos)zdJKU`sEmVs6=gu4olV(f z4dK!t6FDxfwx%^^5^vAs^!Z~@2>RZh;3Cq^<)@4>L?!yO8uyhmOr8*Y3TM2tff3ev z^eMv?lmNS-im_nXUYz(CP^6ah7xRlx^;yns9d6u^W^PSf`)sQ7@vYnwJ1wzBUBM#_ z6@sYIftHmmQX}1DYXMf{1}V{Q)}vx59RfGwPx>s1;CZsi)wxfsETFB}Vbe{^#vY#3 zPGMp6-}Gg|6$nr-*JsHruVl%SFchg%jA;2CmA?h8(AbCQXyEi_6 zO)ck!eBR7;xRFt9WTgPjjcLZokchS6IfS0XHfB6lisq>o$KWRWX5Tkq2yab6T`KlC$RCnMkf;H&$K5mbh za@b=^Ts~>HR~nBwZI+a=PQ9&Ak(3{mMJ`#{YDe;;%lTj+vz~D8*d<5=yu6khN27mW z5=)s=KUXlj*1ph@@6L>jg~+HZbq+KqhakFQ3X9YU+suptv3ailv7M2pCc(fg-bW%! zAqjhats);&qr;f}habhmv#G11wpg)hZMUj|O;dHZUASRX0u{>6Q?BlG(1Y-i{!)$= zTGU`LDmEhd>tXpW`3HS?5r@%Y+pvaTmP6()R7dz^V^^RCL7_&*B9plpfdht&lxiY+ zxMs2(j+-el`o);oJ<;8-b^L2EZ8^iqu^KH&yU_IA>zE)nU{4ms5}DkNiFYsQqcGjAhwrCJT5V zxpecyGM@I{dVY;v5!%K(3F&(mv(!PDbd1@g8EDFe95d0rrH4Z!Ug(#uhsIBrM*D30 z@;xT_Rs&Xj5Rp+VD)qBGNmM~YouNA}exD@cs(qMBM~FsP#uOWwk2M>H zEbH9NQCO+HqI^4_6Z0K`BALk z<`Z7%LsOm%#P{90Ps9xrY^)q5Du2kco!PbeRC2YvRq_eATz<4SKp#P&C~cjs5Dg|o z^8N^3bao*8>DyiXd2IWl-%^UhOfi@)CG3ayj+F>!I`0>AE3rW7CQs+(h=_6WIs`5l z*30(z4&urDb^R^dQY0;l<8X-}w}A-RP(GBKUa26V=IeB?Rt3bi#TCbWwXR|_%tM)o zqsL#En9+(_JtCFSwHw1aP|mh;7^Sm)O{;(6F$n}I>0EgtNXC)HoxHULj0Arn!Txv`7$L5kP=Mi$$idyFEi!oM_im824A1DZF4wmDu^f@sCJ?s)d6;4E9(l5N{ zLN~vrR>l!-pq85_dDW!7xsA$uM~FeWFVxwIeNwcTkH>YGnm%jEU#sogjOI7Bg9sxV zAyGtPhNtN6J{&0pVNEbQUz!K+SG1o_CAdQ#V`l@@soK~n9j-s#rjk^bQ%BLi9DwRyDhg;w@GLp=gg zjV_EO^F{B5bV|`&|MAj$W0Q}XLEj%3ZfajzE<|EiPNs+&q7-e>(x?hsrY{PQa5LVO z?(xc5^XXQ!b=A(g8tRDxc~bD0@!kX4^4mT>=v%svaRytde$82^tkRU~GTd@Y=d)dD zZrI(YdFCihku#^edTtu#_H>bDVn&#-zG$Y_13EwrLSeHX&x!QgkGZrXGGq z#-;h#%0EEs<`nj<5Y9SYQ3`iZAotpziA@ArkAo1@#{p-!(4%Tcn$53`L5Nc1MzEgm z89m?mI^zdy$H%IXXI9_@v(1aL@~#>VWY7KT^((pMOGgAuNjbIDBapR+58{!9KeQkz zk5$E_rM*ek@-Qlwz!^bWm{Kw}>vDsV>TODT&jl~OiudQw4bsP=CLdqR+JD?Ug!(nQ zxw#?Y^Ol3PMy>kD!a?$#?Or|vDx`xKAl9Z5z?Gk|{d>PjaShM4x+(R_v(W0f5Y%ucUAuDlWVBPaDm zZtdl&m!Ux^?{MnvhvO9p$2ynE>Kr$5h~%5ztlEkqwiqIy-^6H+xW>pTJ!KdbqTi-n zcx^oXwsKfEhIk`scYj94408>eg$b;;JszUwnY8P~&CyH&F&R^IpO@6fk0_x5H6k!2 z5<8d(Ls1&F$nU=~%2AY6+V=BdNRsREvlEcq0r}d)0t=HX6+e;c5N#iJP`qIAB_z@!vrr$8upky$JA*d;&1Y$?7QV zJTCgU;y0e1j8|Z($fOb(qzF}IB}m5+D^+HB=fEszN8AzSk@qGMozhTMj#gH`zH*#a zf){DKt~gh+&)D!4L!_p7JHm>%e>H3(w}I+mSI3|!d!z96L;s3G4F1&OhIIyE`R0n7 zV%n>qyqfjsnN4$UZl$%riaZmfwiE}h&025R=T`lejPozbs)&WBhj@H*abp9=xF{2t z@lPEVVd9F(*ln<=u#w>}TTGu<2ST%$B%U~*3eCX>1s}&4?N=Anm3i=dHdanXN(R_9 zrXoD}GV~gnsrMmAJz@RLSmXpImV(2BVWgeMz+67xt1LxD;?wc!2|X+r8I|?hbzold zezbXt9;vLalq6~6S5dZieze6eA0&_Q0DK}KK9Ct0bWxub5l<4|G4-<8u8YyOQiNIAx0;T2iH_1{ z4vU+C+>c1U1d{OcS3z_pj8f=ghGepym9ENFzEtU@hlj#cJbXYh9qoHy9ktfdBV*go zs1;Z9|tr}7}gPX zl3kzo=ueF~zeP5{Pj_!U*WoPl(I99=m$5@LHjLwm zbnKkNks;w4*FXrc&r-8O6Ia>iP9i{Jv-y{F4PPP#4ov! z7X(fp2H{u?q%tpMSI%?tN{6ym4GLi`i?m%6c35-MJxl6VOO4RqzkNyA(mLKr-b9=% zl7WC{%G?I47uZ(dnVSgzO0V=7$H?&2la!l%6oxYfevt4ui#5j=gh60J21`~(RoED7 zuls~fKmsK*sxeo2o;AdmUzW%F8h&>oWwME77W%u`27DHXEV*%)zqLCfQg(l-Fhv!T zuXHO@Pl=$4tbG5+j?i`{`Xp54WL@1MMC?_cSvLJK< zrCTtkUQADajVM~)9qvTbitYr6`|M>zzeO#|#?ewTh!wH!?h=UPbn?XwO{F&7>YZac z_>$L@wbUd9is>l<)`x;Fa7{rMlrAq+*RY&D3|XAqER)SNy9ICsYi^@v#`7^YEcC2N z)tg1tag_8~q589s(yXBn;nxMLk90)>?~CPkg`JE){eaA4=i#Ar%(AoK4D7Cta>1en zf&N~0KTxnYWU&mT6P!9{t4UR?qVvH{xS@_Tay z5@Q_7ygIG|rB1L<2W|p1_+hC4?XTq0BI$#F&%Psay32V;_xRQYpFOh|1#gCKDT$<*SNyqVsr1QZgs+K=YffrgH)+k zL><9^K(pVwC=q1APzrz!IDDS3a+B!AI5y08RN=lMMYB%dh1WsdCm@|GL0VydmrY`< zK2Q^1!VObmfl%ariu((c8XlW|=Ezdv)TPAg_r zxRif|5H--DDuFd=LF?F=X!b5bdhn8>`x8+0}{R@vk9~xpV+?g;)zVA5V2i8v- zSLPO#Sr-TXu{5yBx*ni>?Aq&J@XckOIEU${qZC#a3#$`fa>aDdHm8QaY(D7;d!aJc zK_es!t7i)Nyu*Q@DoK_mrm($QDVkyz^0?Se@!+^PLEI#R)nkt!J)us#z*l(%6H3m< z1p9EXQ$lKjeqVEjwtDz*8fxJZ689R|(O^~C&W3#I@CJ)WtWx%sl(hDR{qp;Cr9AVf z;P_^gJ$?s5Mtb_Z{$Q1Xy^E`h<7bW3jg9hGg?1VJgHxo>o}j)2U}7k{X>qRO!~VBx zTH`L~O7QYBAppgE0`-}_e$=oEd*B4rVwmjWc;CUvbvQ@3p^F#I4-`si*>_gB)X=do zE3B1Wfi5YvMKmVMHN2keEh~%a8=RkCoLrQ2Ke?|irk$Qkj_>X7ldY^{)&L*UV)lK` zk*IYVgEu0G6QVh5si{O6kPk?HpWa3iOLxQv{0<2F%v9y?F$}-(vOln*zlvdC`U!mf z@tJ=S8}KI{B)O)UJ24fq!u@Yk~e?Em-JfZwS4?yG@={BDFyw{>3 z%R7o5-%FZxj8C~^b+x6&?mtv&ohr{*|(%ePc;QQ3T6O7igqIux5RiwG|CWT_n=lE4-Fit_WVVe}IJ z8UE_If+VcLwNZymU<3r1r&ZR>W8n>B2D%@)0wn<#JWHbn|yG z)>|J1^a@7mNSG}>jXn6D7p^9di`8Rk8LS>|yjD!orMSnjbggH&u0U)YhkN-CS3{(0 zB+*JJRH+&-TUh90-FfpRGzS|cuW6RGlX{Lh8q&8GwH1#Ej`5?P9ZZ4Yrur8no(sS9 zolU}o_F2JOR7&#)TjHt&S*Y!9%m^p$7a*O-9jTHXd4EjcqC|E?IaoR4mD+*)P<+G; zg@w9t`fYDffI9gda9BQW(advLuY%|mE{PVYgz}e=-EXzUt9}C_ukDf5=wHymG9IvT zBhPPx(Y@2CrhPRv?Ndh8^_l$$=}2Rjl#+cT_q-cM!YwmtT;b2t>53_&vWcqd-9ehy zL`*(>BF$j)tR$2g%$P2yQy~wqLqN4&g`83-eY!0xHHujFBn($8ZLz?qyq}i>nPe`HUGk}BC}ZYyD7DO3`3y$G8|U!Vpenq2WCUTs zzAlM^IewWY1ap9sF9ynJws-jS(Fn@bKxP>gQdkE!oGlgQuiyE8O_aK&ntr2){3?;g2{($Or7e=0w}4c1C|MQ_{e42SLqU& zH-P2jDmwJd1rHGx$-!>?*eAZ!W)S_*^D4M!Cm=ffu|ev~LGWGUpbzs9V#kr}(~Zu1 zlRdO;Z`aTFu7@p)F0O&Ay9$1kc7V`xN?4gPg70L}9RhUd)nN-st@4%c?>H5^e;Chj z*OqV@5yo!JU{IkSo^T|Z8NhYKTB!GEjcM5Z$F zi%N4*rLKdyLPuA%WHonSV99FU#&|wt=5@-Q1t*Fx=eo+rFBRhaczTt1Bs46i}q z?6^LC^xX3WA_cO8g3b(eZdf=6P)!)^Agn5QL9|OaL$y-a_!_HKJQEkzb1m73}B z_#C#VQaNn8R-S!Wi__zmz7wK}E1vSHI;0PmsKIJ{Ao2-JN}Po4Wd}s&GRH-_{=u-) zowumG*vR^Y)Z#@zq)`u=@%j+__a{!#BVHHKjdQ0sN67UuwM7bDh$ITA-!AqJNU#ye!v_>0=f;;2&4c>In zK4Ox(tf6UkB$1UW&2=scA5D|dESDvl2>5>IIa0=adtjam9H273>Bs4CBWy%LN93a+1)&RYsqIs%AY`s6+Z%f$bP=L-eNi zQB1dhhU^y5GF8p!It_O%?M&L+e1*7s3GFD`7+MfpK~Wg_ly{%C1e@aQo0*XF*J%*$ za)OIwAMv~?cS^fxWjyLRLQN({y&fv^)%Zm!b`WZ!FIHE8S>%PFN9+Poz<^IppHVHNYEmEhVLHJ<|9%8+#hlLLw#LWo?#cLhk z6!P*gkdlJ}(qprOKMXurk_FP+>h823u=8Oez!GtzIW0oDBF37#*&(fEg#~AS!)DDO z==^RqfzV6IO}8g6G%n%bkz!qf!rK>-(b() z_%_xV+18F-=PUHRlZz~C3zISzeIJz2+fG`1gqamF|HJ_6VJoB+$YX(L`W?mlsIk71 zEMAoFnuX33QPcR*I%P9cvdB0}66igZ#Tzw`0np9{q3oeKiHxjl5k7I*5wiueZ$2+| z!?x6p(u56xtmSkqD$K>>9;6J#<`HdTOy_61t`2YnVu$eT0l4jqumcB9TrhIj4G=YF zjZ-oNQ8!`tTI+5-TIo}XH|kHQuQp$B>h{~#Ie9Og)6zSq#4&N7GzZ;+$|JIRn)^3c zT8v%Cf_Uat3V><8`t|6@c6N$xpyAO>n>zN_sWH^NYtUkGy{YokhAU-SVb_N{=E71d z`f4@}sYDNg0?#vXB$e{URI}+Vo4+t}0(^Buc_J?zg7^jB1GLA7;%-mcg01cC>f}uE z`+XCbGPJ+V{N6Oh@#Y;tK%VC0B>czbzB4`B11XWUF5Jei#3+IU0lG~ear;4?mQg1? zSp!vY5J_EOaZ6v9{n>)>yu#(%$p(vs(OL%Umz*Zj@}jHz;Q|@!obmzu3Dpin&Kl*5YtO6wQq4yyoKB>D*5U^ zLj;CyBc+=)Xhzc&qFClO^OSvMc->N1?cbYez1Z?r`)RYV3carx55x~gltPW(E6C!h z%n*^D+WWYd%7dhJuZr9w|LRL}NaqNmx66JSOp_z6@=S&-H^am{?VOQtr}|7uS8aNJ zjZ0%uHaSDL)?#jGVwWkeXN+-xMG$WmX8-plw7xrMuaR$z+++%7&Q3jO-|1>|noADl z$(iPa8?J!LF6r1&E%B*W349++u>P8Ht`qjs< zaU-!#nhib}w!STv&)aT~<}DiFU959RgFk}tSOR$!MSQ#};`*$M_w;&h=j@?(j&?Gk z#Fw$lA=9bPfSB_=&3tkhtJR?%3Okv>J-|Ywp;&uK1t$r#{kxKva^XY+5GW8y;)&hg z1D<|8CHr|2>T8Z@AD; zaOZD$(f1i;IapW=+PeK}$?~&Z3o9cNV9CY-SnB)+V1DMB{~3Sz`N=9{{1*fZXU0 zbR0|^{}7DzXOJCG$o~|^V*c4u0B~YJR5qXtz<)O#Kt{_9Xr%*4(f)_(_#5O0i2C?B zAiuE1|AkM_;NSY0gOQx4HvFtM)&uTZ|jTtE|1*cH0kx^>v=asbD3v)L4T_}mNL zY590E>iCKn#nAGGDN=uSip_x3^Fsrp5!eg|<64JLf=GM>lQw~Q!*PeCpD%pL@^0nS zo2Mlod0N%>7HGMaC^CXYm;SLse8Yp;$P^Rb0EkF@H6&}_GiB4hu?o&yq3i7NggjEV=*7JBZNXxZOapMMmQ-o=(kj3CfuS>Doat+tyd1yr7Sl>Yi|H1KoU0(r(~1 z5&?oY|1aD&k4foo@!d$A1*I_ z%w=qBZMWVENHgKOVGcJLWDoTr4$JX*w~_XI0*|{x+)3LjJh{)Qw>AXWqHK74Yat z=|LH<*$pCT@Z!2)zN972L5RLG| zhr^L^ZyElhKuO#wm+Fql1w;wCXgg>GA4i5t@fG?9ZKzb~vnrbf>`M;r4wqstdhmea z)N$ZohpN#Q*)-v8t{|g^-gjw8CSfugES%(MiaS5t?pr1TFZ=H_j4lSLBWwf9u;yHl zJz}~wxOVJ)M2%LUD__O7;yr+vQ3lE-gL8=e6 zQf(f^Nrr`{_MQb_En>0cur7~hh--F@lsCt%$2HFL=$*PxL2@naZMVL*Oq@KfVDxQ+ z6hOz2RP|bUPPdD+`yzfUdT6LnY5sUz%nSRHTZO3Y6xX;c`4ib9(RWukp#@oZq*Q2gKdtd9~5Y+sCyj;&fQk;EUDMEs$Wy~;wOWKMI;4uZx97}8` z8dI7r_)vRA)x)~p3ZE=wU!LqhA!HW${)daaHJiOyNwJmFw#be}f#(f%dt=GDN`}RhI39|95eZIdG8{#+GVfZkZFZ4fyUhtfXknuv zhlDFQByXpznMx&MjR<}S*vCz@1#_XzY#TDRwPK$f3=2VMW5&C(qX-jm$*f-A`Lubf z#Ot7{svxfxonGIbL&m$MdL^(J)ll}k#GU(1$kg8T=5surP@YY8T%XR+EJz5}~(Z$N;)XZ=MwEsOM=dLTXJ&VS?BL;vO#JEDZKyyv*(VNHfTEPn@LE z*Tcug#S9xYlrA7fyyc~?0%fu!!j5a}HiS~TS>Zs_g0_-8X1-+I_loNNaI3hcmmOkr z-M!y=Ewl=7jk|wneL1Y|jan#CbY?4coD6(U)JX6)7UZWp z$o~9U4K+e^jv-e8RpLet|Kc$4!MHh2B@Y@$z!-cYtWhN}5Z3)!jiir>*?0k9*5trr z#81F;Ua7ctRl1@}h%t(!=@|P_b}2}Rip;~_`$W&OHV(NOp&pxY$gyCKYt16GGtjc( zMHdj9qZkRcDoes1w#g8?t<{zVY&?!c1mE+}WtgJ{cAEP3xrteMoB8{5=hdfLtQe)G zEz^!3NuA8w{nt;yWH{-2Bq-%lM1^r%&bO}F)B7{y=f@F9EZ(VXXDC}a5Uq@|{yXR{ z)*xXn71N)L3Kr3pb-YR^c}(#=2rh}Q7}0^OHOI<7>$+E3o59M2EJ7*;9pm5%+Kgp= zenk`2s|X=;e6R8C9*> z$WKY?R6G>xhLdyMjO-D3^X5qsYP^MI_@*-b5i0N^Y*6fL0yC9i{o3mWBEUUvFK zTOa4lpv0>DNq&I}9#T7G4XKBX3Ng03fN5DlNwVTCP)O zL;P2W>e1A_nuiyfrVVs7nb*{m`K8KNObv|F<9dH4xs+wuz(69ps7)Y2zGT5~QeUw( z{A(D*O+$KXggo(+JQs`2A+Wz0CO4>KAL+Ez7a^>qwV&=3^40rhlfRq2egA>*E^IPr zc#Hf%ZU?J3>jdgN=M(!NAIAu_x|c^Q2uZTuIYSw7b4NMqo4UnIFB-k}2UM3vWm)8L z(R@iyk>^|$hELYdVfnV%11u}(?`SFy1wU2JPrf^Ow2ux&h?M>LBQFV2wS31sS92UC zslpK2@%7>0iN3GiwarF~jA|{HW#*O0K@$I#GFM^s`i*Ox-v~(3PjlU`xDstiDd8ws zV_ne;%g#q!nIm~hUiOy!`?bI3+^)kV!sENTB`tX?*Xp6DYK4C2m9T5{(Jc4f88lE! z&$dw3tU4Y3#;>Vb0>RULP7n8y*{0EKlbBo{+mZ!9iUB)xa;`aJb7UKMZ#|#t&Hx>J zr(8dSH-xiTcdSSVIVGk*j8-W@Q(OE6l!mnYp+$Nrg4v79j-f*twdz z*c{-f1Jyfd50=vcTMMte%WvlwTW;5TUmc|Z?_aTziCd4oMx0ML9W}T{Rl>YSPFbi* z=HMsTve8N7GqiI6;Fz8!kDwd$#y$!rI-dgH+`e2rp=@r2CD)MwMbRj*=C4PU*mvXr z>rbh+l(+MIA>(0+&p+61)19#1S-!Q;3^XhX5RcRE205QRc`JafccekS>k@HUfPDw@ zquN4viri6`B3f&alq`wWX~+Cf9 z7Aff)B{z~(wC%ii-^e!EhDE+e5~_gmxsuTL#(Waa;bBT8U4s>XT*MC3y_#Rgs%&z( zc8;`}*`7EX^)9UH@(v8Fs+&Y#+2bwaGpfScS($s4uZhkHPLA~9+Myk^2sr3_r;2kF zPZ)!=OqgsQ|0vos>%^5sH+eh^71ZX-KSohzI*NrAd!u96UQowNa@MGJ<_mw72z@ak zFzb1ho$a3zu{u%{cF|qua^ouEUCY-vvDj%!T)c-|NM6Q8v^2NPy+c?N>C0mSWo{@~ z)6sO`a{8nlH=o2b>zzODcH+Iz8e$wHuJ63>{50pfKREbu)`U8r#=w_aosZJxPLrdHO?A}OY=m`(f}g_sOdWP zHOCs|5drR-M^hJpg4X9uI4Cw7UDd~{yE{|%$Eq>(ioOj#n*ju)PcEz&uzoGi3PAfR ziSA$GcNcpqE{9V5cyVV+URe#l^~z+qM>9!ueI@|O?2J53h2(-!uWB^H%PlGWA=76Q zOWRotzw%; zW71!c5UWHiVkf>PPOt)nH$wTOtBDqGHz$`}SJx&Q7@6mUKO%i&WJC)X<5ZfBfz`r+XwSq*`ZBMy+0*fy~MIu{!M7rGtcngqHCnNXN9x=<_x&k;u-0Vw8WXCN509<)87VDw7%8@N_&>2h}DPQEA%-So&Az7k6bmX zwaM*CC{iAtWz%H3qqPZj{1eIN(=M|8Cv0Ob@UzG*!%#5EuA-8vx_d>Oqpykgn-s#A zRdY<$?o=}H5J&R@Jo{|CK8`P zN$GJv@<$v;ycKRNajEGf4U*(wLBaNhi3uS30LE^T9W5{g4a(Z8D>A^T`<7{aRiG$bLjq7By%aoY5;1Pls%kr+LspLtQMR zl+t9WjOyWv?f&4+#-3e)P5K~0?$Z+><-^C|iP?XIi~oe8exnZmBq5XehiEd}KM+m+ zi7EZ=^FJnJ{-<)$tjuf-e^yQYi4OgXyZjS={~yotpOJQE{sS2Nfh7I*2r&N&5dT-u zMwx$ti**0?2>jb4@GtiW05HY>2RS5uC*1zY8vW%R`0ukuf5EapS)+fFdH!Ea_4|9k zf7Uzj^MU_isWcdve|iP}>IL|D{o9EBK$?H~O8$B1{r@&$!%suGTgADa;suA$oon z(M3$1Bo^f&@^)!?rO(}1JK?*8B4ep(6HJ8hNL>df^mOaVo$G62v!1t$l-eeUOBt0;bR(RpHhDw)oh9%ztf=u_$546!&W*W4>FHL5L?=I**Mt|l|DrMpseuO7PLsNA{SGVC#|_xW;#7SOI<_O zDETrme^ZPyMN27>c_R$_En=thBY@8YMQ+cPWe=(LtMe@99OcuiS~`8ca^3IO>PTF| zAfY%2MK}U8nnY*eTNFiQU#8!4ABSN?OAcv4#`FAraRhuk&yP|VC}}-%&rmQF4m??o zfYK(RHOv78Sqlqt&js}J+9fd6yg>}e3?g8)4?v3WgchSnU=XgUQ-jk7S{XMYu-{sP z3M#QqDSj?|8?kx^+1G?bhMz{TY-RuF%0x_|`S%p4v%a1C3=$fSkb;bfW%#K>^Y2f# zg#b5IjiZbr{vR_BEd4!m3n*zq;!XvUziDmWnOpwH%EpARey?nfJ)r#8-1lASGrkvk zB7xdxXH)#$U4?Kx$Xf@wtg9!}auKk_EE9yy;64WCI!5@A4%1s`4a#s^u8RHRU-@>N zkQ@oOi;8wlQkoBuh%?}t3ro1z4^eNhk6ATp0oe$QuuImZ8k0YSekwvsM7G{<%JYMW zth30Jk#{hG6-rUbT6k7zT5(uccosEru|*!>>PwMi5a5cjh{;q$c1QCdAQmZ);u~ad zt3rIfyiU(}X;_>M**NY!m(9YVU)6Q5x#O96_FUmLx$UC1lnIn>p)TZ`4?>_09~_uI zk_B+02w1R>t(6vx?8u7!@e z`(x~Mv3y&lemH{a4HOzz%P@3)+ndr&I4a6u{ib0?>oD*rirm`D)*`i<3=P@K@NITn4r6pr-BARkFi#pVnElgJHNB=;Ve<=VH0O zf6&%2MJ>Stre@RT_IT~p{=h>1NRacQ4zFX`KXa|&{M}P?ZHRJS)fo?@C;04^APPqI zRTVg@f9ADkj$y2KAC?Lhmz0@Is-GSvYVlkzHhP(aa3RR7ttRfgsReEvd6RLs`HE3Wv{QhamV+f>+gECC4yPkGY6|zM;f@?=JdA3YaFm(L zs*Y=`F-yI`2mMK69m7dN`hs?aDmqWQd#^oCvj-H0K+2oH>H6i zBCx-$4O|#<3F>cS6(P9ytF=;$sN5gDPgmP^zWrtF$l=$2?E%bXrbfR_rKXrEwBY_P zWA~T#;B~V>KSq}sB6Pvi&%2^P{@b-3OOagtSQ<{^J#d?_t4!68Erc>Snk5O6i`XsI znYH})CSgs?90&>aYm50yIkfrPKQ^d70R?u6Od??6$TvUC{Lc*vWr~yY*A7${P!Jun zMuGfed;6=EFZ;{XKU%l2{hyuZ|IxXCpaS{db`IhCC4U{FMzV%XYgWMauuS-G3^BaB zocf7Y6(zfMPJFTtJpZRXL~T1_9P`OEq<|23U^{gR2~@xry|p~=Q#KfN3tm z{u-WT310k|9-eg+UNrOq&%Zz}tI~LWP)l1<`QBW*t%SpC)1W-o;^7WYsX<$n^DTIo_%1qEIwtbD zK=(~_b=;zmW$YMVNkKZ12Lug>jr_940V-Ui6Pl49Vmms{?GHLVA$aS8Gl56Z;8Uz+ z)}2DHX<~xHY@yXQE?Uthv?Q_23h>iriIds=8F|4?y;;ZWI{XU;qKtI9cf?)m>6&VI zNa?-k8k2pF$75G|X-m#G8qhCuTU&3Mw+5T+pPw}|TGQwv=k{I8(M-b7FDD0zk!dZs z8z{F1`|#nT-r4rn*b$GVuJXsm&a**ln?DnPrzni~CdYRj9j8gd_YIWcM50|v!;2!= zpGn;A0IYF0F}C7pXLD@#(`&lQg!KYFisz}y9a7%t+=uizWPu#nFU4h?s4L0L`BN4# z1bTsy$EPm(?+L|0h28m7?L5Y=oBC(p>Gya`iMoIzWPjPA$6bCvDUUXzc_XZ(3I^44 zY-%d#0R_4?0AVTHanT6z9`Bt*e$V<7l;dgP|X@0qT=SWPRfEzC24df9!-a75|X4uon8R(vQa_ z0@5=%4&DW30yUYv=A3*G#@CyCfdaFknt%%?V#PgY7l_(gft8p1eV<8RiV`Fj57pyb z;fSbQUsOmvq0N?D?H(h-@rb|+0)Afh_7Za^siUUHlKxT}Oo={5xSPpM^HS{b1*x>M zVNBlEK(tf!e6mUP&^JzZCd1p%el_!NBMlV@NqJ!lJgui^bGpc3HICP_qjZzUwBqPN zhP(`6DG_cO)@SO~Im-_$=F4zH6mf{fi{N3ABx=fsvGFZUgpQi9c;8;8^Lp|ICI`6` z@V^)rq$dnEA%*rKe+Or~9QA5H?Mn4CP4x*p3Z$*B8@c`Hd=6uwbN01q%}~ZZx6eK| z$j7C1AT`Er2S+o-(igc)BdC&~(t=s}`?Q|vCO|)}RWq4}kX6!^M_ZhUa&n7(uu*^# z_@Pqsyu(Vmk)?H?O#JbA#kR^_Mw#i-WHwV%hU|=9pB^K+A!&>{T2%nWYht0P}zUS1S$#`R6nL3r+%L3k8tN{|YDly#B*P5HJ9Oi2whI z1O91C{%bgif#YxDfVWhnqp%0yS`SsG&ivoHw-kovF*%_>hP75KXG^ zMR4$8k1ECtoFpg9CZzIG4sHlpnYGN7Zi&^lHa8mU zHwN&}C);@pV01VHjUV;+E&Z)ooRE5i#95PKhi@8sSKI7H3k$_dF{r!2c~&?&m56x! z#$bZEWjw338!8<&4(ic2%LtuTK{o{-FmC!^wD)cTm%L?UUaOw1&pp20)n<{iy4k4e z5^bioBq)aOntqPHALB*mO^t$4SuXPOQs1)ljjq1?=u)<7FnTnvk3(9W ze7K61i5QCo-9wFrK8zLl73G?(wZeOLlr#23;JRyl&AxR!kgj`C?=B0XWiep-1rU(Y zYJA6-^HOq%Z+nf3|5AAe^D_IkeJ+^?BrR+f=E#1)!`^Y-LeU{3)~u$VGvhY}JZ$e}c- zGtgRXI#%8@jAlN?z_-Tk%Yk?$bP#-gAxcb+pL<+Stp;JKa^N@=>NYN_KVx3osvYm4 z>kL<{B&TuT4COX!sl?}v68@pBM0}s*Ylzp_nhu%T% z5{Z;yxUFWpRTFeLtr=0^tFX;?(l-kkq!kK0m7qok-XI!A)M$*S6$*jPo=db*wjiKg z<<6wQ&;&^y-KOv(1dtbKPY@u`t0tWGTbC?voR1)1&U@frVnJ9hS?zBG^;KAnFD=H3 z;Cux_^?<&U=+y z;~|+agt8p0%7)vSC|?7%IK{1px=C2+2?P6UDGpc6oG8KNacQ8yTy>ocUE3pD zw=dnx3E?sv5t1U?&tRW#fFM7rjhKFNa;ecOQLze~u#3=cZ4PvP2(drG87f61vJr;X z#(d;ZE`_gNp?+JyLWHaC?KYQwv*lN@H@YA0Da59S*Xg~f>2`ia2)Z!8^3J67gOSd_ zDt$et#ww!rF zY$U7Kz*8w=YXT~^vMa`P#1e`@j&Qzn`V}e!{S8<*o_>3T`9@zLJ$hxmBa7u1+T~)Ftdi*j32{s6KcO7(G*a}d`N80D5H?D0zw$Ju*k>>_ays8F9E9e& zjvp-CWa7%=<&S~!X>+E?GUsiI6i%cAnNfRw%wvu^K@d|tA8$PF+yRX2tL7C#L;g8}RGZ?@O;@s|KH3TP`0``0$Yabdm zmrovzc&StS2csrQkc_Udj7rvojLICH0ahg0PH*&bI<>^NLR&gJnBG_94JL{}H3{y+ z#WNoQ_ml&P!)_C8TQbI&><>%d19)HNKkH$0zK#Uvk(v$-Q zTe(np((D4nQ7mQ)7V;l;#z52zqh%Nl4&abWzHT3aS|-}P`grp?W=k8uIZ@i3X9YLl zN+7Rm(;RY&2EG`WpBIg16@3-XklO4 za-pA`8fC+B*B~?AI%(*h>6E>g_f1tWART)1=zUMJ{}HoLpQA!8&F+!i$3kJ9G|B>6 zA^eNAwJe+cq@6iZkyV4onrlfafutPE9XJ6i!85lH0tjM0K8|sIO%xkI1teGRCs+OZ zi3Nx4_=yp|7q;(4CUs7)o_;(S%Ba?9sDy=`RNFZwNdA>!JPVQL%B=Ea;@3BJOijNu(IXhVu1+pPJ-l_5{(E4 zS0HxR+t*v8<@y7xXfg>C^cp)FN-dKb6gxVyv~ok+GD@tQ{j2!O6QXcDRzT-SoU!r( z32#V%AGFwfTC}beL5n{V5~&=@gKtiMnT$>I60(y%e1Awk1i5raeqEfFi{rWo#AwF} z(RAwND0Ayh(pd4J-3f!Mf12%tx;-I%+jAA+8pkSJ@d>K@w0b>wS@qU()^DYZ^W>Ba zdc?oPFWSM`DVlqjxA{*}lqH&2 zwvIk?3Dk|?IC6Y&3wM7b?tb42|3KE-bKD2vvOBl7RtGeS9#RX$GD87FPADgEhZHMd zwuP*??s$GNB6T^uLcb<2AaDd5_gT-&1aqUjB<#ac3zifosKRU%7uWPghFTO|*!c2b zA(W!7DEHKS-V9JiAm<&5&HAd!CpdrDR>|9G{cZw$fzLf(;Iw+99JVJ<`fE@ey8~i3 zhBzpj_2N@Z!Y&A>G@IlkQCF=4yW+qY*kYL#? z@ngA^f5pgC1oED#u8VUi0_=pWZkxFk6v)Q7ogP##J1Yrult*Yns|WlWQK^WS4gu7G zbN-p;B{T|?gJ=&d#;Rh~Y%|{?)6AFTEN~WL6Lzx3c!}iAWXChml5~5i$vP538<-}2 zcI5hfzC0R=7mZ!4?*&)-?jj%NCHM?8y1R1K;gbFRt|*7aJrgak<~+jK@iTOaC6(XFAX8d-{_!Y zy(v(qs3UBZta$0hV?F~rXhABCy0xNft!t!-Dc}Iz9W;`*O=sI&E!XFfSm(F~4$9zr zbC@qLbxYG8F4R)^)j}U>V`|u@aD*DswglUajUi@IS42c z^O{_I)QVikhzMQSj9|$cX9=OsuI5!Fn04PlLiq%6h2pWO91Bj%{TCM$TbZk!eHACi@S^$$Q?nR)AM{#zk9u}&UuhXqobRk*#QDDw`64C@ z>K2?xwl_YyF#w!JJ_W`=w@DKDy+Zs3uI zhfi(Lw7bQK+Z^r$3;$|Hf54eyc`&{CpvPEjlD3<4-M0AhF@xF~4W_rWV3NW!RYzex zQ2N7pLm#9K-EtyBOd4tZ%kl66?*(~6B-*Q!7$fd?8$eRJO=lGJe}vw!{BZUEeu4QZ zsBUI$LN0D-1kf;dbfch?H!?8;xWV1X`3-IKjR1TnCtHBlyA`0A0HA092ap&4(H8LH z``2FnW3M^`AP?nVed^}A9YEngfT=;F0{Q*Jsr@N%@IUU9g_WKCw$SGC7^g$I% zkDE%Nm5!1#%&_a$O^By`oW=MWO{TB@ZopBD(cmCg{2ke}xVoglfx$wR?c=I2&aojU z$L>n&>*3SxWBcpUJPZT@JT9W_ovBsl)y%h`T*G^sWl3yStN2;mFRswKXndtQ^Yvfq z$_y`s`4+?;_LXRksaDC`ClGqjotX$3 zh}Ualj{OhnH7(o0aGfv?bYMQU=;S7P7H0#j-5;_+DsSXkT4?7M9Nl$a`M=Wv`z_VC z6^}fb-7D#CwEHGg(t9NwlWmdhn;;W*WK6t^>Xxu(5a$}zT}y}2Bw2*-2&j^4_(szW zeh;5C8lhMNX5fRH~qml}>hmJ422qzl?e=ZU;}9 z^kH7I!NQwwUC5=q%3g1azwwdbiJ}YNRtga+DAY}U>z_1qgYsNJq7lH1HS34CS`VVv zu7o-D&4rE1!aOWWMK`NZImd3v_XLG93wX9W(t~O0S)H&RU%-&+xTR===OrMic#8mP z`TlU-tqaPEm{6vJeUKM*vJ!dm%t2Fs-;!yucf|;OZk8*!okrOVtC|6~uBV%`w7*7C zhwWw)T^6Y?xY4iVE|$jFWZr#aa^bd?vMeKdPwjFo ((K?ySZ?VC^Qla0d|X~WQS z_A^~x1KI|ekRpWAdx*^e*6T0~S~z%3*=luo$DqeV7}J!p1%?MUtoEN$Kv3lS^oP4a z6muYW4tfm&JmInz`C9Lw>}L5BxPmkF*{VJwa!>VYe>tK*&l}@OeRh3oa?Zfh3uijq zLrtAnl~vzYZAp&aC&Hp)7`lkY%m6vBl7EG z1Lsd#1`TE_>*4*jRGHu2*`>U{14x=Ccp&NY;cr@bfUXXHz2{jOYTseIry-ORLnnh# z08gs)F_u-Ovdnf9VGb+S&z&Q&eyeoe0yaQAE#|e~=(vn%5yD1-X^deG_Z9Y)nZl9s zBh)jfe}!KEJn#}pdBr;J3)*LyH%hgz5pyCoQWhWd;O^M;*pnFzuk>(R&#v08~`9i@P%4*I%xh+Ty z1yc_LYof3`!2gG>)vIQLq`G} zY*Bq2ar2b#XvRhkYKm({E3x#r{a^z5w2EBXbpq#mh>C>$*p`auDvvFAB_lg7fLvtO zvIk1!m(x8@rjSb$R*L=_EFujtDu>%y3h?hC1hkNt4nXhEBP0xLn`BV^ygZ}wJe+(t zF*DOh$Ua>y=``5GRm&7rufV0pk*vVriix{CsGy0%?=J(ddZiXB(a;XC={y4@$2 zz`KCQzykNSA{4k;QUPuemPsTER7WAjCVny!9^q|{-Uk^41_8KU6p`++kcsIY9Rfqz z32egcWK<4E7)QkT>~>yLsFgYl`{9PHum`&)m#uHSWF`0a=Gqxv^5NFzG=yo`(SDwcGV z=}+EWx$qI_zbCmuC{Ua>Yr9JJ8lTNO*Rz*nf`eDK>4(~SA;YG;~AG48BnY>!-@H=-w->=VY78H2XjH3nb_vyO$@$vOOwHfFJ zOrx3@68V$#gwUsqA$$+?%jxv%Gz%0Kug}BLh#l#yk7^mT7p0YG^iR>Jqv>b5I=_6s z&-5_Fy<08C(i!{i9WmQtYy#OUyNFn1rFgk(7%wRs%gw};iM*S6K&v~^wyiR6i2%qL zH))goqP<(WwdbC#bAVD)fnaRDK(UH^KJY&Aezl`ksIOt-LLR)?t>=zvO>HtPzIe`5 zzqUx*{mlb@8AdZlZ5J1pqk}8>PC_EQP6}I3EVaWP$Z{gbB=qtzt(%{INYqe@X=b`X ztxV_$G-DdY`w*ye`)CS?hk>y|Nqmj^t+~Rv`(ez)BO*5sb$MtutZevLJ`oy>c5Cw^u!<`UZYP-!^5K)c>dZvNpIx}5SRWUYI3+M}Y2 z&0=|bw5_9avMSiK>OwwBcD0E-@rG=a^aNjqOOvT#YUcTHH&es*sVfLS?EKB549SZ# zw_Vf5lpUtu;6hFPr&$@c@QhbDX)$gO?|rUE;K>(Wnk&*3kGr?TDHBxquSD0WB#iZB zU(TegC0bJEEJ$eXbGz;m8~ffNOk4QhrO1m8O+L*MI+^ghhtY_qyJE zw-~||_X$rr+w%cT-TwwYJggX%+24u2KxNPJKUx#+vmplS)LxT0dQD< zWsLx@u#E$Dkzq&X>v-gP>B$7nmFKE+vKKIiUOOOyj=5Jj#6h;U|((l zaYn;Pt+xWV^e6cWtkL`2iSY<=k#cBr7_$R}_1IZ!F9#kiu@|k6^$NMhrasSqI;Sdg zy11gL{VtFZwAFDNXn?3>9?+N>b3xTVMzH-giJz_-uH{xyC-kCq zb1vyhIg~QED8?Z1SW@8zrXTAu;50z^F!;y`YZa+rkZfGB^bJJub{Mmg%eeKi!Ix<& zvri9jBfg_t+noA_a3@RfcP2<9-Cov$USQ`+);*^0H08>WaB)SV=)-}lx%KCW1NGospTZCKEH)m#zb z`x{~+aqJdTZ|6f!fC)z;+?LpHz4pREA#dXi!~5gu?1F-elxIMuAT?Os5>_Xfk`J>k zD30}gyz!a2NFwpVn%1l03-(=cKa{Di%?MjR0%D}FDTRfRc*M(-5-H(OY! z>AUeRy(MPP>yF>mq?=!gVzQL4{Mrnz4 zT<@9Wd??%~8JDF4o}QhgJER?sO?SByTr0IKLD(y!$8xQmT2dy-BMWZH4TbNRd+N~g z?rde;xzk2VUb*xn*sH-qA)bGBfFY-%Fz|x=obYKf088V8+_wehH#gVdj%hyAS1mWF zeJ4pQ@t5^vv)VNMZ3L@~v*iA#VO~kqSDb1lEM}nX3a-!c3uRLI%01`rM96LyMBXC0 z82Dm#2xN6M_eX6zM(X8dJ{7KdpJ{nMa6e~wy|QI?ywclDXr;mb@2L{%RG>f#WVVXk;Hv~KzyOATq3%5-073FtO!$6EI zbOiO8rl}p;T#9O6K0=$T#LrICrD&r;x%w-uh>_IZFN%>^KrBdAU`Dulz8Kr&4ZxPY zCRwEUqA`WGJtXo?oieEiwaW2AG~8)shhPfG^k||lOd&^rJz0S}`bkmd2zb(eMFMpsGp?PK5gG>di+ons?A3X{-kud|2Aqvi0Y*tL$&U^c^5PaDThn5lO5br&iU^SD{W zGP$h6+U4jak%4GEZ8_o?%Ij2MMSVr&7*K>pyrP|u(BeZFf3pN+4JzKz(Q2MgodrAx z@2H;2IG_rG)*eJlX+?PY%MAd-Kcr@CJ;RTO7x^N`(jV)3LO5 zqQ?pqMQl@x=JG5HW1&VTPC3toInNdoZPK+BXve&W$ACz4%5_J@_b4XTPUQlT)S|`R z$B^$G2S)~JMx1to9Gu>BfNh6{2ibkzj1NlpW|V)au!^_C@y?K(bYCdY(3sTFRHic% z>Y>NTH$7H$7&(z&;wZD)-L6Id?#MtxUYz%KOutHhF@h1U5vnZo`c2(kw}*Yoaz0uC zETtdH)0>BG#DH}+)|n9zV zJ4sKK?yLq|{ac|C{)s9r)uH|x?Oo+`Yb=L;qP6D>y)Z4JVheZo7la!^#dyf^VjVBb zQ$i%zHeO-oSD$EocpHZ41IDNw_%wSj+52cZN?b=75qus>jhqEs`xr?fxf7d!2|ERD zwU^-Ne5$m*t=X(8?Y%NH+G2=DBNeY$zI|nM*vK^2ZZV!)>Z-nra}(1{^P56e(ag8J z2_c=7YfJe8HtM>V6iAmf`!47hlST)Pht(2?Rl*=c~v0nYlK1yG>#c>y;Ki#Ja3|^r?J==|KJYcl?u|;^7Gm7t@8-2)H z-r3;}>(pJP&(W+Ai(M}9V`n)Mz`dP3wubr)nh#yQl#jV?^K5q>^=a_M zJFETd(X!ViLnHbi0RI~9JcoxMV=~>%yJ#Ly@kPRQ5x0$XJwRn%47n%n;q6A2bD^M^ zE3y*Q>8HNbzSIquJM=k?P zu#I=pdA>)4Jo1u|#binW#FYmOp5Joq&Ao9-t{(OBj0GHPc=b0I(6w_`#Be6bP>Vs& zfsRAIoWO64gz6bs>T z1v@cTJ!YXgyLw1;JLjZ}yvQnkCO}P5Y#Bwzr5G3Bm4A%8Iz;p}IqZ0la<^dT8t$a0VM{saR?5+LA}u00&E<`CQg#GjGZ+EbiF%YmNFG`VLhA z;T%l|bz@Fjb6fiY@?@tICE0TXpZ8A0cT3%Gz;9Z)?4tQwY{%-cPqme>?+jDdpHj+- z0n}6znBg$A45ao8AcVxf4tyCmxIKdEFJI%yy~IgUpdm6xL_%Wz`MYzlO%Ce_5l2ZP3SCQt?>$!aMUwuzw zl#l-G5pz;Oc8F9-iflVgt;kkaI|wWg-9y&nKSZPdoIB3J z;0FTEK+n!fCnzoikZ&gV1%b=c3F_I38JU@wIufuku+j+`{i;dBM9)SiVyS21K*0P{ zDnj5#);$^q04?iB5uhh}05J>Doz~A%5j`t2O919>Z>DES@IlYs-o}OESAm(O5hGx% zUxBqhG$%gjSsBsED+nqoiBkQn|Ev1X+6sX9HAe$eIt3#uGeH|`0I2U^Wcag0<<}IM z>FMdj9rY~D4EU{0ER6{0=@cA|tdt4Z7=I=I`!T?eCD@zUI@;LNss3IW3j;uQ`>)vl zpK{p==s6huX!MU}^y5akIRN?>w>Gu`tl@{_0dT*>g%oW>#f7BxZ0Y`RJJ1QK{JbMS zr~23N0d0N;75+sg0?_uyV2S|Ah(Ar3PDO)(g+qglm0e5g&(r*arV1$iNr3w+nT+*M zeAEBf(ZI;`HyX~G(Vgbq^sqsvUeLIUf_8D;S%@IH`O)3=-z^c=<|K?&4RhIpTRc(e z9O-@GE?&Ji8m^j}n77{UVZT|__gjfGNbed(%kJ)sqm-~+DJUCiz?D{1Uvo}Dj)c4B zS3=$U8ltcP?p#6Z*r@X0k6T>`?5wlvjL`hq;HeXdq7&%=3+IM$Z=}bCiD)kz7wcWK z%n*MvZ~rbb(%=$$yPl;jyRbnF99+?tTt&EPr7y~2Cz)+>S~l%)6(^HQOGa{ShPO9u z_7~i)LDft(TLbUE@y|eJoHZ3-5JA@AT_frOl~mc(|D)&NC)Mt^!{}c__htRT?fc#1 zAED!{zj%rN3c4@rFZSWT>AwG_`~HjRzV!4Q|9jbF{}M0$ciCjwenw9J_hZNZAo%`8 zafnXw2if;m9QhBfvb~J~U<1>jlNA!7Q#5jQ)S^?B(Kk0Ta0Fy>5V88f7yiB5SOL1A z|7o>(06%o{Hja9ZKlThoJ$(l{6*@&zCo6pd#@|UF{%NxB&nNze70_S+NCf>2+4tx5 z9|i(I_Wd=V|M#)uKP}1cdHl!w1OqcY^PgSN!$}r0n<6MbV#kL?H5R3IcP&ZXebr69V&PmPQu z_9OksCwGgOu~eEH6|!K0BJPVK%^)YAGf%|GhAvmY;2-A39KmDRD=)t8#jOb?^&R&- zTw?e;E68WPa&^eYHPs^`T}9nuXL4A&b#_TJ@{`LwvV}EQ1Z*swDxRp-V9H6@!W3v+ zEAAHH`oNBfAQ&!dt;!@7$#i)%&c%q4LB_DD zi(D=IT3ZARNL|l7{_c8gGxb^D)uXD`i*I|E5#AnB+pW zziaQ=LX?~Q7L#-JqbsNljPK@;KAHjJLvTSHf?v#ZrMY&4XRxWtp3i`}yP@{SQ{nyH zP<63>dMiiec^y*fNrU_KlB6Mar3&Y<*B-S7;u^_3=I@++2Q!YPzQWJBR88d zMzKPn1)uYaw_Nn=L!kkDKk7-fI_>#YW9|uMY(chGb`Hh3Jx0Dh%QV-PVhxq<>V~R~ zK^P`b64*v5!@6AwTY;G($ZZN&8Y;D{ESjJ;gC_T6Jw7MRX==owM%4>9Uv;5>2lg{k zYFAi-nG|YE+J&$qQYINzsL=TuwT#!0caYKisdlMd-^hDffc|YjC2S-Vjvr$A8W)Kz z@SF5`40x#7T(1}OJ?7mg&bLGPvoT4`i=ig-`vKn&vfJc4zh zY@Q?MDF|qJI5Ba;$A;r*faWj>jyKf{FvLbhYzqQp6<|&^o-#_JD0GsV@H%2{tYj|I zn^E6aA{0sG!25Tar`?=l##@mt7jk&yRZS1X0R?m$K{~nVWku%p> zG1+^Ubf>UlMo1)@j_#G7<0L$L4h2S|w2~BBr|2fIzVvch{k}dBuT5L9H_XXigV0;K z&{SpjkmZU7h8rIts!Id-r30)jMcM1 zAnJ9i*EYS2ZX5b!wwDhcVdqaKBsHKak46#YyRbe^plcxHM~x_&KqF7U2*S`N5GHWG z2B+{(ey=*j8+vv+IVFq8xfAA16vo(&aIa-2ul%zm?v6^l8+QY0TwvNX$Cx3N%7wb4|P&iC+Nb_9RltyPGRNicLhOV>tij ze20gnX8Bm>xlv(PTbhtM5CO-7wamiP)m5xR(*k8vUu{^0&9z=#b;b_QGl0crjXj+F zBVSZehs)GwYDi91jyKQjrIav0dcel0c6*AHOmS3rbJr96_xH{aHG&EKc^X;@QAYAo z>rAb!d{MI5nd(a?SLLcVAD25VFN>3Rx09r87&D}@NK02wbJsf|x$REmJ8sA_X%8*W z?zjU^%vF?2K-*@OC#LWZ9xf{YS_qV+sFeTk`1&VO(ZA^U`sWwJ-_dHUzd~&O6~|Y$ zpHh7P&U{4w@8j#g_V~)o$Ow3i|6ht#`@cWE{)$@z{j$vnd&FuQhVD&LRM=a{mEwd>)IBMtJz6tIphwm?I;EOm@oQFAA6V}SV0cF6eijQ<09^vww>k#&9d~FN{?L+?Y*`BZP+HHR_LZV z{03sA|F{xPF^TaP_+ijt{~=44jz>TQN`oU?=VPy3@6XDio6uIhcC6@qD5LQu?3#L5 z%#nsZ+roCEKDVKpq1qE#Z@h(ZM33ICIvsi0;bcqNX^!yY(As_b+^dK32&X&f8xv;e zQt4i22i9Q7R;3fu2iHvU6>7O69NV5EJhzTM@w*nfZuHl~@4Y9}y+|$k>dl865S}^e zoQE4hng<)EG~uL9Gs2I^%|B{P_M*1vrvi!~J#$i<4>m$9o$Tt+`o6o&_OjiN%Ox?* zwKlW+sYpGZG&k~R7TtVyvJ<3rva3bwi~V{?gsB`+4)S2v`H;M0gV=}Kx{>;oYBR)B zKkYq0LQ~6p)0{TE*!E-?OkocFWW%x3z1L2-Vh?Tfa|+eXC!6(!lqP|sjmA_M1IqMM z=5)b|RaxAnuO5XQpeyepnLY|P*tShJ>Sx}emAhc6m{LX7G|?9fu5V4kDy! z-I~Q6$TxmK^FQ2A&$`pyK@5ODceZ_|FEn-XA-ti3tG(m&@v!c@ew&WyC!5C(%9av^ zmevVJsEOPK;u>_8-lZ`A&L6*3>&e}=RaKSU&y0K`L>~>hRu#SlA#+8>I7-mUQC(4w zi2Y0WN+U$C(Dbb9#Yvx_&Mct~1NKY(ov0^;NM$@9j&fIn1;c82{;aICRV!L>rnj3G zdsTe(+o`x)yS;8gp_My5RvS7lBKEADogL{ath5dpQ%BcG7@1@nl^o}Ck`Bb_IMjq7 zQF(b&X_7>NAuS*UpuAz8chdBFC^HzmR+C&2Gvtyp;*Mg>IY9X-)ti}^m)HGBBmy}C z%zmbUOohRwuNPjg7lpQWwK^hh)k57}K}wpPLba~^GAn}B(u}tDkoc$8Pm=Gvt1Z?4vlJvT|_v+?r0F6HVD@<9X4i1ON4! zK}Mo&MbtYlZ4&~s8djJXP2l~v!{7@f*ST_aF55LXEAy@xcGd>TSeqY5V zG5rA+4E@&0>vMschty@wyBLF2gtemJ=te0(#)KzmaFvijs#Y(4Y!t|%QkE}8%>v>4 z2Xay<18+7o#6Ej8Qb((LUqI;$cp9}?lVvIhL6JM_fftteL7|1v6oVeO-K~Nt%i@`* zL7#+WA%7el_G;)X038F<#|+5Bc2stNH$Of{D?KTI_F$`@+0@6}s&(_xnbTO)Ki8~oH!2kPfoIP>pO)XscW z(O#Z!4z6*(H5{*<>f>6|@GyaTd52Fue{Rkjt|nm_yDFg;y&j&=%?WnF^U-wlW_v#CL_h8yzwX0On4Gt@&ABbQ*+3zC!v~5zgN;ouSznE)RY)D7HJ7xGZ zliN$*f#bGM#t%`4I}AIiAn6v!6M^p(C0T-Z>oH~_yGC%9<)snOrB!hwjLCKm%MEPh z7(8kS$(T4^ZhhtD#QA7k*RF|k^o4!I1v7O7Up~>3EB`}|q_{)M*SmE=TrC4O zYTgbDi8nk^I>As=yWA2#SnWmozTaV6JakJ8LR%;+_zJE%yG5kY#;1JQbDkvc&z_B6 z8vSb7Fqkvazv)ZFO~6vSPpKpyXI(8wHLY}V`4Tf0XwrZe)I`Xr6PZO$BoDMs&IXdvs>6s&3)jJ8 zs4j4w=b3+Uv>8S`g;O>iOAN2ip>!X{$&H08gCrJcPC>+BdTQq+b9l7bGD-JA+~C$A zIFG6v3r_yEu2t7(rLJ=%#YStDC-6l^3Wi9CwwQiQwjaz6?;+8ell;o12pvNk0uDkr z1WN)2Tyl$9n{B&%?uDU1Ga%r)5nLCN4b{lTzmxSba#X7iad1SYYz}?QC6~5f(tv6A zW1_m{fqCc7pStR!ybO z=uVEqi_|#QDU#Wml#~QG$N+I}3(B)=9kj93KUnr6;a#{LVk#AiXG{QMfI#pW2%10$ zmXMz5kMlu{OdKqKt58$f!WQ`lYP(C8I?su@(Ez;o zjCwt_ht*J`;T$j+m7L{zKUwX2`f>&A;T}@oCA-M3?}SpJdXQTmQgidRWeKGPAn4`R zVpfrmb{%yY1yjiONU||Qa`~jh_5ohE?Z;4w29&rwM%#AEkC!eoPCUcu1-|*#6QL=O zz1R`lwg^7Fgvym-<%B2Q+_)Kg=RL!2b0Ms2s9u+f!*js-qx`l}7bJq%I}lWBY)tjil8gW4B^P#E13^$H zGX#2BTWEwF^O3V$02nEySuu1cwsD_1{E`Saz3ZJU`KeTi>4MU=gX!j;tyhnvl|CAqdxAS zyP(+6Y<~=oyA@eHilrBcoup{e42T5-BQTg!Bh&N8iG;Rikq+0hQlx4MZ~%F0r8tzd zN{I3uk|ph%DsyH|SZ^)LM;6mKT_X!-1mdDu1f4u9!X$*@DoZD!K8(&FLNW&8XnXqoisHe%Dx8o$%L z9|W~t(oWb-dm2u;ym&0s)^_}CWl-IGX?xQj&02loY?)S9d-x0#R{LmwT+AXDGQKx< zdvkkG_y#r_XF`vZ!^@$UD8y=Y!?*^A3`J+oAhxH8S;-)#N3)zJ>1+;5jF{^^yMFzg z!+Gd563YqO>aO-IvfYdXNSf+^xG4g8%4p*VqiKDTYB)QAKEomNm|f7$rg_;WT8h+V zy;Mw?R2Meco6KHzap^(%H~mn-Ilz^j@T8T3@3rA$!;s_y(gi`KxN`^=4zqOwTfEQ6 z_lRGld@|ZSA5L7iJF50?YYv7pFMXnppLVC9T`}a0$ z$QxGp<$gHp&QRzkg1LQvcCG0F5$7!8XN@kKckb^0P}$cbHoHpQ3pOes`v!trA32&Q z(C|)qSDxXTDFTVKc*WoGZmStgm?W&P@zIwn(j313J>DQLfdsH%4~aUN`oK~mwP-&! z^D6kqGeJ!IDJ8+dFrkwhu`Wpecdn8GUCoef@8~4_V2{{CMMKcxTB}`0&e$?P)RR9& zkHOoxb5AgDoL!ILN{38Pcu&c*dp@iVT!!FV+i^uTmo5)(8{I^wH_Pq%nv)VdxW%*u zYDbDf*%7LKHW0KFN;W=*#;J%~W!>cvA2g;sEtVnw7`mmz7l1hs?Wm9Rq8|vUCbk}K z;+AQ9C=4EarWr*%p^HDl1?`_nR7n=_9&k2NND_+7&^}#`EfYi3SFqlq`Y2WyRGsv` zQW@#ZM@SkV7hs4vhvoR}IHNYjBCW^WD#xv=Davm!%<}Yt#!%XLC&va4cag@d=GIIV zn=K<6Z*|N|nVbin?!9{uY9>kcN!qlTH6R%VRDuwKlH)B0MFP6Y(5)(m5#k1D7+K{F zVcdBHfe@0gP?0|j-b#xQ>*_I*p0&BQ%j+leQ)9x2G?y4MFDLajHYyvwDcM;!67vUP z1pe}Fr6`XZ!{)Z1eTpq1a&8S^)VbuM#Zw<7SBnCjFGoSAYg?j z2G$Fh7KCoe3Yc|7F4|fK)y#A#FS}UtBeN6d{zwun?5B|J+|J<-jhirMyODZ`y{jm$ zxn@?ic%dpJxwE*=qPa3}_2{k>pokWN1Z|!hTy2Vsof&zWrvldR+*Fbz=1 zVQ{SI52%Qe6%Sa7xZPp$s(%K*6Za(4j#tD(zzQS}gv%D4rGykp`%ZuM z8To`vU7_JQ+GS;ewq#bPcunKKsRp|CcbgBdk+LI@42G{(yt;4?A*Tko1LC z9Lfut7#KVv9E+-TWf5Vvh+1uf5{Sd&ee~4DO3A|J62PAZ1HfnW8RJ!z-d?M#PKD*n zZdDs-9+cJA2pE+GLdYYHMWjg#@ywW08B|@XVOqq#jhvF)Vj0-0YQ&2wZaSnKJF%Uh zpg0fV88s(IwrxLX-80M(H~t}Z2_?pMhZShX$WkQz#<^`J&V~bvV8bxodkW8UoLK_a zRwBc+MzN?IHtBwMs{~e*C>!Qu4hC(Reyea!jKLQe|8Va|b{qM#WrqB#%WdQxbANn% z8@1=DZ92HfMXl%+`dKtQQ@)AofcvlAHc!0Qz3X0+yYMTyEB*Ltg5k~$t+k%kwe1@r z&!Re-GamH@s}e2o1}R%!hI*#jS{{b-DHe8LI z7Y>a(dq$i`uXDK<5LDV#)vN#Yn#7yx7|s<`r+3}%3u*7dhEeO}aib+HF`He5OU?1c z@Eeqs8@}oi-ukc$xQ>&hJ6f0PjIrxE6LM#(ulUKFeSVTVIG=kg@7!>Y!AGT1k@Y03kanT+6HL7f}g#X3*$ z@=TKq1dqJCm>a3_4scpaG4^~8E0YK&|GKNM&cl{!&owSdb#$e-g$8Gt!o~rcsca0} zSxQOq(QO@$qxbt)#4mFyEM{he?MCr#6s@(c&mX5CKsOjWlU*ag?!JF<^{R)6?PJ>P zbS(i+EA@~jmjWSkZlyp91sg15nQ^CDSnsR-P_?bTn#DXR!#C1QgR<2l1~ixZp4_Zs zVZ=TW0qM~COXf|oflNd?qRH@wt=63>jtn6%7|1|ZC9{XK_{M-SQ4ApjKn&{4xLeOU`19@yvjurzF$lA^BF^ZQ_tIq?pIU zAfB_&<&QRum*XD3tbXi7R_BgJ(ogJB3)D%YK1{AibnH&;LvlY_T{f?ymRekZzIX#E z!Jw}NJI?rvtiu#$&!qcQIwyhkEzp6OO)oULtaC<&0u9~ zf0yoy3*4K5;#N#HF**_d~| z7*7`v&Uf`|zr@Yh1i>D`-21&#vJd!{4kh(B;)_Dgd@=mKmpR6WfQt`*X7_FN1%dZ%6!&aER6+tpWsPqcYai>H#od}i3Ax8X$8n4q zowOM}{$`BehPGu$JRcz~f_^^aikXj)cm~X_cS=M?&NX(K+JvpyGwiwuE(2!l>(`1m zCeQtWKWoS!q9jwmFh24ik`1T`$)}P;I@&fB-^`WSK8C}NeU3Tz1C`^TZn9inQ&=*X zEm$w#Z6Gz&j?tu4*D|vjb=&I3>bJHUIvq7HoG(2-w=I{u8pdOTh9{v}_Y})*&Kn$y zy4Ed|u3kIhn#)c*gBNs-xFIX%T{JR1$AU|HQ<7uAV|Cf#XDqyMA*Zfh3@(%QisN&3 z-?{swmJ9W51Py+n@r4wuVU;5u5(!#< zCy2seQ>*TlM&suS&Pb0>7$?t{cUknNa1wqZ$OCT!YAVcsa8E5&mR}c8+v@ zqA0J2%7=FLzGR4|sw6Z=&5>7F9(yXuVlcbqCYnKjZ{+|VV!iKj6qP=dt%!pR(HE*B zr$nac*B(}dIPfovbMmu&Hl);rQ!_AaP6OH)RaEA;4eX6sT04D9$^PZz6Xd`t`90t# z;=l~+D(oI&MuOJzx=XZQmPWa7-hLaP{M=WvpnRx8Y+m9nZKOacvRd?{^f~Fq%`lYi z$GXg*!}stu^i5qU-`a?v?ifnwV!3yqiYcv9)%MkISAb6*L%4CQ@6fgjjcKq=3?G~8 zO=B8jP9qKj6S1~Q#!W>^Kx%se({W=TKd7j8*U`e2P(mXLWB243aB`}@msCovM-#4l z`n-?Cas`usoqYSqh$@m#*4_pp*J|;=N_@>}hh)8QxGfR!XJuXt$AF$E2f$ ztz}F}!7x`GJ~oZh`rrgV>H$Cn42hOaf4Un!qGK-FVT{=` z`yA+8+RK*2#QsgMp^K)w5$oIi+srs+Nf$#ey>%4_nh!LqHCe`9=K7dC#PT2U&Z)}g zDF&@?@@kl2D0$^ogyide8<3Jv{l>ZBe;^b2$n{qbi}ofrYPFIvR;!Wb_c{@QFu~Wc zJZrM5(bzPAtc=i~uN6ejbQzF@uh!+LD+(;CU~~6#(pF|kvC68ig{>GLfBS-+n4&-N zz%q|nY2E`(ZY0ucSdB@V*3V8W`aq3&=Q|gOag^U2CpoWkQ+wsqxSd$Wu61Jxb$GS^ zao=l1xC3`tw$AAu+NAuriCF=3GXqF^9U|SVcTG&wHM(7FCI3a5i92lYf>=06*^|mA zqhQH1@MK-~Z0GhXceo==fSE6n9;c99dUO|5Q+2HF(7qaNFQhNl*S6l%>R_y0$UADdTXC@F~1MxeJs z5SI9g@CGwZW=uY37r9d4*!120hfxDY;Lx01MAtyqQV1L{Jlo!m=$&(cMYVL`l$fAU z1mt2+k-{}FNl4_8N=OzS1LlBLcfO(`#;IFr&S%oIcbGnfnCFcuwjyK`Ia2!~pNAQz zmI-|l$+A`4;!XKc_Cq{(hQJWqyL+k&NPPAWJ4f0z)^a{-ZGlF=)Z?=#XX>BhXEv); zL2-J(IbKWpe#EQ5df&bA@M6$1Z;;k_a(iOe;c;uZa%V+OvNP+32+@s2PFS{p^W88o z$|T(4z27OdADoyk%HU@q${;ApL2Opa`7rrraU%o;7)#J%YcPK3l49|M`r^3V=C?+b zQ4-jxBkdhEaWO^wO)Dp~k9IeAUVL;8wZ&h1h7B(QQM+ZBz`@fAZbGK|9&voA#HmmzIV^}h_qaX#*Lr~VX1 z>S|u6E=sY~an3ivLkA2R@~0}K0!B9FtBTKr+Cdw zee4pX^ZpiN+C=wDhsd)}4`VOPL0Y#b>W^86&BKfe_7o3jKbG7v7Ktp3Yqk%ag9~cP z4$;0avZ}#y^~+RwU&n|CPH>B;m;kW^iRU>^e->+&=W7o8rs4=q(yx?_Op9(GY1lBs#p=Q^L&TIV81Wa!U?Wjn?C>PCn=hnNY^Yz2=OWHAx zD%vp{(Vl`sMS(?gP99dG(~H|N5YjTl-RdvgZWwo{rgQv>?R!6v|KQXxtV->;bqv8P z_)-E{RJ<;>Ubzfu2la-Ak~RuU&nB4<(x3;@!rEnO&LE%x8Vs)rSuu0 zETnQ`9k{g$f_QeB*!H0gR@v0BW@u}3E4c|TJ^Vrf_NUF>TlZCEUcFAgpZ&F z?(XpE=IWlqR2kC-xWdi%$+VwTXJ7-hfy*q>KJN(W)vj^d^9OsQF*@pD(4s@T4#G4+dhlGRAIU^d`i)<(217AWw&L><=W%~?|$Ga z!ON!HyVXLzW^A^`{JMOUvlX6gk=^a2zv=Kqru^1+tSf7}*5-a~-OUCs&Fzxx=7?(! zPLI(u3p(L5gmLbi7}P~wQ+ooI$D*nUGqhrz3O*Hbzd3_WK+N~#OmOtHxA=S?iRsB3 zfox#fqu{fBl0vg}o4mL)BXqteK?pa2wrB;SfrSnwB*|*IplvZ0VbG@+mmqN@*aA_i z$-Re~$nB*T=JsyZMBsB#8!WzPzaj<`rff>j2|c=3Brbc-3b?W`tljh)$~O42*DjXqM^H{Y z4wv?_9u7AXVRLZT3s2BlcNYcZ)h@D95A>6=8ah~9df?i&)(`D7JXu?6Vmm;iSj{%p z3cD7Ayl&A%p>Q1=fsa+EE^9!jL*jY#ct7F>T#3DLC1oPz5=`fHD`f|AQbS~iQeyJ+ zV)mvs5RyBC-_L$$KV@8=oFB)k{NmABjMMQ>Vn2~wi!U14^jl(kU95r$I>w}1V5d|o zsauI1c&9YZb9!IITeX9f_12c*fr6shoBX@{E|f5E`Cvl4T>bJnh0m)C2F7hHCEoY( zsb|pTtfQ!d9kWwfc8uq4&IDt=!MYBecD0+P zfzFdjf)LXn&iq>-nA=9^Gf2-JnZYBh%}lPEJZlK*yCO~@5+#Ruq@Q+OTM+6?Y9Z61 zRDd8Po@%%YQ8vcGf<^WFP3zuS3aF}wluQ~{6s;;Hs}e>`^jhp2z?jzFY|>Ls4vEe2 z@QDs9b8<#KHfMQmeSM}fh*PzXKaP-YTS;tM0$(Txim4gJXN^{kwF;*;c7Zb3z6 z+dafuZKc!Gp_)L&W%Vv^E!P`b5r;;$+u%fIwhqENW>8w}J(PE?u_&+fpj^D`wl*2Sl zd;ql3$Q2<+E{+^u3~!~^#slX&{$pkBx(+mM0^G`{kL~1xsP)0R4O3PcCOY^iC3ca^ zyXH=B+vO03$MMhayP$RaHm*Y&IT+`tqCp(u84MU>1-*sgLK9N?r{uo(Ls32vrtJB} zXDwu+NwEY~;-%uLBdFsd37AzkH6UP&;yT3ha7V3a98x()k7LdBLPCS7`J&GD>kJI7 zFw4vrf!she@^$Oq5sR(A%vRj5=0_2?d)$zGh@}}wH1twS%(6u2*Ttsqd!I2g74xRA zw+glqyaBP^ump1G8__CSs33VvHk{uxKct`pgkmf+=3o-CtvF<)nK+~wl%qs8Sa))? zGn8~POuYYP^NBc#6jz+#gkyf3M8V2ZyN8F1$HTR?%NFE4rQ5fUAA3>Bxx_eHKJ}oG zIRib56 z)*Os(on^chHb$q020*-tL+}w(lWA_~>>uQ0@Q80`Y-9AOlgX3*VPa@u)lBqs3*!X9 zE$Gqx{zknk!fer$F~JNbehHHihBGqEB=^&`6K5Hx^jBdfH`F+wJ)7?=rd3%_kfq;T zKIKRSv^3`UfIf$Yt2xh;hjreT zhyRjr{`kp&{CHl=V62y^qJMjIW%lvPb=8C{#Kby{p4-`N2#t5g`DzrBLk3b2hD+AT zU&e~omA=D11x$KTe@7sbfYGm-v1`;pIQr|>utSSOsdGq=K-=d*v9Z-X&M$py@7|!g z$tMhLP}uIMg$|@F98wMgF~}ZKI37f}an@FS;$AREeYfNk6EG4r?;78vsUBU>RmQTd zD-$)dE7IVBU!!6iFoRXMPzMJgOeJt>U0DskGMiSBV8b%kvK%P`elpNuc>m-Btr1F# zOO4x_rv43p<0Q1IK=`Ao4o z8{E<4CC4p1F2LP+apX2!V05^Tn{@YJx)12kt;E={gqgm!`*GRYalZKv2DyEF_>LDq zmnUy>Pr$xmyrK6LLrlRUxL|oxgWg$<)Y**K$qLu04YKM8w8;$INCv!VE#2vb^FAGJ zl>+#i&ZqZXd`KAfyg@Ihu1WbZ0#FpWzh88WeSw_c6SaYcQq@UW==x?NAa#hIC5fj7 zBuGn40FT$i)u!%(iK~NLd|{*o;gz$O<< z<`xJm2e0)V$Q#lZKD7vS4NQQimco%GFgpW5+CEH9SQas7YhLt;KS(e)6Csk0(7bx$ z2i%bp^tWVrLVuCA7B(Sh7kD-i2Pzh66^1=y; z=oE6ArWZB)hIf52`x~X?gn$j20=6I66gt_6=d)Jm)Iq^jK&`pb2UTt5T$2>?)O07b zBe_5;@L53 z*p^n|&L8WZWqdXfeI&hlrcybSiOGch+vUz`3+YfS%gbL5GX^Iw^u2n2tn2KCQzP{F z$;Qh?+-d}5$ourJ5P>iBy{j56Mz-*jAE%m84TlE@Ce5j66TS@Le3G0GE!%uq>^{f_ z%8#`gAv6Ap*IKZblGU|(yN#fvzrENf@^<}aLxSpi0=6>G;5odG-6gEq=ft8$gN z>Hg@4ZzhboQJW$m@+{6 ziFY%>+YYf1`V7`S$oUzuxl2Ud14M){X*noKm%}&)f54w@4P!X?YC_QzoQGX(195gN z`gBF|$Emj^xlgIFZ^@D)xTkn8g&`Ap84!COy1?OPm3B zj8Wa}VhK(Nyh4&EmzY3{Z1m;sg5)e35_}~Tu`S~$UE^+9*P(Hrlr!MJ1K&<}L7XbXWT8X&{?O2W^ znIDdn)0bEH!A5{1U56iL``q1RJqf(y+Mx>+q!f~RgywyS_x3T;`=uOL<#2)(tKb4| zky}TYErC5EtPI$iKB6mNe)Vv}e?jK^%7>5-B^e}X-lp`g(V8SDJlKWjC zCi4#WE$f^L#L*tZEf6=qjs;VKi5~PLigvJ9CI0;yXnMfSD(9jQNK{vaJ{UNCprjqd z^%~VJHuq?1a*To99NTr(LL_2!784J-f&z+mpz!b#E&V=1$p`c(DSyCa9{PQ`|Q*L;Pr^>^-)@E zNz413ZegtZGa5s3-C*H2%v$|VHk>A4ic$2WuJPS88y_X%ucc&}9u4T)1%$C!N!_`*(=la}SO|ck^*nTz@VsXL` zXYp^XUA_X>*9v7&0rRxdbcP65m+#f`cjDI;C=-EUnNe3@`l5wjWoKT;Vio~UiO?2K zQd1~y`uvUsvmhG90AHL5*-2_Vw|?G?yzl5_(F>Au(yY11rsIZV1pGWZE6iPt_)hYzBOSE-NWeFRz%)5 z48>JuJtMXZcg!GH1jAnUF|J9FR;rla{oou-_i5QkmELV0UpbeMFJM0p%G2v1qNs>M-j?Tai&05Vmy~*4=Ije9J{rxDPD0$@GT)KG$Y&vEz3ludwj9Y{ z+jf62b1xv#Z{cw_p^g8TTcKxIkk3o8WTaV(D@@_j8=q2YRpArZ^vqf0@L68us0oLH zHXgYhy!=|g!+JIT$PEB#ll3NH+tKri)k?d~d%ICn!^kx8H7_s9%QS+<=(2D#w->ZT zSlKo_;(W3BYXxSLx8Ufsq{Mkpsj8qnkJK6)b0Ige7tDxFvl%f@#%XEC*d*qeV?R%d zbef>U`2}-BIVO6z6HPDWQ0wXq$^q|4quF`5wDu@VkUYYnvyka=M8E!suJ?$Qt2#D_ zwGYD#G#P2LqpFs^Hy#*rTql_y)5H}le$WNjhij6!$8zt{D5p z>AL<2#)=m91?!uw9n9f6kqQPe9o<}ZDRldk1&rdM%H#!8i#|KkB(&iJ=tH=;VJKYt1Vy~fu2oEAOpKn`B42!8WV2hX*EQH{|T+AC=j3>4wTAmM{ zY9LkIUpL@gE3d5O`yz_OgmUSkkT{ewj?u7u;*3dlp)dR2$Kj*LqSBkrF*Qk&S^ z5)ay2_}N!jvu&FkwC}H6MPwC_W`tIU66eS3?M6QQozqfXql1wZ>SP`ejfB1-xP zunXjm@|9Kw1x4KHLXLVa618!hGw=YOer&i92BVL=(BaVNVK_S}=Mj&sGYDBlHkD=s z@9=4^h=x^}QCR@4kjjpsIEuji$5pl?zhO-qy2LpY_n6prR9^N`n^6Vk(HMt?JUG?b zzv|ebe1|4sW8>r_(A=N>p}dap}Y_vogDbq|m1eUC2pinAu9G zc8lMbi!~D_SLw?LT9szq#Ca?-CjSvktx}s)q?h`&@=lUyrCq4y$p;21=!G&z%ASro zHD7)cgJKMiFj|a`gMd(vBPl6S6&yH>*bs$s{h@!`h5ef(aQjt1&fUqiiX$d#n(?qMY~KWcBoX0o`YvkJ`%lh&Zx z6E`yjcpWd68dDgbJ=xMU&1`hT88$CfVo53GCLQ{zsHWdBVkXd4$b`x1c5`F9@94q; z7MW}Exsuap6xSku`mu?#`Ru3x)a$4_4BzXyOD>>p>6}Ab2x)ap3c^!kdr3=x)&l=9 zZ^pS5_CxT?s`$=yAr)Q3m!OWZ*iAHb*wQ+w&)#|dQI)u^D@UJI-9%kGnEkB3X`%R^ zFz>1a)Sq{s^54F^x7JhSX9L0ZRqoXOjC@OO3klizVunaH6Z$1+U?!|qy9lx)`pHv* zF9R$SREN|@s$@!Fb6ggc2<=51Mev1WMm^tIj`XswGAm#d`X1bn@7606I^YHDwk44# zqVif}l`r7gu9OLiJ*d@1f-l5I(iQteSFzHVR4#%?{e1@zbC+zoLGbq)@6@6D9+g?1 zRTF)mq`eh^IyD2iDHs;SGf5#D!UjJdFt3BjUU-Q>GGgmO9JeqiX>mO`YQb+rJpD}EKFK&g^$?3YEyrguL%O~uC zsH$M5ijYiNDSk|-PzC7-XBNcc_3}r336j|AiKkx52cE4jgxE#9>@5I#`Rwc+kg4jh zi*s*5I>QI*s5hcggKl1ga~7R?pxO5lNaF~K;IY%cPhuWG!EQV!IF@t#f`;sOJJd-! zGgkVBupRcn28{*%W|T6x+#rMTRtdy;_eYv(Hpx^m`i(pElNYANQN*?0G>?SxczdMa zCPKiRiF|V1NoR`z#1#;_FJF$ahab{uI1q?0e2xAI%%yz!T*WTt6yc9U_{-p3A3Ig! zF_F<#7LVa{%zOe~+yfj?nPRO=tIx>nZ?g{cwS~q$avNBLry%hle=+u9o3v;Rh(_H( z;Xy6XzYb;XH9=@Y{i1&?bOJq?q4Y+bP`W^1ye4NwUumju82{p(F_Fwk21~?au{7uV zgPgWyv@iNH!ZhoS_+`uoOVT{62V0R!#5|(bcRa;gE(3BGYBncG@nW zPrvZ3CdJDXL%g6H5#aQ@X(KS{VH!pQsYeK`z}5mOmcluIpC1-TL^V_lvo6s`OIONe zH%nX;z1U*rh6uI)tds32CM)S-TF))!R0`Vx20}d$8@%ER5>Iixo@CnM3wRU4SE}k` zi!zP-(!SKT)D{>+oGWgMwXFBK&gD*+2%OM9DMoO!ln``{Hm{o`{-fZNuO;Yd?zL4g2 za7M#C>}X5@x3g_!vE3IrP>=9IFj#kqb>IvzHW0KITo=t^7d@b#$@j7&5(}sIpzj}1 zJc+e0XDRDt9VXh{;+o4GA7ue?`Ki=;As=uDJax$QG7ev5F266kPrHn{hczEhV^|@e z880bBxbd=MFW}vUA-ga$qD=a@6L{UFgmj)oWJww z(;*khb@pOFw4%_ah!y71M5YsOx7VY>6HO-D;kj@S1kT;4#eC2vLi%bt zlkbUAYNFj`K9?mtn|ns1VbLVt*m0U{_Kd8}9QB{Av#LJr9D!x;FaYyV?xj=x4~Qw&Lie$OA12)Ta*| zpSQXaY3$4EQxYwp3l%aV*cri1J|bTdwMcnPS=!fb)QZ;Z(H%0LZ>rsE))k6B!D=O3 zyX?vD?kB`4eR;w;YXKj##m@MFrr0tstU7gL3qOQ#Fg|v{taT}stH6E3vtKZbqKp6C zx7io`5`PkpA?rI(h5jQNz^O~Zpto6!g|uF8YQnoR`JL}*r8k0_C+&J|Y6qvB4dWs9 zk!&BbG(#}Zp8`b|1Z5F93jD-reddz;-5Pu+%?{BcT;?gx{o4^4PEho9a7+?$<^vh{ zh?`ZQFZt0y;JaCIe;9QtX?o~sz#aodgC};uMP5M6?$-$%qO!@91sv(sE>z+}yu5Yt z?9=2DalA6ntqr$mS~c;#>a6Lc_SI}ZXLI2h)jSoMrC*-j`M!g+uiUr{s|gHBWq!Z#$)=H*Az_RA>Sm`TGRk#(4pe}O)JCVonsb&BH21(mDeu|(TT#dfU?HOg{`|;`Qp#NFux;*LXuWINObN-*1GgWuXCCVQSRt zg!*Uri12Iys(yW#m$0TP1v8|)F4L;=frTmDxiQg$dqAFCpqH?@u@fvEZO#$Z(QqK5 zeuVG8K*hs$>c7vctgd({P}ak)RZ|#yicClYUAf7S_y9lV%t1V4bi$lORUBAeLtZU1 z#pOX+&~(*l*uZP2VB}FIyVpw@Vwe(HjROgE3p&M(HfUWhpu#(x7hLh zxSuz!eHiW<4D$~2E^IKPlEw(FZf#q&Wzv@ZhhW1Gv_pr62foQ#iK0esYKdFbFay>6 ziP3`!`*UA!v3pm*9$_o}Y~d2<*N0WRJ=23E)skzxw~bPkTux0a6EVx%1Cs7c&Lut% z`oPlxIp}KXveJQ(f$qDp^fYc7L8ZiJ!LNM7Gzhl3wqHros42G^A*vV=Sn_*tElZLX z)JB_JdfWQObjgqW)?)W4Ntvj_zA{aRqHfOL`q{=htu#$%yS}^I;12zfx}(?UE8mX8 zt%hGfD}FJ0bJK_wY_SfQ<(4jcn$6BdWn+yetWFpH*-$MTq4sNb<46xFUN|h~@Q21|x;Oi%Bse35%4c7~Bt!Z@**e zVU}K+lIkO2`r$kp7{IQrP=1UYSOX*>t^I%^Pq}^>Hqt2-v>n5u5=l9p&xuy2ZIfpj zzH+asST(|kl}00Lhg)NxgOZ`6sw)jEV%3vkq73mRk>RGLinc#9s>hsS!BXVHfEAlu zN&~7aJ*CRKb=pqD83oF@{xI|_g^g1oK|GVeuvX2!jRLiJV%I^3|0-<9?qc1O>rfS5 zz>3x9`9O04CFC4+Im4rmtbL^*!geJJn(V@7g>^Lp9q(qw;Hr{{ubvK3`Onlk)Vtw? zx8Js?E8s_qo|)&uS^J3X!oBq$50x>!|;MX`nx`≪Qn@kSHeYO@^dNR3 zH`h{4fy_i<&I#6q<->D4ndC4^Yu?Jufq&OG`!y;D11+-YJ`-Adj$@s0NAF@5D^v*aqP5Og9eJCvgRIjH88)HT$p zQdiq@%$SGg2J-zQC&^Lp^d91*d6U2DM%6mi*OhfgLlF%fguJMd`IN*iipW!aN2wEt z9I2x12~}Goy|SC7RHz>w4n1l;#v0NsMHErSMT1*tUCu?2{nC&f$YQG!N!lAgRfQrI z{|vgIqHi8JeP z5h`VD?5*@Hedfd!ENdS_>1`Xdj(uf@)y!StH+n*FPo76<*$ zsyG-m0I6C4ER3J`zhY;y{SKO=!SHiH|Kf<5?Jwvz|9;Aki3tET@~2lW%?T}w0D2Vg zRS%!OCQZ7JS>vcerdH6}hXGCxK&%|j-4RGfK`-766^``TK_PJtp3>_{(^V5kLeDLG zTS4UJ>SZv|PfKF?R4eL7L5M{vG9`;0hx=U@Ru@Ki8?)IU8LGA7E}gj7R;9CGdobTE;>;- zLLiAf`ZnYwS?k)Xk|3@r-tjFIoT`=hT)pF8sQa{1XE$Wf!VQ`8Sq=b9EMS=whov$JfsV3aD6?@L{o%f2Qf3If!OceCXlc^%H`S5yAX$54v-BomC0Lm9zbJaBdF+&U?Dx8hnILM&gh2E^XsL zw=E6!op0h;2RF>w=nzHH>-3DkB!+A+CaBQYFv!m;D}a)gr4m_SPvN1;yH0Ff6`XsC zH*B=Ce;5b%{BU9i#%JB}w&h3u>{|~OgpBHrc&tnf$vq&`meUCL5XS6-nbjg#7^|~w zoU7c(NjMJ8L~H@YNy9wms2(ix6s}Wkp1#>|T3TdaEgwTz&Zzq7VAyHXVVmhpFeMqr zE~$ywyOo3tnXAxVnXxKhL8l5`9di*PA?=3QVBP|YHYyKxS@on8M4@lU8*nQ2j^AhK zAII}Aa4mnB5*2kJQK1jNnKl6%OGAKR6Sf8nFu;u-ovN8NzqNzeA8`>gdk05BQ$2eE zCdU87wq^XKSaec)zp*edGW=mkllYc_)s+t)( znmW9i6hOvbKd<`!8eY?0bAP8_&BvccZi}f{F(Dg{rUN`C9j;nx8Rljy*ICSc6N@Rl3vqa%Q6Atue`ta zhYi5<8V7{G8ZY)&-v9BOF!SH>Z8T#?tQY7J0#Dqb@Ztms7KoDI2rx_!K~J;!3MV0A z^6IEQ=Yy*A-X67ld8_all*Aj<#Ux}iapB22>wX}C@BBNSqkRFd(}#*4nuh%-_hZE> z&8Bjjg6Qc-8@ds-_(ab}9rCurNwatR^9g)b7o1xy9=8*)qF&U4Cry)!1vMVGbv)e7 z=eF2i`P|2un}QZBH4Dg*@8=^{Qp20=Wjy9x>Q`iM$cOIG&%E)c%eJp#jpwr`4`ypq z(;R1Vv}b1tu9DR%Z=pI;kAfd#2a$ z-Nbk7eHIXJkTave!_tsd|b%hgTSNiMhs9 zZ7sC)tr^kDfJBu3Kx8Vi)B+jrena?db0+1uCTm_}bVkDAVH5!AwioB^e9Im&T78P@ z1JFv~{(ky@(n|K%weX)0co9W;Wf|dLTKWI3kiQh{4~1l8VEJzq^5;4OKvn&lLjGFT zuZ#HS;{8o2e=cHn=06nk*Mk3T8UMbV|MmXL{Tf&R5?;eEm3rMy{AEk=lm4lK0M1u6 z{8<990eIzkt?5;1U(;U|=x4d#_|B3=NAn?O($Ss{xyxi=luWO0aN!ki}e@egr7TbdRl-jEw4S5AnX3lFFYeb81b?Bm0FGT9Y@F;3j2r;F13?>08+%1tJp-fH zh~n#{zV=xGfDR|@>L{xCit`J|5&fC-6DbWqzuM;4F?i*Aef|I#UQyZ@ekJ}yX=C`Q zoUaW36=WEYsOY5c_)A&rt^T$y-Ts@9h^PWKesi#^g4zvy+H@!8qB|k|@DJ zP`l0|ghF+F6Phy;*Rch%41pR=7%mRYi6PK+XHqF&dvM;`54J0^}0piwDqP zM~BZ09)L85vw;-|j1f_}KgrS9oli?*2q8L52T}8>?OJAEq>L(JoldPsZBENuV@x89 zHa$3R#N^vrSK9PvUjlwbyl|z+)0yB8#a@yvJOgj=w6z6%oHmbyH_pDsG~^d~?J5vQ zAN1ACHsd(By}0feLTcwMaK!qgOi~N~Qx?_5l*`6uw1eJ7q+#z6+e@Y~ugXNWMOle>0L&M%E^drhv&~Vfu4}p(ZW$ z%Wz2>IqDheIqLm6AKZMdfR$kZaB-jy@C9tmLv+&8K}29?$U~&cB26!CD`;eDCgyH$ zr06cAWZ-ULz+p(l#|y>n%IRulYXy)-;A&-Q?ZD~EL!|fWLYNakzb4ZW5&UFvwBRB7 z>06jUO#(}r7(o3$SJ58x0($;QT# z_t)YTx3qKue7}87B@h%OU}OT=+jr7>24>cdHV&o~+;sn0?9ZnBDbYv>U}$+6=@~d^ z=ox4jIg}V!IGJBpI}JSxCq4aNg#6I)Za5K z&3Hx4EDi0A45uzIqk)Bj=bE8wbHy1pq9kPeaV&O>*1cZYP>p;NjW zM39gaq(d4NL8L(m0qK?!l?IjX94s!^`#dlAe&73DpXb8w{Lb04XRVn%^PjcW%$~jf zwl1Us!eY`4at;;_3@VZ$3=*!kwrB2^(ah2LU)A%s#hlgiVvWGSk(UR(7|z5M1aTK> zF#%8lqp1~$klfUio0|myU;vnyvoNrkaT+ribFs5C003qtMqF$xT*fABXK4DZ_>boL zs#@CK*~JLdpkGy6T~hTq@!zRd1X_W-iIMG3YR|@P#%5&B!p6XE!p+9OYRtyPU~FQ< z!obRH%E4h~%*x4aWd5!8KT-3$qNG3!_6|VL-}c(SL)DqxcQgVzpG~~^Nx#{}^R@ug z^I!h>&de*1kqH>-0?tNmW~O{hze)R1sc$mGUxloG-^Ty52Kb`?ocJG?;cjVW54I~H z1TZr&v#0@>dDyvmSXmfAjudQHzNk9O^~Xw_9n4+ajeust79g{Hpo1Op&ut#Di^FfuxY?V2jTNkZc#dz^eNN>+m;PhC{M4%dU+ML~JYqT6 zjEz~i*#HcjCY+`WY^J7M3|#C0E(Q}8(4>mP)Wn#L737uv#E3l~34nqDz_&=?|JsQC zU7+&ojoH84d;c?c`4@Zdd>r>5(tAITsJ=uczjwOd!WA>1705qIfNdo>p8EGb7UU>F zQwW}Oo(>%6fP)cMGc%A^W9DEm1#mJmu(6v07>vyUrVM5PBQ|q(4kH#0Ze#GUyCD7> zqxx05>F=Yc%V<9*{xj_ke>K|qat%LG^P{wHA(7aR20j<^fLk9l+u~7BGO{pp766>( zK9_J#&)1w%M$Q^m&Q`{@W@g?kvH-HUxBKXm)ZXuKA<@ukGlXjBWUFg^an=7my{ps!EWSBs-*xsBY0`>M?TAQ z&G;jqtG$(rfV91dC1?rltHQISA3DD`(z!aHQ}wM!l)yLTz%-7|=T3feYrmbYfKtDm z0L^YhJ&o-DT__|V4{9vXBFE43{ow!x1LLRl`c`RGcOww9q$JS6)$x+m{vY<**GmP# zOp?a}TnxB{1jN2fs))Z7PkdJXSu>x{^rHv9C;>Ow4?W;j4uLOo>90a(DSs$*Hd`~Y zce!wxKU9N_*w4D@w>d5umHrSutGV-8bDuSVrll2VH5NSnBzevy|D^sGbl8Dz8w!ZZ zYs-EWK0E&RV&ElO0VNehQE^q(uaaj;|6bArgiis`!t>X`bXMcDw0|#Z_XV{VrN1Tr zdj+0mwzdxL7v;aE{(Es_ThNl{Md>eze<=L(A@;o!KtKM>^yItz7YLv0aS?DG{{h1H z2D69m7TK#C`kNO-~i&S`YYiAH`{N;FKrhtD!3#XSU|Tf&qq6dGdH0Kn#WoE*TjzO z7ku`m!SJ7L81xv3c7V| z1pM!b8ZKbz(qQ1Ypx}~VxL}w5W?;}hn>qhFhT%eMUeYjJkiIk&{iivN$Y3SvMjGgD$!(6Zbwgog{!_$BcG_^Y;o?ZRZ{l7Ikh^gSPO{LS2i zB+$(47n)ddUFgh9V&Q`HKW^eT_Z}obyLO#jejUTWeW5!q2?o{+;+ICl#quv{7yuXS z%HPZ~$eWph?oa_iYYk@L`;))Q($nuExl5wruY%$tsxAo%_P@2a5CQFfuyi37v3320 z;s>_B7C-z|KwOZ&BpxnE|IKWKk}K#r57D#z3;)=M?{_9H{`k$EiVGe39|Z@6U(h=I zRe$l9m#6=e0ig=s6ZPxF4i|m*|8nT?*O0(=Vcp{I<|;tj8QrbyZESxbJX~zjOX7ir z>$kz&r6(AF4GzId&1N@SpV7t&Omj(sf1#fjpPyjA$ znfaT=k@7~iztAm5fj zddZ;Sg7p6~95^o&|2Kn!q^*OokuB&h=AV4mRS`geC6&QkyIc|_3Nq%Sv+ z{^Wz7XUMtGA-@!we%D@qPdNR_=e7Rp!1TNBytHxporX(#s|$nS?+K?r|B%mr9ilES z&i@0b-)!sq>h84v^aBC^b%45HApXJA&+znTA1e3-(e!QA=F8i5BzgXq!>4agB(Qv) zz5FM-yFa}T{TGDOw{@5QPjLFL2%rA!7S&%6PTy`P|9^th1!wu6Xq?XWHU62UqhAnB zzq1aPbasC^82>N8lhR+>3-=3x>f4=;KWU==*+}DfB4m+UwV4?-(aEskxc#}M0suE72e|xi?^XSqEsZM)E2t?d zh!e8_Kn!N0O8+GhIp}3(;2!&(wzSR?(SzVI{qFSeZ9Uve$nsG-|YG` zooA}RFHcte$@`JN2%O`VI(y&qmsAjQ6^Jy8={su{=-@l=7HE?@KPeEzs`XQ9uXB9Q z-$;Fk0bFMc%mM;PAXzZ)7l7+L53-1Zhc3u_U4~K)z{bt_|Bh4c8;=}_Ce9JWzF~F7 zN+&N0Vv{@LGz2lo{R_G{7tQYSDGt<@4Z1_<+(sAY#ubWp-9pj$Kn_X;{F?@-lS2L< zeT(moNO|S8`t$3PPU!9}xC_n-P79pwf1WIS^5mnZ^R_?>%t3n`ll@Us5!VBQyA9O+ zVWo`j_mWQbJ|)wXyaQ8Y}tWBXWQ-IBFDYz}=hb$}=Eq;pm9@nYs&O}>hg;M^br zAS5xWAvto4THo18I_E*b^_u2KjE4FT+h3OPAL+0+*RoYS()3#?95a*euI~x@sP5<- zGiuZScGfJWyRaB#37Px^BKw5+*&}P9&CUatgF$rPbrC?@B!u{FD(CTnqQ}==>&>85 zp6g@#22-_TwoozGiDG(xhAo4W4isp>MZ3!`K6}l9(@9)UrOR@dI*Xr@YtUCHYMBespc3b5$ zHY<8cQf6iwFODU4(wk83!8n^&xk+PI4q&Pmv}xZ%KGmy%xPHesATm1op_HIwIPe`( zC^5wCgkk~r0+@las>9d310t~AQwZh@bVGXHEakxo=|Xg}oURop*^zNwD86seo|a8? zPTiEmA`HX+s5zgWIxdof-6eB#A3@d|1)T2ZveVFfG$gd8E9QB>-$-EM*i^2wG~yYyXqL%_L$#wv4KKc5auFavmR7 zV|RY)VHVYhC)TDM&S&)y-F&!QHZj0J&;TN|X>vJUNEJs&QyymRjowhiT$gIwkY1NL z_%~OcmOzkNL-sG?q*}Ew8K%^>mI>8A%I{jAg$PNoBzAX}9ikvbxb0&(3UlWJ7L+xM zNlOU&3t5=$+83*38OsP&pP3&fnQHns-ZY>|RPgI-eZq(m3Hd-8Ia#!v^g$l=WVs|l z8CF@~d(!-v2&n#5vdk9WJXp;-P0F#HhQ;!?aCi>JdQ!`;nrKp#t}02C3_WEgP1}ll zN$ga|Ib-?s_A3cPVH6Q(Z?imzdv-2GFEi|J$KdhH3u7B}m4-3kR>|x1-V^Q9C5y+dVP#3OK{Az|AX&)9Bxv^uS>p?65Y87J2D!hNG z&H8zts2t2`OzLA@d1X4b1m1<4zma_cG>P(wbIpN^^l2* ztClv}8Nra0yM8h1`NcT8c*j@ZKSlSg#ynMu5h&1b#rd55zQz($@+sve!U_C<9^EvY z3a1UE9_$FQT%t}O9wR`N(ktXw!w5Y_Hazq`KL}p&Ko3v&>tlBc7bP~hQSp?8S;@`Y8 z4j89#s;~>2*bzcDvgsN+6>59RQM%>aDnN3BW3$=~$%$qQU8ECf*~!T^W$=Z7lVu=# zFP$ZkD2>U@o36wMwGoZs@Y*&6S7`b@;*$faRoN9_R7vmA#yIFh)x4uloR8UC%~G4x z3Xl*9SD_`F>xpNZufDR6_fad~9@O`z zkyQ2DS=gJoZ+P#!FEwx&MQkj?Ec4a-L*~GkZsG<$TB0`59Ae9D6i$UN({8Pjv7AVL z!X9^xQ}&gYu@Lry>wN_6H&8}7q#RiQA(mcC{@ipBvT0?+LCLlm2tCTrcQVA|SSLTf zKly-m%kS9#^u3?nXN;Q=_UbF*KXrD0%tOxwW-R@;EYGZLzhyiB!9y?3I2N@a8Dk|J z{HlDeLm+h$rLwyU!yNIY!QnRcj?7gIOhTXnw}WC^HIHUfy4IonRqf$tuNCNI7ai*p z$8XzbA}<6#P*5oOsJR~lFEXY#xG3gZFiFzoeBH01-Km<@o2PhQCY{))CepUhVRNmd zl#{-6`}s#DMK5DCI)wX0u7bNmsblx4t{>Og6-;?@_Un%AXujvhGmdbNHd@NIWQ!r6 ze1mtzb15ru8T$Ye(rRy;ult3Y-Lkuh@6o_*No91bsD&F|`>%!9iuFYF8_uwsi#G8KKWphpLykQMc=Okg3FpGn{{)ar;%%?Gu|7_eZvk!@CU; z=FEMr3=Z$;S|J8`9!~wV>&~&!e`ilu(~?rs6#dGcF709jA`1l3HG?B0P}~CITmbXR zI)j1$aJQ@c;8mA41yNd9xp*>2edmx>1F;k^pQlGZ!^1f?=JT--INbR6P~qVGL4qn3 zp_e#lAH3cJqq`s`mXn z6dwHN%xi@fWBQIcx%G3*Y@dtoJ&xQFkzCcCJ-r#_H&Qj=yj6Lh=#kndo6+1@^NDH~ zmzn6{M7CRK_f+F}(hleVCcI&yhT4d?pXBx!djT^u-*jVn9c=p$L~W`}ZqpXg&(Cq) z4{1PUOpR-kTX1!%9c=-uIlSIuLI7l1f`d`4BNhbCdT)KmX~co4el9|BAk+fD|QYfFN#(-*auS!Cp~hDb3mty(;Eyp(~;{VF<4f+LQ#6HjO{iC+uetlun{$87Z!RZaEA?PfaV;jsQ{#^pZ z`ptaCxP5jORPxywP|ovw-rq|5pMQUC&GUrW+~-N)|HSNu{r~0U=8Q1=2T8Uliy?Sk z^95{YjM-<%zBEg=t=eSlYi3m6LCroBQii7_$nZnf6gOp=D*7jji$#oEbt)6=geEJt z57DLSutYerSS<#sEVM>nx_n9!DhX&nd7~jVT;cI>?B&C9cvGcqQYhDJ&Ma&jQ;j|V=pl^jC(j+X@<>sVXNtCb@+W5^ zF^F8DKKQgo*Zd*HY7Vzzii4c<5IX}` zUff`EZ_4`Q*U~Gr$4YXABv?gntfAYGvH;6`5FkXSw|dxd+uo63J9WtTP1|B*+A2I? z-Pk6s{%D@hy(M56Xfe@XZf~hSxV-)W|;f%vYmc^ICi2H~ndOLMrUZa6eb)iI0=-XTEs0 zmX)LNtlMw1n6#W&Hr01oGI6}6?-5N`CA@2rRxcjE}NYB8swzGY(!Z>-e8 z=cUoCfW+20D#v}oL`^%T#`b=N>%0Z;HKin}Fua>@|fVh)_5Cs*LqM;Cl znUJ6iF9jVJHMg077Qeg-hpM2JfwqM(E5AOYl$e$TqYAmLw44yBqYRz65;X-gg0Vh_ zk+q$Ijy)|2Kb4}gj+wH7HZ48BzMv?h2%Vm~tp&HKr5G)#m8dQ+EkEl`2PJ?miK+pO zAb>_%ht1U0@g{;e07$|_Ps^-J%gtycA+5qfY0Mx>=FF#M%Pt`$q|3)oLdKy%<0>Yi zWiJh6ln`)G(cu)crI44?)?*Yj6_B?_;Gj@uanLqbP>~=fr&CnmqtjPWm$4INmgcjz zm1A_!vU1kpRn=D4W#S~`qh#d-n%FAKI+@9skA znN>-gjU)vmC1urZm1&g)+1PnRr778Ds0>-G`OI8oq(!Yc%{c9qv_b`B&9azkJR`e$K)gpr+} zHwHzi&Y&v;OKESAW60dj~x!iXOs36s{>OJfIcpC3Qp zCP93BOlOyM$)!9{tH~R=0IfW9K@aE>gN4DLbHDYOzL6a}gNis?7FBfw@tA@SRKalw zc&Y{VSK=NnlBzD?H#PAwNuHhg>g)c+YTb}KGKZ2QvIaARXvj?qK}KkP)eztnj4OuO zLf28fX&l#@q16kpov~ zfQF!&LM#5M-8wfP{2nf9=!i**X@3nDMI3BRL9>nT!QrKXMNTjtRhZ z7Fj@W1Lp@%Q_cVZ3QbL2ES+`10pM>#pC7^Emyi#949;;O90LVc-vX^4XU}T_2U*`f z;B*cExO2Yzp9O!QV{o{3c8&wwT4$-CU*MeJ-#^ZLll$`hqbA^-Uyi=$|8_OtZ!RwG zGfm&hWd$9B^M1P?a47oq3VsvH{cN82lkxVax(#qS)&u@$XXE_x;btLb2YyY~+#ws=*GLEeHo)8iB9S$}j~?>FsTA`FqiOn^@6y$5fX&|ovg zLkMu%!cM=DWO_1jqz_RGVe9rxK`KRZ+4Tgy^3gR**iI@Wc{%GOAeQ6iz$af(49d=X zGK_AJ>l4s+_mHBZaDc|%SyxeM-g}jWzW1+r#Ly{Ox8gTf`D{rVpy=+t{23x!L9Ol0 z_>EJG929>h8Av-VkVn4Ub+=6Xb4QsDB@6HM~!=%u%Stv33~YDjpt5FgY6^$F<7#TYkQR zZ^`rcmsUl*tC~2uCy;adS>jba3(R%=t##ZD((iTMDM2zo0n%9;&wPBJpa1gp`0I%= zCr1}x`G(5iX#aHkaPMSi{bNJu)6i-KBGjTAxa`OX$W}uSwJo)FuFo^fTJ62{E}Q(w zu<)sF>$Urv@wK14qkNsY6*9@M17C$Y5gwDI#ano@#zTq&Z zJv8n{T0EDv%TQ|7Vq&LaDwoxVJB9^vX*_nvW2}#*Gmo}PCuR%!rSI`N_&pB{NsFqk z#%9uPvOS8tT>=E|XslwFYB!fV`o26E3XMtUz;>XSXtFzr&1{zGb=#iMee7@=5EO~NB9>sO2G?74b*QV z>lX@PXyubrWP%g3GRW`V}o6@w)p@03j2di?s_diMHrrh7BEjyv;o#yaLY)%Lsf`8s}2lr7UNC%VaS zu4BvIHS39af18Y!O1Fo8hxQs>P5;Eo=nA*LXBm6RP%qze{QgJG&fd-q+%HBtMkX*p z6BKJR1kRAOKshf}-=yY<=!57dxZAi6cn&%>(ULJI(aREkVeiA8Vw`HKYO3<8f&edy zIM<^;zy5#=fBR7z#q-DIE!PrgBWIG6Jjk=8?$)!xzTe$S;56@lMN41deg72eL}P`c zDsR7WiK(4@i42Ri1Il!f$|uS*HR!fh98?2wO#MC*%Xe;WXXNCF#N6r4OM}xIZoPa_J=92lu618 zNsqHQUP!zaOMj4Brvz`;p{jSE?XKvgCGS>t=EJCrt~8kk(d&uVM#(g_9D}C1GTnv= zKM*U*6h2Z@II$EY$PQW(pBaxf{y<{LBNp~R;7A$zLm`<9=95Jn`-SW5x?o;htVk4rjBD*cuNIb-~lELJ9*wUuC{_dY@M-^42`@tD7XX?LO;57?zN{T9oq7 z7-e3jJPj+Ua3k!EkfZZt;KnpV|#-pZQ9~ds69MyBB@ubD0f(~xm`j$`3Wp6xoSKC2;Fhp zv(&NlYW4F3=B@~abI4t7Ko=1QLZ&&n5={n?T5DIL0p&X;Rd@3T=2Jy#q5KY$_gF;v zLa+MFMOo%MyC9F=_8B#HB@)phSknqrsTEqjCG5D!`8*d>J@JldeC!Uv>=k}3-#ib_ ziI3%^uSN{^NFKg3-F(@V|F$n8$Qo<)bsi*-qmSXP1-b4K?`C0IGFe~&I(u3I@6)s~ zhtQSM_iForHcRp}Z1+5ULf*1s^sw_E86b#V+kNozU>ujTdqLGO$av+sHeHxg7^yZ= zC%fwC!D!a{O$lRt_8aot+xjzGO8KK6DgygYMV|4%7f{RGEVC!iGo|yC89qwsO|-Xe zVP`5S89BC&)yXk1GrYcOZ?D&KL)7FmOAg#3)~qP6Pk7urc!AZL8(rg)%uP-{*Hx`E zC~BWyVLdD<7el^h%(zW|?@3Z^GLWX-9^VL?wd!iIsbqPnmqTPW<49aDQM9JFt?C$# zVBCr0$MA}+L$vf*8~a5}+f_3tY33zlL1K7e@_?R!Y%Y{xR0$g%EQ!e?_#jBmmO88e z8D&;i+|g%m*hBi6U1cX;TgfF950MnhV2oy~isu1fkMeXge8)n=<%ZdaPL6q3eGW2a z5BO#$!VMvqW@4Viq0F^sMcLX;2f^-BBXgJ+Hn?@9DAr=~S6VXPtU;{^yy%9w`I*DC8!bE^I$jdXQWA0} z4st^`-i8U2)DDWJ-L9)%58FMtxiG1f?m5J#DGXcG5ASHW z%mg6e%i|AP1)+(RIWt(iNpkP6LA;JNY-d`LTtmzZ7`6|5C8UQ+84$S3Wt#3>6g7~? zcS5mcw2jWGs}RR}t8Fk*kcH<(Czk;k(={d=Yg?@*E;UVERedbPLu>}0;vvoV`43y^ z22P)2?C`w>-WfJxs?lZ$Y#cFVdn4<@*U6H66Ej@;eR9#U3hiQ&Mg_99?}MwbUW9m3 z4@eO^RzDOO7q?OlEGGvz_ug{s5m)d`!MJ98BP0kCKpC+vriSj^&`buoJ71KHIob_1 zZIF#)m}3$cJ9f<>955!NrsgCQ{os8jvgE^03Kw8iW%;@4OOjsS^LW&KQ>Y_B;DM(2kj&^NQ)RZ4 z5#H^nA|E3>rxHqJT&$V^r)4Dtp+_`Nstnh+CsLKH6jdhM7c@1cm0d?=>-C-rA)mVV ziZDbhG3(rG2-dL(tzl??X{j>Vk1M@-D`Q&pcB)NDkt>$jlcHExa>9Fi)`O<#*O6df z^I#YVF?1kD+`W+*5eQRB?WPVbWhaMGL+z*&X+`!xSeYR~Lk7C%CPMVGhT2Hur1#7; zt>N9q2pyB$Y5@|@QS-Ru&4_{C6p{kxgJy>6aTa&V8(jz~x%X=dR>Fc`?s6q$Wzs2K zaf^W(iBpSV7gM>`5Kg-v;ZIKTAU{{wJ0CB8Xbi&kowV_bHySStWzg=jiB@7M)zhJ5 zQ%XxG8TJ#bd2+R>!=c1WSifc205YG3Ykcx^eM#D{dI*<#J-&>3cOxhu(>gsFO{^A4 zE%X(|T!E=7d(qU!Xer;_BxNR-k@;A$DyCe6K~HkclO$ScE7rw0^G&>mY4eh-H5G;A zj;q%~+9u1_eQFGA<|;d2@2YJc5)H2{@C7T%^|9E7R5Q+RC`<4^P6krL-;WTbrj^k{ zUzCwq>+VN9kjW*cnW*ESz>wufr{QE3SXW*a?S@2@Q5O}rE2@(U^pdKULPL@Ne7d0@ zy0;LPSR7AFKkQas8J6&XW9z{WDva&bw zG0GKNvDL#Ui>a(_M6Op9wAs9eefRj|;5*eLJe^v-!RV(O)S26@2jezf;jg+h!k$_X zQQWsQqn-D;hf_3QsIuK;%di7AEZuT zt5dB%87*&5P9QnYOkkz_oq1(g6N4vrRv^NxGET#(UN2HA9?5!;1b*V&Y7nFeHahK| z_+*zjTCEjFC)!0C&l-ecJ!S!0<$}y{gg?uN)Jgm(siLoW$8bgV;q-~4u znsFaCa3cwBITZ0q2Y~DK^LZ#244lYY?r2j`)63UwOlm_<_II~*g>*{?+ECaPLkimu z+6N?TOib)z>>jkQl^{BOOe|1{b?5Rlr0CRS)Lt*y+0r(D-W*=A!9Dxxag)QIhIoo* zK~qT`Ku~a~lKG&2q+97-SMJa;G~TOdLi_3L;2z-~vLitg9AssFo!iTe_#9nW?fHfh zURfvc$Q$lY3vNQhL5r}2I>NSMj2L@3-Rpg#(SX8%T(20EINjz#VC|;Zbb94bWRJuf zhgc`zPL>ZZq4teZQVfjdeZh&huRliLAMgH&xZ;~e~ zD)3qDhN|1|u5DA}ZCJ|>Wvtc>g$^sLOdcN^w`DF!$b{^X_OD(KW}{_q%Zql-&v_mk zMkEjtcIz3XmZ+=3Rj&Gn{^Kx`MfMTm@1(CabZu8;Y@NIfd%}M*5fL;wbQRftdseZ0 zp5XBI7}M&#{!`*pe(HwVu6xIwm~T6D-^vFVF#5v{LVNMQ{`gjyFzMi(HuYz2AKa?L zNJMdzsLCoa6i+t~pEoqBMlTFVhzm(r zYO{A`QdIiJf@*@hb}B*!%Kg?fA7kzSJ*4=spWGd?RYU47C8+cuMxN$@6zoU3YrsL^ z@;Tgspb1FT3xHKzd&nI&T;0uVYL;Muj*(~oEUUeu2YKXJY|U(kDbDjbUvb!dKAgcP zYvtFoiw0Pa1>ZU!ynQ>Z@1{MjpJHanhnagwow6?PWJxSaxIk6}r)*zZ6)O{n#5&yr z+TDj%i^h&jVofPfX`Pu0hsPe0|1i@$_nDadu)@`Jt-|4i*QS>J`I|%AGvXpVTZkvy zj}ZGF%^qYrKX!gSHIhjjx|83-${lcgtn7=BcUOnJ7dx8V!K!b#)2PlO95zT_sVdbd zICL>r3vqPuIKG{zu0J!*VsOZ76QbqL0E~r{M^V_T=uaU6r_Yrp>z>z6qV$MTVQg>W zy*60naW*F~pK188O2xUw(*WAhv{!-bn*ZG4ndYEB4|eSA{od>WsNJ*$JK)233j*KZ z2jsm{7&)UN<@Dm>fW$31bIQubF~WXxC-mc)lH`|`&&c<1VuZ;%LtENsnrEDtOx_Eu zQy4H|Z=fJw2@4v*wuMW)>6)-3VovnfzZiikYE0H)MvqlRqq@;g3?oNZYD1{$ni++( zt-QN&9j|R2K*XR6zq-*3i`7V>BajF=3B|sQ5P}vjmv#XozO?vbJ@Px)w-s|S z?_#&_?d>=Ek8*o$95$w5Xf|2CkzYE*hblu{3c-F$_qgb-jiD;n?A^wkN1iVeuQv{} zHaKrz?IrZI9Bp|*wA~a?4_c{FtgUyoz$az0)xn$I_jy|oFiT{&emAA6x@7(n<_*AH z9)XftuK|Qu`U?_qHs%bm8{MWdb1WV5I5+vp0Lh#&aKV*`HbRLJ%;DIK#)MEskW3z` zBFW_M{8ea=Q5h$p=biDDiCUc@t%dMjYDnpZz0@YxiQn&qZCDEv;zi6sO3R5N7A{%W zj7jNjN(pKz^i;YpjW_jdVjjN zDJ*Q2yTt~B}5`Un^RgZ6YFb2=%tT{ z^iHRG+uQFn2|l$0cE-9Zws@_~BTyYJxLEi0j2sdPNb7&bR8m5o)+x4;hC!lv?E3Jw z+Y9@iC0dmt5ma9lHq2^^`I6U&@Gj3wxyCK8Z$!P8&+UW{IauzXAH9+VQ_i(B18$IoDnT)MB_ZL>V_nY_g++sIR?7D3Tz3lZ4YwSZOW$ zG=eoF=}|t48xO)`T|Do6s5@>9)6TxWaj(5sd)?hyGJQ^3@0#(x*5rI^WZj=mRK)ke zc)j;I`-7#zO!xHnq8@Yt5o7xJ%}$5YGwsubije!({;Iv>7?m`=m_cYUsC|wtrZA{` zPtnUboB#p=M>|C_O|#Vc);%_qauc622S{*JlVVn1DYY}H7@FbdAMo-4ipq zGoN|g{n)m)(RdnK%as>)#~13(lI}Hi{JN_~4L-4vJ6d-ltE*%wI?{x1dqi{7W}4Hg zSq0!LD^o)w-<#8P@(&=3YETcB%@{W7C#!(8j}|v?07y3h6}nc*2_euLZqyBAoXAAX z!^pHcY^rwhE#ro5XngcK4VV>BuFqNC$+~xI=GfACYq6gpj(@)|`p!t9+qSRFwD|PV z31`;fo9Eqyyw@UO-B4zl51I%3^iJ~X?s1e&zv9^6KCB{RdKO2J>B!Mb#kCudI3|{L zWpqN;UzEh8fcP-FHHY4Cq$jY>ziimbB}<}4E>HrmW1)yq3Zt7ZL}+aEC8cY41T&~MMh(jvY+FsgZCM;Gi;8L{+HUz=D4IUw z-E^c`#*4l*AB%-_;$ERW9c#1vq&PJLS>*b&_WG&@CRf%y@%6ls#`36L-ipWUj!PDW z3O2mb?v-*{gVtCw!8XR07%MlRC4yCAPf2>2PnRu1X#6x@;bUd6jK99plwKWFQ_$4B zwU*<0hbb=eg&w1{x6dbT^M^WUG0_1WG^wnX_P2EK#`2kA^X55y@FZ4({`M16?+Yj4riE8eV8?FTucdEhxY;G>@DD9~) zcl%)G!~my!+NLZ%E3KgE`Pt@fz->Yd$>1oUdT7ZY(#b?qR|P(E3M79IwIUvhf1A0x z1AUN_81XIPCPh12eqJCss+?B20w(&QulNd9mJzl1yIbMRET1R{#n63#^D$Fhq^GRB zbZG_=UZF4UUqu?Br=stLM?qzBvoaL-f}xM<4GQw{^kGIe1af~A8f6ZGAdiLEQ*FLy zeH1rGSNJY-CN|mVg!?1VC5yKv{?R*EipR-1QYnkj*t%|8FjW$Q0a%#kW?%cb@F+@a?00;D5&(6np$c%=0*;{Mwc10(FT$Wr@C12u!JP#?7R7NuK zFqTC?=VbWsy!>G0>yYbH`?*l>VD^--;VgWCE@JMVx;@;_V>NvJldbj`Vaj7Y*pS$AN-DRYW z*IB&jJ)d!E*ws;d7B@=VePT;Ard6L5`H6b(vlh5dq^)WYHP$aoz180?%a7B3s@|JY zp6*xJOEl52Fp0M!!eo2nB-t)lnkDi$^Vl)J+!3H{%TPrW%UG}Rn(1{|m+*4l&A z5}jGcu3i5SYQ>c+HUh;2CP)K!m3Jk%&M%-uJV=YFh)WSsjaU~up5~^RR4hBULBG!? z&|2Bxv2oFI0Pqp1VcJmi2ShCqzNzTIyH9Wwqm$oe2Z_=`BP2(2VNnB~3Hv`Frw-}h zJN6r!+AOP&McSGSlU|RRbVla%dAv5#6rd{Tl@#yo;Om50@o7xHWp^p;j>SCp*2K$M ziwe_D0B>eF%*P669qH+NExl4;@~z^9N;!QZ3Xllt z<8pEkidpz#CPk1l^e?d!1~`$rk9%4@p}fm?SgoWgDnAJbDyx?}K7T;I525@>!hjW7 zS?lB7(P${XTYQ+eZ{L35l1_eKL|jWoskXGmbfD#^`iVkGQJZuI&v@nAISj#*B_B7q zJW+jhb~~rl&O8~1hozh+-MpvWkN1b)j&9tV5#-WPhwGlcGE*}5DB$t6mI}5HgfFSW zQNvkqp!99n;^)?dWn$N36PWF9V499*V~EK$>pG55!Q+m1?Ts&b(O+YngxTqk+S1&R zZ$e(VD*HT6-^JA&k~`ir<)i-E*1)SrS?ta8^4I?YUT-k7_FdnKR_FR zRbr*L^=20xhcX|T;{7#4X^AZ<)vbo(6Ty_N0`)Ya_zJVn zq4HE}1+}{?BZTt}ZG%iP-G2;BF8kez~FVu z)pAp8BOK`*Bqx!v?wIspF3$rM#j8{jpG7~HLGXNtvz%V}?1rOCfW}BPsf1LnWSeC5 z$9&*jU3=LOfNM*3q+|GCmYT|rog>*!`y{WT+38UaT4~nIQL|lDY};}som)%ed~cMZ zp`OsXL1)@V8J@y?XQ=(0g@Vs&U+-x8B({BjY)j@RoBj33tCdK~lgKwp+yHg2Xrj_w znFog?EKnsV|QByGNQ%rdSWKx~zh01^wNd#pB&sSFB61={b>&!FQm!}zmKt0aYHMYDa_Hp(d1=Ht< zos>8%ybulOD9|EoQbBa`=wLZ+Xb)egJyNBx$qSW7rK#6ho1Xb};WESWY4(?-M22_I zFOrEwdtmfzKCVV`3Bb$g?Q+$15xyqFZJI0h$tb1&l*t2$L$Kd#?3K_BFZSN7tr_WmdWF9ZqHU7KKUb z+?~@*XPOj_(N|>^WPD|srESP>*M@LCjmd+F*Y^A?5(HVkyKgCE- z3!}8H>Ir@q;ab<@;@z3Xqxrn6Yj3tfHsjn^syEz?-D|YB_8YM?ykz)uvjphfUOZYr z_#_n$5fcfEi)|~QPalg>jG8%yg9#V$ywM*wFcgkG&q=u9evzEKl602ei{7LcD<_60 zz4LUeONnMNva0OWutLkt*;f-N2@?9?8SyF7_lf#9A&2X0%5EOi@lGyb)+08}VVObP zPlI|m8j)EuxLnY_My*Rux|Mu9iNr9zvY0yiq0aNsJ1a};5T(HElh7%R72r!gz3k+$ z`%6KuUeWe_V7|t&$VYH}a?wsg8LAhPeJC2bZ8qBHI{g){h5afg=|jWfURbWk57CP! zkG;43rrvIC&CG21k_R{YOkIH@LB$P^s3gEFg6$_>fZ+$?6hi8BlEWFxP?Cyrp%~Z`cnfV@>ck15zRO-I; znFczh^E6^Go4shU!PW5@&t90~XD34OvcZ7HDCMWE1Gm%5uI+M<1#x_4!@->!^TdFm z!Yu3UI7CDs_7Bym>83h#_2JY)qY0o=n8#<00xUV$2~;Y>vw7xiNqqLUvxm+>B6iPV z4%<_q02E42=e~+;p{Fm-e#k;NFlLS6M5%E&+(^oV9xSDt0*|)wl6P9YS9f5l+Aq%B z#rCf1q%q`EQ%OOOz3IukFzu1L_Yo<^9(Bc4WwCL>qD1)>_5F=~Vro+6iASdJoShKkFEnihAT4++c?ao+r&QSC>52OfZk2MRJNl zK;pQz6CYZZKvT0es&Ac^dYj7Q8B`fD?|S44d;(7q(hJuXD2v7cpZJ+1)vz|;fWG+= z>?tb zgaF(rLovY;9tX$(_?3`g-*~;G_Z8wO%-IO(%RDl)e1dPJ0x* znx@so^PC^O2z8^QP|FzaD(877+^O6a()^T=#qGJ%GjLkRYoM!|DH4UJ$zSQ0SsRd{ zh>@Ij58FuVm!nPc?wm1)Vt`^WAZ*Xw`8qdJspRY zsAEyOfU9g~1U=M1q?;4+&is&^duJr%NUyEJ)muT8Zwh&oJE%KZ)hl}9w!OVJWh~f#YtJ zndu^1??!IWP3iTA4~|$*3~l*O5EIvY?!xKqa29!GdA`zX4KEV2MaAo|e<|!36V$;+ z7SD>GN^|w?9cew697{Qxv}>#sa-z6*jTVquZ>VT<2KC}dV7|#?ieb{nsrUR`>@~_E z$`f&yIK($>g?YrH%ve!}E@GoAVbbsg8{}{yre6XwMvahbQRF)J{M)hcb;64N{i-Wa zyQp1p>UZmygD|{!6ivBwLLexMjkQ`xc*I`o8r+bRPvbKh2Hvk4GQcwCk1awU4L1n$ z7g)c6Qt}GG2zh<-?$8@V*4e0lRHyi?0)UPQZxd0o%e!N#u~MR2r!VY*>jO-J)dG`Y z)h~hjFL@dMJjmb z6XC4^r?f@?Bd!cwUE@&rMMPG(QR9V<8^k)LSmBze5Y<=i>PaEE-mM;XVSyTi&raFF zlxe#|sTg1R!bar&jX>5Z6Om6SX);{G<*22J)?GsM+z~TI`Pm2|c(_PcBt(Qq1)`(< zZ>xI1^_3$&*mqMFs0Q3^L(4TC!4xj&l43;@xm6d5lK0_b>88)_T>2|9p~xtPlDlJE zltRoR(f0zlMIK>gvb#UdE(0VQt4KGw!#5}EzpZa1eD4ozovzqzc3?eK9(BAK$}yZ; z*w5M5im?E+OJhRQ%6oWqiQzTuBD%XUzT0Q<=B38DlNYGs3JdU?a83w1@qm%U)*xdQ zOXr?R0`G>gqpdc@XKt$-9|-N@EW#YZNSuO`Lc1%Mlp<&u$gdS_nKq9U>^uPUW5SyR zSFE+5D#Wa~1-sjD>5CRDtx=-{cEyez zkJ>=jh{{l1W#avJYHXszYFIr`WHZEp_vx(1W7If-g-W?hQ*=l&S~59>3cKq;JfTB= zJuY|I9tAqW!6>FS&I1lrl7${wB63f?QEqr6(hi5tF!gNN@`+$bD#sqBoq!T2oG1n6 zjhMK$H7QlTvNAVCqtRHIH0*Iqs2$ql&?%wVy7hf5AH@CIYItjiNC;~o&X3xaUfJlo zD#@MCxw6Eg$_%%+i7b*o`M!I%`Tq0A0Q(Y$daO}ClNYo#?k%BHo69fb_m0Z!p4_~J zyo4i|QFJ`(*KBybu=!Ng*%`3|A0t@gfl`F0j8AVqyGmZgG}YEpJhW*r9C-~K~fIh8k-}yW$GnAlWH2 zj;m2M_jaUOT(=4q==jj-g(A16w@!}f(<(W)_5KzC(cAs7N2-oDi)N{?TrH*iHC2?t zl5=uM8kDuIW{cE9Y3bH5{nP00*T>rv%ICHU@}a-rF7cZi%5bVmGsLe;$vy}zb$(h3 zf7g|8_UV&qjxrXa2f}uF*WP}_mQCs@cBU5tJ<)GK#!6uBDPpDBbh{=pPfVD;bCh&b ze1w_%{i&(uHAl5k9D%r#$0rT$?%UIvGrjhRO4@MJr7=q^aBv^OyUV1=hV$@= zAkc!1Pmfq{YALpMyIEDhuSfzL`V5W?62HaHy@4G`MNp6G)%$Ir$?TD>jQVJ);#DAp zDOP4$dbOReF6_Y!Pr{bO|6%VffFoJ5bWJfcvr5d&%qo?z#LUdh%*@QJ5|x;lnVFec zCFZBPw{LGxThGky+xKk2+R{8D+`~OR!t>;b6A|g(|9C%TDeP`t=_9FW;7Z>jN}e^5 zZ@RWn87w*uMAsZNFgIbKMCcdVbfn%$+S%l1`21q6{Uf{yvKS`fI7!jA>m%*K1v#euv`OrXk!+gl9sxTSjvfUJ z7+}Aw!Qe;&Hdb z>C_iuNHU|B9@*#vDHC01sIPfhAz3~7^ujz`2laUi4jCjt!t;-iO+_tm(R9I&)FJ!G z^x^1%>^fljkdz^KdLU!sXdgq{$2>P#uC4?3KfLMDlNZa)H4sXh8x7$`-2Oe6jt+3{Tc{|AXDqUYKVL~4| zSoyE2KsHumV3gz&-sUDQ$@%3c9fzvv325vHuM-#N2%uE!vAQU%56Xu1M4Iu1s_dsU zbZ`EMZ^9F{q}d2#Y65@QX8Pj5R#mDCjf{2%77`Wa8+n>Cs2$j?+{k5L1?S}u!!dZ^ zFFQ;#=zn1Y^_4_Lkd`TsP+WkL&$9cL!ScdLf{J=4kgy{sl@7&YJme4~=V+p05u)qW zl+`{6-HBy=*$^?8u}Jv@t}aLv;NI?}4+O1rG$424f&u%-PxfDriEm7-(WLcdWHcW4 zsfN(bH)ORRZYE|ghl6$>Lz-zk(3kG}S8X?kUT-%yQ%1;u%`ZNKy0<)K22!`*F5`7u zW?Pvg{VI~%=mJes_m+3TpePAffbTn&(oih66l7XkVe8FZbJzn|H2mUOos6r7zU%84 zovrf$$1rZ7nygV8>GYfqlO$QKw%kUc02T7puUxNA6XPS)q29jX)7IcTMp+C?YYVjc}2x(2gx+|QvVtYRK`ug$Cw zJBlJQTili#uiCawtp(>9=QPt=(_V~!^+nq>oOT;l(!-hyK={h|d4-txDu(VANS^HT zrhakrcgd9op%C3e5zO_U#hDwp`Bss2x@`i+KB^m4A861RsDHMs#`WSSyjU0v+|*e* zlhd`Dba7#IVU6!$GrNVv_Bk=J2F=JX^y-bW#lR6~hY`qCEQ{{L?>$Q^`6C}f6AEt1 z^)|=j`}SjjJ7;4&us>qAG)bVytW+KXf{3r(8mX3VOaVEgyKHw3yFefgNM{U4R(_tF zSYJOO9+BQMbP-vWAc?S)J}iv@ap=kR7oL#X?_l)0sLlLj>dQ~6;{6ELK80@d`1(1_ zJeNE;H+Xn$!I5f&DL?xttumQE9y#E4Z-F4_Oxr4u%my3w!=6{H+8!M)K(yX^*Y-)p z$gOuf)`HG<3z~QuV+dwI*z9IIVnY|i`p8_vf82TC%K*4H1u+}_I2T|B#tmMQH0b7n z*HR5+w+zt~LffjWwKd*cog2>%(1H1IWzon2qK?juE?4lQO5PFgksqHIQxvZ^hGJ7p zE0?@$s%t{kM&88bL*c_k|K3I`U5rC@fiMP_EP()t#;h4FoHwlkbH=T~|81pCorVP~ssOsqgj785tQ{)0p+@DoqW1!wHFZ zKw(o1%#h@1N9IbY6eI;^p`tY1U!}BzBcn&pS-W3DOPXfzocXMLb3^M=VE`@csUX(n z(gX7{%yLkEgb5rFM7_TNaD{fZvs@~Hi%7|5bWErEVO@vg{ zB|J7Ck`fY#gQyS3W>U5whBHU34dsra4Ap%sw?uqZ5T2uFg^I>*0R>*IYrdG7yKpIn z#zeK#c(+_#S}GIe`BAwT_2AXwy_r^Y`0&$oL*g|@Hh1lA?Xa1u`7}qh<6-jsWbLW; za#=jlyhvjEjFVCry?ZmV@>UFc>LChe}5_d~elib3Qn_edk!Enl9>q)p(epi1YZbw@XJ0~*}j6=SxJmRCA( zyfylf*}M7v)|Mn17uv0jp!&qr4XeMZ6QI# zkTVdAEMW-xH1Laz=2Cuxv_h~%Rkq`ZYZ0MP#8NAH>gA^cE{#zC(t7(~WNGH=WK?H< znZEh**0DK>{)FrfJnVJs=btv*Uv5=?Io!fuS-h)b{FVBvl0`6wu%kh(Y&FXJ$4bOT z?V+mop&75pb9?5iQfZNshlr{k96l*}mRV_*Ls7BWuTq-h^eYcTnM-jjZfyp35_@ZjaKFt5mRoo)_}5hsBpood?jky$UNjDYR>G6!pbSCThjCV z0i>p7>4)iEW~q4*6#0{pX3JJ0c00)In~`-;0ocaS_mg;Zl+@EfuJN~!5E9y5 zLR|G~>36?e`gPACZT_gyJ5w#R`DmiKUpZ+|u|v_Dwm?^wm4uI*TDr{OeEFoRt{v8) ztj@tf_xie*y4rDlK^HjNm;H{dtsLbyQs>s4qJH9LmASfi;iSGEUjb+PV?Lb8s*X!R zA)sReVWfd4^>{PW57?T3eQx73!p!?Uqq5B&}`lm|0R(TplJh9 zO|f$tK2%%r*E?R5j~YZa!s8u6jZ_OGpaEo*Mod|c3ThG1Q;9(iP#O&%04PAx34{!$ zax1gpu@5k@n@t@jV*z0&>@korJe z-4UC~ScY-PU{HS_Kz14*g6#}3PZy?NQv5%PbQkO~$^?*yidM253S~n5OYT#lL0n_R zeEq)IVj0o~Vlj04a{xqq$wJ~+@sr=W_5vy6}u!dAX>2;Vfny zv+6wTs?A?~sm2_PybTq7n?ktxTTZpKMksW^tNAIu?ojI|VfJjI4dEwaAe z_lfjaMA4xb2>tOSkX~ZhUVvC74*jG+@(vhpGrb+uz6`QbGlE?_%lZQM0@P}E$g&Bu z8sbyxPG{h4O~I0DJQM_R8l13)P;F%g@7sHX#zr;?Q;(c1pjkC1r+R>bZph~MK@ET) zG+a^P%DPrcb%&-Sv+DjbUK?X)$8KJW6^Pi;u5T%bAq_y=1%$&r@=T4i?WzbgE){S7 zWB6AQQSiu(-xwyi(>S~an#eGz-ncYs$>rgpann-Cqyjh(cU(7C0=UM1DwPV^uZxW2 zO_>!k%$0kv&FSGOMx|T^z3aa(Le{0cWbmbV*L<9j!_rgXx58^+c0a~op0V6x#uHlb znj6Y}UxXdN+{elv%N-BGV};T5=LGlL=Klh*wCy7K18_owE3W|p<M7I%w`!}bwE`jJHAP$Z>#-Tnw-MYwSN)>9l|KjHiJ0>%W^5-=&j zK{cn!lK z`6k^b#ydU8XBplC4HNU3$|kOR^j^N&**+f~EIM0|m}hIeSbQjGSL@)3_V6wrg*DE6 zY0(lgI(-@9)udT~jtF!Ewk92acC^#{@bk$1$b6OZ7REbfou;rHAcoP5!ki}vr8uXD zERSzkotg{zu4ujgJ&Ck9I^(B|zcxW4B&(~ICF=QEzU?}|yO}CIAR&iuNHt*J2X7o{ zUPSN98v(3*5p;{B;I9X03(O0r;L;k;`x+ z`qsUFTm{}*lGbyWEl!6wD<&0gj24)b`7d!2y=4N%G1@Qr=P0QLlf*3d9yLo^-xikC zzd>^dPQ*lu4RO*PqvIayJt9@yN*;3St@UK0fjM^WGem&yA}eIJJ{BIOZ~(2FZyV~9 z*dcq$VyG-V;^|7~Dl`M!g-lh3;pC2c6=b&Scn?1(O2qAo7bX8(Q*E)CZaLmfj;mfD zcP;6wl4Y{8!eX?t<0yY6{=Tih#D?C!n>f&3#fk$r3=_{f?l@-?Zp4^w;dJ?OrO7l` zeZe$fX`u}Nv~^wUSV(mUL0l>I@K^ANP4CrzaUN)t~M5*^QRi?wX;PR+; zrF*?3t%u#|e9LzJj+GQ={wpQZo$e|dddGUOH?V0H^vtTYESrXDPDL$Ge5pYot;C!L zY(~SGUxQjuJe#B%5Bj;^!m+R&(64#g5cOY-I^cd4hC-GteCwN3f?r#ZYRniD$3o$8 zG>xydu2;`LzP#QtybE)FOX_lld$90>{}wVwUaYp!E;LsWyeR-#jP;5Ri6xcj<;Q1g z?k^x{f5pr}Sc#=_+6HY9=m43lJvVn(1B8y3PbG;vCK4-I&|8zNeTA>rY%D2XC1(kH zFKLP2SKylhuAO)h%<>?F(OsniGk%`UcRo<*#Ujv>ba9jv<>+i|l|3%pe==>(PC7s0 zt_$kk1|$XiQ5aM*Vz=-EMODeCRzY(K6V#fN(zo7`Tro~7u#b|6Azz#kcE3&w)!)QG z>Dv$4L~*2Hyhb`VvTMHkGG5lw-H^%EhYimq9Se&tW8@0~ehP%MT1f4IE=(f&9@c>+ zW??{&or%Y*!R13H6p(HVjTIz%vmRw}|FSwINMRL3N^+9!FcYykQG{mEl9@PR%fh%2 zq{0Ga*``zL`;FAz0;I&eVsD$W&GhBv<@IIPTBged9~3##7S*WMqmO>u#wjzZy6srb zz0^bTMylGg5sX&7dMey7tWcL&1`|)>QV44hzWriGWr`&%*RQFyBz6?PCX@=l{KB4q zAzsLGzg|f_YC#OtmTic9d^ zW-%K9_ui?Rk&zLfe-~&YFD+I$&qeIQ@$^|RW2sY66_XIAee!YD!{09hzNL0}$mavT zCF4ea?(f3 zmnVH8rxW?6WgaPQfHUQW$CJr2*n?}JjNlZ2#*pW#Fomsu&oYS{s~2U8AUniyW$Z>; zfypFo1-U)2mbyO;l%MCG94NEj^XQ7B2pCozn`kBc+UUx0sWWuH3nqSIfD;zO8|r2t z{}P-J$_Zjhm!FkhYfm=HE-1=Zy5~tk?-1;9&Ew!PRj1Q*)<0y~B^$=QCYmw9%j5F_ zBK$nhYETa!i2dC09Gn`g0f3cknDZ>f+k6FV%s`dHHog|^8`RKZn?h6v5SPR)(=F12 zFC*CNll3{q#ym}0WcAM^pbo9uL7RJeSq4M)pF9#yWgA7?7c3-h-%PV7ISN1 zmH=Ei>EkA8^^xpdco(pY2Xqg4#51QjKsw)HnIVVtv3Fu@jezudRLFp*d?j^|vSGKF zcww7-gaCGk+|aL4_FeWTC}yt{)TTxCy*z(aFC`V-rj5tq<)-HkZx?50-K5f{ZNx1Qd_6wBm zrWn5LB)s)h5Abet>CT)4)Jku2^(fT&4(P4(iAibqm9)e=nP18mYKcnBRhqCm9E9c&nWG?(Qc!~(-)Tc(aQ`pmt&u;X^L1AFSCnX1# zyv2*rO|v!k_L!{SevD5H8PNN~I|uFFqX_;>7Ff((?BdUCGC>9vykN^xyFR7Lj9MjR z)etK{!0|}OHJ3}zDNIm=3yNWkOSJ55T)YBtRp{?T)TVogorTpZr-M6`=XyTgxOE%^ zQ5WIOxz#8?u3d1&$5pnLhWj+1a4$AlbiO#j=P&v~*nrzQpfDWouXH`BE(9a(MT*Q} zgfAYI1U&BXsPA4s@n?_@9A4&Yb0Z`R6_?WtU0%CGae+N+PfW=TgZ%28D27P#Qfqv>|4@U9ZwjMK~!Rpe{1$@1MH?LDsEG9L___4Dj;4ZZKJ z0I%fT%K;n?A!O$j@xvw9Nhe(92`?m}RfOuHk0;h2(HwD^WF@f8(4QmKAEBk0FI1w{ zYJJ08t?U$)H=t#gNPMk$#Ibq&@sjcAGnWq)$zM+*Gil6~V5`%-TD7G} z&%FrtiheC3_p6B47p^RP`sKc3`S=5mr6ENyrrr!L<5d1=0l4g#YvnMdReRz4#A@1_ zdws@B!fVno8TKann}qm$nL*}!0qkpL&kJ47x*lWwmngm}((Uq}36V__;6;EpzMprE zHj`&Lf?~Z$JuJ%#waHUWs1jKBtL$XCXu5cTHcW{sGV=^}74d0F1tBh~^M zsP&hNWAmk>opRfa8nE-NBbJ#D636;e`J5pJN28K!Ll_oTg!o5L%l9(b zWAi&uwx>1@s#2vSI#tek@}mdl1b4sgu7luMv4yKfEIcBVTNlsBES+}5;~~V4 zfa()c@1a8$r66tsd{Q=7dtd<~pV*vg-l+u?sAtcg91eakBV_0+k~WG8UwNk(p}r#{ zQB^!i5_frM3QJN@ z13JK&Mz3M=Kuu~h>V3cG7M|%EdB}4<6o8RucL4|NnYLGz%APC;q4AS^Z1VJ%u@0l^ z-;_?@$G$hnAiT8<8fCH9TH~3%G|s%Mt{T9_1daK>1$Ui27hh zce5r^>H})J6|%TvfGOBpAkQu`AJ8O{-Wsgfz-wx0co$lL1wcR@@F`Fna9%Kry*_z@ zWSj4x-+}9Z!F{Z9(3^lffII++3EtSNN`bjJwj}W`fk8lHgq#30yKJ|ZZ3MPKc9qy6 z8N5?#(I+;1roqIpw#vW?qBOXpf!lq=rMXpW;Es}ZgT(v;P4~bk0bq^8?y9h|SooQy zXlK@>$4lrITW_IT4(5`~HCI5T)N`^I`hm{tNP|}Q(HO-C1L4XKp3bd(D`nNtLKryHR`&HODxKA zAxRl?N=}0AL|DXtngXR*n5FO)_u+53iI|WLGZSMV17G6<2uVtYK=@wuYo|;ea()Tu zcWFMo@z}Urc6})=Pdv*{qxDV;B5bfWjc~PbAxVtzH z+y}e!g!M=*z~K$&Veh@w=?8*d9^WSC5B)<%PD^|tr?o}2E`KEqGIa1-(b^h)9&7C_ zi~TNE3c}kE6A)&QCSQgFJRaeo=t{QD3UgG}bDVKO-=&{X0Y;sAmobPPTGMhW5Zvt2{jZTtQGw>9Qd@cB1nmfxkw95V8pEPog-9Cl{;EXz ze8;DpDOb^FEO*b?((Bf;+L^-=ERfPt2WovL@CYo6`UDv)7GA3eUP(ueWp1$&24_YX z-P~EZjY0c#g+n(}a>t+YSO!NeM@|`pL_M@715f5j zS;{TMOJYc`z;>94^~8XLs?n;*n2nX{Um!0)IKsk!YhRZcj*H&?>^uuP&hXFZ-dMD( zIR>Ok#%Cs<4fpFde&9$g-SEa0Ul%<8tZQWOu>EFJ#Ae?h8DZ z$dJg`$KPUmZAi$?u`1ZtHvODByzzCEL+#?nKAWj4m#4G_#dE)L|Nai6EE>r)O+z@X zZS@i%Es{v}H;0gnkTSe6W0%NTH=>+WJ~#xLQ?|={F!QRT$VN#548U4VA~kJc*2N%Z zk74(*WTCWgjqRD`03N03Yra@9ydJr27Hylx4c5{NkX<8h!5-8T=X?dH!caWz!_kaW z4VaE??mWiDcHxw*6lQ94;)bM&*3&gK!&GCFbsGgw>rm@wI>T#FnoQ8`@3_O9Yr(D6 zCb$>XIb^3ezAx2yMfSv{r)scimQe;C01{hx%1;6^Qu58J<@Y=be#q?S` zjvUBIMY^q_>{8}Gsno?yu1ZP-M68UNNc0&6Obo2`xLDQ2i7(I1lp!=2qiMOJQskx5 zEhi#D8r*{DQ#vDwDIhtkpx(?6-iKH&<^~g=i9#M#$1V>Jxd3lN!y|V1K)`G#u3t#0 zUGsCZBK9|5dw)iCJT(!L+^iT8hIt>6`|=;)=>+*M{Wrv_TiwAr1lPwj=p{vT(vpLA zD&!cW+p!E}ych}N(KTM)hC75zucRLyq+IkvdfpRl7-J&ip*nNg-(=`?WSF>cBb0_5 z%IQ>7mF#7yeirF>zZYwbN1>8tA30vhR`x6b^$)021Dz&jYIJ28I3?Ys8MKqCR+gvL zy9-#bsavncp~ec|j&osEkFe17%*S7Y-iGX9tr*Z1!UDQxTMr0qftbP_8%faXHSBbk zD{7Xtr0jobsK?lEaFy6-B-l##MZQUb-Y02FI<)5gh92Go56>6jj%RhO$BClX85!YP zW!&_*H*vPD2NA88pE2AIX09P&F{MDpSj30HlAbcfNmmXd8vX%A4=`Hhs`xKxgn#1y z{#(o!BkO;p)5naP_0q!(UV1^{t_s=mHp~b?L<9-Iz343CbyX*hRV@wJ!nwW-J00tQ zsq+!M8Gd_Rac-Xmd~9vB>jH>p9oaQwqeB$UsMj?F6(6;^nV~}8#vr?{ssv2lkW6BM zy@H3T=)SaZk#p)J+O^ioT{H^nU36pz!e>47vJpVe@o4}JL`HQ(yilZu;2xH4&ufBv z31#-i%x)Dbj?+FcDo||VB$$R~A~J{Kq+yWO>QRgY9l5^<|?*RWUTgI)viWY!(0PTOn+e3Uopp`jVgrQP`)e& zmg^t&0>uD$67U}Vm*e@H%lF@oC(A$4{u9>2^7#=0FFnILGMX_Y&q_}q#lG+%5B0xS zEqemwXO>qn=#7bNc)hsvP?yI%hB{?dim!OoZeFxHIXpdVtKsh)Nn0+$TsyVHJ30)y zShZScH5L6FJetqd9PR76R{2~J zH_naj`g%lkh4>8N5x@(C|6gQpP{!nsNaL{&DN=seWcj&L9+ss1n9<&@yj6ardP98| z_YUm*7g>kz>=^d2%>x=j6%30p=3|Ton5P&g818JhR%h!|t<}y#mjfHH?bx4neA~fq z@lvp3uz#l|YyM7OI6ydGPtaS`BjhdQM=hWY2^}l`3$6vUTA&-%r9S&P?b-t@md1=# zH2c-qS;+K@=GLmW8RZ_&i}Pn*7*lnb>^M_CeX>T)*VmQX#f?J6M?>#VJNpHMV)ZZW z>^JW4pP}=j^0JE3B7agu|K|aszX6i}NTOt5`L9Woze%0{1wiyq4Cim?=5Hq9Ul7qh zpqu~1oc|Lg`Zx6EUkJ{Bx%`ds{GEPN>wl*|X@`GM<3BN>f5AonPLcnt`Md0o7N5M+ z-{rr1`3)KUqweSS-(|nSpTF~eZ}+!#j!$j2KgvGq{3c2M9-u$p|2($8@A2nn|F!-{ zU!Q&a&j04m|4#oIi~e_=-{bOM(dd6~`|OqV&m;Yh@4wss$CAHtzkB`rh_n2;cYhFu z|ElnxFWH!WAMBs6Ki5AQ!Jk;pzu=#LZTr8o{QT!@1UOVfA~<#r)ot+wY}S_NyoL$Yt~F+K*cI$9f*_mR~m5gM4n&%*}zT78*auke^o~Hq*jCCoj9N zI5%v{Jdlk(pcm~&nXWNM z1wx0$idi{qe#P{J*+ydB&Gl=dNp0LBzM9P4<_q|zo0J@fO7r5Vl^U44p1MYD24Y$y zTI`I`?z-LG9-NI1&hDxO$sqa8#Lx6QO&R-4@@E6`26};b1zh{N=(c^)!l1L~z7)4n z?y-lQAh{*IBK@5z_mr=&m+^|DZZWr+YOI9UhguLF^@+dIpNh^!mYXBvJ@1NK?=7TW z)Ml@!Pb`SrzfAB1TpY)HIX$vROw?SV{`Ocv0|WH`(qsLF*8XHN|Ig5&8Cg00_u-*` zTeaLLD*89W^lzx>KWNCG`}(I6!tWo$r;}6otU&+U_5NR0MbL=UH20^+2)w+ZnlKDV zCB^EbRb1$16<9z4JEav~KdAyk>bC6kDmuqFJ9y(fhrNA zt7%p*be3Qhw}mKX>&ac2FF{kL2GL$^Oot6{fLJ694H%RRWA@`jRNJ4_MHpO@#}CMs z>U6Lz42RYO3+h?W&1<;z&&nht|kf3xM+0# zOHEPb_6PST0L0lfPyeNL`~yb) z|7+I4_y<4uFYEa2i~nsM|BJ2T_n`j2Bii|!Blyp7>3^P!{~hi8JKFiQ*#G$Y=V<4* zCH*_v`TuLQ^ZO#pG&FV8YTjNX#z><+M9n?wKDuuG2!p}Aawe=f3&l<`J>(cA!hRDIsKncIsXsJ zbo_Z${%@I%KdxtgJJx?K)4}+Mn8<(o!((D%{zshxjkwWI4MhZzhxag5((sUEsTzJU z*l#3gp2ci`wd1vHB500sL2^c?`-r7@(?5I~p z5y26K?6=1#Vdpf&~ulwl7)O^h(E z{0w#}@j}DIFM%~Xjt0)3OUtz9-RHd(+dt?K0Mv;Ed%C(N)nB52Ve$mKOKNmNgu+FA zqjw&+H8Ry6_SQw_2L2XvPuRJg-+Px zCv?MVECrGPh%-gt$AuN33R;!f=TsYVwDh>{&vt3#PW1g_wwQsSd*Ar7ANXfBzzW)Q z_ccS3e1T0)JJ-u-zJGpii!{T7VJp>_RQ-X7XhlzIA>RormR*M39z8*H1{8-2AeGfm zb-UO}Du1Wc23%)4mvt!f0BCTkpH&T22!djQy`D^0!H2b7fW9#Vod}hdqwFiRz-1EQ z=2C#AmYFVA?}YDMs#{WIjd=@)=fU74kqbRDrCOfm*sEZ$=V!P$nHkv4%ubHxI~#Mo z@(ai9X%s;RG;N+9Z)y!@>qS4kTYc_vIh{_{_Wdi?TRsX0gL&LN=UQbpqhIbm_GTx+ zK;UqgA8$I}&%-;tpQ63tAfL^Ss~DU-<8Uk3JPu9w=nsZVXK*_{k1gAO%187Sjw)AZQFVCj zlI555X{dokCQTxNbEH#G;X*Pe9>i0I^?j2iBoV7ELzD;ruxvyD0W!5!2zPgODS%Blex3b*^=>^Ay+V z`fZk*b$jKzzGoHhn1su+>oE$sV72TS3Rz+GP6f04YXU%F8B!rJ<+l+@!>Ag5)qD$C zC(35=4!PnCr3osw1Un&RA$TE~UK^nhf;IZmnbMhy!0+>E9)g^^l}Lk_AC3dreUFFV zFtKKsr(kAa<_p}2-MHg$4bfFA`Y-96D|)l9`5uFh0+=70Og>%{T`174*RHp&m#Kd! zJ8TTzaJ%JX87)etX~FEfEKOH6yf)-mi&rwzPSQxSVX9!RanMuMQWZBKd04M6xUq!6W{nYoeAxQt=1nl`0j>0ZmK~s#d;hV@Wa3R;?u(q ztAtV;^uX1IUh$x95#Z_4qUn>Ci9k|TL#1DVL|Lkxy| z1ielP2u+Egctqn+@h=h6S&;6nd}OmTWuPGlWiBvObPrXoOGuiwQ}Z<|Hu$Eb5Y10z ziu$w2K~2cKgjY2lc3BS0aEBsmno~^%+l0t)nsh}{-Gs_A|2#p8%Y^JaZ`~!zJVDal z1pd6xGePL31dydbV}yvSh78=UPil>j%&y3TlRt7O@L)!OQyA1CC2C66OtNBV0M0I- zR6%TTC^Ib%+;zs5QV>;Zi}fN#l5-{=Ju@fXAxwG}^AGt57NS6yxeVGVkrv_PV<=4# zy!tQJpDGkEQ;RNK{AWh7vF(c8=1+9b)eCkSslJ;ma zqvG|*4+)YTK(${HdYh4@$@+W`5MV~9323}R?C62#s+J&@cR%arMUmrh(ua@Q=ECWQ zd6nkVr?}W9U(n^fDG|(*`Tu`)(sOfc8WYnQ=pqoFE|cY_>)r9VSyqvM+4%eG_p%R z-;D|WFB@2Aj7JNVUjK6_BWV&2vA4NQlM!A4i~$YxFv)Ey*dSo4F1Ry2tz>#9feN!2 ztXwUn%3e&ZqY&w%L7eDrLE6(t1NiDg*Y{pnQF-6sJ#o)ts<1+wVF@!5lG0F&o+C7U z$a|)NvauHBF@gyls5}fK>!=hEnL2(6twS**FT!NZwvl%Bi5nggy$NdlgLTw)RiRUf ztQjQJl7vy`Rr+y_4LX`^3%V%WfbMdZ&nNHks<=hfafVg2hD6EMPr)wTSl5suH@M!C zc5xa7+cUt9scAnqw&bfsgqV#%I!q$rG&pm?E3YM#>TDKD#I#O97P3IH>^YMIRkT_^ z(p@jo+Q+{V5b^X=Q5oSSU8%kWU+zR(?oxJMwsWl>vR`vdRI*ewpR#;QUJ6HM8;@=o zu3ox`EB+a87>|2!|0`b|OCc7eq&%e5SFWZ-Reb`D@1QR%FSw&n`+{W%NbjV6P5pA_9I>sjFE)j?`#mH5iRmuV zqU9#%^}-^mr$a)-Vb187{O1=vW6!R2TV7)c!DALt&+cHg*Y@Q5@KcE8dml;{YOVH~RH=ui-6w9d87RvTklNMe zw9Kpvwo+y1g++AezQ<())d9ve%&oWudNx_1+u;H#QxkvZ6{pqE#k3X7I~F!6$Yhj1 zsq+9<4gs~Sdmm(zVPP1!2N5w%*d#`D#&hp^64ZDMrX;9k9NjJ85{wqE-p6*VUYtu= zHn)=)5~ixS&dfL6M`T(%B(|;GNptR7(G=xk$0$#X4M6LC3D-rsOG=&%G4I1 zXu#!|UpXPf7dZ=nGw_m(G0mvdZ=LtUBk(n7`1v5}zF{HhAhLTPi2qH(S?LMfb_9;y$0IxncF43<{GJeqrl?QrD_ zEzCx(jtyvX-ova-|4=-|gZzou)85DTld^mk%s7eS3Gycx@*-h)5f3fsb${8+U;k7~v3}bT4BSli zO@7(cI2i*tj-HIUNE~)pG+@u5Z$@<<_;Eo}X;328kJq13BqtBWw~pP3UY4d$=$roR z$>V8@TDU+s8|E6(;qngBM4>R3A9IlAIyMh{xj=Xj#!zJQ&0PgsDiYJAoP|RA8Ls$n z!QqsN>ZX7zui$w%ZG?-mzE5WV9s>j`T-PzWa zT*gX}{0>*qpCWc4khUjL7nCH_JVU8IrS}3Y4$De_u?@)wYu*2uNzN|a6rvic6Il)) z*#U&+<>5sc%(_ygbT2v-GVQ`{aUa1O{J_z%tzqF7#hfe+jl_I%GX1sVt?eszGU|pu zB~dkdM}kS&*Tk|?(|vu+OqlHenfn3dLnzEr?ytAcEg(OFLQ~uQDLlveBsaR(JlChD zGU@izU*)XII$qfK8J!L4B88Se1TMAu^&f>3>b@uy>Rq37&sC3@5wT?Q&k8f3$d?os z3CoL`(bqC^6j0P8i9g&H3=j=u5*aEPMJw8Qaxy>_E8uC&gE>17sFFyP3)aOE%-s|( z%yJ=EH(N5(Ds0cP%6N=Xg3xqo9hHc9kQbqD6sVk0AA4F0ZV zvDG&Y2)o&@>IzNxrsC_7Qa?*Ltej_QDm%o#g;TAf9SlGS_8!p&`a3%Y*3Pl`#3Fb!d9 zB7cikl{4BybA=AFYuZKuP+#WqQ6IgA1A^Zt&c-$_gEp>Ynbk?X^z(;8-DK$!;1qth?EAE{rLvC6jm|7!|NI zhac=wk>lT9hqjj%R6~ZXlR=;kGOC6)wR-v$^}Z8k)B2qE=lwe2_XY zih(d`0T*tSJo`afm zXcfUnv|K?!{i~*(?GtCX1T8eHmEG{X%`usB<@?fWpu2l-tcqmDc;+N#bN8C-(LnUi z%bo^!+C7)3>`nl#FGix1h-VWrxdBL+EGhSjX~LiRCP zE${oa16;eGbd z#X`47cq2Spxiixl-H2X5qR;&j7-@!r)I|_RO|pm(lAYqrY~ZyL8^J z7O6YZAxNS1=HQw%YE#u>HV3ZBmJLJ?m?{MG|+q?Dc z08RrVy<5Q5+ekJ5S4Xd!j`5miH*VHJJGqDQPv~r6Ed|T$BHLOxt?4}tAH|Vy*iFK28n{{t@S^iMtykUOl_S63PdC9G1LqW(jQv+^4 znUd0gc}~{9oU@%@lB|>^(`2)I;W_M#7bLkeU`iORx12WwSl~P*O||P|ug|YJBV#Gq z5|$Mtq?vcy>OL>(UBamzT*NW+`ljV@&Hi%T(7Cn?2-QjU`&Yt*EnuO&Vuf8I;LMz; zBPcK?w0c<^IVRp1j6_ID=xK(cXb^SBmD}i}h-ktFBDErUvP{7pDm5zBYRvf9AqTmB z@I|jfps3V~vu5YRjZF8>o8ME|A3S?qq`qN^M)CPZg*#+mfXN!6Ka7-O+H0xuQXK{+ zkbq8(r?Xn-x-u*@zig%Uhik7p9ZrFNbaCnPxmmshv7{q?Nd3A=rd3gBCp=sWt@Kv9 z3pX`AmpWW~StM{~U_=(oQc|}b;Buvu!jgG)Xh1u&|xaujdi)||` za0M+ayqg7FV5YlARDTDgfn^FbD!@Mw;tEg|TtA+E&wc0U3#^`At1jW>#ro>$#(@6i z3~+SPrhR3M!>jgU5U~)QALl}sSDbE?xzetj7%V|H_;torURMu&I$PL-Q((OR~*mZ6ALJ9~&J(7Zg zw2MXD0|7~kS;pY9MBjuGphP}fV=+WH022?Lmmz)_A|wKSO(2bfnk4HXuSlTbGeUsQ zDa)7Q#8s^*nAitegJaPkfA2ruQ@<P;n% zOAX~3X0o<2&(4r1L-<``4&&$q=)3tYz^RL*K68-%#G=?!Ar;oN> zG!|}jnwY~?(0%8)Pb7R*wQM{ky`icX2^ zI0aNU>nY0OAnbPb6!4sp&1!o|-9#5=`R3T{HCf^3y=Dwvbcb+0^*b4Ge(lP!IO zTR>-WF8N+I%YAh;(YeBnJV-}SY`jLi%E+gNLGopXv8an59?6s0DBA3CwDXWJlf@`q zt?py{5K6+t=gDxF;WH)j3+{TyX_J5BHWC|6vrHO#TF`i*ndx&%g{|o~ ztD%dRI6&pXAZezKMqv^WSLIM0(MlREH>(L!9Iamft4XztQ7@0*!{8x}R@2k=iZhUHei!m$`DYk@K zMdT@sYrL|OmSCUQN@U)(I-T1oFPzgmA>qo^2i}uU0?hOQw<^Akn5oVF8QNm}4TDLUU zW~#PhZf~OC@Oc%vo^XsvTT(>Z{8}f#u<3id2Ta>2x>U@)4i`skEbZm<2o6YKezkl+w zY9nRqbAK}x)3%g7{>PHefGdjV@==f)J(a%UJLJUgWHb@RF(MC6Q4<1KrzR$11#)cR7Uoq-G}EE} z=*Z$<9oU9Fq7klPMBbLkh`@~J4vVoJzN1t?EASD{<<4N-5NEJ9E>7fr z>8#qr0R3US=4NizIYhL$21q&bQWjcXIvfg()|*z2tQShn07m z{=6~C}mUq+5eQgsD-Qb?9IgxV!D{$)~%)u@9R|MRf4s$}tmcl42 z-fDdtBi1k`z0Kntn4xZQV(@bwmhtixwZ48B97f_Dix~*avlUokPH;14zjcwvvYaXA zL$cIn4!|`}LTLRD-rhRCscdZ<#wEBrB~6;7acQ)XHr{xeq-or(al?x{6n8677+i*y z;_hyR;uIOQxD@9LGjq=2IcMhm-uI95bXR`ed+lVcy{>gsYN??_1oPx@#8A(3eL_&u))<9xSHTEr_2vyt3>!Q=fx7+g^P>rUB(!Ct1CAz_t~Va$skF zr!zVZvO$NjEpxlEhgmlOM_~2viv^R*I^3T=bMwsCGaqzxfj-TjEsEuJPq%jhO;;OE zZ0=c&<<9RAbxtN6U)@(mIpLdgby`(R^4$|X`%O1ITO8>GA69!1IBn(A*|#ncnI8*2 zM=wvQOpw#Ag(qy;IZWdc#T9$SwAfuuw!=1E9k-Nrb`aC%Y!^IgI58% z9h-``>Ngm2A!`^NWTTr-JAVWGrVx%-ifamgy?93$YxD)1i68Y^@qRg^#Zk(U(Ss)r zYPtUjt(vyGn7BKzW3u*K<}PMrc?3vVPZE%5JLZm#rsl?Z{7`pPF@W zV)^`XXJ^a9(SqOBwVY^KGNSdAHi83(?>yKz7S!h{5WP=e#oL z7MSx@#*E7=%PPO^rOh^0qyYv+Epyg#AgwQ@MGt;pEhFRCZTPfhdJEL(&!i!gXDh{` z?(vl`^qcM;zsgW~Q2L7maQx08SJ|MdM-N3}kw`2S4gGjwA3*nh?S$QFycG-Uj*Qa9 z7t<(_hC=}9w{|VzkF1}@U)&26tLXLMe{BiT>P*%*p$lJ?@W1J<#$ zPG540+e_eDP42vX-Rx(ko}Kr^uyD1kX42t>OJCoAa{qzzU~OgJraMkX2fwGBKC|FV z=Ft;<0gLcW$1n2DeBZj;&DT?}w|bIwcvZur(fZfMa_7{cD)u*DtwT`|x9?9zjIa-^ zP&v1J(=X?;I+vH-9DDsf%*Q=@Z&jlvvWXwh_nS-oA{92>z=$tFZdp>gxz{)DvfIwB zdr!U=zB?%{V>D!yGah!Blyq1W^piur1DMa!&Jv7$q}p{MRtbgVAvU(oAv z*ZRfKPo-H;S1(T97~0IyFK6@al5<0ceWsq<%7G%%GP*Kr)!V!9zMJ|FVO(!HujJvJ zZX5d-pA*=gHMnuR0kzHaXS>tBc3ao*`q$l+OZSdRe(-=kJso~E2eql*x77!}zOb1g z8?N4}R~zdya+ts4c}CY6m^0_%Q*HF26ZVELe15<>J7}EPd7N^5AJ7heb2` zKksxba7n5w^f%ws^X!mr_~%gj_a)&bw@@E`Yn222P}#n)39GhIL3zn0;FP*UFM8MC z(bTc?)w-tj9yHrqCb>1V_GUiy!Nvo6HVgV5ZQpEs6Bggty01%s({sL`*&nqI<62zZ zW*(qrW3%fP?%}YEeJVOXI^@andhyu<4t{Y?#9i@AIHm zgYWh^GPwDqCG0IT0Kd6TXaq}U)Xmd#K06VoD{e3E6*=6VS21*Iz;?xSckF{fRknn^ z6zXFQ{kQ8#+ZZ99X8b;E|`H~6}urqj{!P2wG-_Xh79a6m75 zQzEW0bYWmv4NB`j67*Typ?@O#VUzgrInh0>XVVsoi#N=iPC2;fmSxz*oHj2rBSeU= zm16(L;f}2M)O!`L;K7NnIx)w;dDEt<(RS3Cvm0N&?aUH9E+o&LGZOr;%j8$D;(+YR zHG6%xJ3Z<;o^mug-O=me-VY!4<84D)*^efRAILA1ecS$k(7VY4dkJSq&s^mD#g|`g zy7ZL1ap2b@ies&A`JQIqB9Dhvwi?XM2P9M%XyO4L7wl`9GuQd0=49AFQYSY0fwn6QgMTxz?E&xy=uXolAd3z~h zo;m03*Q@Zx(S98+Q(JxPy<*i`b?6E8mhSmI9CG`VXJok&eYC;5?E9^EA#OFje(=Su z-r|GnFv=c1SEk1Ia>c{deQST)Hd|WTlu?&_F~_6z8|Ui)RWk)l=E=+(8;fQ)=?kIbCHcOoAy$TDyz993fQxHCGAbO zb+0@pvd-=|H~lhn+UrvVyC%wB@R6ai2m8ybvr$uiv%rzf9XlK4;z`YOH2M z@bjEom>SJ}ILy3`N?!bRRJbH!e{FXfU<`EIJf2U6SG-{ZoOO{Mz znKSO@z2ciW-y81PutfW5N8{a>s)L`#e^KRe-hFE2Pcx)_3a)Zq{B&;F8kELxzSDe@ z#$eLy?Ca-UnypCw=D5d>Uk;f*r!^Vz?<5r`08&$4A2h(z9HU)%u}}4^9<$Q zd))(^hZRd}PIZNzf>6fHI&~=RS)cj8u^vxfcKKF%P_(nt`|}VJB3kV0((tNvM#1`d zm78h>5MJ{yk1CR%+f{A(d;$D^VGCfI>(ZK{>Vdc7XFk(k#F-mjG~U{O{`=22lh2)& zitoRA@$>o@c^u33J}g_Y{@2TME-cgIJHHUGa2zk3GF!$UWIwv>IJDx>`8KzEJg@H4 ztq(3XlY6{fMfU-Xn@u&Z{SM2Uy0PA4*DU^gtZ3O;d&iGV@lxQ$DW6P_2CN(W)G@tYa!xB;}+dsDA!+|1?M zvCBqYmnZr(ed+8N?OikONb&Hhh7EIC&#mtsj(4_RfmnV|V@DWd--$ZM)QK zy(hT5)4tE+{KFkB1~z(i8P>GpFy)e#CpuFq_I(ezn-tb_RuRiKbbDGfrXQhiRm;k5 zTiPA!si?=F)2&^ccv}Nnr#{u-&At&U{5)sthIQL!Ey_Qz@;r-Dm;XFG6m zqj>Iyo_kNeM?1YAX@)$=FZwgxzc!wArB~n6=5Uwyoombb9%MR7x17D+r8a;2qBRL} zaLIvN?P&a!k6koD_yP~WS0BEaT1N1Dc364%G+a0bYAJb z@au`Y)UrV91@5(5oA=F|)mPlAJ*1-KTFdK`f|p5Q!=^mYg}GTM)9eS!7hG5dew-n@ zcr^2P_G|qf=EjGHH`Bi4Fy~6-GtOMqo^8|C+cz5h*TU0c+{pg~l z`nN5HD6c?kJ6JlIJB@DmWZa3X8qII5t0q-N-gn(Bz@cli$gW!8tQWwp%$6yZP4Ywxw<4AI7}f%;aq>)5&v>=YumS)wD(~?`q%dl7tGu$I#r=qEwT0J zaPpTfeM0ztnc-oBzrHIuAwEBS+fnt zc>T7wUey#5C!2|ci)*gul4reGu_N266>uzm+J#+WL)Z4-e|5zI2<-i@!-$~B(Sl2u$dATKV=K7J>gV#eFU8Rco zci%Q~f=_loHmYCV!s9|?|2fAapTs+`Czej!+=TWxtGQvvro^=i;|I;%&_Cn(yN>sw z;_~$+#$U6vm0NBu-9M-Omc@2TV0Go(cKK2|P|>t27GaKBjGemkl;I&a&Xt>7C2gpca=t*d_D`l9yfo7RIiF~3a-blk#s zlb*kMzhhUsvtv&#yYrn#%G+SAJ}KIKB52<6XsD}Tue~I(>*=+t9d30UY`WF8EpAL{ zZL<6JkQA|a_mO6&YgnBgGcTOH<~_CTL5jsLM^7oJrTq#h9&z4;mQP#wvI%Vh^zGcE zwHJ2o+OP_mHRMD8x_LVv(01dsb+0t1CKJj-r0P3_wdXuti|3wBj`{t&kQF%1+g)56 z^*@81SdObocvts&81TP*%U$E=luU5cyt!OfvGMY}^@}?;W5@$Zpkw{o^My^ZMJorb zT%c_5>#EOFD>14wwR^5T$s0IiUaZgV-cw$`M(rD#cI#IAuQRq*pBoDr^Wb$?7xLAa zn7u{&Q5oMh&xD<4?W8Y9T$;6cLz~0}U!NH#oY-3SwhqZ;0j3p`r+VCRhJC6{3OP)B zJnQVxl)&ywFAeT5LvVi**XG6@u27;+D&Cfg;n*TVm(Sx(^daL&7_RG&ODF=C{3Ary z6YoR7|E`4mU6hByrBuN`uJ!p{Mf~FhOut{{+k(Ep09bC!XAAU^*e9(e}wn*!=c2Fmc)FKL^y^i{Ih{X9QEe_|DW}! zwz%uZO@CW2UdR!4`a&Lz))&eR#eM($pYMys6CAHC_K)ZEulG&0`VVQm#P(+q96nXm zq&@LNJf=>`6n>B9SBEtrU+UFesq()|Pyf}1)Vnzo-nape{}KKFpGOw?hbjV3CZy!$ zKT2e!gy8@F^T*l$yf>xgrv8Y^{&5hqQi6Ewk2n9v@#8-dB_&D!z08m6B*Gtc_(wT1 zIVHVMo&U!j|9^kU_`g<;r|SE&1|0X34)pIM#fdolzXHXn6e{-TVE##|IB}J>^S86& z2F;FjR(IY%=TMg}Ik0UNvzNjeZP7$?TO>i7yQX^^ard?A#BB&KT6^W!&RegoS>LAO zd713ylQGX$CcAZLUx6lE?6&?4#nJAiw1o!zs0Is{JBAcbG{$5az=JD;G9{jO zrZ?p)SNf_SZnQM`F!aC^-a~JTR+~>>IGD3(cW;(-!Hj5p4d4JZBf}RSbQ#ARK-Cx?d_d+c6c65gW)H}-} zrfp@D3y!X7x<+-$_eAop3UbC>Ue}?h>-W-as!898NA}KqWkFv>W~?5YSe{r`0pAAx z0K`1Zezj}lQ1Ev?;``tso$u}aIP}95m3qsoI`@~av-Yq5qMG%%^|vpG4^zDNEE!eJ zu3!I9>iV(~_wDQT51v);q|WlIq533?fq3q2EBbohtKhV)A1eBj4fA`k75*FXKTMe7Jpyp>&zGtlW%}9iIiACs=Ts)CPZaLDRX8Q(sTDd(hjx;_Ah>Rc{Zy zz4G?h+kJ~&I|4hbv@ost4H)j(o~7gxQVBL=e!8^XFA>$M+Ew>}z53ECMtEMI9Csl(8)bmy~ZC z_h#F$fnU1K+cqlv64loR=xxo|P=ntyvh~ozw>Nbh=(NFOQ%iiS_9spatH1r&LCO8H zdgU*>bX?YzH@9T_+>u|ejQcqEsQFogFT?iU>r=AqJQxIxLAqp>jh^mpS+b&^4W!79 zWsuWFzjPcp<;eN|^K+h8Ev6rv0l5JF(nAiLRgpFC0&Ec;HshD6lQ#?Hs>}s3Z+GcY z`8JXHzO>2w-0d?3AMbNw^Ya?t7x!8PN*+i>i?d#@)TxE_I|>)A9yh^9I=ysz)|3&j zuIWXqTfD06p<)B_r%%{%p@DuJgnqbgo3z2|)jg6cd1{fXV(tlFb5sWC-IB$rO%Uy8i zyNH=HW*Wv0jdC`%u8a0G{5oNbwejwQvo0vQ^AB#v_pF(;dE`q$ZTw9O&YCf4I|)~w zUn5>;96c2gS3Vy|LzG7mnT_W`$EY{+ch`NGn<&-x9&W$y<*n$dj%r|ncplS$U_6Ut6Z=AgVw>%{3g z%tu_`ovY{HykVVCM!7s7dsFGDssp|D-MTWQ`19Mz69%KwcWDc~!n3_^?p!^31AN7Z z7wvmiQL+1OZ&V#^y(g9y#>l6{oPwHcy zEaSc$xT@`)4yzOc1Y3BG_sqQXF@y0agRxDThCZN`C=MUKj;Kz*Gr8Gz;hyx`>r)4J zy}pK+H)Dg=x0(BW+uJJj>~7CZ-^;`TmjrY{iZX0Y%S0$5 zIxOn-`L)izu7KOv(qrJM!tJ+P%usP|T+}?i_Nw+##Uuv`Jc2*R$r6^B@{_w@uEV`l zeac^U?-f>Gox&*-yUO8WLmX|Ch z7dR=~3TPAxn@Ib7_)x)@Q$sEtB_&O--qhADZj}XWUZXg5v_))=_3(mK)dmZ|`==1Y85-IOgyoob&6rN=F+Wq>j z!X7}7bV0-3s|sGrmru`MDw@X1I1S(jzZ_jvQ81xydX-6pKrOz$wfF2sE4UccHu0&; zgPs`jR}Y+`EG^1wJeKfveebe;GiZB5rZsCfG%gRdv{pqP-0OGa@uz+ZE;dH>I*~uB zbjFe)@g*lr`Bwr&vR=wA0B(hh`>hY)g9XuinWSWR`-a1d09iZRt*rN^q(*vT`=}f1 z50Or8icU(Ob@gSHuyXmR4sRFTDJtTDZtl&rR<@92<0&;QXts=g zQCYq9Yv0iuH?6v|w|M8AH6iWN-!RDcgHE1&0G~Kfq=irHeCE}u%e_`0f}L8p;VQ_} z$%79om)-h){X%B-tP3y3aGqWs3lL=g^8WjsCnc;_3wKn0KP)ob7KkoE$L1saeJkJ3 zTDY_7)~7ck!?y=)T-|s4N1WTA`Wo>4{h9G6UrfKg>+7EFzWc2&rWt8p7o9&evfrCe zi#+ES_F>(6`E=K(n&9C5=HAjtkT;*o(~_^x_7!d2R^HyTs!iHdm%bsYy7HX;REOB9 zB^^jC;vHFS1Jau2v?<@JbzSB{SCw{rL~d~VK{Th~OQfPxDvB7VrRxV+l8k)1>RId+*{Dm!g)>}No?;R!^KJ&#`*@?c8 zc>e2#2A3=z z{x#g}daJ4l+svb;^nQnw-d$+z*7j26xb2qFC6H(71Kv5ZEKgSg7Lec6do#1%;ZMm= z@VnZh-(G$@xsbAI3~%wwn6c{i#jKav!_AN0M<=@-qd(+SHYq&vxvAy3bx%giLzRp7 zGq1jwI_2IO$4pdN^DFQJ#>4v(FK1*-K1Sd0@YUcw&J8`wVI$wPncH05;N<<@!RiG| zFm)$Koa%IItf6LrjOjSI#CCOYo0gkf-<@@5$Qi?#UT2hNI-F^T``%f9bY}Jb0qEH2 zNp?y<&UtX+W&(#l7#2qik98uv61VO+FOcTlR8NHXwFZ zwCk?iSYx!TtPAX2PVQEN8P?Z!QyaIxiv*4yI=Srf9{PyZC;E4an(epujQX;z>5j$m zCJE}p=8ve|!fmQS{)LTR@RXzn;bj;>VsQKzgB-;mG)rX zg41tegDGPhzv%K#T~++H?nImnJ9F5TTg-+c-|v4;5iR)bgXqq~-ujJ|6LxiZXT0Ch zd9BN~f{8oc^{l%-wh!UnRoJ`tW#9K`F1o(!pH-|%YpP~ltHV|1R1E4Fs@*!}b+3|| zDY0PZ%0cTsoy42VF6K=>gx!q$KdVKdoj<=s)Bm^${XiusUOOKErV;g)djk(Ygjq|NB@nK^8bwa>%n?fM~)PKY0S ze5C7*Dc68c#;hOZn7X4^msN(k=@*C7mfh;$Sld&(Z^{It{~RsCqzt{<`nC4*btSXS z8T&5KM{E;X?Z{ONc7->di+*nN@fIoGAGsx_e>f2Me8j057(EB;aG)b4^c0&uEyD%FNsGU6L79q-$$-OLkUux-aNCd-cJ69oJlV zc;TWf{{;8+nm%ndwVS*DMN=&6A-&Hxn_rV47_*#{p986 zue8IN$2}{_7_>8;FGb$!G_mQ7^H$w=P*1xHH(H@vH<^bl}sck2_SyJJ9w> z+5R&Z`B}C`B~E(R$+gXo^y~3!<=M(B7u&T;b{#ZgH{aiA%(b%dPH^if%LbJwz>8as zc>ZLvpu-*SxOW}iJgp3Q1NwWN2&WA!!@-|+j#}PiJ*EG*R)C4?YzpefS2Hit^*OHooi7X) zYL(F5prd`+73oXbohv@jLp38g;#_Yw0{-^O&gFBVEq61PM6b2dO}fUMGlkK$-Qj`R z`^vYN&lTWCFp9dALq=QNla|@lLLsHVJ*Z2vg{8wen}7D#MwgWWgRddozl?bQv@Z2- zCAa%j^tKtzS95&xD2_&Q#VE^ve!CetV!VqsDak>E)e}smtWW-h(S{>c=fRr|{`3 zn5**2uV?k>)2ab*Ok38lSHrQy^Oxm!1n17)nYcKA06seX_F@9z`zhz6Ax}r!ryd6IehGFIF70Y6Y+1y4 zmbmVF^Zsn#H00FLyt>0R&p&Lu)2pJS@*J&b8L?u7u_`C&EbETHy=UH7M)jyE#xcbq zb_=D9xqj{@9og29+UvCq(rl;KQ!u*DJBU9T_4aVD;TzvKTj$B}x({v|Q?L%ZZPoCF z^BvhqIb-dJlA=dD-yLjUdV2NCj`PX~baGFf)jX$jiTl`%iU#tj^Nz}!ln^Tn$k>cu zdmtl=&QoScAM8BxX(Rq@Cy3GA1#`aL!J*$8Fb16&`l%&jzzJ5CL_W+i@v#U@TeV41 z*t}(g}h^tBHcfvpgdf@TY&iFkmh3*v_6CV$m&}QJK$8&&CxtxHsi> z!C3Cj>l?_DIW?fj8vR`otI(BJgU6PBkYv%fS9jm=&2x*7RZ$?lw!)x~$eaPLm3*RbBUP9qlm zrT6=<5{U7%a6QfA8ldVt@Bi{|`U!AIVw%>E}%q`9HdO|0ai6 zD#z&maE1O~C5XcRTPBmKXMdz-KJrIu=3k$hq}D{s*p;-|&+7Ly9B(->*zw`it);cI zTSGRD{_wU#DH!urmBy+6QFgplp%rkx!v-H{EcR~CWfcF*{j&_0hHSlp1Rp%Or?c@B zCBxS8Sewq(?ChSsi@U#q-S07Ad0P))2t z_PfRJ6z@9_hW08Q#6P#5X*s>^e)RNZ;8jW)c0yUhH>b`wpY*MC!^SGg_OSQ>-TPcK zL6xzksMAW(t$|Nknmavja+81P)-}fb)kRS5u2SU6mXb@*AGwzcHb$@hWhejWhCfrk z{Db1aQV9RA6bCiyPl|&?p`>^Y3gIs(j^EMpKN*f6j_aQoj=uoc4~F3%|F^1;|IN_+T$P_P@^He* z6dU*_%H+vJz!!4Ge=hqIb;$N5;tE%c6AngF*|bu(Q81ivARJRgYz|i+Tx#t6J_>w+ zgezviI_%xxH=QZ1Cf*g@GkFN)z z4OX#UfCE7DJZMLz7|$^BV3^#fNPrbu0|GQp#?NFkAxMV`;*%0=1Uk)%H**kJ4hLls z1O$$(94uV|@D6}bvS%#tum0$`aE4;31-FcLf022A(ZB7_JE zBlnqH8YNQWb6LbugDX!`L_h$nS#YjVq((<5dF%*SN+%S7Eq1n36vktP3bxKeO3+CJ zzC1f@LYWg$v=||0$k=p(UTBbNuqK3%48YoxIy5J&fazfpWwOX&b5jdr9Fhee64-S% zkekJEv$bNs&u#L8f))Y6r{{x0Ds6-%QrJYWd=CUrgn+n144hTyVn?Bw0cdt%HrpB` znP@Z+F|I(XHE`h&=3g0R{LfVDe-A*^tiJ}3kpv3);TVuFKyt|``H&1hJ%g(t+Y4s=|e|05ED-!V8AW z!Z35lla3e0twtSJjWg()|`ql)x=Q^2J2Iy~q>7K*L6JiLvWD}h2B8c3Kc=EIoz zTp*6XHb=x>w^ak6$uO8OH{hiq3#gf11y~@%ISAp<(MGJ8eGDIx1Dq`__ zO$eGa+aoYQ?Gm!wo6gOLG11aYIo++{0yK6TEYst~g525K2NT%Qb=k@a#gAL+neC19T?WXUN7Ui3vhP zN5$~b76HeX;JGtwrbxg6BG7`lc3>{tk8I;o5hm))X>6lcXgUFFd;Mg!BN2Ak;^hu1B8xE-4IoX;hT&0P^00w@cJZw}Y z-Dqn;j<_&KsPqwyVU3jz3#VF@<8nb*1=c9juBQYHP?(341&(R8xk9HZA^IC&{k*97 z@0m3+^{;_tB&W<8G70j5Wtccc77ejuOr0Jo07sp*=}TkNDl?Lq!~!Gilhti3bP?PF45y=7qG$ttt{Xb#fd(9AjFrBB+pWy6-P-jOuo*c z1E~@LZv>BnX0aj803^$m=Z(c71fd-YDMFipYK<2F&*RW+e4By@*DE19o;S(j;Ss|0 zyqG|n4b6mLq+-66n*@VGNj4c{73AlL0xl`KD5ymEMaoQ(g$%HR5C$j{&50Qad=3Up ziGsmetd~ir8gV)+svu}p8DJ7I#0oBqdotJ#^8)JS8{@|Ef0sDv6)RQAxqkz)P64`I%n*TP0r-$%gPpD53KKZ0Fp@>UG7#w)vXV*X zct|E<23kim%Pjdqme&DP1dG5qdb7lcjj~v9H3X7FDvad<47!MoYvEErd8~+nr(*Fq z3?+rnlSl0Y37kMq0*i8T3w>MxOk7i=qVNDonx*w223y zVwhkOD(EFyNqVj(&kSX0Oz=D`l7^y+*f_qIlR>wFgbB1$oMQz=4NjPtC^UenMnEA{ zo^8(x8T1B=P7`JbktTbR@H(Bb<2@2QLd7%44hYD8Q&oic9KbKn9PbVtE`if{>Tb^-J@KUH}fB3opW= zlPDrOY>JqIFbxvUprZrHe7I7I2_Xd195S7UbLq3yb}Yn=z$e(5c1KZ;A~$JA>3Wzw>5U7$*-TcU-X#-|Fhx2S!=)kOk!A-y)0V?jQiXJ( z044PWV`MzQh4E9u@SK7Io&{;lO!;X!oJf{I@1?RJxO5s8OE=)@Jh?g>7Eki|OsgSN zhsiS0=^7E6pI^u{IUE2sR;^bPGG&?3I4m>5pgIeJ79A@m1XRlrEKZ@q?zNf4G8;KU z#pmlC79dlOFtuxjHDBqom+mW*9P1k$ncSzaX$O8|NUbf_d-z=|OmT3HZ}%tqqTDf1Hc=fhcMMvevsN=>}YNSy^` zR7Jt;FvygvqPcSu^qdS@K7s898uFttUWP&!1Ddi;6oR=ZSHjLU0;mCVm`zd*OCh$(o9=~O%b7ER6kM)_UYkr z9vQBc+wk&aFk8)$(+Y8Tj!WqXNq7LdMjiE-!%-9cZ+iJ>FW7&tmnnZUZ8oK6XSgIV za1kGe68glT?0^e`Lm^3pNEL%^4k3ubs5Jv?%kZHERSCbC+cC+A?9 zZUhq?;4svnK-f_fh#~2A7fK!%N8}tbfEN_e{BXV=8|9H?F%C)>MTMYQDlD=fjzPIm zY8_YUgm5Wjf!D@Y(G*r;0!SnA(ILU#fZ`{Afe`5k#9$l^_y45KkOQ$55aQt^#ey#zFWxJ>Bc$`JGsKMhJkATRipxJj7lA zqe2ZXUuKR`|UU9Dtcrc0#Vwjpyb{5getwAm1uABlGE@0D!Ed zXX`k*HaIZLOMz*ij6l+4vP$@Be4H#{*jX4V-a~T>VFaVpO%*5A+Dy8h8i{H+ZZzC0 z4a<`NceX6db15UVfI}(IBil3SF^@|M5(w}@Q!?F8=8zZ;Pa)9G5+X9$*f3NgMroK> zN+3>*z~M=&+Y89`k96)vk2tp;qf?}a7$No1R{lDT&CjQ+dA=S}BB?A2OqM5D_ zO3Zm6Qm!8s5!lR95ejS-ib%4E%98Y;u*e()(QZT#+!zNJAkJ}yLF|AEt(C)k*<3d= zAaLZL>5T+3%+_35SQ11f&_EQWh~+l9gvl%= zk;gy)5IR}`S*uaTEg>?T1wx1gd03W^WmGfu>0YC$NRw@MyOmr!7oT)eIboQHZjj?l zg+87W2vp>mIbi@%sboc}h!8 zBq&tdGKEQz73=cjY1o9{3ZUmv9TGeh?{JHZIF?N82vCfuC{Y*E=27`nGD4nmPm9s` z1c+?S&LLTl=~yu{5T#3C9+XZ;XUW+Bs~nF~(#+vFL~WKLp&(Qe;_y%@0bzm15Q6CZ zLQR;YLJH89A}z-+%az!4NQumWuptX@!XPbaa^VpI&fkFaf5%~+(pdej@cB>1gPUQI z@IaObNSFGEO6RIncA|_-5M+D8s%(cZS0-kJQXk70w@1%o@z}w*233R;1d@jZ1_8v{I7VHiFnFi z!^B7i+Z3FXM@Egyiz$6n6wixAXh=C`oKXx8s1s@j&RnQBW>FD&s1P#(f`vR0x1S2( zO06<%7!bU*D%fW z3=9Rrfk8#mSP?G_FcbmPGq4%i6d(nW#bL;!6e^em^k|T3gaDLpCexU4o)eao6K!5Z zl$Op)O5JKm*q0l&G6VRK%UcM@a*9n1pFxxlLt8=yoYx%>sP!57F$4#L7XkgbO0tZV zs{;vGVkE|cVG~ns7#hi?))8^`I2;0ki2x)4Moa+V;6MS)=T~`Bnm~;O6SV6Q>a1)u zI6@|yjpiud2_%pcbfz?cB@{5}LAlUm19}j4i7#LU1Os+Rgd;I#rd#<%1}<7>#i5mG zUl5WHLFbtX`3Y+*UBk>TatXq*s9R$VCm3RBzBHV&lVEFvr7HyJOi?g{;^7zO8zY!V z(5DgLQ!6Sz1xV6biG`>*NUzeuLHWk0QKu#3FtI>~nGZ2AQ|TG-fjqg;tpgN-S+ESM z+!#QM)f$|YrVH4@imW)VkfZjn^N~o0Cl2+g7z~&+NI@ct=yD+|2M-emv$+YjM&-@^ z8#DI5Kbaxw%Sh@!pH1V@63G5{%mphRJnn?NS9+mDke#hR$VUBJb-(Fu?_lxw7c z0x+o(8`D9;MoBQ7Z_hW9yikLkWf8b!F}W@s5O4u~a!Ll(n1tjJs04GmJ3nGa=KyUQ zhB5?G8TAl~+z*GsDHt(cR}iMAm;f69hpLbRYq8x@T58g0{NEzkn8s_(#zN zbOj~I_qrc}_rB(b6ul8Uy4g%rWo2cht1MKNL>AVe9U7XCPmXqd5zmCjV{F?*crHC; zQpt82Dk)=D+gC? zn>|m2+eC=w*FDN^sS%Bmy>JfpOLAc@;<9^w2~7M)_ZL$0OY+Rrm(I`Tbd0h!=ap&~ z4^$D`=4aZl5!>~*-bnW}N!x})1r6<%KJi#e_g4Mxm9)iWEL!n5@tnu9*hiXjJT*;J zliaMjx{ee6a9?J-eDe}5_DWfLOnP?nV4%Cy#P2V;eOw09T{V>xOXDYF^6Sgnql!=%m9 zYA(`2k3x(#Y|!CqjInc=Bo;mw+{jBZoklh%1Uy5}N?e6$CE;PSsyOt_Zu7w=weBtk zu3Ozw6E}qj9`ztp!tLLj3QVFei5bMUifspZZO-M_Mdv)>s~@-N6vo{4l+?<&6feTs z#_@UVbZ%HeDJyJUu!H%m)nyz^CESmn?xPd3+mx|@}Jr}60?+HN-^yW^e zKbvH|cwys6&G~*I&Rd*8f3kYv?NU7xle&I)z2HDl^j5!BQ^C-%#+2;|dF}JDgCn|& z^_jk4TjW;U@$9>{x#Hey^IFhszMZN!0*cTpOiPEn$ceEQ9u3fB6S;*>86I=6ft@Wj z^oH7%i55#|XtyBOXmr|#xZKecEY34S3b92_I36@XYR_(^;3i??eYP6k=?Gnm41d$wB1jy8p9C82eWPIPv% z7ZJZhin!84cM{q1NT4uKORz#=V~*?n&hdq0{F+?zSE1L;{#<(<-Z{BgN6wi}%@f}^ zV-wMP5wn9*ot7rZa5cxobii|6i4v3W_|x*D=Z~asHH*LOoiKbMz=d0Z@?wBimfZ$7 za2^t_aY(g!u_$&tCb+{nfcVQ(B0E@cIWlMKr7u^kVqex=Zp&7nvj#MbL&~;WR{;D?JcP=Kcw)WF*j;vYB-qkq? zb1uuqYV&?+)JjzD_qgqFU}0ImGpt<4Rgp;dVoOgTERvn+tvz<`D{`>i+-Y&nw%Rr= z%VfRnJ*2%JPYaDjTR2(TbdKA5Si@44`4_n+@|UEUaqO$voxlZ(!@HZzUm*z`-_ava z9ZoYicy8HKc0I%nUad2&-?3%sFzpM8L?+=lm&W&U6gkPWq-B2c`o}|Ut%eZyDLiT> zYT4p4XQwNzy|y4)hxob{N$(EiL^xe}UuSuCA@We2owtRoEu*w0)Up#Dw*8>MM%|rB zrWmy&z2bR&4Df>sS8Vvud}VoYZ#;ty>kfLBizw0z&6vviL@Tr1rFofGt`_8a8kd;x zfwp{hDUL)F-66cN~BqII!&!nbuGx>c)#QF5ysG1BAUao%4_9;ZsRoh?-4 zK(l&0I}4$6IBakDNroRdaYorX1@|b{O8PB}i!VL*&#L4FqJXJ)dUDRZ-OUNXn}_zc zO>|m3r`XG+G})Hoglyzapb>lG~(A|r-fOJw04)>4C|QUcR# zBlCm$=8G2<$X}An#lQ60_BeBI;m#}9yPVU!WDBphs;{|8ExasZW0oT6^03(2hl~S! zbTObtBwh@Y*NKg1^7D+PFitx9@-e)^;&?SMvz10jx`wAndjYLDPzKYc4k^TV?}w#A zhxXMnzgSb8(_h@V*RI@H$=xkgx^Zf4+l%P2Rg%|3`*>{kN6?c!##E*2_Ha?X^JBmp zy$D$6A}tiOb%?dgy>79>kAlu|Dt)nRyc#XsP?+5c1#4W!=Nu$LTdBzA?qyrL;dE+pPkvrSp7Dr zt>&o|dMQo3_nLEKDQE2!N3+toYRhCYb5(`YcsFz9bHVh&&#dYE)j4agG*asoopMBB zF8O{e(oV0iH4<`piAqMYOrmhy*5F1 zefNh|%$sP~cOe8hq>rOgV8BtU+&yBkQOC_8^_4aKHAzW0@9ERo{Op5TDV^n%*VQV& z5S-;zFL#C+LV37LcH+zt=`m+MvdG7R>5hZj&gmt&EL&XZd18|+Ex8yfZ%;l~A%_$5 zYlmLA8uBgPtfQW|Kb;S=x%O13;&^t$iyJg<@?)ItQCw=;r*(OYV>g5Nej%n)u2r|B`Ga!G0+}&ZY}qx^SR@*{M9a zZ1Ur9m@J3pZ55#h_9Ly$2Fr5OIq|`Z!DFw)JBGGVBStjqXZN&kxtwZcWw=ZgUE^h6 zDou&@kXz+$+dVO^$y;{7wYz6&kF%8_gZEn^o69c3-e~(0X@ud8PDg!ZsaHdMNLma+ z+oV+J_ZPyTnnASz>08xq%T`yGGYi)$sg)vrs+Ww8Cj-Ua+bpr<)=w74%l0sBIp)TL zVTGV6KH-?8!rA4*b4hEvdOFg?Iag8?iEa(dd{*iamHtdh7Bb5zEX9wSxLf7KQ#@)( ztd)K3ILN8;a*MYM^}xMK?z(p;-z3)ceYrY1$O)GteODOG&q%T(air*I(ZcyLc_SsuSzXD4KI_-3Ny_{KDM-lH4GU ze(_HJ%(l(2WrfzUgLE$a&{I zc)RMXO$RB+6Gi*smFL=baE?3~Jy5y3U`J-~R`N89%Ds4f2kyVPRF}4ERh2r4LM&Me zDACdWPn*r=*rqmEcSd*V=@-2HB^fk0{q1v0J!K+9c^iim=ZLG0uVioK~+|v8vslv?8 zWBb(uGeafGpQGK=ZXn|2)sClfL0C~}V$|Y3?Q+qsB{4s=0%-4?$))C1eo$TVs|vfX zJKd+rIvH6fMyY(frsZdiohk>(-G-WXD`2yi`{uEl=u9UY%RD+RA!@@LX@+Y%!8LZ< zkDh$o+B^x@{l5?w;1YaNN_nhj&C$98u)hHHoD#C3x;%wIGY6qimV9AZpchaZd(U zx;!4PFYCh0<@MW3Dh57n+4Lf<#VebR+Q=g9JAV}e<5@a_cH?d8LPwBxm9N)aedhUN2m z&8N=dPEoBG&v6*xo8Y?GwvpjzY^4Y*P2<+{{dk=HbI3>?8RMH^#B(c{j*Y-*K!^QP z=o;!;QsKl!Yw5gE)RSPh`4L1(Xj)!ikVigiS%!cgo=p zn%;H>n(%*^D!<@|12=V)k2w{5J%7ECOg(15ajJ=_h99$&BaDK`Z;u z^x(t%;n@I&J~_@k8IGZP0Mgcv>3n`awnM`2$Jv$e{gfChM!uDM8>qM8wqj2b|6+GP z1j}z53<&~6;C){WdvtTn9}MU|{{7!8Riidz{jjL{@Xqd_Z$@)Ay^ngKC1`Z`BCw# zg&({Li^>W4E?l4z`clk6KKeO8 zdU@EJe3Ty2@ng^)Vv;)EUZFaEeVmW7E!x0dPoo`rCVuB5GS#;~@t3g@+z(|>l_sah0yQua#m6Z+bb2P2Gq*7>l0JNy^K z*?>hy{fgwtsej0g(%E|3N!Dzt4ViuG=5f|>@B#Y4Cs~6|Sb=xIr6X|ZqH`%|6&39k zk~SMjyve^yLF*1qT0Z^}lFv73s|q*Z5P^qQ^38fOFbaAgZ_n@?M8LWdZm^yDk0(-q zt)<^(;P-=V-((e3Pt&X#oRh4mTG(2;L9?fozKPo^ST{%MyS#!^l64;cE~y%b5&U}4 zXFUmL@N>G}TJL9|;xT%9S09fz_oF_}fB)OtNdNtB`dD9H$9i*A03-P6ivqoRqVa+N z_^k4iYY=JNwVV3KfBwtA0g)#G(^H zLo0Njd_04Hb*mV<0N!pZUk~M)LH#N5cnbf*Q}W}}@INU?p9@VC>=vIaF-Irdo|Jowb{A7Nt@)e!t6Wej_l{ zhT+yBoF?%Qxml4RxWteFp0UD;q6m={p1gXkYr@-5W$?bjF{_AoT|xmrura)bCQ>Yw z%0d*&WV2K@k6|3jP&p2#xHOh!QYrR9HK6|lg{c_>dcZDVYZ1r9O^9MvOlU2Tn~<;) zun^9|Tagr8)3QOi_ZB|XHeqhV`3rgSWy3$rH}nIjGdwrd&qDnBy9A37 z%IV>gFM_H)jtr04-+k6cf1#W7htuO>PW?V2I>%(_lf+VMLO_|&N(6%=aHJ4gkrWY< zXl%6+Sd3k-$tYr~{*$Jk*w^Oqz(s~aNo*bBB#aC(G)aXxmZU=xw}E57DUb(r^^W~R z_0O;?|C>>N(*FsH&su_*{NwPvxxRNY4Nrd}_%ZT7N9Ti!(X9mxZBf?1u>JAj!Mnrl zNY_{B`vdUAx^McQ2N^2pbsk{}4+d2Cao}OWw1Yd*$%k;|9sZN-XRV(Vp&ghhf>+Vv z8(qLYh8W+$KRx}A^`^W$c}VZGg%1e6Zbefzw{I}}No_%a|0hfH#QR$1Yt-TnVisu~ zN8Y>q4*0stx1DtUNA~+kz@wBA$v<)>uS5DSA7&AV0{3``l-74qQs0=-g!sKGuT(+( zL$|zO7+(tgB;!%JtHLlrpYy^|lb%K2L2o6Wbb={=+DSAb~>@?)L?KYq+~ zKo??m73MLY9p6EHNE|;r2jJEHk2lNf-VmiR2E_^X;Rrn_>hU3O{>A&5Z<)S3m#NQ( z)RgsSm~?0O#PrUuH&jM``Sc0h9-=Gn|L+*>Z`0r2g0#OHqfPZ74YERpDD7W=ag63e zy84{uXO8Lrc)~H&XB^PYM`Ziulmn=EsuuE4t$6%5PzXKS^IerTzgggp4T^@ZA0I0X zfedBUAHj#fSOSOahyJmi-(egDo0!UxdM@U*4ahbcd4SW5{;rLo9IGdnUSSNXUw!fd zBQR7yNy`AkXd`_Drr&>JEJrX#UJ)3n9~Nf7N3q87fLtYKq>sRuPs*{Mw98SDcY19D z=V%ixILDc2(K}+S3(=FQzYJmGAHfp(JuR>8vLs}Tj4(=1dcKq+ar3wVj5YRyBxuga zE0SRJqn%#y5iDiwFUc@^Ztt}m!|Hdvyuw&k-vVre>Hfz6gF9agFwjRmzxYxPV62gU z6zG>9=DU+A#47 z%7LLYmV+s)sV>FpYcQ|);Cd`0ui*SgGY@Fg#Al4bNP|v;!G=G^HW>Z7wbyoW(xh)B zPCjntdZ7<8Bqmw_W}*eBK&g#wP^^ikkYO?EKDY|ZG#)q&PQ`$aK;hjv6AUxjb~qRa zCycx&P%tLOx|qpEkOW2;?LELK(-?t|H`;b^Z&2IV2I#(#_XOy^i9Uujmt!bn+yw6O zlXe-#R2LM)Bx@k3CO(rmW|Cci8DjyG#0jG<0T^kFO8`crMwujWQw#?SRHitG1X0s( zhU6*#|^GTBLh8T}SS8-&TefXWzcHk4ycx(_g8ECmPJfHD|p zra@(lvI_}WeF6EUZvZpK79=b|Vn+RiZkWc1rc7}JObj3;U~I!2TR=IOH5tosjJ_KE zN*@Q4D-(<}`dSbT7@;xk}H6jm{B$W#u;M^3i35Zz5t9e#=yW()Hu%r7z7Bf z{Q%X1fY$`0%zcAgu&KY0DK_wh!qLaO03Ue|Fv=*W6kM=rwCxlLYXL_39s!4eK5(`s znn68`vPO|OymMl#3+IR!WP>6JPCxwkg=R2{Mwz6*I2q@305katfSF=jed=PgB>*Fh z^8kthH8RLOz&N9=qbQhe8OIIg3r78*XqfvM>CnD>%GulVR_*T4cc#% z1Da%wxeTbwn#Pg_PMhk|rr3g_;WT~Y7y-oG4+w`$eFH@|^$q5Erhb4MG}6LAoMV(f z1_du*-~p(<(dIxlhcV9mD41cJ>q0@Z6B7-)!!`9DAJMtgbRBq8iBLUqG%TGmP0c+$boYin#{r`N)k*M zoyOP{lVnYjM$ngy2a)Y~)Vh+&X$bh&bk I{BM^327SFkzW@LL literal 0 HcmV?d00001 diff --git a/Firmware/App/main.c b/Firmware/App/main.c new file mode 100644 index 0000000..823cbaf --- /dev/null +++ b/Firmware/App/main.c @@ -0,0 +1,11 @@ + + + + +int main(void) +{ + + while(1); + return 0; +} + diff --git a/Firmware/App/system_max32660.c b/Firmware/App/system_max32660.c new file mode 100644 index 0000000..1d404cc --- /dev/null +++ b/Firmware/App/system_max32660.c @@ -0,0 +1,167 @@ +/** + * @file system_max32660.c + * @brief System-level initialization implementation file + */ + +/******************************************************************************* + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + ******************************************************************************/ + +#include +#include +#include +#include "max32660.h" +#include "gcr_regs.h" +#include "pwrseq_regs.h" +#include "tmr_regs.h" +#include "wdt_regs.h" +#include "mxc_sys.h" + +extern void (* const __isr_vector[])(void); +uint32_t SystemCoreClock = HIRC96_FREQ; + +__weak void SystemCoreClockUpdate(void) +{ + uint32_t base_freq, div, clk_src,ovr; + + // Get the clock source and frequency + clk_src = (MXC_GCR->clkcn & MXC_F_GCR_CLKCN_CLKSEL); + + if (clk_src == MXC_S_GCR_CLKCN_CLKSEL_HFXIN) { + base_freq = HFX_FREQ; + } else { + if (clk_src == MXC_S_GCR_CLKCN_CLKSEL_NANORING) { + base_freq = NANORING_FREQ; + } else { + ovr = (MXC_PWRSEQ->lp_ctrl & MXC_F_PWRSEQ_LP_CTRL_OVR); + if (ovr == MXC_S_PWRSEQ_LP_CTRL_OVR_0_9V) { + base_freq = HIRC96_FREQ/4; + } else { + if (ovr == MXC_S_PWRSEQ_LP_CTRL_OVR_1_0V) { + base_freq = HIRC96_FREQ/2; + } else { + base_freq = HIRC96_FREQ; + } + } + } + } + + // Get the clock divider + div = (MXC_GCR->clkcn & MXC_F_GCR_CLKCN_PSC) >> MXC_F_GCR_CLKCN_PSC_POS; + + SystemCoreClock = base_freq >> div; +} + +/* This function is called before C runtime initialization and can be + * implemented by the application for early initializations. If a value other + * than '0' is returned, the C runtime initialization will be skipped. + * + * You may over-ride this function in your program by defining a custom + * PreInit(), but care should be taken to reproduce the initilization steps + * or a non-functional system may result. + */ +__weak int PreInit(void) +{ + /* Do nothing */ + return 0; +} + +/* This function can be implemented by the application to initialize the board */ +__weak int Board_Init(void) +{ + /* Do nothing */ + return 0; +} + +/* This function is called just before control is transferred to main(). + * + * You may over-ride this function in your program by defining a custom + * SystemInit(), but care should be taken to reproduce the initialization + * steps or a non-functional system may result. + */ +__weak void SystemInit(void) +{ + /* Configure the interrupt controller to use the application vector table in */ + /* the application space */ + /* IAR & Keil must set vector table after all memory initialization. */ + SCB->VTOR = (unsigned long)__isr_vector; + + MXC_WDT0->ctrl &= ~MXC_F_WDT_CTRL_WDT_EN; /* Turn off watchdog. Application can re-enable as needed. */ + + /* Enable FPU on Cortex-M4, which occupies coprocessor slots 10 & 11 */ + /* Grant full access, per "Table B3-24 CPACR bit assignments". */ + /* DDI0403D "ARMv7-M Architecture Reference Manual" */ + SCB->CPACR |= SCB_CPACR_CP10_Msk | SCB_CPACR_CP11_Msk; + __DSB(); + __ISB(); + + /* Switch system clock to HIRC */ + SYS_Clock_Select(SYS_CLOCK_HIRC, MXC_TMR0); + + /* Disable clocks to peripherals by default to reduce power */ + SYS_ClockDisable(SYS_PERIPH_CLOCK_DMA); + SYS_ClockDisable(SYS_PERIPH_CLOCK_SPI17Y); + SYS_ClockDisable(SYS_PERIPH_CLOCK_SPIMSS); + SYS_ClockDisable(SYS_PERIPH_CLOCK_UART0); + SYS_ClockDisable(SYS_PERIPH_CLOCK_UART1); + SYS_ClockDisable(SYS_PERIPH_CLOCK_I2C0); + SYS_ClockDisable(SYS_PERIPH_CLOCK_T0); + SYS_ClockDisable(SYS_PERIPH_CLOCK_T1); + SYS_ClockDisable(SYS_PERIPH_CLOCK_T2); + SYS_ClockDisable(SYS_PERIPH_CLOCK_I2C1); + + Board_Init(); +} + +#if defined ( __CC_ARM ) +/* Global variable initialization does not occur until post scatterload in Keil tools.*/ + +/* External function called after our post scatterload function implementation. */ +extern void $Super$$__main_after_scatterload(void); + +/** + * @brief Initialization function for SystemCoreClock and Board_Init. + * @details $Sub$$__main_after_scatterload is called during system startup in the Keil + * toolset. Global variable and static variable space must be set up by the compiler + * prior to using these memory spaces. Setting up the SystemCoreClock and Board_Init + * require global memory for variable storage and are called from this function in + * the Keil tool chain. + */ +void $Sub$$__main_after_scatterload(void) +{ + SystemInit(); + $Super$$__main_after_scatterload(); +} +#endif /* __CC_ARM */ diff --git a/Firmware/Compiler/Listings/max32660.map b/Firmware/Compiler/Listings/max32660.map new file mode 100644 index 0000000..29e05c2 --- /dev/null +++ b/Firmware/Compiler/Listings/max32660.map @@ -0,0 +1,1076 @@ +Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] + +============================================================================== + +Section Cross References + + system_max32660.o(i.$Sub$$__main_after_scatterload) refers to system_max32660.o(i.SystemInit) for SystemInit + system_max32660.o(i.$Sub$$__main_after_scatterload) refers to entry5.o(.ARM.Collect$$$$00000004) for $Super$$__main_after_scatterload + system_max32660.o(i.SystemCoreClockUpdate) refers to system_max32660.o(.data) for SystemCoreClock + system_max32660.o(i.SystemInit) refers to mxc_sys.o(i.SYS_Clock_Select) for SYS_Clock_Select + system_max32660.o(i.SystemInit) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + system_max32660.o(i.SystemInit) refers to system_max32660.o(i.Board_Init) for Board_Init + system_max32660.o(i.SystemInit) refers to startup_max32660.o(RESET) for __isr_vector + dma.o(i.DMA_AcquireChannel) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + dma.o(i.DMA_AcquireChannel) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + dma.o(i.DMA_AcquireChannel) refers to dma.o(.data) for dma_initialized + dma.o(i.DMA_AcquireChannel) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_ClearFlags) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_ConfigChannel) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_DisableInterrupt) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_EnableInterrupt) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_GetCHRegs) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_GetFlags) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_Handler) refers to dma.o(i.DMA_ClearFlags) for DMA_ClearFlags + dma.o(i.DMA_Handler) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_Init) refers to mxc_sys.o(i.SYS_DMA_Init) for SYS_DMA_Init + dma.o(i.DMA_Init) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + dma.o(i.DMA_Init) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + dma.o(i.DMA_Init) refers to dma.o(.data) for dma_initialized + dma.o(i.DMA_Init) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_ReleaseChannel) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + dma.o(i.DMA_ReleaseChannel) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + dma.o(i.DMA_ReleaseChannel) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_ReleaseChannel) refers to dma.o(.data) for dma_lock + dma.o(i.DMA_SetCallback) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_SetReload) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_SetSrcDstCnt) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_Shutdown) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + dma.o(i.DMA_Shutdown) refers to mxc_sys.o(i.SYS_DMA_Shutdown) for SYS_DMA_Shutdown + dma.o(i.DMA_Shutdown) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + dma.o(i.DMA_Shutdown) refers to dma.o(.data) for dma_initialized + dma.o(i.DMA_Shutdown) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_Start) refers to dma.o(i.DMA_ClearFlags) for DMA_ClearFlags + dma.o(i.DMA_Start) refers to dma.o(.bss) for dma_resource + dma.o(i.DMA_Stop) refers to dma.o(.bss) for dma_resource + flc.o(.flashprog) refers to mxc_sys.o(i.SYS_FLC_Init) for SYS_FLC_Init + flc.o(.flashprog) refers to mxc_sys.o(i.SYS_Flash_Operation) for SYS_Flash_Operation + flc.o(.flashprog) refers to memcpya.o(.text) for __aeabi_memcpy + flc.o(.flashprog) refers to system_max32660.o(.data) for SystemCoreClock + gpio.o(i.GPIO_Handler) refers to gpio.o(.bss) for callback + gpio.o(i.GPIO_Init) refers to gpio.o(.bss) for callback + gpio.o(i.GPIO_RegisterCallback) refers to gpio.o(.bss) for callback + i2c.o(i.I2C_AbortAsync) refers to i2c.o(i.I2C_Recover) for I2C_Recover + i2c.o(i.I2C_AbortAsync) refers to i2c.o(i.I2C_FreeCallback) for I2C_FreeCallback + i2c.o(i.I2C_AbortAsync) refers to i2c.o(.bss) for states + i2c.o(i.I2C_FreeCallback) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + i2c.o(i.I2C_FreeCallback) refers to i2c.o(.bss) for states + i2c.o(i.I2C_Handler) refers to i2c.o(i.I2C_MasterHandler) for I2C_MasterHandler + i2c.o(i.I2C_Handler) refers to i2c.o(i.I2C_SlaveHandler) for I2C_SlaveHandler + i2c.o(i.I2C_Init) refers to mxc_sys.o(i.SYS_I2C_Init) for SYS_I2C_Init + i2c.o(i.I2C_Init) refers to mxc_delay.o(i.mxc_delay) for mxc_delay + i2c.o(i.I2C_Init) refers to i2c.o(i.I2C_Setspeed) for I2C_Setspeed + i2c.o(i.I2C_Init) refers to i2c.o(.bss) for states + i2c.o(i.I2C_MasterAsync) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + i2c.o(i.I2C_MasterAsync) refers to i2c.o(i.I2C_MasterHandler) for I2C_MasterHandler + i2c.o(i.I2C_MasterAsync) refers to i2c.o(.bss) for states + i2c.o(i.I2C_MasterHandler) refers to i2c.o(i.I2C_Recover) for I2C_Recover + i2c.o(i.I2C_MasterHandler) refers to i2c.o(i.I2C_FreeCallback) for I2C_FreeCallback + i2c.o(i.I2C_MasterHandler) refers to i2c.o(.bss) for states + i2c.o(i.I2C_SetTimeout) refers to system_max32660.o(.data) for SystemCoreClock + i2c.o(i.I2C_Setspeed) refers to mxc_sys.o(i.SYS_I2C_GetFreq) for SYS_I2C_GetFreq + i2c.o(i.I2C_Shutdown) refers to i2c.o(i.I2C_Recover) for I2C_Recover + i2c.o(i.I2C_Shutdown) refers to i2c.o(i.I2C_FreeCallback) for I2C_FreeCallback + i2c.o(i.I2C_Shutdown) refers to mxc_sys.o(i.SYS_I2C_Shutdown) for SYS_I2C_Shutdown + i2c.o(i.I2C_Shutdown) refers to i2c.o(.bss) for states + i2c.o(i.I2C_Slave) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + i2c.o(i.I2C_Slave) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + i2c.o(i.I2C_Slave) refers to i2c.o(.bss) for states + i2c.o(i.I2C_SlaveAsync) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + i2c.o(i.I2C_SlaveAsync) refers to i2c.o(.bss) for states + i2c.o(i.I2C_SlaveHandler) refers to i2c.o(i.I2C_Recover) for I2C_Recover + i2c.o(i.I2C_SlaveHandler) refers to i2c.o(i.I2C_FreeCallback) for I2C_FreeCallback + i2c.o(i.I2C_SlaveHandler) refers to i2c.o(.bss) for states + i2s.o(i.I2S_DMA_ClearFlags) refers to dma.o(i.DMA_ClearFlags) for DMA_ClearFlags + i2s.o(i.I2S_DMA_ClearFlags) refers to i2s.o(.data) for dma_channel + i2s.o(i.I2S_DMA_SetAddrCnt) refers to dma.o(i.DMA_SetSrcDstCnt) for DMA_SetSrcDstCnt + i2s.o(i.I2S_DMA_SetAddrCnt) refers to i2s.o(.data) for dma_channel + i2s.o(i.I2S_DMA_SetReload) refers to dma.o(i.DMA_SetReload) for DMA_SetReload + i2s.o(i.I2S_DMA_SetReload) refers to i2s.o(.data) for dma_channel + i2s.o(i.I2S_Init) refers to mxc_sys.o(i.SYS_I2S_Init) for SYS_I2S_Init + i2s.o(i.I2S_Init) refers to mxc_sys.o(i.SYS_I2S_GetFreq) for SYS_I2S_GetFreq + i2s.o(i.I2S_Init) refers to dma.o(i.DMA_Init) for DMA_Init + i2s.o(i.I2S_Init) refers to dma.o(i.DMA_AcquireChannel) for DMA_AcquireChannel + i2s.o(i.I2S_Init) refers to dma.o(i.DMA_ConfigChannel) for DMA_ConfigChannel + i2s.o(i.I2S_Init) refers to dma.o(i.DMA_SetCallback) for DMA_SetCallback + i2s.o(i.I2S_Init) refers to dma.o(i.DMA_EnableInterrupt) for DMA_EnableInterrupt + i2s.o(i.I2S_Init) refers to i2s.o(i.I2S_DMA_SetAddrCnt) for I2S_DMA_SetAddrCnt + i2s.o(i.I2S_Init) refers to i2s.o(i.I2S_DMA_SetReload) for I2S_DMA_SetReload + i2s.o(i.I2S_Init) refers to i2s.o(i.I2S_Start) for I2S_Start + i2s.o(i.I2S_Init) refers to i2s.o(.data) for dma_channel + i2s.o(i.I2S_Shutdown) refers to mxc_sys.o(i.SYS_I2S_Shutdown) for SYS_I2S_Shutdown + i2s.o(i.I2S_Shutdown) refers to dma.o(i.DMA_ReleaseChannel) for DMA_ReleaseChannel + i2s.o(i.I2S_Shutdown) refers to i2s.o(.data) for dma_channel + i2s.o(i.I2S_Start) refers to dma.o(i.DMA_Start) for DMA_Start + i2s.o(i.I2S_Start) refers to i2s.o(.data) for dma_channel + i2s.o(i.I2S_Stop) refers to dma.o(i.DMA_Stop) for DMA_Stop + i2s.o(i.I2S_Stop) refers to i2s.o(.data) for dma_channel + icc.o(i.ICC_Enable) refers to icc.o(i.ICC_Ready) for ICC_Ready + icc.o(i.ICC_Flush) refers to icc.o(i.ICC_Disable) for ICC_Disable + icc.o(i.ICC_Flush) refers to icc.o(i.ICC_Enable) for ICC_Enable + lp.o(i.LP_SetOperatingVoltage) refers to system_max32660.o(i.SystemCoreClockUpdate) for SystemCoreClockUpdate + mxc_delay.o(i.SysTick_Handler) refers to mxc_delay.o(i.mxc_delay_handler) for mxc_delay_handler + mxc_delay.o(i.mxc_delay) refers to mxc_delay.o(i.mxc_delay_init) for mxc_delay_init + mxc_delay.o(i.mxc_delay) refers to mxc_delay.o(i.mxc_delay_stop) for mxc_delay_stop + mxc_delay.o(i.mxc_delay) refers to mxc_delay.o(.data) for compare_value + mxc_delay.o(i.mxc_delay_check) refers to mxc_delay.o(i.mxc_delay_stop) for mxc_delay_stop + mxc_delay.o(i.mxc_delay_check) refers to mxc_delay.o(.data) for compare_value + mxc_delay.o(i.mxc_delay_handler) refers to mxc_delay.o(i.mxc_delay_stop) for mxc_delay_stop + mxc_delay.o(i.mxc_delay_handler) refers to mxc_delay.o(.data) for compare_value + mxc_delay.o(i.mxc_delay_init) refers to uldiv.o(.text) for __aeabi_uldivmod + mxc_delay.o(i.mxc_delay_init) refers to mxc_delay.o(.data) for ctrl_save + mxc_delay.o(i.mxc_delay_init) refers to system_max32660.o(.data) for SystemCoreClock + mxc_delay.o(i.mxc_delay_start) refers to mxc_delay.o(i.mxc_delay_init) for mxc_delay_init + mxc_delay.o(i.mxc_delay_start) refers to mxc_delay.o(.data) for compare_value + mxc_delay.o(i.mxc_delay_stop) refers to mxc_delay.o(.data) for ctrl_save + mxc_sys.o(i.SYS_Clock_Select) refers to mxc_sys.o(i.SYS_Clock_Timeout) for SYS_Clock_Timeout + mxc_sys.o(i.SYS_Clock_Select) refers to system_max32660.o(i.SystemCoreClockUpdate) for SystemCoreClockUpdate + mxc_sys.o(i.SYS_Clock_Timeout) refers to mxc_delay.o(i.mxc_delay_start) for mxc_delay_start + mxc_sys.o(i.SYS_Clock_Timeout) refers to mxc_delay.o(i.mxc_delay_stop) for mxc_delay_stop + mxc_sys.o(i.SYS_Clock_Timeout) refers to mxc_delay.o(i.mxc_delay_check) for mxc_delay_check + mxc_sys.o(i.SYS_DMA_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_DMA_Shutdown) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + mxc_sys.o(i.SYS_I2C_GetFreq) refers to system_max32660.o(.data) for SystemCoreClock + mxc_sys.o(i.SYS_I2C_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_I2C_Init) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_I2C_Init) refers to mxc_pins.o(.constdata) for gpio_cfg_i2c0 + mxc_sys.o(i.SYS_I2C_Shutdown) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + mxc_sys.o(i.SYS_I2C_Shutdown) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_I2C_Shutdown) refers to mxc_pins.o(.constdata) for gpio_cfg_i2c0 + mxc_sys.o(i.SYS_I2S_GetFreq) refers to system_max32660.o(.data) for SystemCoreClock + mxc_sys.o(i.SYS_I2S_Init) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_I2S_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_I2S_Init) refers to mxc_pins.o(.constdata) for gpio_cfg_i2s1a + mxc_sys.o(i.SYS_I2S_Shutdown) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + mxc_sys.o(i.SYS_RTC_SqwavInit) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_RTC_SqwavInit) refers to mxc_pins.o(.constdata) for gpio_cfg_rtc + mxc_sys.o(i.SYS_SPI17Y_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_SPI17Y_Init) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_SPI17Y_Init) refers to mxc_pins.o(.constdata) for gpio_cfg_spi17y + mxc_sys.o(i.SYS_SPI17Y_Shutdown) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + mxc_sys.o(i.SYS_SPIMSS_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_SPIMSS_Init) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_SPIMSS_Init) refers to mxc_pins.o(.constdata) for gpio_cfg_spimss1a + mxc_sys.o(i.SYS_SPIMSS_Shutdown) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + mxc_sys.o(i.SYS_SysTick_Config) refers to mxc_sys.o(i.NVIC_SetPriority) for NVIC_SetPriority + mxc_sys.o(i.SYS_SysTick_Config) refers to rtc.o(i.RTC_Init) for RTC_Init + mxc_sys.o(i.SYS_SysTick_Config) refers to rtc.o(i.RTC_EnableRTCE) for RTC_EnableRTCE + mxc_sys.o(i.SYS_SysTick_DelayUs) refers to mxc_sys.o(i.SYS_SysTick_GetFreq) for SYS_SysTick_GetFreq + mxc_sys.o(i.SYS_SysTick_DelayUs) refers to uldiv.o(.text) for __aeabi_uldivmod + mxc_sys.o(i.SYS_SysTick_DelayUs) refers to mxc_sys.o(i.SYS_SysTick_Delay) for SYS_SysTick_Delay + mxc_sys.o(i.SYS_SysTick_GetFreq) refers to system_max32660.o(.data) for SystemCoreClock + mxc_sys.o(i.SYS_TMR_GetFreq) refers to system_max32660.o(.data) for SystemCoreClock + mxc_sys.o(i.SYS_TMR_Init) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_TMR_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_TMR_Init) refers to mxc_pins.o(.constdata) for gpio_cfg_tmr0 + mxc_sys.o(i.SYS_UART_Init) refers to mxc_sys.o(i.SYS_ClockEnable) for SYS_ClockEnable + mxc_sys.o(i.SYS_UART_Init) refers to gpio.o(i.GPIO_Config) for GPIO_Config + mxc_sys.o(i.SYS_UART_Init) refers to mxc_pins.o(.constdata) for gpio_cfg_uart0a + mxc_sys.o(i.SYS_UART_Shutdown) refers to mxc_sys.o(i.SYS_ClockDisable) for SYS_ClockDisable + nvic_table.o(i.NVIC_SetRAM) refers to memcpya.o(.text) for __aeabi_memcpy4 + nvic_table.o(i.NVIC_SetRAM) refers to startup_max32660.o(RESET) for __isr_vector + nvic_table.o(i.NVIC_SetRAM) refers to nvic_table.o(.bss) for ramVectorTable + nvic_table.o(i.NVIC_SetVector) refers to nvic_table.o(i.NVIC_SetRAM) for NVIC_SetRAM + nvic_table.o(i.NVIC_SetVector) refers to nvic_table.o(.bss) for ramVectorTable + rtc.o(i.RTC_CheckBusy) refers to mxc_delay.o(i.mxc_delay_start) for mxc_delay_start + rtc.o(i.RTC_CheckBusy) refers to mxc_delay.o(i.mxc_delay_check) for mxc_delay_check + rtc.o(i.RTC_CheckBusy) refers to mxc_delay.o(i.mxc_delay_stop) for mxc_delay_stop + rtc.o(i.RTC_ClearFlags) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_DisableRTCE) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_DisableSubsecondInterrupt) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_DisableTimeofdayInterrupt) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_EnableRTCE) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_EnableSubsecondInterrupt) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_EnableTimeofdayInterrupt) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_GetTime) refers to rtc.o(i.RTC_GetSecond) for RTC_GetSecond + rtc.o(i.RTC_GetTime) refers to rtc.o(i.RTC_GetSubSecond) for RTC_GetSubSecond + rtc.o(i.RTC_Init) refers to mxc_sys.o(i.SYS_ClockEnable_X32K) for SYS_ClockEnable_X32K + rtc.o(i.RTC_Init) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_SetSubsecondAlarm) refers to rtc.o(i.RTC_DisableSubsecondInterrupt) for RTC_DisableSubsecondInterrupt + rtc.o(i.RTC_SetSubsecondAlarm) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_SetSubsecondAlarm) refers to rtc.o(i.RTC_EnableSubsecondInterrupt) for RTC_EnableSubsecondInterrupt + rtc.o(i.RTC_SetTimeofdayAlarm) refers to rtc.o(i.RTC_DisableTimeofdayInterrupt) for RTC_DisableTimeofdayInterrupt + rtc.o(i.RTC_SetTimeofdayAlarm) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_SetTimeofdayAlarm) refers to rtc.o(i.RTC_EnableTimeofdayInterrupt) for RTC_EnableTimeofdayInterrupt + rtc.o(i.RTC_SquareWave) refers to mxc_sys.o(i.SYS_RTC_SqwavInit) for SYS_RTC_SqwavInit + rtc.o(i.RTC_SquareWave) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + rtc.o(i.RTC_Trim) refers to rtc.o(i.RTC_CheckBusy) for RTC_CheckBusy + spi.o(i.SPI_AbortAsync) refers to spi17y.o(i.SPI17Y_AbortAsync) for SPI17Y_AbortAsync + spi.o(i.SPI_AbortAsync) refers to spimss.o(i.SPIMSS_AbortAsync) for SPIMSS_AbortAsync + spi.o(i.SPI_Clear_fifo) refers to spi17y.o(i.SPI17Y_Clear_fifo) for SPI17Y_Clear_fifo + spi.o(i.SPI_Disable) refers to spi17y.o(i.SPI17Y_Disable) for SPI17Y_Disable + spi.o(i.SPI_Enable) refers to spi17y.o(i.SPI17Y_Enable) for SPI17Y_Enable + spi.o(i.SPI_Handler) refers to spi17y.o(i.SPI17Y_Handler) for SPI17Y_Handler + spi.o(i.SPI_Handler) refers to spimss.o(i.SPIMSS_Handler) for SPIMSS_Handler + spi.o(i.SPI_Init) refers to spi17y.o(i.SPI17Y_Init) for SPI17Y_Init + spi.o(i.SPI_Init) refers to spimss.o(i.SPIMSS_Init) for SPIMSS_Init + spi.o(i.SPI_MasterTrans) refers to spi17y.o(i.SPI17Y_MasterTrans) for SPI17Y_MasterTrans + spi.o(i.SPI_MasterTrans) refers to spimss.o(i.SPIMSS_MasterTrans) for SPIMSS_MasterTrans + spi.o(i.SPI_MasterTransAsync) refers to spi17y.o(i.SPI17Y_MasterTransAsync) for SPI17Y_MasterTransAsync + spi.o(i.SPI_MasterTransAsync) refers to spimss.o(i.SPIMSS_MasterTransAsync) for SPIMSS_MasterTransAsync + spi.o(i.SPI_Shutdown) refers to spi17y.o(i.SPI17Y_Shutdown) for SPI17Y_Shutdown + spi.o(i.SPI_Shutdown) refers to spimss.o(i.SPIMSS_Shutdown) for SPIMSS_Shutdown + spi.o(i.SPI_SlaveTrans) refers to spi17y.o(i.SPI17Y_SlaveTrans) for SPI17Y_SlaveTrans + spi.o(i.SPI_SlaveTrans) refers to spimss.o(i.SPIMSS_SlaveTrans) for SPIMSS_SlaveTrans + spi.o(i.SPI_SlaveTransAsync) refers to spi17y.o(i.SPI17Y_SlaveTransAsync) for SPI17Y_SlaveTransAsync + spi.o(i.SPI_SlaveTransAsync) refers to spimss.o(i.SPIMSS_SlaveTransAsync) for SPIMSS_SlaveTransAsync + spi17y.o(i.SPI17Y_AbortAsync) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + spi17y.o(i.SPI17Y_AbortAsync) refers to spi17y.o(.bss) for states + spi17y.o(i.SPI17Y_Handler) refers to spi17y.o(i.SPI17Y_MasterTransHandler) for SPI17Y_MasterTransHandler + spi17y.o(i.SPI17Y_Handler) refers to spi17y.o(i.SPI17Y_SlaveTransHandler) for SPI17Y_SlaveTransHandler + spi17y.o(i.SPI17Y_Handler) refers to spi17y.o(.bss) for states + spi17y.o(i.SPI17Y_Init) refers to mxc_sys.o(i.SYS_SPI17Y_Init) for SYS_SPI17Y_Init + spi17y.o(i.SPI17Y_Init) refers to spi17y.o(.bss) for states + spi17y.o(i.SPI17Y_Init) refers to system_max32660.o(.data) for SystemCoreClock + spi17y.o(i.SPI17Y_MasterTrans) refers to spi17y.o(i.SPI17Y_TransSetup) for SPI17Y_TransSetup + spi17y.o(i.SPI17Y_MasterTrans) refers to spi17y.o(i.SPI17Y_MasterTransHandler) for SPI17Y_MasterTransHandler + spi17y.o(i.SPI17Y_MasterTransAsync) refers to spi17y.o(i.SPI17Y_TransSetup) for SPI17Y_TransSetup + spi17y.o(i.SPI17Y_MasterTransAsync) refers to spi17y.o(i.SPI17Y_MasterTransHandler) for SPI17Y_MasterTransHandler + spi17y.o(i.SPI17Y_MasterTransHandler) refers to spi17y.o(i.SPI17Y_TransHandler) for SPI17Y_TransHandler + spi17y.o(i.SPI17Y_MasterTransHandler) refers to spi17y.o(.bss) for states + spi17y.o(i.SPI17Y_Shutdown) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + spi17y.o(i.SPI17Y_Shutdown) refers to mxc_sys.o(i.SYS_SPI17Y_Shutdown) for SYS_SPI17Y_Shutdown + spi17y.o(i.SPI17Y_Shutdown) refers to spi17y.o(.bss) for states + spi17y.o(i.SPI17Y_SlaveTrans) refers to spi17y.o(i.SPI17Y_TransSetup) for SPI17Y_TransSetup + spi17y.o(i.SPI17Y_SlaveTrans) refers to spi17y.o(i.SPI17Y_SlaveTransHandler) for SPI17Y_SlaveTransHandler + spi17y.o(i.SPI17Y_SlaveTransAsync) refers to spi17y.o(i.SPI17Y_TransSetup) for SPI17Y_TransSetup + spi17y.o(i.SPI17Y_SlaveTransAsync) refers to spi17y.o(i.SPI17Y_SlaveTransHandler) for SPI17Y_SlaveTransHandler + spi17y.o(i.SPI17Y_SlaveTransHandler) refers to spi17y.o(i.SPI17Y_TransHandler) for SPI17Y_TransHandler + spi17y.o(i.SPI17Y_TransHandler) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + spi17y.o(i.SPI17Y_TransHandler) refers to spi17y.o(.bss) for states + spi17y.o(i.SPI17Y_TransSetup) refers to memseta.o(.text) for __aeabi_memclr + spi17y.o(i.SPI17Y_TransSetup) refers to spi17y.o(.bss) for states + spimss.o(i.SPIMSS_AbortAsync) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + spimss.o(i.SPIMSS_AbortAsync) refers to spimss.o(.data) for states + spimss.o(i.SPIMSS_Handler) refers to spimss.o(i.SPIMSS_MasterTransHandler) for SPIMSS_MasterTransHandler + spimss.o(i.SPIMSS_Handler) refers to spimss.o(i.SPIMSS_SlaveTransHandler) for SPIMSS_SlaveTransHandler + spimss.o(i.SPIMSS_Handler) refers to spimss.o(.data) for states + spimss.o(i.SPIMSS_Init) refers to mxc_sys.o(i.SYS_SPIMSS_Init) for SYS_SPIMSS_Init + spimss.o(i.SPIMSS_Init) refers to spimss.o(.data) for states + spimss.o(i.SPIMSS_Init) refers to system_max32660.o(.data) for SystemCoreClock + spimss.o(i.SPIMSS_MasterTrans) refers to spimss.o(i.SPIMSS_TransSetup) for SPIMSS_TransSetup + spimss.o(i.SPIMSS_MasterTrans) refers to spimss.o(i.SPIMSS_MasterTransHandler) for SPIMSS_MasterTransHandler + spimss.o(i.SPIMSS_MasterTransAsync) refers to spimss.o(i.SPIMSS_TransSetup) for SPIMSS_TransSetup + spimss.o(i.SPIMSS_MasterTransAsync) refers to spimss.o(i.SPIMSS_MasterTransHandler) for SPIMSS_MasterTransHandler + spimss.o(i.SPIMSS_MasterTransHandler) refers to spimss.o(i.SPIMSS_TransHandler) for SPIMSS_TransHandler + spimss.o(i.SPIMSS_Shutdown) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + spimss.o(i.SPIMSS_Shutdown) refers to mxc_sys.o(i.SYS_SPIMSS_Shutdown) for SYS_SPIMSS_Shutdown + spimss.o(i.SPIMSS_Shutdown) refers to spimss.o(.data) for states + spimss.o(i.SPIMSS_SlaveTrans) refers to spimss.o(i.SPIMSS_TransSetup) for SPIMSS_TransSetup + spimss.o(i.SPIMSS_SlaveTrans) refers to spimss.o(i.SPIMSS_SlaveTransHandler) for SPIMSS_SlaveTransHandler + spimss.o(i.SPIMSS_SlaveTransAsync) refers to spimss.o(i.SPIMSS_TransSetup) for SPIMSS_TransSetup + spimss.o(i.SPIMSS_SlaveTransAsync) refers to spimss.o(i.SPIMSS_SlaveTransHandler) for SPIMSS_SlaveTransHandler + spimss.o(i.SPIMSS_SlaveTransHandler) refers to spimss.o(i.SPIMSS_TransHandler) for SPIMSS_TransHandler + spimss.o(i.SPIMSS_TransHandler) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + spimss.o(i.SPIMSS_TransHandler) refers to spimss.o(.data) for states + spimss.o(i.SPIMSS_TransSetup) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + spimss.o(i.SPIMSS_TransSetup) refers to spimss.o(.data) for states + tmr.o(i.TMR_GetTicks) refers to mxc_sys.o(i.SYS_TMR_GetFreq) for SYS_TMR_GetFreq + tmr.o(i.TMR_GetTicks) refers to uldiv.o(.text) for __aeabi_uldivmod + tmr.o(i.TMR_GetTime) refers to mxc_sys.o(i.SYS_TMR_GetFreq) for SYS_TMR_GetFreq + tmr.o(i.TMR_GetTime) refers to uldiv.o(.text) for __aeabi_uldivmod + tmr.o(i.TMR_Init) refers to mxc_sys.o(i.SYS_TMR_Init) for SYS_TMR_Init + tmr.o(i.TMR_Shutdown) refers to mxc_sys.o(i.SYS_TMR_Shutdown) for SYS_TMR_Shutdown + tmr_utils.o(i.TMR_Delay) refers to tmr_utils.o(i.TMR_TO_Start) for TMR_TO_Start + tmr_utils.o(i.TMR_Delay) refers to tmr_utils.o(i.TMR_TO_Check) for TMR_TO_Check + tmr_utils.o(i.TMR_SW_Start) refers to tmr_utils.o(i.TMR_TO_Start) for TMR_TO_Start + tmr_utils.o(i.TMR_SW_Stop) refers to tmr_utils.o(i.TMR_TO_Elapsed) for TMR_TO_Elapsed + tmr_utils.o(i.TMR_SW_Stop) refers to tmr_utils.o(i.TMR_TO_Stop) for TMR_TO_Stop + tmr_utils.o(i.TMR_TO_Check) refers to tmr.o(i.TMR_IntStatus) for TMR_IntStatus + tmr_utils.o(i.TMR_TO_Clear) refers to tmr.o(i.TMR_IntClear) for TMR_IntClear + tmr_utils.o(i.TMR_TO_Clear) refers to tmr.o(i.TMR_SetCount) for TMR_SetCount + tmr_utils.o(i.TMR_TO_Elapsed) refers to tmr.o(i.TMR_GetCount) for TMR_GetCount + tmr_utils.o(i.TMR_TO_Elapsed) refers to tmr.o(i.TMR_GetTime) for TMR_GetTime + tmr_utils.o(i.TMR_TO_Remaining) refers to tmr.o(i.TMR_GetCompare) for TMR_GetCompare + tmr_utils.o(i.TMR_TO_Remaining) refers to tmr.o(i.TMR_GetCount) for TMR_GetCount + tmr_utils.o(i.TMR_TO_Remaining) refers to tmr.o(i.TMR_GetTime) for TMR_GetTime + tmr_utils.o(i.TMR_TO_Start) refers to uldiv.o(.text) for __aeabi_uldivmod + tmr_utils.o(i.TMR_TO_Start) refers to tmr.o(i.TMR_Init) for TMR_Init + tmr_utils.o(i.TMR_TO_Start) refers to tmr.o(i.TMR_Disable) for TMR_Disable + tmr_utils.o(i.TMR_TO_Start) refers to tmr.o(i.TMR_Config) for TMR_Config + tmr_utils.o(i.TMR_TO_Start) refers to tmr.o(i.TMR_IntClear) for TMR_IntClear + tmr_utils.o(i.TMR_TO_Start) refers to tmr.o(i.TMR_Enable) for TMR_Enable + tmr_utils.o(i.TMR_TO_Start) refers to system_max32660.o(.data) for SystemCoreClock + tmr_utils.o(i.TMR_TO_Stop) refers to tmr.o(i.TMR_Disable) for TMR_Disable + tmr_utils.o(i.TMR_TO_Stop) refers to tmr.o(i.TMR_SetCount) for TMR_SetCount + uart.o(i.UART_AbortAsync) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + uart.o(i.UART_AbortAsync) refers to uart.o(.data) for rx_states + uart.o(i.UART_Busy) refers to uart.o(.data) for tx_states + uart.o(i.UART_Handler) refers to uart.o(i.UART_ReadHandler) for UART_ReadHandler + uart.o(i.UART_Handler) refers to uart.o(i.UART_WriteHandler) for UART_WriteHandler + uart.o(i.UART_Handler) refers to uart.o(.data) for rx_states + uart.o(i.UART_Init) refers to mxc_sys.o(i.SYS_UART_Init) for SYS_UART_Init + uart.o(i.UART_Init) refers to uart.o(.data) for rx_states + uart.o(i.UART_Init) refers to system_max32660.o(.data) for SystemCoreClock + uart.o(i.UART_PrepForSleep) refers to uart.o(i.UART_Busy) for UART_Busy + uart.o(i.UART_Read) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + uart.o(i.UART_Read) refers to uart.o(i.uart_error_check) for uart_error_check + uart.o(i.UART_Read) refers to uart.o(i.uart_error_clear) for uart_error_clear + uart.o(i.UART_Read) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + uart.o(i.UART_Read) refers to uart.o(.data) for rx_states + uart.o(i.UART_ReadAsync) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + uart.o(i.UART_ReadAsync) refers to uart.o(i.UART_ReadHandler) for UART_ReadHandler + uart.o(i.UART_ReadAsync) refers to uart.o(.data) for rx_states + uart.o(i.UART_ReadHandler) refers to uart.o(i.UART_NumReadAvail) for UART_NumReadAvail + uart.o(i.UART_ReadHandler) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + uart.o(i.UART_ReadHandler) refers to uart.o(.data) for rx_states + uart.o(i.UART_Shutdown) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + uart.o(i.UART_Shutdown) refers to mxc_sys.o(i.SYS_UART_Shutdown) for SYS_UART_Shutdown + uart.o(i.UART_Shutdown) refers to uart.o(.data) for rx_states + uart.o(i.UART_Write) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + uart.o(i.UART_Write) refers to uart.o(i.uart_error_clear) for uart_error_clear + uart.o(i.UART_Write) refers to uart.o(i.UART_WriteByte) for UART_WriteByte + uart.o(i.UART_Write) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + uart.o(i.UART_Write) refers to uart.o(.data) for tx_states + uart.o(i.UART_WriteAsync) refers to mxc_lock.o(i.mxc_get_lock) for mxc_get_lock + uart.o(i.UART_WriteAsync) refers to uart.o(i.UART_WriteHandler) for UART_WriteHandler + uart.o(i.UART_WriteAsync) refers to uart.o(.data) for tx_states + uart.o(i.UART_WriteHandler) refers to uart.o(i.UART_NumWriteAvail) for UART_NumWriteAvail + uart.o(i.UART_WriteHandler) refers to mxc_lock.o(i.mxc_free_lock) for mxc_free_lock + uart.o(i.UART_WriteHandler) refers to uart.o(.data) for tx_states + uart.o(i.uart_error_clear) refers to uart.o(i.UART_ClearFlags) for UART_ClearFlags + wdt.o(i.WDT_Init) refers to mxc_sys.o(i.SYS_WDT_Init) for SYS_WDT_Init + startup_max32660.o(RESET) refers to startup_max32660.o(STACK) for __initial_sp + startup_max32660.o(RESET) refers to startup_max32660.o(.text) for Reset_Handler + startup_max32660.o(RESET) refers to mxc_delay.o(i.SysTick_Handler) for SysTick_Handler + startup_max32660.o(.text) refers to system_max32660.o(i.PreInit) for PreInit + startup_max32660.o(.text) refers to entry.o(.ARM.Collect$$$$00000000) for __main + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry10a.o(.ARM.Collect$$$$0000000F) for __rt_final_cpp + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry11a.o(.ARM.Collect$$$$00000011) for __rt_final_exit + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry12b.o(.ARM.Collect$$$$0000000E) for __rt_lib_shutdown_fini + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry7b.o(.ARM.Collect$$$$00000008) for _main_clock + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry8b.o(.ARM.Collect$$$$0000000A) for _main_cpp_init + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry9a.o(.ARM.Collect$$$$0000000B) for _main_init + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry5.o(.ARM.Collect$$$$00000004) for _main_scatterload + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry2.o(.ARM.Collect$$$$00000001) for _main_stk + entry5.o(.ARM.Collect$$$$00000004) refers to init.o(.text) for __scatterload + uldiv.o(.text) refers to llushr.o(.text) for __aeabi_llsr + uldiv.o(.text) refers to llshl.o(.text) for __aeabi_llsl + entry2.o(.ARM.Collect$$$$00000001) refers to entry2.o(.ARM.Collect$$$$00002712) for __lit__00000000 + entry2.o(.ARM.Collect$$$$00002712) refers to startup_max32660.o(STACK) for __initial_sp + entry2.o(__vectab_stack_and_reset_area) refers to startup_max32660.o(STACK) for __initial_sp + entry2.o(__vectab_stack_and_reset_area) refers to entry.o(.ARM.Collect$$$$00000000) for __main + entry9a.o(.ARM.Collect$$$$0000000B) refers to main.o(i.main) for main + entry9b.o(.ARM.Collect$$$$0000000C) refers to main.o(i.main) for main + init.o(.text) refers to system_max32660.o(i.$Sub$$__main_after_scatterload) for __main_after_scatterload + + +============================================================================== + +Removing Unused input sections from the image. + + Removing system_max32660.o(.rev16_text), (4 bytes). + Removing system_max32660.o(.revsh_text), (4 bytes). + Removing dma.o(.rev16_text), (4 bytes). + Removing dma.o(.revsh_text), (4 bytes). + Removing dma.o(i.DMA_AcquireChannel), (128 bytes). + Removing dma.o(i.DMA_ClearFlags), (64 bytes). + Removing dma.o(i.DMA_ConfigChannel), (216 bytes). + Removing dma.o(i.DMA_DisableInterrupt), (56 bytes). + Removing dma.o(i.DMA_EnableInterrupt), (56 bytes). + Removing dma.o(i.DMA_GetCHRegs), (44 bytes). + Removing dma.o(i.DMA_GetFlags), (56 bytes). + Removing dma.o(i.DMA_Handler), (48 bytes). + Removing dma.o(i.DMA_Init), (200 bytes). + Removing dma.o(i.DMA_ReleaseChannel), (116 bytes). + Removing dma.o(i.DMA_SetCallback), (48 bytes). + Removing dma.o(i.DMA_SetReload), (116 bytes). + Removing dma.o(i.DMA_SetSrcDstCnt), (76 bytes). + Removing dma.o(i.DMA_Shutdown), (152 bytes). + Removing dma.o(i.DMA_Start), (128 bytes). + Removing dma.o(i.DMA_Stop), (68 bytes). + Removing dma.o(.bss), (80 bytes). + Removing dma.o(.data), (8 bytes). + Removing flc.o(.rev16_text), (4 bytes). + Removing flc.o(.revsh_text), (4 bytes). + Removing flc.o(.flashprog), (1256 bytes). + Removing flc.o(i.FLC_ClearFlags), (32 bytes). + Removing flc.o(i.FLC_DisableInt), (44 bytes). + Removing flc.o(i.FLC_EnableInt), (44 bytes). + Removing flc.o(i.FLC_GetFlags), (16 bytes). + Removing flc.o(i.FLC_LockInfoBlock), (20 bytes). + Removing flc.o(i.FLC_UnlockInfoBlock), (36 bytes). + Removing gpio.o(.rev16_text), (4 bytes). + Removing gpio.o(.revsh_text), (4 bytes). + Removing gpio.o(i.GPIO_Config), (248 bytes). + Removing gpio.o(i.GPIO_Handler), (100 bytes). + Removing gpio.o(i.GPIO_InGet), (28 bytes). + Removing gpio.o(i.GPIO_Init), (48 bytes). + Removing gpio.o(i.GPIO_IntClr), (24 bytes). + Removing gpio.o(i.GPIO_IntConfig), (128 bytes). + Removing gpio.o(i.GPIO_IntDisable), (24 bytes). + Removing gpio.o(i.GPIO_IntEnable), (24 bytes). + Removing gpio.o(i.GPIO_IntStatus), (28 bytes). + Removing gpio.o(i.GPIO_OutClr), (24 bytes). + Removing gpio.o(i.GPIO_OutGet), (28 bytes). + Removing gpio.o(i.GPIO_OutPut), (32 bytes). + Removing gpio.o(i.GPIO_OutSet), (24 bytes). + Removing gpio.o(i.GPIO_OutToggle), (28 bytes). + Removing gpio.o(i.GPIO_RegisterCallback), (68 bytes). + Removing gpio.o(.bss), (112 bytes). + Removing i2c.o(.rev16_text), (4 bytes). + Removing i2c.o(.revsh_text), (4 bytes). + Removing i2c.o(i.I2C_AbortAsync), (80 bytes). + Removing i2c.o(i.I2C_ClearTimeout), (6 bytes). + Removing i2c.o(i.I2C_DrainRX), (10 bytes). + Removing i2c.o(i.I2C_DrainTX), (10 bytes). + Removing i2c.o(i.I2C_FreeCallback), (40 bytes). + Removing i2c.o(i.I2C_Handler), (40 bytes). + Removing i2c.o(i.I2C_Init), (384 bytes). + Removing i2c.o(i.I2C_MasterAsync), (172 bytes). + Removing i2c.o(i.I2C_MasterHandler), (540 bytes). + Removing i2c.o(i.I2C_MasterRead), (228 bytes). + Removing i2c.o(i.I2C_MasterWrite), (220 bytes). + Removing i2c.o(i.I2C_Recover), (24 bytes). + Removing i2c.o(i.I2C_SetTimeout), (48 bytes). + Removing i2c.o(i.I2C_Setspeed), (248 bytes). + Removing i2c.o(i.I2C_Shutdown), (120 bytes). + Removing i2c.o(i.I2C_Slave), (628 bytes). + Removing i2c.o(i.I2C_SlaveAsync), (120 bytes). + Removing i2c.o(i.I2C_SlaveHandler), (540 bytes). + Removing i2c.o(.bss), (16 bytes). + Removing i2s.o(.rev16_text), (4 bytes). + Removing i2s.o(.revsh_text), (4 bytes). + Removing i2s.o(i.I2S_DMA_ClearFlags), (16 bytes). + Removing i2s.o(i.I2S_DMA_SetAddrCnt), (28 bytes). + Removing i2s.o(i.I2S_DMA_SetReload), (28 bytes). + Removing i2s.o(i.I2S_Init), (392 bytes). + Removing i2s.o(i.I2S_Mute), (20 bytes). + Removing i2s.o(i.I2S_Pause), (20 bytes). + Removing i2s.o(i.I2S_Shutdown), (40 bytes). + Removing i2s.o(i.I2S_Start), (44 bytes). + Removing i2s.o(i.I2S_Stop), (44 bytes). + Removing i2s.o(i.I2S_Unmute), (20 bytes). + Removing i2s.o(i.I2S_Unpause), (20 bytes). + Removing i2s.o(.data), (4 bytes). + Removing icc.o(.rev16_text), (4 bytes). + Removing icc.o(.revsh_text), (4 bytes). + Removing icc.o(i.ICC_Disable), (24 bytes). + Removing icc.o(i.ICC_Enable), (48 bytes). + Removing icc.o(i.ICC_Flush), (12 bytes). + Removing icc.o(i.ICC_ID), (56 bytes). + Removing icc.o(i.ICC_Ready), (16 bytes). + Removing lp.o(.rev16_text), (4 bytes). + Removing lp.o(.revsh_text), (4 bytes). + Removing lp.o(i.LP_ClearWakeStatus), (32 bytes). + Removing lp.o(i.LP_DisableBandGap), (20 bytes). + Removing lp.o(i.LP_DisableBlockDetect), (20 bytes). + Removing lp.o(i.LP_DisableFastWk), (20 bytes). + Removing lp.o(i.LP_DisableGPIOWakeup), (48 bytes). + Removing lp.o(i.LP_DisableICacheLightSleep), (18 bytes). + Removing lp.o(i.LP_DisableLDO), (20 bytes). + Removing lp.o(i.LP_DisableRTCAlarmWakeup), (18 bytes). + Removing lp.o(i.LP_DisableRamRetReg), (20 bytes). + Removing lp.o(i.LP_DisableSRAM0), (20 bytes). + Removing lp.o(i.LP_DisableSRAM1), (20 bytes). + Removing lp.o(i.LP_DisableSRAM2), (20 bytes). + Removing lp.o(i.LP_DisableSRAM3), (20 bytes). + Removing lp.o(i.LP_DisableSRamRet0), (20 bytes). + Removing lp.o(i.LP_DisableSRamRet1), (20 bytes). + Removing lp.o(i.LP_DisableSRamRet2), (20 bytes). + Removing lp.o(i.LP_DisableSRamRet3), (20 bytes). + Removing lp.o(i.LP_DisableSysRAM0LightSleep), (18 bytes). + Removing lp.o(i.LP_DisableSysRAM1LightSleep), (18 bytes). + Removing lp.o(i.LP_DisableSysRAM2LightSleep), (18 bytes). + Removing lp.o(i.LP_DisableSysRAM3LightSleep), (18 bytes). + Removing lp.o(i.LP_DisableVCorePORSignal), (20 bytes). + Removing lp.o(i.LP_DisableVCoreSVM), (20 bytes). + Removing lp.o(i.LP_DisableVDDIOPorMonitor), (20 bytes). + Removing lp.o(i.LP_EnableBandGap), (20 bytes). + Removing lp.o(i.LP_EnableBlockDetect), (20 bytes). + Removing lp.o(i.LP_EnableFastWk), (20 bytes). + Removing lp.o(i.LP_EnableGPIOWakeup), (44 bytes). + Removing lp.o(i.LP_EnableICacheLightSleep), (18 bytes). + Removing lp.o(i.LP_EnableLDO), (20 bytes). + Removing lp.o(i.LP_EnableRTCAlarmWakeup), (18 bytes). + Removing lp.o(i.LP_EnableRamRetReg), (20 bytes). + Removing lp.o(i.LP_EnableSRAM0), (20 bytes). + Removing lp.o(i.LP_EnableSRAM1), (20 bytes). + Removing lp.o(i.LP_EnableSRAM2), (20 bytes). + Removing lp.o(i.LP_EnableSRAM3), (20 bytes). + Removing lp.o(i.LP_EnableSRamRet0), (20 bytes). + Removing lp.o(i.LP_EnableSRamRet1), (20 bytes). + Removing lp.o(i.LP_EnableSRamRet2), (20 bytes). + Removing lp.o(i.LP_EnableSRamRet3), (20 bytes). + Removing lp.o(i.LP_EnableSysRAM0LightSleep), (18 bytes). + Removing lp.o(i.LP_EnableSysRAM1LightSleep), (18 bytes). + Removing lp.o(i.LP_EnableSysRAM2LightSleep), (18 bytes). + Removing lp.o(i.LP_EnableSysRAM3LightSleep), (18 bytes). + Removing lp.o(i.LP_EnableVCorePORSignal), (20 bytes). + Removing lp.o(i.LP_EnableVCoreSVM), (20 bytes). + Removing lp.o(i.LP_EnableVDDIOPorMonitoF), (20 bytes). + Removing lp.o(i.LP_EnterBackupMode), (30 bytes). + Removing lp.o(i.LP_EnterDeepSleepMode), (32 bytes). + Removing lp.o(i.LP_EnterShutdownMode), (30 bytes). + Removing lp.o(i.LP_EnterSleepMode), (20 bytes). + Removing lp.o(i.LP_SetOperatingVoltage), (240 bytes). + Removing mxc_assert.o(.rev16_text), (4 bytes). + Removing mxc_assert.o(.revsh_text), (4 bytes). + Removing mxc_assert.o(i.mxc_assert), (4 bytes). + Removing mxc_delay.o(.rev16_text), (4 bytes). + Removing mxc_delay.o(.revsh_text), (4 bytes). + Removing mxc_delay.o(i.mxc_delay), (128 bytes). + Removing mxc_lock.o(.rev16_text), (4 bytes). + Removing mxc_lock.o(.revsh_text), (4 bytes). + Removing mxc_lock.o(i.mxc_free_lock), (10 bytes). + Removing mxc_lock.o(i.mxc_get_lock), (32 bytes). + Removing mxc_pins.o(.rev16_text), (4 bytes). + Removing mxc_pins.o(.revsh_text), (4 bytes). + Removing mxc_pins.o(.constdata), (192 bytes). + Removing mxc_sys.o(.rev16_text), (4 bytes). + Removing mxc_sys.o(.revsh_text), (4 bytes). + Removing mxc_sys.o(i.NVIC_SetPriority), (40 bytes). + Removing mxc_sys.o(i.SYS_ClockDisable_X32K), (20 bytes). + Removing mxc_sys.o(i.SYS_ClockEnable), (42 bytes). + Removing mxc_sys.o(i.SYS_ClockEnable_X32K), (22 bytes). + Removing mxc_sys.o(i.SYS_DMA_Init), (12 bytes). + Removing mxc_sys.o(i.SYS_DMA_Shutdown), (12 bytes). + Removing mxc_sys.o(i.SYS_FLC_Init), (6 bytes). + Removing mxc_sys.o(i.SYS_FLC_Shutdown), (4 bytes). + Removing mxc_sys.o(i.SYS_Flash_Operation), (56 bytes). + Removing mxc_sys.o(i.SYS_I2C_GetFreq), (16 bytes). + Removing mxc_sys.o(i.SYS_I2C_Init), (76 bytes). + Removing mxc_sys.o(i.SYS_I2C_Shutdown), (116 bytes). + Removing mxc_sys.o(i.SYS_I2S_GetFreq), (16 bytes). + Removing mxc_sys.o(i.SYS_I2S_Init), (56 bytes). + Removing mxc_sys.o(i.SYS_I2S_Shutdown), (12 bytes). + Removing mxc_sys.o(i.SYS_RTC_SqwavInit), (20 bytes). + Removing mxc_sys.o(i.SYS_Reset0), (20 bytes). + Removing mxc_sys.o(i.SYS_Reset1), (20 bytes). + Removing mxc_sys.o(i.SYS_SPI17Y_Init), (76 bytes). + Removing mxc_sys.o(i.SYS_SPI17Y_Shutdown), (24 bytes). + Removing mxc_sys.o(i.SYS_SPIMSS_Init), (72 bytes). + Removing mxc_sys.o(i.SYS_SPIMSS_Shutdown), (24 bytes). + Removing mxc_sys.o(i.SYS_SysTick_Config), (148 bytes). + Removing mxc_sys.o(i.SYS_SysTick_Delay), (248 bytes). + Removing mxc_sys.o(i.SYS_SysTick_DelayUs), (40 bytes). + Removing mxc_sys.o(i.SYS_SysTick_Disable), (10 bytes). + Removing mxc_sys.o(i.SYS_SysTick_GetFreq), (40 bytes). + Removing mxc_sys.o(i.SYS_TMR_GetFreq), (16 bytes). + Removing mxc_sys.o(i.SYS_TMR_Init), (92 bytes). + Removing mxc_sys.o(i.SYS_TMR_Shutdown), (6 bytes). + Removing mxc_sys.o(i.SYS_UART_Init), (156 bytes). + Removing mxc_sys.o(i.SYS_UART_Shutdown), (44 bytes). + Removing mxc_sys.o(i.SYS_WDT_Init), (6 bytes). + Removing nvic_table.o(.rev16_text), (4 bytes). + Removing nvic_table.o(.revsh_text), (4 bytes). + Removing nvic_table.o(i.NVIC_GetVector), (20 bytes). + Removing nvic_table.o(i.NVIC_SetRAM), (36 bytes). + Removing nvic_table.o(i.NVIC_SetVector), (64 bytes). + Removing nvic_table.o(.bss), (284 bytes). + Removing rtc.o(.rev16_text), (4 bytes). + Removing rtc.o(.revsh_text), (4 bytes). + Removing rtc.o(i.RTC_CheckBusy), (52 bytes). + Removing rtc.o(i.RTC_ClearFlags), (40 bytes). + Removing rtc.o(i.RTC_DisableRTCE), (68 bytes). + Removing rtc.o(i.RTC_DisableSubsecondInterrupt), (40 bytes). + Removing rtc.o(i.RTC_DisableTimeofdayInterrupt), (40 bytes). + Removing rtc.o(i.RTC_EnableRTCE), (68 bytes). + Removing rtc.o(i.RTC_EnableSubsecondInterrupt), (28 bytes). + Removing rtc.o(i.RTC_EnableTimeofdayInterrupt), (28 bytes). + Removing rtc.o(i.RTC_GetFlags), (16 bytes). + Removing rtc.o(i.RTC_GetSecond), (12 bytes). + Removing rtc.o(i.RTC_GetSubSecond), (12 bytes). + Removing rtc.o(i.RTC_GetTime), (88 bytes). + Removing rtc.o(i.RTC_Init), (126 bytes). + Removing rtc.o(i.RTC_IsEnabled), (16 bytes). + Removing rtc.o(i.RTC_SetSubsecondAlarm), (56 bytes). + Removing rtc.o(i.RTC_SetTimeofdayAlarm), (60 bytes). + Removing rtc.o(i.RTC_SquareWave), (218 bytes). + Removing rtc.o(i.RTC_Trim), (70 bytes). + Removing spi.o(.rev16_text), (4 bytes). + Removing spi.o(.revsh_text), (4 bytes). + Removing spi.o(i.SPI_AbortAsync), (48 bytes). + Removing spi.o(i.SPI_Clear_fifo), (44 bytes). + Removing spi.o(i.SPI_Disable), (44 bytes). + Removing spi.o(i.SPI_Enable), (44 bytes). + Removing spi.o(i.SPI_Handler), (48 bytes). + Removing spi.o(i.SPI_Init), (104 bytes). + Removing spi.o(i.SPI_MasterTrans), (60 bytes). + Removing spi.o(i.SPI_MasterTransAsync), (60 bytes). + Removing spi.o(i.SPI_Shutdown), (56 bytes). + Removing spi.o(i.SPI_SlaveTrans), (60 bytes). + Removing spi.o(i.SPI_SlaveTransAsync), (60 bytes). + Removing spi17y.o(.rev16_text), (4 bytes). + Removing spi17y.o(.revsh_text), (4 bytes). + Removing spi17y.o(i.SPI17Y_AbortAsync), (112 bytes). + Removing spi17y.o(i.SPI17Y_Clear_fifo), (10 bytes). + Removing spi17y.o(i.SPI17Y_Disable), (10 bytes). + Removing spi17y.o(i.SPI17Y_Enable), (10 bytes). + Removing spi17y.o(i.SPI17Y_Handler), (156 bytes). + Removing spi17y.o(i.SPI17Y_Init), (212 bytes). + Removing spi17y.o(i.SPI17Y_MasterTrans), (60 bytes). + Removing spi17y.o(i.SPI17Y_MasterTransAsync), (38 bytes). + Removing spi17y.o(i.SPI17Y_MasterTransHandler), (104 bytes). + Removing spi17y.o(i.SPI17Y_Shutdown), (128 bytes). + Removing spi17y.o(i.SPI17Y_SlaveTrans), (48 bytes). + Removing spi17y.o(i.SPI17Y_SlaveTransAsync), (38 bytes). + Removing spi17y.o(i.SPI17Y_SlaveTransHandler), (20 bytes). + Removing spi17y.o(i.SPI17Y_TransHandler), (556 bytes). + Removing spi17y.o(i.SPI17Y_TransSetup), (524 bytes). + Removing spi17y.o(.bss), (64 bytes). + Removing spimss.o(.rev16_text), (4 bytes). + Removing spimss.o(.revsh_text), (4 bytes). + Removing spimss.o(i.SPIMSS_AbortAsync), (100 bytes). + Removing spimss.o(i.SPIMSS_Handler), (108 bytes). + Removing spimss.o(i.SPIMSS_Init), (160 bytes). + Removing spimss.o(i.SPIMSS_MasterTrans), (78 bytes). + Removing spimss.o(i.SPIMSS_MasterTransAsync), (70 bytes). + Removing spimss.o(i.SPIMSS_MasterTransHandler), (30 bytes). + Removing spimss.o(i.SPIMSS_Shutdown), (108 bytes). + Removing spimss.o(i.SPIMSS_SlaveTrans), (70 bytes). + Removing spimss.o(i.SPIMSS_SlaveTransAsync), (62 bytes). + Removing spimss.o(i.SPIMSS_SlaveTransHandler), (16 bytes). + Removing spimss.o(i.SPIMSS_TransHandler), (452 bytes). + Removing spimss.o(i.SPIMSS_TransSetup), (184 bytes). + Removing spimss.o(.data), (4 bytes). + Removing tmr.o(.rev16_text), (4 bytes). + Removing tmr.o(.revsh_text), (4 bytes). + Removing tmr.o(i.TMR_Config), (42 bytes). + Removing tmr.o(i.TMR_Disable), (10 bytes). + Removing tmr.o(i.TMR_Enable), (10 bytes). + Removing tmr.o(i.TMR_GetCapture), (6 bytes). + Removing tmr.o(i.TMR_GetCompare), (6 bytes). + Removing tmr.o(i.TMR_GetCount), (6 bytes). + Removing tmr.o(i.TMR_GetTicks), (196 bytes). + Removing tmr.o(i.TMR_GetTime), (380 bytes). + Removing tmr.o(i.TMR_Init), (42 bytes). + Removing tmr.o(i.TMR_IntClear), (6 bytes). + Removing tmr.o(i.TMR_IntStatus), (6 bytes). + Removing tmr.o(i.TMR_PWMConfig), (54 bytes). + Removing tmr.o(i.TMR_PWMSetDuty), (50 bytes). + Removing tmr.o(i.TMR_PWMSetPeriod), (28 bytes). + Removing tmr.o(i.TMR_SetCompare), (4 bytes). + Removing tmr.o(i.TMR_SetCount), (4 bytes). + Removing tmr.o(i.TMR_Shutdown), (26 bytes). + Removing tmr_utils.o(.rev16_text), (4 bytes). + Removing tmr_utils.o(.revsh_text), (4 bytes). + Removing tmr_utils.o(i.TMR_Delay), (40 bytes). + Removing tmr_utils.o(i.TMR_SW_Start), (20 bytes). + Removing tmr_utils.o(i.TMR_SW_Stop), (22 bytes). + Removing tmr_utils.o(i.TMR_TO_Check), (22 bytes). + Removing tmr_utils.o(i.TMR_TO_Clear), (20 bytes). + Removing tmr_utils.o(i.TMR_TO_Elapsed), (84 bytes). + Removing tmr_utils.o(i.TMR_TO_Remaining), (92 bytes). + Removing tmr_utils.o(i.TMR_TO_Start), (132 bytes). + Removing tmr_utils.o(i.TMR_TO_Stop), (20 bytes). + Removing uart.o(.rev16_text), (4 bytes). + Removing uart.o(.revsh_text), (4 bytes). + Removing uart.o(i.UART_AbortAsync), (232 bytes). + Removing uart.o(i.UART_Busy), (88 bytes). + Removing uart.o(i.UART_ClearFlags), (4 bytes). + Removing uart.o(i.UART_Disable), (10 bytes). + Removing uart.o(i.UART_DrainRX), (10 bytes). + Removing uart.o(i.UART_DrainTX), (10 bytes). + Removing uart.o(i.UART_Enable), (10 bytes). + Removing uart.o(i.UART_GetFlags), (6 bytes). + Removing uart.o(i.UART_Handler), (104 bytes). + Removing uart.o(i.UART_Init), (220 bytes). + Removing uart.o(i.UART_NumReadAvail), (10 bytes). + Removing uart.o(i.UART_NumWriteAvail), (14 bytes). + Removing uart.o(i.UART_PrepForSleep), (30 bytes). + Removing uart.o(i.UART_Read), (212 bytes). + Removing uart.o(i.UART_ReadAsync), (164 bytes). + Removing uart.o(i.UART_ReadByte), (20 bytes). + Removing uart.o(i.UART_ReadHandler), (208 bytes). + Removing uart.o(i.UART_Shutdown), (184 bytes). + Removing uart.o(i.UART_Write), (148 bytes). + Removing uart.o(i.UART_WriteAsync), (144 bytes). + Removing uart.o(i.UART_WriteByte), (16 bytes). + Removing uart.o(i.UART_WriteHandler), (136 bytes). + Removing uart.o(i.uart_error_check), (10 bytes). + Removing uart.o(i.uart_error_clear), (14 bytes). + Removing uart.o(.data), (16 bytes). + Removing wdt.o(.rev16_text), (4 bytes). + Removing wdt.o(.revsh_text), (4 bytes). + Removing wdt.o(i.WDT_ClearIntFlag), (10 bytes). + Removing wdt.o(i.WDT_ClearResetFlag), (10 bytes). + Removing wdt.o(i.WDT_Enable), (22 bytes). + Removing wdt.o(i.WDT_EnableInt), (22 bytes). + Removing wdt.o(i.WDT_EnableReset), (22 bytes). + Removing wdt.o(i.WDT_GetIntFlag), (10 bytes). + Removing wdt.o(i.WDT_GetResetFlag), (8 bytes). + Removing wdt.o(i.WDT_Init), (18 bytes). + Removing wdt.o(i.WDT_ResetTimer), (10 bytes). + Removing wdt.o(i.WDT_SetIntPeriod), (10 bytes). + Removing wdt.o(i.WDT_SetResetPeriod), (10 bytes). + Removing startup_max32660.o(HEAP), (4096 bytes). + +336 unused section(s) (total 25022 bytes) removed from the image. + +============================================================================== + +Image Symbol Table + + Local Symbols + + Symbol Name Value Ov Type Size Object(Section) + + RESET 0x00000000 Section 284 startup_max32660.o(RESET) + ../clib/microlib/division.c 0x00000000 Number 0 uldiv.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry8a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry7b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry2.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry12a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry5.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry10a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry12b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry7a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry11b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry11a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry10b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry9b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry9a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry8b.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llshl.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llushr.o ABSOLUTE + ../clib/microlib/string/memcpy.c 0x00000000 Number 0 memcpyb.o ABSOLUTE + ../clib/microlib/string/memcpy.c 0x00000000 Number 0 memcpya.o ABSOLUTE + ../clib/microlib/string/memset.c 0x00000000 Number 0 memseta.o ABSOLUTE + ..\App\main.c 0x00000000 Number 0 main.o ABSOLUTE + ..\App\system_max32660.c 0x00000000 Number 0 system_max32660.o ABSOLUTE + ..\SDK\Driver\Source\dma.c 0x00000000 Number 0 dma.o ABSOLUTE + ..\SDK\Driver\Source\flc.c 0x00000000 Number 0 flc.o ABSOLUTE + ..\SDK\Driver\Source\gpio.c 0x00000000 Number 0 gpio.o ABSOLUTE + ..\SDK\Driver\Source\i2c.c 0x00000000 Number 0 i2c.o ABSOLUTE + ..\SDK\Driver\Source\i2s.c 0x00000000 Number 0 i2s.o ABSOLUTE + ..\SDK\Driver\Source\icc.c 0x00000000 Number 0 icc.o ABSOLUTE + ..\SDK\Driver\Source\lp.c 0x00000000 Number 0 lp.o ABSOLUTE + ..\SDK\Driver\Source\mxc_assert.c 0x00000000 Number 0 mxc_assert.o ABSOLUTE + ..\SDK\Driver\Source\mxc_delay.c 0x00000000 Number 0 mxc_delay.o ABSOLUTE + ..\SDK\Driver\Source\mxc_lock.c 0x00000000 Number 0 mxc_lock.o ABSOLUTE + ..\SDK\Driver\Source\mxc_pins.c 0x00000000 Number 0 mxc_pins.o ABSOLUTE + ..\SDK\Driver\Source\mxc_sys.c 0x00000000 Number 0 mxc_sys.o ABSOLUTE + ..\SDK\Driver\Source\nvic_table.c 0x00000000 Number 0 nvic_table.o ABSOLUTE + ..\SDK\Driver\Source\rtc.c 0x00000000 Number 0 rtc.o ABSOLUTE + ..\SDK\Driver\Source\spi.c 0x00000000 Number 0 spi.o ABSOLUTE + ..\SDK\Driver\Source\spi17y.c 0x00000000 Number 0 spi17y.o ABSOLUTE + ..\SDK\Driver\Source\spimss.c 0x00000000 Number 0 spimss.o ABSOLUTE + ..\SDK\Driver\Source\tmr.c 0x00000000 Number 0 tmr.o ABSOLUTE + ..\SDK\Driver\Source\tmr_utils.c 0x00000000 Number 0 tmr_utils.o ABSOLUTE + ..\SDK\Driver\Source\uart.c 0x00000000 Number 0 uart.o ABSOLUTE + ..\SDK\Driver\Source\wdt.c 0x00000000 Number 0 wdt.o ABSOLUTE + ..\SDK\Startup\startup_max32660.S 0x00000000 Number 0 startup_max32660.o ABSOLUTE + ..\\App\\system_max32660.c 0x00000000 Number 0 system_max32660.o ABSOLUTE + ..\\SDK\\Driver\\Source\\dma.c 0x00000000 Number 0 dma.o ABSOLUTE + ..\\SDK\\Driver\\Source\\flc.c 0x00000000 Number 0 flc.o ABSOLUTE + ..\\SDK\\Driver\\Source\\gpio.c 0x00000000 Number 0 gpio.o ABSOLUTE + ..\\SDK\\Driver\\Source\\i2c.c 0x00000000 Number 0 i2c.o ABSOLUTE + ..\\SDK\\Driver\\Source\\i2s.c 0x00000000 Number 0 i2s.o ABSOLUTE + ..\\SDK\\Driver\\Source\\icc.c 0x00000000 Number 0 icc.o ABSOLUTE + ..\\SDK\\Driver\\Source\\lp.c 0x00000000 Number 0 lp.o ABSOLUTE + ..\\SDK\\Driver\\Source\\mxc_assert.c 0x00000000 Number 0 mxc_assert.o ABSOLUTE + ..\\SDK\\Driver\\Source\\mxc_delay.c 0x00000000 Number 0 mxc_delay.o ABSOLUTE + ..\\SDK\\Driver\\Source\\mxc_lock.c 0x00000000 Number 0 mxc_lock.o ABSOLUTE + ..\\SDK\\Driver\\Source\\mxc_pins.c 0x00000000 Number 0 mxc_pins.o ABSOLUTE + ..\\SDK\\Driver\\Source\\mxc_sys.c 0x00000000 Number 0 mxc_sys.o ABSOLUTE + ..\\SDK\\Driver\\Source\\nvic_table.c 0x00000000 Number 0 nvic_table.o ABSOLUTE + ..\\SDK\\Driver\\Source\\rtc.c 0x00000000 Number 0 rtc.o ABSOLUTE + ..\\SDK\\Driver\\Source\\spi.c 0x00000000 Number 0 spi.o ABSOLUTE + ..\\SDK\\Driver\\Source\\spi17y.c 0x00000000 Number 0 spi17y.o ABSOLUTE + ..\\SDK\\Driver\\Source\\spimss.c 0x00000000 Number 0 spimss.o ABSOLUTE + ..\\SDK\\Driver\\Source\\tmr.c 0x00000000 Number 0 tmr.o ABSOLUTE + ..\\SDK\\Driver\\Source\\tmr_utils.c 0x00000000 Number 0 tmr_utils.o ABSOLUTE + ..\\SDK\\Driver\\Source\\uart.c 0x00000000 Number 0 uart.o ABSOLUTE + ..\\SDK\\Driver\\Source\\wdt.c 0x00000000 Number 0 wdt.o ABSOLUTE + dc.s 0x00000000 Number 0 dc.o ABSOLUTE + handlers.s 0x00000000 Number 0 handlers.o ABSOLUTE + init.s 0x00000000 Number 0 init.o ABSOLUTE + .ARM.Collect$$$$00000000 0x0000011c Section 0 entry.o(.ARM.Collect$$$$00000000) + .ARM.Collect$$$$00000001 0x0000011c Section 4 entry2.o(.ARM.Collect$$$$00000001) + .ARM.Collect$$$$00000004 0x00000120 Section 4 entry5.o(.ARM.Collect$$$$00000004) + .ARM.Collect$$$$00000008 0x00000124 Section 0 entry7b.o(.ARM.Collect$$$$00000008) + .ARM.Collect$$$$0000000A 0x00000124 Section 0 entry8b.o(.ARM.Collect$$$$0000000A) + .ARM.Collect$$$$0000000B 0x00000124 Section 8 entry9a.o(.ARM.Collect$$$$0000000B) + .ARM.Collect$$$$0000000E 0x0000012c Section 4 entry12b.o(.ARM.Collect$$$$0000000E) + .ARM.Collect$$$$0000000F 0x00000130 Section 0 entry10a.o(.ARM.Collect$$$$0000000F) + .ARM.Collect$$$$00000011 0x00000130 Section 0 entry11a.o(.ARM.Collect$$$$00000011) + .ARM.Collect$$$$00002712 0x00000130 Section 4 entry2.o(.ARM.Collect$$$$00002712) + __lit__00000000 0x00000130 Data 4 entry2.o(.ARM.Collect$$$$00002712) + .text 0x00000134 Section 40 startup_max32660.o(.text) + $v0 0x00000134 Number 0 startup_max32660.o(.text) + .text 0x0000015c Section 0 uldiv.o(.text) + .text 0x000001c0 Section 36 init.o(.text) + .text 0x000001e4 Section 0 llshl.o(.text) + .text 0x00000202 Section 0 llushr.o(.text) + i.$Sub$$__main_after_scatterload 0x00000222 Section 0 system_max32660.o(i.$Sub$$__main_after_scatterload) + i.Board_Init 0x0000022e Section 0 system_max32660.o(i.Board_Init) + i.PreInit 0x00000232 Section 0 system_max32660.o(i.PreInit) + i.SYS_ClockDisable 0x00000236 Section 0 mxc_sys.o(i.SYS_ClockDisable) + i.SYS_Clock_Select 0x00000260 Section 0 mxc_sys.o(i.SYS_Clock_Select) + i.SYS_Clock_Timeout 0x00000494 Section 0 mxc_sys.o(i.SYS_Clock_Timeout) + SYS_Clock_Timeout 0x00000495 Thumb Code 46 mxc_sys.o(i.SYS_Clock_Timeout) + i.SysTick_Handler 0x000004c2 Section 0 mxc_delay.o(i.SysTick_Handler) + i.SystemCoreClockUpdate 0x000004cc Section 0 system_max32660.o(i.SystemCoreClockUpdate) + i.SystemInit 0x00000530 Section 0 system_max32660.o(i.SystemInit) + i.__scatterload_copy 0x000005c4 Section 14 handlers.o(i.__scatterload_copy) + i.__scatterload_null 0x000005d2 Section 2 handlers.o(i.__scatterload_null) + i.__scatterload_zeroinit 0x000005d4 Section 14 handlers.o(i.__scatterload_zeroinit) + i.main 0x000005e2 Section 0 main.o(i.main) + i.mxc_delay_check 0x000005e8 Section 0 mxc_delay.o(i.mxc_delay_check) + i.mxc_delay_handler 0x0000063c Section 0 mxc_delay.o(i.mxc_delay_handler) + i.mxc_delay_init 0x00000694 Section 0 mxc_delay.o(i.mxc_delay_init) + mxc_delay_init 0x00000695 Thumb Code 154 mxc_delay.o(i.mxc_delay_init) + i.mxc_delay_start 0x00000748 Section 0 mxc_delay.o(i.mxc_delay_start) + i.mxc_delay_stop 0x00000794 Section 0 mxc_delay.o(i.mxc_delay_stop) + .data 0x20000000 Section 4 system_max32660.o(.data) + .data 0x20000008 Section 28 mxc_delay.o(.data) + ctrl_save 0x20000008 Data 4 mxc_delay.o(.data) + compare_value 0x20000010 Data 8 mxc_delay.o(.data) + curr_value 0x20000018 Data 8 mxc_delay.o(.data) + reload 0x20000020 Data 4 mxc_delay.o(.data) + STACK 0x20000028 Section 8192 startup_max32660.o(STACK) + + Global Symbols + + Symbol Name Value Ov Type Size Object(Section) + + BuildAttributes$$THM_ISAv4$E$P$D$K$B$S$7EM$VFPi3$EXTD16$VFPS$VFMA$PE$A:L22UL41UL21$X:L11$S22US41US21$IEEE1$IW$USESV6$~STKCKD$USESV7$~SHL$OSPACE$EBA8$MICROLIB$REQ8$PRES8$EABIv2 0x00000000 Number 0 anon$$obj.o ABSOLUTE + __ARM_use_no_argv 0x00000000 Number 0 main.o ABSOLUTE + __Vectors 0x00000000 Data 0 startup_max32660.o(RESET) + __isr_vector 0x00000000 Data 4 startup_max32660.o(RESET) + __arm_fini_ - Undefined Weak Reference + __cpp_initialize__aeabi_ - Undefined Weak Reference + __cxa_finalize - Undefined Weak Reference + __decompress - Undefined Weak Reference + _clock_init - Undefined Weak Reference + _microlib_exit - Undefined Weak Reference + __Vectors_End 0x0000011c Data 0 startup_max32660.o(RESET) + __Vectors_Size 0x0000011c Number 0 startup_max32660.o ABSOLUTE + __main 0x0000011d Thumb Code 0 entry.o(.ARM.Collect$$$$00000000) + _main_stk 0x0000011d Thumb Code 0 entry2.o(.ARM.Collect$$$$00000001) + _main_scatterload 0x00000121 Thumb Code 0 entry5.o(.ARM.Collect$$$$00000004) + $Super$$__main_after_scatterload 0x00000125 Thumb Code 0 entry5.o(.ARM.Collect$$$$00000004) + _main_clock 0x00000125 Thumb Code 0 entry7b.o(.ARM.Collect$$$$00000008) + _main_cpp_init 0x00000125 Thumb Code 0 entry8b.o(.ARM.Collect$$$$0000000A) + _main_init 0x00000125 Thumb Code 0 entry9a.o(.ARM.Collect$$$$0000000B) + __rt_lib_shutdown_fini 0x0000012d Thumb Code 0 entry12b.o(.ARM.Collect$$$$0000000E) + __rt_final_cpp 0x00000131 Thumb Code 0 entry10a.o(.ARM.Collect$$$$0000000F) + __rt_final_exit 0x00000131 Thumb Code 0 entry11a.o(.ARM.Collect$$$$00000011) + Reset_Handler 0x00000135 Thumb Code 14 startup_max32660.o(.text) + NMI_Handler 0x00000143 Thumb Code 2 startup_max32660.o(.text) + HardFault_Handler 0x00000145 Thumb Code 2 startup_max32660.o(.text) + MemManage_Handler 0x00000147 Thumb Code 2 startup_max32660.o(.text) + BusFault_Handler 0x00000149 Thumb Code 2 startup_max32660.o(.text) + UsageFault_Handler 0x0000014b Thumb Code 2 startup_max32660.o(.text) + SVC_Handler 0x0000014d Thumb Code 2 startup_max32660.o(.text) + DebugMon_Handler 0x0000014f Thumb Code 2 startup_max32660.o(.text) + PendSV_Handler 0x00000151 Thumb Code 2 startup_max32660.o(.text) + DMA0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + DMA1_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + DMA2_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + DMA3_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + FLC_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + GPIO0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + GPIOWAKE_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + I2C0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + I2C1_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + PF_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV00_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV01_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV02_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV03_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV04_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV05_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV06_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV07_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV08_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV09_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV10_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV11_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV12_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV13_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV14_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV15_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV16_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV17_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV18_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV19_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV20_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV21_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV22_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV23_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV24_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV25_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV26_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV27_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV28_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV29_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV30_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV31_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV32_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV33_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV34_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RSV35_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + RTC_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + SPI0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + SPI1_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + TMR0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + TMR1_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + TMR2_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + UART0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + UART1_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + WDT0_IRQHandler 0x00000153 Thumb Code 0 startup_max32660.o(.text) + __aeabi_uldivmod 0x0000015d Thumb Code 98 uldiv.o(.text) + __scatterload 0x000001c1 Thumb Code 28 init.o(.text) + __scatterload_rt2 0x000001c1 Thumb Code 0 init.o(.text) + __aeabi_llsl 0x000001e5 Thumb Code 30 llshl.o(.text) + _ll_shift_l 0x000001e5 Thumb Code 0 llshl.o(.text) + __aeabi_llsr 0x00000203 Thumb Code 32 llushr.o(.text) + _ll_ushift_r 0x00000203 Thumb Code 0 llushr.o(.text) + __main_after_scatterload 0x00000223 Thumb Code 12 system_max32660.o(i.$Sub$$__main_after_scatterload) + Board_Init 0x0000022f Thumb Code 4 system_max32660.o(i.Board_Init) + PreInit 0x00000233 Thumb Code 4 system_max32660.o(i.PreInit) + SYS_ClockDisable 0x00000237 Thumb Code 42 mxc_sys.o(i.SYS_ClockDisable) + SYS_Clock_Select 0x00000261 Thumb Code 556 mxc_sys.o(i.SYS_Clock_Select) + SysTick_Handler 0x000004c3 Thumb Code 8 mxc_delay.o(i.SysTick_Handler) + SystemCoreClockUpdate 0x000004cd Thumb Code 80 system_max32660.o(i.SystemCoreClockUpdate) + SystemInit 0x00000531 Thumb Code 132 system_max32660.o(i.SystemInit) + __scatterload_copy 0x000005c5 Thumb Code 14 handlers.o(i.__scatterload_copy) + __scatterload_null 0x000005d3 Thumb Code 2 handlers.o(i.__scatterload_null) + __scatterload_zeroinit 0x000005d5 Thumb Code 14 handlers.o(i.__scatterload_zeroinit) + main 0x000005e3 Thumb Code 4 main.o(i.main) + mxc_delay_check 0x000005e9 Thumb Code 72 mxc_delay.o(i.mxc_delay_check) + mxc_delay_handler 0x0000063d Thumb Code 76 mxc_delay.o(i.mxc_delay_handler) + mxc_delay_start 0x00000749 Thumb Code 72 mxc_delay.o(i.mxc_delay_start) + mxc_delay_stop 0x00000795 Thumb Code 20 mxc_delay.o(i.mxc_delay_stop) + Region$$Table$$Base 0x000007b0 Number 0 anon$$obj.o(Region$$Table) + Region$$Table$$Limit 0x000007d0 Number 0 anon$$obj.o(Region$$Table) + SystemCoreClock 0x20000000 Data 4 system_max32660.o(.data) + __initial_sp 0x20002028 Data 0 startup_max32660.o(STACK) + + + +============================================================================== + +Memory Map of the image + + Image Entry point : 0x0000011d + + Load Region LR_IROM1 (Base: 0x00000000, Size: 0x000007f4, Max: 0x00080000, ABSOLUTE) + + Execution Region ER_IROM1 (Exec base: 0x00000000, Load base: 0x00000000, Size: 0x000007d0, Max: 0x00080000, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x00000000 0x00000000 0x0000011c Data RO 2299 RESET startup_max32660.o + 0x0000011c 0x0000011c 0x00000000 Code RO 2304 * .ARM.Collect$$$$00000000 mc_w.l(entry.o) + 0x0000011c 0x0000011c 0x00000004 Code RO 2316 .ARM.Collect$$$$00000001 mc_w.l(entry2.o) + 0x00000120 0x00000120 0x00000004 Code RO 2305 .ARM.Collect$$$$00000004 mc_w.l(entry5.o) + 0x00000124 0x00000124 0x00000000 Code RO 2320 .ARM.Collect$$$$00000008 mc_w.l(entry7b.o) + 0x00000124 0x00000124 0x00000000 Code RO 2322 .ARM.Collect$$$$0000000A mc_w.l(entry8b.o) + 0x00000124 0x00000124 0x00000008 Code RO 2323 .ARM.Collect$$$$0000000B mc_w.l(entry9a.o) + 0x0000012c 0x0000012c 0x00000004 Code RO 2330 .ARM.Collect$$$$0000000E mc_w.l(entry12b.o) + 0x00000130 0x00000130 0x00000000 Code RO 2325 .ARM.Collect$$$$0000000F mc_w.l(entry10a.o) + 0x00000130 0x00000130 0x00000000 Code RO 2327 .ARM.Collect$$$$00000011 mc_w.l(entry11a.o) + 0x00000130 0x00000130 0x00000004 Code RO 2317 .ARM.Collect$$$$00002712 mc_w.l(entry2.o) + 0x00000134 0x00000134 0x00000028 Code RO 2300 .text startup_max32660.o + 0x0000015c 0x0000015c 0x00000062 Code RO 2308 .text mc_w.l(uldiv.o) + 0x000001be 0x000001be 0x00000002 PAD + 0x000001c0 0x000001c0 0x00000024 Code RO 2331 .text mc_w.l(init.o) + 0x000001e4 0x000001e4 0x0000001e Code RO 2333 .text mc_w.l(llshl.o) + 0x00000202 0x00000202 0x00000020 Code RO 2335 .text mc_w.l(llushr.o) + 0x00000222 0x00000222 0x0000000c Code RO 16 i.$Sub$$__main_after_scatterload system_max32660.o + 0x0000022e 0x0000022e 0x00000004 Code RO 17 i.Board_Init system_max32660.o + 0x00000232 0x00000232 0x00000004 Code RO 18 i.PreInit system_max32660.o + 0x00000236 0x00000236 0x0000002a Code RO 1177 i.SYS_ClockDisable mxc_sys.o + 0x00000260 0x00000260 0x00000234 Code RO 1181 i.SYS_Clock_Select mxc_sys.o + 0x00000494 0x00000494 0x0000002e Code RO 1182 i.SYS_Clock_Timeout mxc_sys.o + 0x000004c2 0x000004c2 0x00000008 Code RO 1072 i.SysTick_Handler mxc_delay.o + 0x000004ca 0x000004ca 0x00000002 PAD + 0x000004cc 0x000004cc 0x00000064 Code RO 19 i.SystemCoreClockUpdate system_max32660.o + 0x00000530 0x00000530 0x00000094 Code RO 20 i.SystemInit system_max32660.o + 0x000005c4 0x000005c4 0x0000000e Code RO 2339 i.__scatterload_copy mc_w.l(handlers.o) + 0x000005d2 0x000005d2 0x00000002 Code RO 2340 i.__scatterload_null mc_w.l(handlers.o) + 0x000005d4 0x000005d4 0x0000000e Code RO 2341 i.__scatterload_zeroinit mc_w.l(handlers.o) + 0x000005e2 0x000005e2 0x00000004 Code RO 1 i.main main.o + 0x000005e6 0x000005e6 0x00000002 PAD + 0x000005e8 0x000005e8 0x00000054 Code RO 1074 i.mxc_delay_check mxc_delay.o + 0x0000063c 0x0000063c 0x00000058 Code RO 1075 i.mxc_delay_handler mxc_delay.o + 0x00000694 0x00000694 0x000000b4 Code RO 1076 i.mxc_delay_init mxc_delay.o + 0x00000748 0x00000748 0x0000004c Code RO 1077 i.mxc_delay_start mxc_delay.o + 0x00000794 0x00000794 0x0000001c Code RO 1078 i.mxc_delay_stop mxc_delay.o + 0x000007b0 0x000007b0 0x00000020 Data RO 2337 Region$$Table anon$$obj.o + + + Execution Region RW_IRAM1 (Exec base: 0x20000000, Load base: 0x000007d0, Size: 0x00002028, Max: 0x00020000, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x20000000 0x000007d0 0x00000004 Data RW 21 .data system_max32660.o + 0x20000004 0x000007d4 0x00000004 PAD + 0x20000008 0x000007d8 0x0000001c Data RW 1079 .data mxc_delay.o + 0x20000024 0x000007f4 0x00000004 PAD + 0x20000028 - 0x00002000 Zero RW 2297 STACK startup_max32660.o + + +============================================================================== + +Image component sizes + + + Code (inc. data) RO Data RW Data ZI Data Debug Object Name + + 4 0 0 0 0 1895 main.o + 464 62 0 28 0 4263 mxc_delay.o + 652 8 0 0 0 6974 mxc_sys.o + 40 8 284 0 8192 812 startup_max32660.o + 268 36 0 4 0 115183 system_max32660.o + + ---------------------------------------------------------------------- + 1432 114 316 36 8196 129127 Object Totals + 0 0 32 0 0 0 (incl. Generated) + 4 0 0 4 4 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Member Name + + 0 0 0 0 0 0 entry.o + 0 0 0 0 0 0 entry10a.o + 0 0 0 0 0 0 entry11a.o + 4 0 0 0 0 0 entry12b.o + 8 4 0 0 0 0 entry2.o + 4 0 0 0 0 0 entry5.o + 0 0 0 0 0 0 entry7b.o + 0 0 0 0 0 0 entry8b.o + 8 4 0 0 0 0 entry9a.o + 30 0 0 0 0 0 handlers.o + 36 8 0 0 0 68 init.o + 30 0 0 0 0 68 llshl.o + 32 0 0 0 0 68 llushr.o + 98 0 0 0 0 92 uldiv.o + + ---------------------------------------------------------------------- + 252 16 0 0 0 296 Library Totals + 2 0 0 0 0 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Name + + 250 16 0 0 0 296 mc_w.l + + ---------------------------------------------------------------------- + 252 16 0 0 0 296 Library Totals + + ---------------------------------------------------------------------- + +============================================================================== + + + Code (inc. data) RO Data RW Data ZI Data Debug + + 1684 130 316 36 8196 128475 Grand Totals + 1684 130 316 36 8196 128475 ELF Image Totals + 1684 130 316 36 0 0 ROM Totals + +============================================================================== + + Total RO Size (Code + RO Data) 2000 ( 1.95kB) + Total RW Size (RW Data + ZI Data) 8232 ( 8.04kB) + Total ROM Size (Code + RO Data + RW Data) 2036 ( 1.99kB) + +============================================================================== + diff --git a/Firmware/Compiler/Listings/startup_max32660.lst b/Firmware/Compiler/Listings/startup_max32660.lst new file mode 100644 index 0000000..0f83837 --- /dev/null +++ b/Firmware/Compiler/Listings/startup_max32660.lst @@ -0,0 +1,1638 @@ + + + +ARM Macro Assembler Page 1 + + + 1 00000000 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + ;;;;;;;;;;;;;;;;;;;;;;;; + 2 00000000 ; Copyright (C) 2016 Maxim Integrated Products, Inc., Al + l Rights Reserved. + 3 00000000 ; + 4 00000000 ; Permission is hereby granted, free of charge, to any p + erson obtaining a + 5 00000000 ; copy of this software and associated documentation fil + es (the "Software"), + 6 00000000 ; to deal in the Software without restriction, including + without limitation + 7 00000000 ; the rights to use, copy, modify, merge, publish, distr + ibute, sublicense, + 8 00000000 ; and/or sell copies of the Software, and to permit pers + ons to whom the + 9 00000000 ; Software is furnished to do so, subject to the followi + ng conditions: + 10 00000000 ; + 11 00000000 ; The above copyright notice and this permission notice + shall be included + 12 00000000 ; in all copies or substantial portions of the Software. + + 13 00000000 ; + 14 00000000 ; THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF + ANY KIND, EXPRESS + 15 00000000 ; OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIE + S OF + 16 00000000 ; MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND + NONINFRINGEMENT. + 17 00000000 ; IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY C + LAIM, DAMAGES + 18 00000000 ; OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + TORT OR OTHERWISE, + 19 00000000 ; ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWAR + E OR THE USE OR + 20 00000000 ; OTHER DEALINGS IN THE SOFTWARE. + 21 00000000 ; + 22 00000000 ; Except as contained in this notice, the name of Maxim + Integrated + 23 00000000 ; Products, Inc. shall not be used except as stated in t + he Maxim Integrated + 24 00000000 ; Products, Inc. Branding Policy. + 25 00000000 ; + 26 00000000 ; The mere transfer of this software does not imply any + licenses + 27 00000000 ; of trade secrets, proprietary technology, copyrights, + patents, + 28 00000000 ; trademarks, maskwork rights, or any other form of inte + llectual + 29 00000000 ; property whatsoever. Maxim Integrated Products, Inc. r + etains all + 30 00000000 ; ownership rights. + 31 00000000 ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + ;;;;;;;;;;;;;;;;;;;;;;; + 32 00000000 + 33 00000000 ; To map FreeRTOS function names to their CMSIS equivale + nts add following lines to FreeRTOSConfig.h + 34 00000000 ; #define vPortSVCHandler SVC_Handler + 35 00000000 ; #define xPortPendSVHandler PendSV_Handler + + + +ARM Macro Assembler Page 2 + + + 36 00000000 ; #define xPortSysTickHandler SysTick_Handler + 37 00000000 ; *------- <<< Use Configuration Wizard in Context Menu + to Modify Stack Size and Heap Size. >>> ---- + 38 00000000 + 39 00000000 ; Stack Configuration + 40 00000000 ; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> + 41 00000000 ; + 42 00000000 + 43 00000000 00002000 + Stack_Size + EQU 0x00002000 + 44 00000000 + 45 00000000 AREA STACK, NOINIT, READWRITE, ALIGN +=3 + 46 00000000 Stack_Mem + SPACE Stack_Size + 47 00002000 __initial_sp ; Name used with Ke + il Configuration Wi + zard and Keil Micro + Lib + 48 00002000 + 49 00002000 ; Heap Configuration + 50 00002000 ; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> + 51 00002000 ; + 52 00002000 + 53 00002000 00001000 + Heap_Size + EQU 0x00001000 + 54 00002000 + 55 00002000 AREA HEAP, NOINIT, READWRITE, ALIGN= +3 + 56 00000000 __heap_base + 57 00000000 Heap_Mem + SPACE Heap_Size + 58 00001000 __heap_limit + 59 00001000 + 60 00001000 PRESERVE8 + 61 00001000 THUMB + 62 00001000 + 63 00001000 + 64 00001000 ; Vector Table Mapped to Address 0 at Reset + 65 00001000 + 66 00001000 AREA RESET, DATA, READONLY + 67 00000000 EXPORT __Vectors + 68 00000000 EXPORT __Vectors_End + 69 00000000 EXPORT __Vectors_Size + 70 00000000 EXPORT __isr_vector + 71 00000000 IMPORT SysTick_Handler + 72 00000000 + 73 00000000 00000000 + __isr_vector + DCD __initial_sp ; Top of Stack + 74 00000004 00000000 DCD Reset_Handler ; Reset Handler + 75 00000008 00000000 DCD NMI_Handler ; NMI Handler + 76 0000000C 00000000 DCD HardFault_Handler ; Hard Fault + Handler + 77 00000010 00000000 DCD MemManage_Handler + ; MPU Fault Handler + + + + +ARM Macro Assembler Page 3 + + + 78 00000014 00000000 DCD BusFault_Handler + ; Bus Fault Handler + + 79 00000018 00000000 DCD UsageFault_Handler ; Usage Faul + t Handler + 80 0000001C 00000000 DCD 0 ; Reserved + 81 00000020 00000000 DCD 0 ; Reserved + 82 00000024 00000000 DCD 0 ; Reserved + 83 00000028 00000000 DCD 0 ; Reserved + 84 0000002C 00000000 DCD SVC_Handler ; SVCall Handler + 85 00000030 00000000 DCD DebugMon_Handler ; Debug Monito + r Handler + 86 00000034 00000000 DCD 0 ; Reserved + 87 00000038 00000000 DCD PendSV_Handler ; PendSV Handler + + 88 0000003C 00000000 DCD SysTick_Handler + ; SysTick Handler + 89 00000040 + 90 00000040 ; Device-specific Interrupts + 91 00000040 00000000 DCD PF_IRQHandler ; 0x10 0x0040 1 + 6: Power Fail + 92 00000044 00000000 DCD WDT0_IRQHandler ; 0x11 0x0044 + 17: Watchdog 0 + 93 00000048 00000000 DCD RSV00_IRQHandler ; 0x12 0x0048 + 18: RSV00 + 94 0000004C 00000000 DCD RTC_IRQHandler ; 0x13 0x004C + 19: RTC + 95 00000050 00000000 DCD RSV01_IRQHandler ; 0x14 0x0050 + 20: RSV1 + 96 00000054 00000000 DCD TMR0_IRQHandler ; 0x15 0x0054 + 21: Timer 0 + 97 00000058 00000000 DCD TMR1_IRQHandler ; 0x16 0x0058 + 22: Timer 1 + 98 0000005C 00000000 DCD TMR2_IRQHandler ; 0x17 0x005C + 23: Timer 2 + 99 00000060 00000000 DCD RSV02_IRQHandler ; 0x18 0x0060 + 24: RSV02 + 100 00000064 00000000 DCD RSV03_IRQHandler ; 0x19 0x0064 + 25: RSV03 + 101 00000068 00000000 DCD RSV04_IRQHandler ; 0x1A 0x0068 + 26: RSV04 + 102 0000006C 00000000 DCD RSV05_IRQHandler ; 0x1B 0x006C + 27: RSV05 + 103 00000070 00000000 DCD RSV06_IRQHandler ; 0x1C 0x0070 + 28: RSV06 + 104 00000074 00000000 DCD I2C0_IRQHandler ; 0x1D 0x0074 + 29: I2C0 + 105 00000078 00000000 DCD UART0_IRQHandler ; 0x1E 0x0078 + 30: UART 0 + 106 0000007C 00000000 DCD UART1_IRQHandler ; 0x1F 0x007C + 31: UART 1 + 107 00000080 00000000 DCD SPI0_IRQHandler ; 0x20 0x0080 + 32: SPIY17 + 108 00000084 00000000 DCD SPI1_IRQHandler ; 0x21 0x0084 + 33: SPIMSS + 109 00000088 00000000 DCD RSV07_IRQHandler ; 0x22 0x0088 + 34: RSV07 + 110 0000008C 00000000 DCD RSV08_IRQHandler ; 0x23 0x008C + 35: RSV08 + + + +ARM Macro Assembler Page 4 + + + 111 00000090 00000000 DCD RSV09_IRQHandler ; 0x24 0x0090 + 36: RSV09 + 112 00000094 00000000 DCD RSV10_IRQHandler ; 0x25 0x0094 + 37: RSV10 + 113 00000098 00000000 DCD RSV11_IRQHandler ; 0x26 0x0098 + 38: RSV11 + 114 0000009C 00000000 DCD FLC_IRQHandler ; 0x27 0x009C + 39: FLC + 115 000000A0 00000000 DCD GPIO0_IRQHandler ; 0x28 0x00A0 + 40: GPIO0 + 116 000000A4 00000000 DCD RSV12_IRQHandler ; 0x29 0x00A4 + 41: RSV12 + 117 000000A8 00000000 DCD RSV13_IRQHandler ; 0x2A 0x00A8 + 42: RSV13 + 118 000000AC 00000000 DCD RSV14_IRQHandler ; 0x2B 0x00AC + 43: RSV14 + 119 000000B0 00000000 DCD DMA0_IRQHandler ; 0x2C 0x00B0 + 44: DMA0 + 120 000000B4 00000000 DCD DMA1_IRQHandler ; 0x2D 0x00B4 + 45: DMA1 + 121 000000B8 00000000 DCD DMA2_IRQHandler ; 0x2E 0x00B8 + 46: DMA2 + 122 000000BC 00000000 DCD DMA3_IRQHandler ; 0x2F 0x00BC + 47: DMA3 + 123 000000C0 00000000 DCD RSV15_IRQHandler ; 0x30 0x00C0 + 48: RSV15 + 124 000000C4 00000000 DCD RSV16_IRQHandler ; 0x31 0x00C4 + 49: RSV16 + 125 000000C8 00000000 DCD RSV17_IRQHandler ; 0x32 0x00C8 + 50: RSV17 + 126 000000CC 00000000 DCD RSV18_IRQHandler ; 0x33 0x00CC + 51: RSV18 + 127 000000D0 00000000 DCD I2C1_IRQHandler ; 0x34 0x00D0 + 52: I2C1 + 128 000000D4 00000000 DCD RSV19_IRQHandler ; 0x35 0x00D4 + 53: RSV19 + 129 000000D8 00000000 DCD RSV20_IRQHandler ; 0x36 0x00D8 + 54: RSV20 + 130 000000DC 00000000 DCD RSV21_IRQHandler ; 0x37 0x00DC + 55: RSV21 + 131 000000E0 00000000 DCD RSV22_IRQHandler ; 0x38 0x00E0 + 56: RSV22 + 132 000000E4 00000000 DCD RSV23_IRQHandler ; 0x39 0x00E4 + 57: RSV23 + 133 000000E8 00000000 DCD RSV24_IRQHandler ; 0x3A 0x00E8 + 58: RSV24 + 134 000000EC 00000000 DCD RSV25_IRQHandler ; 0x3B 0x00EC + 59: RSV25 + 135 000000F0 00000000 DCD RSV26_IRQHandler ; 0x3C 0x00F0 + 60: RSV26 + 136 000000F4 00000000 DCD RSV27_IRQHandler ; 0x3D 0x00F4 + 61: RSV27 + 137 000000F8 00000000 DCD RSV28_IRQHandler ; 0x3E 0x00F8 + 62: RSV28 + 138 000000FC 00000000 DCD RSV29_IRQHandler ; 0x3F 0x00FC + 63: RSV29 + 139 00000100 00000000 DCD RSV30_IRQHandler ; 0x40 0x0100 + 64: RSV30 + 140 00000104 00000000 DCD RSV31_IRQHandler ; 0x41 0x0104 + + + +ARM Macro Assembler Page 5 + + + 65: RSV31 + 141 00000108 00000000 DCD RSV32_IRQHandler ; 0x42 0x0108 + 66: RSV32 + 142 0000010C 00000000 DCD RSV33_IRQHandler ; 0x43 0x010C + 67: RSV33 + 143 00000110 00000000 DCD RSV34_IRQHandler ; 0x44 0x0110 + 68: RSV34 + 144 00000114 00000000 DCD RSV35_IRQHandler ; 0x45 0x0114 + 69: RSV35 + 145 00000118 00000000 DCD GPIOWAKE_IRQHandler ; 0x46 0x0 + 118 70: GPIO Wakeu + p + 146 0000011C __isr_vector_end + 147 0000011C + 148 0000011C 0000011C + __isr_vector_size + EQU __isr_vector_end - __isr_vector + 149 0000011C 00000000 + __Vectors + EQU __isr_vector + 150 0000011C 0000011C + __Vectors_End + EQU __isr_vector_end + 151 0000011C 0000011C + __Vectors_Size + EQU __isr_vector_size + 152 0000011C + 153 0000011C AREA |.text|, CODE, READONLY + 154 00000000 + 155 00000000 Reset_Handler + PROC + 156 00000000 EXPORT Reset_Handler + [WEAK] + 157 00000000 IMPORT PreInit + 158 00000000 ;IMPORT SystemInit + 159 00000000 IMPORT __main + 160 00000000 4807 LDR R0, =PreInit ; Call to PreInit + (prior to RAM initi + alization) + 161 00000002 4780 BLX R0 + 162 00000004 4807 LDR R0, =__main ; SystemInit() is c + alled from post sca + tter memory initial + ization in function + $Sub$$__main_afte + r_scatterload - sys + tem_max32660.c + 163 00000006 4700 BX R0 + 164 00000008 __SPIN + 165 00000008 BF30 WFI + 166 0000000A F7FF FFFD BL __SPIN + 167 0000000E ENDP + 168 0000000E + 169 0000000E ; Dummy Exception Handlers (infinite loops which can be + modified) + 170 0000000E + 172 0000000E NMI_Handler + PROC + 173 0000000E EXPORT NMI_Handler [WEAK] + + + +ARM Macro Assembler Page 6 + + + 174 0000000E E7FE B . + 175 00000010 ENDP + 176 00000010 + 178 00000010 HardFault_Handler + PROC + 179 00000010 EXPORT HardFault_Handler [WEAK] + 180 00000010 E7FE B . + 181 00000012 ENDP + 182 00000012 + 184 00000012 MemManage_Handler + PROC + 185 00000012 EXPORT MemManage_Handler [WEAK] + 186 00000012 E7FE B . + 187 00000014 ENDP + 188 00000014 + 190 00000014 BusFault_Handler + PROC + 191 00000014 EXPORT BusFault_Handler [WEAK] + 192 00000014 E7FE B . + 193 00000016 ENDP + 194 00000016 + 196 00000016 UsageFault_Handler + PROC + 197 00000016 EXPORT UsageFault_Handler [WEAK] + 198 00000016 E7FE B . + 199 00000018 ENDP + 200 00000018 + 202 00000018 SVC_Handler + PROC + 203 00000018 EXPORT SVC_Handler [WEAK] + 204 00000018 E7FE B . + 205 0000001A ENDP + 206 0000001A + 208 0000001A DebugMon_Handler + PROC + 209 0000001A EXPORT DebugMon_Handler [WEAK] + 210 0000001A E7FE B . + 211 0000001C ENDP + 212 0000001C + 214 0000001C PendSV_Handler + PROC + 215 0000001C EXPORT PendSV_Handler [WEAK] + 216 0000001C E7FE B . + 217 0000001E ENDP + 219 0000001E Default_Handler + PROC + 220 0000001E ; MAX32660 Device-specific Interrupts + 221 0000001E EXPORT PF_IRQHandler [WEAK] + + ; 0x10 0x0040 16 + : Power Fail + 222 0000001E EXPORT WDT0_IRQHandler [WEAK] + + ; 0x11 0x0044 17 + : Watchdog 0 + 223 0000001E EXPORT RSV00_IRQHandler [WEAK] + + ; 0x12 0x0048 18 + : RSV00 + + + +ARM Macro Assembler Page 7 + + + 224 0000001E EXPORT RTC_IRQHandler [WEAK] + + ; 0x13 0x004C 19 + : RTC + 225 0000001E EXPORT RSV01_IRQHandler [WEAK] + + ; 0x14 0x0050 20 + : RSV01 + 226 0000001E EXPORT TMR0_IRQHandler [WEAK] + + ; 0x15 0x0054 21 + : Timer 0 + 227 0000001E EXPORT TMR1_IRQHandler [WEAK] + + ; 0x16 0x0058 22 + : Timer 1 + 228 0000001E EXPORT TMR2_IRQHandler [WEAK] + + ; 0x17 0x005C 23 + : Timer 2 + 229 0000001E EXPORT RSV02_IRQHandler [WEAK] + + ; 0x18 0x0060 24 + : RSV02 + 230 0000001E EXPORT RSV03_IRQHandler [WEAK] + + ; 0x19 0x0064 25 + : RSV03 + 231 0000001E EXPORT RSV04_IRQHandler [WEAK] + + ; 0x1A 0x0068 26 + : RSV04 + 232 0000001E EXPORT RSV05_IRQHandler [WEAK] + + ; 0x1B 0x006C 27 + : RSV05 + 233 0000001E EXPORT RSV06_IRQHandler [WEAK] + + ; 0x1C 0x0070 28 + : RSV06 + 234 0000001E EXPORT I2C0_IRQHandler [WEAK] + + ; 0x1D 0x0074 29 + : I2C0 + 235 0000001E EXPORT UART0_IRQHandler [WEAK] + + ; 0x1E 0x0078 30 + : UART 0 + 236 0000001E EXPORT UART1_IRQHandler [WEAK] + + ; 0x1F 0x007C 31 + : UART 1 + 237 0000001E EXPORT SPI0_IRQHandler [WEAK] + + ; 0x20 0x0080 32 + : SPIY17 + 238 0000001E EXPORT SPI1_IRQHandler [WEAK] + + ; 0x21 0x0084 33 + + + +ARM Macro Assembler Page 8 + + + : SPIMSS + 239 0000001E EXPORT RSV07_IRQHandler [WEAK] + + ; 0x22 0x0088 34 + : RSV07 + 240 0000001E EXPORT RSV08_IRQHandler [WEAK] + + ; 0x23 0x008C 35 + : RSV08 + 241 0000001E EXPORT RSV09_IRQHandler [WEAK] + + ; 0x24 0x0090 36 + : RSV09 + 242 0000001E EXPORT RSV10_IRQHandler [WEAK] + + ; 0x25 0x0094 37 + : RSV10 + 243 0000001E EXPORT RSV11_IRQHandler [WEAK] + + ; 0x26 0x0098 38 + : RSV11 + 244 0000001E EXPORT FLC_IRQHandler [WEAK] + + ; 0x27 0x009C 39 + : FLC + 245 0000001E EXPORT GPIO0_IRQHandler [WEAK] + + ; 0x28 0x00A0 40 + : GPIO0 + 246 0000001E EXPORT RSV12_IRQHandler [WEAK] + + ; 0x29 0x00A4 41 + : RSV12 + 247 0000001E EXPORT RSV13_IRQHandler [WEAK] + + ; 0x2A 0x00A8 42 + : RSV13 + 248 0000001E EXPORT RSV14_IRQHandler [WEAK] + + ; 0x2B 0x00AC 43 + : RSV14 + 249 0000001E EXPORT DMA0_IRQHandler [WEAK] + + ; 0x2C 0x00B0 44 + : DMA0 + 250 0000001E EXPORT DMA1_IRQHandler [WEAK] + + ; 0x2D 0x00B4 45 + : DMA1 + 251 0000001E EXPORT DMA2_IRQHandler [WEAK] + + ; 0x2E 0x00B8 46 + : DMA2 + 252 0000001E EXPORT DMA3_IRQHandler [WEAK] + + ; 0x2F 0x00BC 47 + : DMA3 + 253 0000001E EXPORT RSV15_IRQHandler [WEAK] + + + + +ARM Macro Assembler Page 9 + + + ; 0x30 0x00C0 48 + : RSV15 + 254 0000001E EXPORT RSV16_IRQHandler [WEAK] + + ; 0x31 0x00C4 49 + : RSV16 + 255 0000001E EXPORT RSV17_IRQHandler [WEAK] + + ; 0x32 0x00C8 50 + : RSV17 + 256 0000001E EXPORT RSV18_IRQHandler [WEAK] + + ; 0x33 0x00CC 51 + : RSV18 + 257 0000001E EXPORT I2C1_IRQHandler [WEAK] + + ; 0x34 0x00D0 52 + : I2C1 + 258 0000001E EXPORT RSV19_IRQHandler [WEAK] + + ; 0x35 0x00D4 53 + : RSV19 + 259 0000001E EXPORT RSV20_IRQHandler [WEAK] + + ; 0x36 0x00D8 54 + : RSV20 + 260 0000001E EXPORT RSV21_IRQHandler [WEAK] + + ; 0x37 0x00DC 55 + : RSV21 + 261 0000001E EXPORT RSV22_IRQHandler [WEAK] + + ; 0x38 0x00E0 56 + : RSV22 + 262 0000001E EXPORT RSV23_IRQHandler [WEAK] + + ; 0x39 0x00E4 57 + : RSV23 + 263 0000001E EXPORT RSV24_IRQHandler [WEAK] + + ; 0x3A 0x00E8 58 + : RSV24 + 264 0000001E EXPORT RSV25_IRQHandler [WEAK] + + ; 0x3B 0x00EC 59 + : RSV25 + 265 0000001E EXPORT RSV26_IRQHandler [WEAK] + + ; 0x3C 0x00F0 60 + : RSV26 + 266 0000001E EXPORT RSV27_IRQHandler [WEAK] + + ; 0x3D 0x00F4 61 + : RSV27 + 267 0000001E EXPORT RSV28_IRQHandler [WEAK] + + ; 0x3E 0x00F8 62 + : RSV28 + 268 0000001E EXPORT RSV29_IRQHandler [WEAK] + + + +ARM Macro Assembler Page 10 + + + + ; 0x3F 0x00FC 63 + : RSV29 + 269 0000001E EXPORT RSV30_IRQHandler [WEAK] + + ; 0x40 0x0100 64 + : RSV30 + 270 0000001E EXPORT RSV31_IRQHandler [WEAK] + + ; 0x41 0x0104 65 + : RSV31 + 271 0000001E EXPORT RSV32_IRQHandler [WEAK] + + ; 0x42 0x0108 66 + : RSV32 + 272 0000001E EXPORT RSV33_IRQHandler [WEAK] + + ; 0x43 0x010C 67 + : RSV33 + 273 0000001E EXPORT RSV34_IRQHandler [WEAK] + + ; 0x44 0x0110 68 + : RSV34 + 274 0000001E EXPORT RSV35_IRQHandler [WEAK] + + ; 0x45 0x0114 69 + : RSV35 + 275 0000001E EXPORT GPIOWAKE_IRQHandler [WEAK] + + ; 0x46 0x0118 70 + : GPIO Wakeup + 276 0000001E + 277 0000001E ;******************************************************* + ************************ + 278 0000001E ; Default handler implementations + 279 0000001E ;******************************************************* + ************************ + 280 0000001E PF_IRQHandler ; 0x10 0x0040 16 + : Power Fail + 281 0000001E WDT0_IRQHandler ; 0x11 0x0044 17 + : Watchdog 0 + 282 0000001E RSV00_IRQHandler ; 0x12 0x0048 18 + : RSV00 + 283 0000001E RTC_IRQHandler ; 0x13 0x004C 19 + : RTC + 284 0000001E RSV01_IRQHandler ; 0x14 0x0050 20 + : RSV01 + 285 0000001E TMR0_IRQHandler ; 0x15 0x0054 21 + : Timer 0 + 286 0000001E TMR1_IRQHandler ; 0x16 0x0058 22 + : Timer 1 + 287 0000001E TMR2_IRQHandler ; 0x17 0x005C 23 + : Timer 2 + 288 0000001E RSV02_IRQHandler ; 0x18 0x0060 24 + : RSV02 + 289 0000001E RSV03_IRQHandler ; 0x19 0x0064 25 + : RSV03 + 290 0000001E RSV04_IRQHandler ; 0x1A 0x0068 26 + : RSV04 + + + +ARM Macro Assembler Page 11 + + + 291 0000001E RSV05_IRQHandler ; 0x1B 0x006C 27 + : RSV05 + 292 0000001E RSV06_IRQHandler ; 0x1C 0x0070 28 + : RSV06 + 293 0000001E I2C0_IRQHandler ; 0x1D 0x0074 29 + : I2C0 + 294 0000001E UART0_IRQHandler ; 0x1E 0x0078 30 + : UART 0 + 295 0000001E UART1_IRQHandler ; 0x1F 0x007C 31 + : UART 1 + 296 0000001E SPI0_IRQHandler ; 0x20 0x0080 32 + : SPI0 + 297 0000001E SPI1_IRQHandler ; 0x21 0x0084 33 + : SPI1 + 298 0000001E RSV07_IRQHandler ; 0x22 0x0088 34 + : RSV07 + 299 0000001E RSV08_IRQHandler ; 0x23 0x008C 35 + : RSV08 + 300 0000001E RSV09_IRQHandler ; 0x24 0x0090 36 + : RSV09 + 301 0000001E RSV10_IRQHandler ; 0x25 0x0094 37 + : RSV10 + 302 0000001E RSV11_IRQHandler ; 0x26 0x0098 38 + : RSV11 + 303 0000001E FLC_IRQHandler ; 0x27 0x009C 39 + : FLC + 304 0000001E GPIO0_IRQHandler ; 0x28 0x00A0 40 + : GPIO0 + 305 0000001E RSV12_IRQHandler ; 0x29 0x00A4 41 + : RSV12 + 306 0000001E RSV13_IRQHandler ; 0x2A 0x00A8 42 + : RSV13 + 307 0000001E RSV14_IRQHandler ; 0x2B 0x00AC 43 + : RSV14 + 308 0000001E DMA0_IRQHandler ; 0x2C 0x00B0 44 + : DMA0 + 309 0000001E DMA1_IRQHandler ; 0x2D 0x00B4 45 + : DMA1 + 310 0000001E DMA2_IRQHandler ; 0x2E 0x00B8 46 + : DMA2 + 311 0000001E DMA3_IRQHandler ; 0x2F 0x00BC 47 + : DMA3 + 312 0000001E RSV15_IRQHandler ; 0x30 0x00C0 48 + : RSV15 + 313 0000001E RSV16_IRQHandler ; 0x31 0x00C4 49 + : RSV16 + 314 0000001E RSV17_IRQHandler ; 0x32 0x00C8 50 + : RSV17 + 315 0000001E RSV18_IRQHandler ; 0x33 0x00CC 51 + : RSV18 + 316 0000001E I2C1_IRQHandler ; 0x34 0x00D0 52 + : I2C1 + 317 0000001E RSV19_IRQHandler ; 0x35 0x00D4 53 + : RSV19 + 318 0000001E RSV20_IRQHandler ; 0x36 0x00D8 54 + : RSV20 + 319 0000001E RSV21_IRQHandler ; 0x37 0x00DC 55 + : RSV21 + 320 0000001E RSV22_IRQHandler ; 0x38 0x00E0 56 + + + +ARM Macro Assembler Page 12 + + + : RSV22 + 321 0000001E RSV23_IRQHandler ; 0x39 0x00E4 57 + : RSV23 + 322 0000001E RSV24_IRQHandler ; 0x3A 0x00E8 58 + : RSV24 + 323 0000001E RSV25_IRQHandler ; 0x3B 0x00EC 59 + : RSV25 + 324 0000001E RSV26_IRQHandler ; 0x3C 0x00F0 60 + : RSV26 + 325 0000001E RSV27_IRQHandler ; 0x3D 0x00F4 61 + : RSV27 + 326 0000001E RSV28_IRQHandler ; 0x3E 0x00F8 62 + : RSV28 + 327 0000001E RSV29_IRQHandler ; 0x3F 0x00FC 63 + : RSV29 + 328 0000001E RSV30_IRQHandler ; 0x40 0x0100 64 + : RSV30 + 329 0000001E RSV31_IRQHandler ; 0x41 0x0104 65 + : RSV31 + 330 0000001E RSV32_IRQHandler ; 0x42 0x0108 66 + : RSV32 + 331 0000001E RSV33_IRQHandler ; 0x43 0x010C 67 + : RSV33 + 332 0000001E RSV34_IRQHandler ; 0x44 0x0110 68 + : RSV34 + 333 0000001E RSV35_IRQHandler ; 0x45 0x0114 69 + : RSV35 + 334 0000001E GPIOWAKE_IRQHandler ; 0x46 0x0118 70 + : GPIO Wakeup + 335 0000001E + 336 0000001E E7FE B . + 337 00000020 ENDP + 338 00000020 + 339 00000020 ALIGN + 340 00000020 + 341 00000020 ;******************************************************* + ************************ + 342 00000020 ; User Stack and Heap initialization + 343 00000020 ;******************************************************* + ************************ + 344 00000020 IF :DEF:__MICROLIB + 345 00000020 + 346 00000020 EXPORT __initial_sp + 347 00000020 EXPORT __heap_base + 348 00000020 EXPORT __heap_limit + 349 00000020 + 350 00000020 ELSE + 367 ENDIF + 368 00000020 + 369 00000020 END + 00000000 + 00000000 +Command Line: --debug --xref --diag_suppress=9931 --cpu=Cortex-M4.fp.sp --apcs= +interwork --depend=.\objects\startup_max32660.d -o.\objects\startup_max32660.o +-IC:\Users\befs\AppData\Local\Arm\Packs\Maxim\MAX32660\1.5.0\Libraries\CMSIS\De +vice\Maxim\MAX32660\Include -IC:\Keil_v5\ARM\CMSIS\Include --predefine="__MICRO +LIB SETA 1" --predefine="__UVISION_VERSION SETA 533" --predefine="MAX32660 SETA + 1" --list=.\listings\startup_max32660.lst ..\SDK\Startup\startup_max32660.S + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +STACK 00000000 + +Symbol: STACK + Definitions + At line 45 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: STACK unused +Stack_Mem 00000000 + +Symbol: Stack_Mem + Definitions + At line 46 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: Stack_Mem unused +__initial_sp 00002000 + +Symbol: __initial_sp + Definitions + At line 47 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 73 in file ..\SDK\Startup\startup_max32660.S + At line 346 in file ..\SDK\Startup\startup_max32660.S + +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +HEAP 00000000 + +Symbol: HEAP + Definitions + At line 55 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: HEAP unused +Heap_Mem 00000000 + +Symbol: Heap_Mem + Definitions + At line 57 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: Heap_Mem unused +__heap_base 00000000 + +Symbol: __heap_base + Definitions + At line 56 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 347 in file ..\SDK\Startup\startup_max32660.S +Comment: __heap_base used once +__heap_limit 00001000 + +Symbol: __heap_limit + Definitions + At line 58 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 348 in file ..\SDK\Startup\startup_max32660.S +Comment: __heap_limit used once +4 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +RESET 00000000 + +Symbol: RESET + Definitions + At line 66 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: RESET unused +__Vectors 00000000 + +Symbol: __Vectors + Definitions + At line 149 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 67 in file ..\SDK\Startup\startup_max32660.S +Comment: __Vectors used once +__Vectors_End 0000011C + +Symbol: __Vectors_End + Definitions + At line 150 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 68 in file ..\SDK\Startup\startup_max32660.S +Comment: __Vectors_End used once +__isr_vector 00000000 + +Symbol: __isr_vector + Definitions + At line 73 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 70 in file ..\SDK\Startup\startup_max32660.S + At line 148 in file ..\SDK\Startup\startup_max32660.S + At line 149 in file ..\SDK\Startup\startup_max32660.S + +__isr_vector_end 0000011C + +Symbol: __isr_vector_end + Definitions + At line 146 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 148 in file ..\SDK\Startup\startup_max32660.S + At line 150 in file ..\SDK\Startup\startup_max32660.S + +5 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.text 00000000 + +Symbol: .text + Definitions + At line 153 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: .text unused +BusFault_Handler 00000014 + +Symbol: BusFault_Handler + Definitions + At line 190 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 78 in file ..\SDK\Startup\startup_max32660.S + At line 191 in file ..\SDK\Startup\startup_max32660.S + +DMA0_IRQHandler 0000001E + +Symbol: DMA0_IRQHandler + Definitions + At line 308 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 119 in file ..\SDK\Startup\startup_max32660.S + At line 249 in file ..\SDK\Startup\startup_max32660.S + +DMA1_IRQHandler 0000001E + +Symbol: DMA1_IRQHandler + Definitions + At line 309 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 120 in file ..\SDK\Startup\startup_max32660.S + At line 250 in file ..\SDK\Startup\startup_max32660.S + +DMA2_IRQHandler 0000001E + +Symbol: DMA2_IRQHandler + Definitions + At line 310 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 121 in file ..\SDK\Startup\startup_max32660.S + At line 251 in file ..\SDK\Startup\startup_max32660.S + +DMA3_IRQHandler 0000001E + +Symbol: DMA3_IRQHandler + Definitions + At line 311 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 122 in file ..\SDK\Startup\startup_max32660.S + At line 252 in file ..\SDK\Startup\startup_max32660.S + +DebugMon_Handler 0000001A + +Symbol: DebugMon_Handler + Definitions + At line 208 in file ..\SDK\Startup\startup_max32660.S + Uses + + + +ARM Macro Assembler Page 2 Alphabetic symbol ordering +Relocatable symbols + + At line 85 in file ..\SDK\Startup\startup_max32660.S + At line 209 in file ..\SDK\Startup\startup_max32660.S + +Default_Handler 0000001E + +Symbol: Default_Handler + Definitions + At line 219 in file ..\SDK\Startup\startup_max32660.S + Uses + None +Comment: Default_Handler unused +FLC_IRQHandler 0000001E + +Symbol: FLC_IRQHandler + Definitions + At line 303 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 114 in file ..\SDK\Startup\startup_max32660.S + At line 244 in file ..\SDK\Startup\startup_max32660.S + +GPIO0_IRQHandler 0000001E + +Symbol: GPIO0_IRQHandler + Definitions + At line 304 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 115 in file ..\SDK\Startup\startup_max32660.S + At line 245 in file ..\SDK\Startup\startup_max32660.S + +GPIOWAKE_IRQHandler 0000001E + +Symbol: GPIOWAKE_IRQHandler + Definitions + At line 334 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 145 in file ..\SDK\Startup\startup_max32660.S + At line 275 in file ..\SDK\Startup\startup_max32660.S + +HardFault_Handler 00000010 + +Symbol: HardFault_Handler + Definitions + At line 178 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 76 in file ..\SDK\Startup\startup_max32660.S + At line 179 in file ..\SDK\Startup\startup_max32660.S + +I2C0_IRQHandler 0000001E + +Symbol: I2C0_IRQHandler + Definitions + At line 293 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 104 in file ..\SDK\Startup\startup_max32660.S + At line 234 in file ..\SDK\Startup\startup_max32660.S + +I2C1_IRQHandler 0000001E + +Symbol: I2C1_IRQHandler + + + +ARM Macro Assembler Page 3 Alphabetic symbol ordering +Relocatable symbols + + Definitions + At line 316 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 127 in file ..\SDK\Startup\startup_max32660.S + At line 257 in file ..\SDK\Startup\startup_max32660.S + +MemManage_Handler 00000012 + +Symbol: MemManage_Handler + Definitions + At line 184 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 77 in file ..\SDK\Startup\startup_max32660.S + At line 185 in file ..\SDK\Startup\startup_max32660.S + +NMI_Handler 0000000E + +Symbol: NMI_Handler + Definitions + At line 172 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 75 in file ..\SDK\Startup\startup_max32660.S + At line 173 in file ..\SDK\Startup\startup_max32660.S + +PF_IRQHandler 0000001E + +Symbol: PF_IRQHandler + Definitions + At line 280 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 91 in file ..\SDK\Startup\startup_max32660.S + At line 221 in file ..\SDK\Startup\startup_max32660.S + +PendSV_Handler 0000001C + +Symbol: PendSV_Handler + Definitions + At line 214 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 87 in file ..\SDK\Startup\startup_max32660.S + At line 215 in file ..\SDK\Startup\startup_max32660.S + +RSV00_IRQHandler 0000001E + +Symbol: RSV00_IRQHandler + Definitions + At line 282 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 93 in file ..\SDK\Startup\startup_max32660.S + At line 223 in file ..\SDK\Startup\startup_max32660.S + +RSV01_IRQHandler 0000001E + +Symbol: RSV01_IRQHandler + Definitions + At line 284 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 95 in file ..\SDK\Startup\startup_max32660.S + At line 225 in file ..\SDK\Startup\startup_max32660.S + + + +ARM Macro Assembler Page 4 Alphabetic symbol ordering +Relocatable symbols + + +RSV02_IRQHandler 0000001E + +Symbol: RSV02_IRQHandler + Definitions + At line 288 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 99 in file ..\SDK\Startup\startup_max32660.S + At line 229 in file ..\SDK\Startup\startup_max32660.S + +RSV03_IRQHandler 0000001E + +Symbol: RSV03_IRQHandler + Definitions + At line 289 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 100 in file ..\SDK\Startup\startup_max32660.S + At line 230 in file ..\SDK\Startup\startup_max32660.S + +RSV04_IRQHandler 0000001E + +Symbol: RSV04_IRQHandler + Definitions + At line 290 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 101 in file ..\SDK\Startup\startup_max32660.S + At line 231 in file ..\SDK\Startup\startup_max32660.S + +RSV05_IRQHandler 0000001E + +Symbol: RSV05_IRQHandler + Definitions + At line 291 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 102 in file ..\SDK\Startup\startup_max32660.S + At line 232 in file ..\SDK\Startup\startup_max32660.S + +RSV06_IRQHandler 0000001E + +Symbol: RSV06_IRQHandler + Definitions + At line 292 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 103 in file ..\SDK\Startup\startup_max32660.S + At line 233 in file ..\SDK\Startup\startup_max32660.S + +RSV07_IRQHandler 0000001E + +Symbol: RSV07_IRQHandler + Definitions + At line 298 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 109 in file ..\SDK\Startup\startup_max32660.S + At line 239 in file ..\SDK\Startup\startup_max32660.S + +RSV08_IRQHandler 0000001E + +Symbol: RSV08_IRQHandler + Definitions + + + +ARM Macro Assembler Page 5 Alphabetic symbol ordering +Relocatable symbols + + At line 299 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 110 in file ..\SDK\Startup\startup_max32660.S + At line 240 in file ..\SDK\Startup\startup_max32660.S + +RSV09_IRQHandler 0000001E + +Symbol: RSV09_IRQHandler + Definitions + At line 300 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 111 in file ..\SDK\Startup\startup_max32660.S + At line 241 in file ..\SDK\Startup\startup_max32660.S + +RSV10_IRQHandler 0000001E + +Symbol: RSV10_IRQHandler + Definitions + At line 301 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 112 in file ..\SDK\Startup\startup_max32660.S + At line 242 in file ..\SDK\Startup\startup_max32660.S + +RSV11_IRQHandler 0000001E + +Symbol: RSV11_IRQHandler + Definitions + At line 302 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 113 in file ..\SDK\Startup\startup_max32660.S + At line 243 in file ..\SDK\Startup\startup_max32660.S + +RSV12_IRQHandler 0000001E + +Symbol: RSV12_IRQHandler + Definitions + At line 305 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 116 in file ..\SDK\Startup\startup_max32660.S + At line 246 in file ..\SDK\Startup\startup_max32660.S + +RSV13_IRQHandler 0000001E + +Symbol: RSV13_IRQHandler + Definitions + At line 306 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 117 in file ..\SDK\Startup\startup_max32660.S + At line 247 in file ..\SDK\Startup\startup_max32660.S + +RSV14_IRQHandler 0000001E + +Symbol: RSV14_IRQHandler + Definitions + At line 307 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 118 in file ..\SDK\Startup\startup_max32660.S + At line 248 in file ..\SDK\Startup\startup_max32660.S + + + + +ARM Macro Assembler Page 6 Alphabetic symbol ordering +Relocatable symbols + +RSV15_IRQHandler 0000001E + +Symbol: RSV15_IRQHandler + Definitions + At line 312 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 123 in file ..\SDK\Startup\startup_max32660.S + At line 253 in file ..\SDK\Startup\startup_max32660.S + +RSV16_IRQHandler 0000001E + +Symbol: RSV16_IRQHandler + Definitions + At line 313 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 124 in file ..\SDK\Startup\startup_max32660.S + At line 254 in file ..\SDK\Startup\startup_max32660.S + +RSV17_IRQHandler 0000001E + +Symbol: RSV17_IRQHandler + Definitions + At line 314 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 125 in file ..\SDK\Startup\startup_max32660.S + At line 255 in file ..\SDK\Startup\startup_max32660.S + +RSV18_IRQHandler 0000001E + +Symbol: RSV18_IRQHandler + Definitions + At line 315 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 126 in file ..\SDK\Startup\startup_max32660.S + At line 256 in file ..\SDK\Startup\startup_max32660.S + +RSV19_IRQHandler 0000001E + +Symbol: RSV19_IRQHandler + Definitions + At line 317 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 128 in file ..\SDK\Startup\startup_max32660.S + At line 258 in file ..\SDK\Startup\startup_max32660.S + +RSV20_IRQHandler 0000001E + +Symbol: RSV20_IRQHandler + Definitions + At line 318 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 129 in file ..\SDK\Startup\startup_max32660.S + At line 259 in file ..\SDK\Startup\startup_max32660.S + +RSV21_IRQHandler 0000001E + +Symbol: RSV21_IRQHandler + Definitions + At line 319 in file ..\SDK\Startup\startup_max32660.S + + + +ARM Macro Assembler Page 7 Alphabetic symbol ordering +Relocatable symbols + + Uses + At line 130 in file ..\SDK\Startup\startup_max32660.S + At line 260 in file ..\SDK\Startup\startup_max32660.S + +RSV22_IRQHandler 0000001E + +Symbol: RSV22_IRQHandler + Definitions + At line 320 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 131 in file ..\SDK\Startup\startup_max32660.S + At line 261 in file ..\SDK\Startup\startup_max32660.S + +RSV23_IRQHandler 0000001E + +Symbol: RSV23_IRQHandler + Definitions + At line 321 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 132 in file ..\SDK\Startup\startup_max32660.S + At line 262 in file ..\SDK\Startup\startup_max32660.S + +RSV24_IRQHandler 0000001E + +Symbol: RSV24_IRQHandler + Definitions + At line 322 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 133 in file ..\SDK\Startup\startup_max32660.S + At line 263 in file ..\SDK\Startup\startup_max32660.S + +RSV25_IRQHandler 0000001E + +Symbol: RSV25_IRQHandler + Definitions + At line 323 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 134 in file ..\SDK\Startup\startup_max32660.S + At line 264 in file ..\SDK\Startup\startup_max32660.S + +RSV26_IRQHandler 0000001E + +Symbol: RSV26_IRQHandler + Definitions + At line 324 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 135 in file ..\SDK\Startup\startup_max32660.S + At line 265 in file ..\SDK\Startup\startup_max32660.S + +RSV27_IRQHandler 0000001E + +Symbol: RSV27_IRQHandler + Definitions + At line 325 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 136 in file ..\SDK\Startup\startup_max32660.S + At line 266 in file ..\SDK\Startup\startup_max32660.S + +RSV28_IRQHandler 0000001E + + + +ARM Macro Assembler Page 8 Alphabetic symbol ordering +Relocatable symbols + + +Symbol: RSV28_IRQHandler + Definitions + At line 326 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 137 in file ..\SDK\Startup\startup_max32660.S + At line 267 in file ..\SDK\Startup\startup_max32660.S + +RSV29_IRQHandler 0000001E + +Symbol: RSV29_IRQHandler + Definitions + At line 327 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 138 in file ..\SDK\Startup\startup_max32660.S + At line 268 in file ..\SDK\Startup\startup_max32660.S + +RSV30_IRQHandler 0000001E + +Symbol: RSV30_IRQHandler + Definitions + At line 328 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 139 in file ..\SDK\Startup\startup_max32660.S + At line 269 in file ..\SDK\Startup\startup_max32660.S + +RSV31_IRQHandler 0000001E + +Symbol: RSV31_IRQHandler + Definitions + At line 329 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 140 in file ..\SDK\Startup\startup_max32660.S + At line 270 in file ..\SDK\Startup\startup_max32660.S + +RSV32_IRQHandler 0000001E + +Symbol: RSV32_IRQHandler + Definitions + At line 330 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 141 in file ..\SDK\Startup\startup_max32660.S + At line 271 in file ..\SDK\Startup\startup_max32660.S + +RSV33_IRQHandler 0000001E + +Symbol: RSV33_IRQHandler + Definitions + At line 331 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 142 in file ..\SDK\Startup\startup_max32660.S + At line 272 in file ..\SDK\Startup\startup_max32660.S + +RSV34_IRQHandler 0000001E + +Symbol: RSV34_IRQHandler + Definitions + At line 332 in file ..\SDK\Startup\startup_max32660.S + Uses + + + +ARM Macro Assembler Page 9 Alphabetic symbol ordering +Relocatable symbols + + At line 143 in file ..\SDK\Startup\startup_max32660.S + At line 273 in file ..\SDK\Startup\startup_max32660.S + +RSV35_IRQHandler 0000001E + +Symbol: RSV35_IRQHandler + Definitions + At line 333 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 144 in file ..\SDK\Startup\startup_max32660.S + At line 274 in file ..\SDK\Startup\startup_max32660.S + +RTC_IRQHandler 0000001E + +Symbol: RTC_IRQHandler + Definitions + At line 283 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 94 in file ..\SDK\Startup\startup_max32660.S + At line 224 in file ..\SDK\Startup\startup_max32660.S + +Reset_Handler 00000000 + +Symbol: Reset_Handler + Definitions + At line 155 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 74 in file ..\SDK\Startup\startup_max32660.S + At line 156 in file ..\SDK\Startup\startup_max32660.S + +SPI0_IRQHandler 0000001E + +Symbol: SPI0_IRQHandler + Definitions + At line 296 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 107 in file ..\SDK\Startup\startup_max32660.S + At line 237 in file ..\SDK\Startup\startup_max32660.S + +SPI1_IRQHandler 0000001E + +Symbol: SPI1_IRQHandler + Definitions + At line 297 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 108 in file ..\SDK\Startup\startup_max32660.S + At line 238 in file ..\SDK\Startup\startup_max32660.S + +SVC_Handler 00000018 + +Symbol: SVC_Handler + Definitions + At line 202 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 84 in file ..\SDK\Startup\startup_max32660.S + At line 203 in file ..\SDK\Startup\startup_max32660.S + +TMR0_IRQHandler 0000001E + + + + +ARM Macro Assembler Page 10 Alphabetic symbol ordering +Relocatable symbols + +Symbol: TMR0_IRQHandler + Definitions + At line 285 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 96 in file ..\SDK\Startup\startup_max32660.S + At line 226 in file ..\SDK\Startup\startup_max32660.S + +TMR1_IRQHandler 0000001E + +Symbol: TMR1_IRQHandler + Definitions + At line 286 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 97 in file ..\SDK\Startup\startup_max32660.S + At line 227 in file ..\SDK\Startup\startup_max32660.S + +TMR2_IRQHandler 0000001E + +Symbol: TMR2_IRQHandler + Definitions + At line 287 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 98 in file ..\SDK\Startup\startup_max32660.S + At line 228 in file ..\SDK\Startup\startup_max32660.S + +UART0_IRQHandler 0000001E + +Symbol: UART0_IRQHandler + Definitions + At line 294 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 105 in file ..\SDK\Startup\startup_max32660.S + At line 235 in file ..\SDK\Startup\startup_max32660.S + +UART1_IRQHandler 0000001E + +Symbol: UART1_IRQHandler + Definitions + At line 295 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 106 in file ..\SDK\Startup\startup_max32660.S + At line 236 in file ..\SDK\Startup\startup_max32660.S + +UsageFault_Handler 00000016 + +Symbol: UsageFault_Handler + Definitions + At line 196 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 79 in file ..\SDK\Startup\startup_max32660.S + At line 197 in file ..\SDK\Startup\startup_max32660.S + +WDT0_IRQHandler 0000001E + +Symbol: WDT0_IRQHandler + Definitions + At line 281 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 92 in file ..\SDK\Startup\startup_max32660.S + + + +ARM Macro Assembler Page 11 Alphabetic symbol ordering +Relocatable symbols + + At line 222 in file ..\SDK\Startup\startup_max32660.S + +__SPIN 00000008 + +Symbol: __SPIN + Definitions + At line 164 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 166 in file ..\SDK\Startup\startup_max32660.S +Comment: __SPIN used once +67 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Absolute symbols + +Heap_Size 00001000 + +Symbol: Heap_Size + Definitions + At line 53 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 57 in file ..\SDK\Startup\startup_max32660.S +Comment: Heap_Size used once +Stack_Size 00002000 + +Symbol: Stack_Size + Definitions + At line 43 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 46 in file ..\SDK\Startup\startup_max32660.S +Comment: Stack_Size used once +__Vectors_Size 0000011C + +Symbol: __Vectors_Size + Definitions + At line 151 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 69 in file ..\SDK\Startup\startup_max32660.S +Comment: __Vectors_Size used once +__isr_vector_size 0000011C + +Symbol: __isr_vector_size + Definitions + At line 148 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 151 in file ..\SDK\Startup\startup_max32660.S +Comment: __isr_vector_size used once +4 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +External symbols + +PreInit 00000000 + +Symbol: PreInit + Definitions + At line 157 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 160 in file ..\SDK\Startup\startup_max32660.S +Comment: PreInit used once +SysTick_Handler 00000000 + +Symbol: SysTick_Handler + Definitions + At line 71 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 88 in file ..\SDK\Startup\startup_max32660.S +Comment: SysTick_Handler used once +__main 00000000 + +Symbol: __main + Definitions + At line 159 in file ..\SDK\Startup\startup_max32660.S + Uses + At line 162 in file ..\SDK\Startup\startup_max32660.S +Comment: __main used once +3 symbols +422 symbols in table diff --git a/Firmware/Compiler/max32660.uvguix.befs b/Firmware/Compiler/max32660.uvguix.befs new file mode 100644 index 0000000..6d15074 --- /dev/null +++ b/Firmware/Compiler/max32660.uvguix.befs @@ -0,0 +1,1923 @@ + + + + -6.1 + +
      ### uVision Project, (C) Keil Software
      + + + C:\Work\temp\MAX325660_base\Firmware\App + + + + + + + 38003 + Registers + 289 289 + + + 346 + Code Coverage + 1010 160 + + + 204 + Performance Analyzer + 1170 + + + + + + 35141 + Event Statistics + + 200 50 700 + + + 1506 + Symbols + + 80 80 80 + + + 1936 + Watch 1 + + 200 133 133 + + + 1937 + Watch 2 + + 200 133 133 + + + 1935 + Call Stack + Locals + + 200 133 133 + + + 2506 + Trace Data + + 75 135 130 95 70 230 200 150 + + + 466 + Source Browser + 500 + 300 + + + + + + + + 1 + 1 + 0 + 0 + -1 + + + + + + + 44 + 2 + 3 + + -1 + -1 + + + -1 + -1 + + + 129 + 8 + 1928 + 758 + + + + 0 + + 753 + 01000000040000000100000001000000010000000100000000000000020000000000000001000000010000000000000028000000280000000100000006000000000000000100000037433A5C576F726B5C39372E204769745365727665725C6D617833323636305F626173655C4669726D776172655C4170705C6D61696E2E6300000000066D61696E2E6300000000C5D4F200FFFFFFFF44433A5C576F726B5C39372E204769745365727665725C6D617833323636305F626173655C4669726D776172655C53444B5C4465766963655C6D78635F6465766963652E68000000000C6D78635F6465766963652E6800000000FFDC7800FFFFFFFF4B433A5C576F726B5C39372E204769745365727665725C6D617833323636305F626173655C4669726D776172655C53444B5C537461727475705C737461727475705F6D617833323636302E530000000012737461727475705F6D617833323636302E5300000000BECEA100FFFFFFFF42433A5C576F726B5C39372E204769745365727665725C6D617833323636305F626173655C4669726D776172655C4170705C73797374656D5F6D617833323636302E63000000001173797374656D5F6D617833323636302E6300000000F0A0A100FFFFFFFF44433A5C576F726B5C39372E204769745365727665725C6D617833323636305F626173655C4669726D776172655C53444B5C4472697665725C536F757263655C7274632E6300000000057274632E6300000000BCA8E100FFFFFFFF49433A5C576F726B5C39372E204769745365727665725C6D617833323636305F626173655C4669726D776172655C53444B5C4472697665725C536F757263655C6D78635F6C6F636B2E63000000000A6D78635F6C6F636B2E63000000009CC1B600FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD500010000000000000002000000500200006600000080070000FF020000 + + + + 0 + Build + + -1 + -1 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F40000004F00000090050000DF000000 + + + 16 + F40000006600000090050000F6000000 + + + + 1005 + 1005 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006600000049020000CF020000 + + + 16 + 560000006D0000004601000038010000 + + + + 109 + 109 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006600000049020000CF020000 + + + 16 + 560000006D00000072010000AD020000 + + + + 1465 + 1465 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1466 + 1466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1467 + 1467 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1468 + 1468 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1506 + 1506 + 0 + 0 + 0 + 0 + 32767 + 0 + 16384 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 1913 + 1913 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1935 + 1935 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000004601000038010000 + + + + 1936 + 1936 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000004601000038010000 + + + + 1937 + 1937 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000004601000038010000 + + + + 1939 + 1939 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1940 + 1940 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1941 + 1941 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 1942 + 1942 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 195 + 195 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006600000049020000CF020000 + + + 16 + 560000006D00000072010000AD020000 + + + + 196 + 196 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006600000049020000CF020000 + + + 16 + 560000006D00000072010000AD020000 + + + + 197 + 197 + 1 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 03000000030300007D070000CD030000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 198 + 198 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000001902000090050000BD020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 199 + 199 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000030300007D070000CD030000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 203 + 203 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 204 + 204 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 221 + 221 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000000000000000000000000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 2506 + 2506 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 2507 + 2507 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 343 + 343 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 346 + 346 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 35141 + 35141 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000004601000038010000 + + + + 35824 + 35824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 35885 + 35885 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35886 + 35886 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35887 + 35887 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35888 + 35888 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35889 + 35889 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35890 + 35890 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35891 + 35891 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35892 + 35892 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35893 + 35893 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35894 + 35894 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35895 + 35895 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35896 + 35896 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35897 + 35897 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35898 + 35898 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35899 + 35899 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35900 + 35900 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35901 + 35901 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35902 + 35902 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35903 + 35903 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35904 + 35904 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 35905 + 35905 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 38003 + 38003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006600000049020000CF020000 + + + 16 + 560000006D00000072010000AD020000 + + + + 38007 + 38007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000030300007D070000CD030000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 436 + 436 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000030300007D070000CD030000 + + + 16 + 560000006D00000072010000AD020000 + + + + 437 + 437 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000004601000038010000 + + + + 440 + 440 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000004601000038010000 + + + + 463 + 463 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000030300007D070000CD030000 + + + 16 + 560000006D00000072010000AD020000 + + + + 466 + 466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000030300007D070000CD030000 + + + 16 + 560000006D00000072010000AD020000 + + + + 470 + 470 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 560000006D0000001E030000FD000000 + + + + 50000 + 50000 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50001 + 50001 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50002 + 50002 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50003 + 50003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50004 + 50004 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50005 + 50005 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50006 + 50006 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50007 + 50007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50008 + 50008 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50009 + 50009 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50010 + 50010 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50011 + 50011 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50012 + 50012 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50013 + 50013 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50014 + 50014 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50015 + 50015 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50016 + 50016 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50017 + 50017 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50018 + 50018 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 50019 + 50019 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D05000010020000 + + + 16 + 560000006D0000004601000038010000 + + + + 59392 + 59392 + 1 + 0 + 0 + 0 + 966 + 0 + 8192 + 0 + + 16 + 0000000000000000D10300001C000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59393 + 0 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000E603000080070000F9030000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59399 + 59399 + 1 + 0 + 0 + 0 + 476 + 0 + 8192 + 1 + + 16 + 000000001C000000E701000038000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59400 + 59400 + 0 + 0 + 0 + 0 + 612 + 0 + 8192 + 2 + + 16 + 00000000380000006F02000054000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 824 + 824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000300200008D050000A4020000 + + + 16 + 560000006D0000004601000038010000 + + + + 3312 + 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 + + + 59392 + File + + 2570 + 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 + + + 1423 + 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 + + + 1423 + 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 + + + + 59399 + Build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ebug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pp\main.c + 0 + 1 + 12 + 1 + + 0 + + + ..\SDK\Device\mxc_device.h + 14 + 34 + 61 + 1 + + 0 + + + ..\SDK\Startup\startup_max32660.S + 45 + 25 + 36 + 1 + + 0 + + + ..\App\system_max32660.c + 47 + 109 + 131 + 1 + + 0 + + + ..\SDK\Driver\Source\rtc.c + 0 + 161 + 197 + 1 + + 0 + + + ..\SDK\Driver\Source\mxc_lock.c + 0 + 25 + 61 + 1 + + 0 + + + + +
      diff --git a/Firmware/Compiler/max32660.uvoptx b/Firmware/Compiler/max32660.uvoptx new file mode 100644 index 0000000..82fb2aa --- /dev/null +++ b/Firmware/Compiler/max32660.uvoptx @@ -0,0 +1,496 @@ + + + + 1.0 + +
      ### uVision Project, (C) Keil Software
      + + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc; *.md + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + MAX32660 + 0x4 + ARM-ADS + + 12000000 + + 1 + 1 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listings\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 255 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 3 + + + + + + + + + + + BIN\CMSIS_AGDI.dll + + + + 0 + CMSIS_AGDI + -X"Any" -UAny -O206 -S8 -C0 -P00000000 -N00("ARM CoreSight SW-DP") -D00(2BA01477) -L00(0) -TO65554 -TC10000000 -TT10000000 -TP20 -TDS8007 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0MAX32660.FLM -FS00 -FL080000 -FP0($$Device:MAX32660$Flash\MAX32660.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0MAX32660 -FS00 -FL080000 -FP0($$Device:MAX32660$Flash\MAX32660.FLM)) + + + + + 0 + + + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + 1 + 1 + 0 + 2 + 10000000 + + + + + + Application + 1 + 0 + 0 + 0 + + 1 + 1 + 1 + 0 + 0 + 0 + ..\App\main.c + main.c + 0 + 0 + + + 1 + 2 + 1 + 0 + 0 + 0 + ..\App\system_max32660.c + system_max32660.c + 0 + 0 + + + + + SDK + 0 + 0 + 0 + 0 + + 2 + 3 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\dma.c + dma.c + 0 + 0 + + + 2 + 4 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\flc.c + flc.c + 0 + 0 + + + 2 + 5 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\gpio.c + gpio.c + 0 + 0 + + + 2 + 6 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\i2c.c + i2c.c + 0 + 0 + + + 2 + 7 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\i2s.c + i2s.c + 0 + 0 + + + 2 + 8 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\icc.c + icc.c + 0 + 0 + + + 2 + 9 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\lp.c + lp.c + 0 + 0 + + + 2 + 10 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\mxc_assert.c + mxc_assert.c + 0 + 0 + + + 2 + 11 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\mxc_delay.c + mxc_delay.c + 0 + 0 + + + 2 + 12 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\mxc_lock.c + mxc_lock.c + 0 + 0 + + + 2 + 13 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\mxc_pins.c + mxc_pins.c + 0 + 0 + + + 2 + 14 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\mxc_sys.c + mxc_sys.c + 0 + 0 + + + 2 + 15 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\nvic_table.c + nvic_table.c + 0 + 0 + + + 2 + 16 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\rtc.c + rtc.c + 0 + 0 + + + 2 + 17 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\spi.c + spi.c + 0 + 0 + + + 2 + 18 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\spi17y.c + spi17y.c + 0 + 0 + + + 2 + 19 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\spimss.c + spimss.c + 0 + 0 + + + 2 + 20 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\tmr.c + tmr.c + 0 + 0 + + + 2 + 21 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\tmr_utils.c + tmr_utils.c + 0 + 0 + + + 2 + 22 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\uart.c + uart.c + 0 + 0 + + + 2 + 23 + 1 + 0 + 0 + 0 + ..\SDK\Driver\Source\wdt.c + wdt.c + 0 + 0 + + + + + Startup + 0 + 0 + 0 + 0 + + 3 + 24 + 2 + 0 + 0 + 0 + ..\SDK\Startup\startup_max32660.S + startup_max32660.S + 0 + 0 + + + +
      diff --git a/Firmware/Compiler/max32660.uvprojx b/Firmware/Compiler/max32660.uvprojx new file mode 100644 index 0000000..dbdc2f8 --- /dev/null +++ b/Firmware/Compiler/max32660.uvprojx @@ -0,0 +1,537 @@ + + + + 2.1 + +
      ### uVision Project, (C) Keil Software
      + + + + MAX32660 + 0x4 + ARM-ADS + 5060960::V5.06 update 7 (build 960)::.\ARMCC + 0 + + + MAX32660:Cortex-M4 + Maxim + Maxim.MAX32660.1.5.0 + https://www.mxim.net/microcontroller/pack/ + IRAM(0x20000000,0x00020000) IROM(0x00000000,0x00080000) CPUTYPE("Cortex-M4") FPU2 CLOCK(12000000) ELITTLE + + + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0MAX32660 -FS00 -FL080000 -FP0($$Device:MAX32660$Flash\MAX32660.FLM)) + 0 + $$Device:MAX32660$Libraries\CMSIS\Device\Maxim\MAX32660\Include\max32660.h + + + + + + + + + + $$Device:MAX32660$Libraries\CMSIS\Device\Maxim\MAX32660\Include\max32660.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + max32660 + 1 + 0 + 1 + 1 + 1 + .\Listings\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + + + SARMCM3.DLL + + DCM.DLL + -pCM4 + SARMCM3.DLL + + TCM.DLL + -pCM4 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + -1 + + 1 + BIN\UL2CM3.DLL + + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M4" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x20000 + + + 1 + 0x0 + 0x80000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x80000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x20000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 1 + 0 + 3 + 3 + 1 + 1 + 0 + 0 + 0 + + + TARGET=32660,TARGET_REV=0x4131 + + ..\SDK\CMSIS;..\SDK\Device;..\SDK\Driver\Include;..\SDK\Driver\Source;..\SDK\Startup;..\App + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x00000000 + 0x20000000 + + + + + + + + + + + + + Application + + + main.c + 1 + ..\App\main.c + + + system_max32660.c + 1 + ..\App\system_max32660.c + + + + + SDK + + + dma.c + 1 + ..\SDK\Driver\Source\dma.c + + + flc.c + 1 + ..\SDK\Driver\Source\flc.c + + + gpio.c + 1 + ..\SDK\Driver\Source\gpio.c + + + i2c.c + 1 + ..\SDK\Driver\Source\i2c.c + + + i2s.c + 1 + ..\SDK\Driver\Source\i2s.c + + + icc.c + 1 + ..\SDK\Driver\Source\icc.c + + + lp.c + 1 + ..\SDK\Driver\Source\lp.c + + + mxc_assert.c + 1 + ..\SDK\Driver\Source\mxc_assert.c + + + mxc_delay.c + 1 + ..\SDK\Driver\Source\mxc_delay.c + + + mxc_lock.c + 1 + ..\SDK\Driver\Source\mxc_lock.c + + + mxc_pins.c + 1 + ..\SDK\Driver\Source\mxc_pins.c + + + mxc_sys.c + 1 + ..\SDK\Driver\Source\mxc_sys.c + + + nvic_table.c + 1 + ..\SDK\Driver\Source\nvic_table.c + + + rtc.c + 1 + ..\SDK\Driver\Source\rtc.c + + + spi.c + 1 + ..\SDK\Driver\Source\spi.c + + + spi17y.c + 1 + ..\SDK\Driver\Source\spi17y.c + + + spimss.c + 1 + ..\SDK\Driver\Source\spimss.c + + + tmr.c + 1 + ..\SDK\Driver\Source\tmr.c + + + tmr_utils.c + 1 + ..\SDK\Driver\Source\tmr_utils.c + + + uart.c + 1 + ..\SDK\Driver\Source\uart.c + + + wdt.c + 1 + ..\SDK\Driver\Source\wdt.c + + + + + Startup + + + startup_max32660.S + 2 + ..\SDK\Startup\startup_max32660.S + + + + + + + + + + + + + + + + + max32660 + 1 + + + + +
      diff --git a/Firmware/SDK/CMSIS/arm_common_tables.h b/Firmware/SDK/CMSIS/arm_common_tables.h new file mode 100644 index 0000000..fa60a62 --- /dev/null +++ b/Firmware/SDK/CMSIS/arm_common_tables.h @@ -0,0 +1,100 @@ +/** + * @file arm_common_tables.h + * @brief External declaration for common tables like Bitreverse, reciprocal etc. + */ + +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2013 ARM Limited. All rights reserved. +* +* $Date: 17. January 2013 +* $Revision: V1.4.1 +* +* Project: CMSIS DSP Library +* Title: arm_common_tables.h +* +* Description: This file has extern declaration for common tables like Bitreverse, reciprocal etc which are used across different functions +* +* Target Processor: Cortex-M4/Cortex-M3 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. +* -------------------------------------------------------------------- */ + + + +#ifndef _ARM_COMMON_TABLES_H +#define _ARM_COMMON_TABLES_H + +#include "arm_math.h" + +extern const uint16_t armBitRevTable[1024]; +extern const q15_t armRecipTableQ15[64]; +extern const q31_t armRecipTableQ31[64]; +extern const q31_t realCoefAQ31[1024]; +extern const q31_t realCoefBQ31[1024]; +extern const float32_t twiddleCoef_16[32]; +extern const float32_t twiddleCoef_32[64]; +extern const float32_t twiddleCoef_64[128]; +extern const float32_t twiddleCoef_128[256]; +extern const float32_t twiddleCoef_256[512]; +extern const float32_t twiddleCoef_512[1024]; +extern const float32_t twiddleCoef_1024[2048]; +extern const float32_t twiddleCoef_2048[4096]; +extern const float32_t twiddleCoef_4096[8192]; +#define twiddleCoef twiddleCoef_4096 +extern const q31_t twiddleCoefQ31[6144]; +extern const q15_t twiddleCoefQ15[6144]; +extern const float32_t twiddleCoef_rfft_32[32]; +extern const float32_t twiddleCoef_rfft_64[64]; +extern const float32_t twiddleCoef_rfft_128[128]; +extern const float32_t twiddleCoef_rfft_256[256]; +extern const float32_t twiddleCoef_rfft_512[512]; +extern const float32_t twiddleCoef_rfft_1024[1024]; +extern const float32_t twiddleCoef_rfft_2048[2048]; +extern const float32_t twiddleCoef_rfft_4096[4096]; + + +#define ARMBITREVINDEXTABLE__16_TABLE_LENGTH ((uint16_t)20 ) +#define ARMBITREVINDEXTABLE__32_TABLE_LENGTH ((uint16_t)48 ) +#define ARMBITREVINDEXTABLE__64_TABLE_LENGTH ((uint16_t)56 ) +#define ARMBITREVINDEXTABLE_128_TABLE_LENGTH ((uint16_t)208 ) +#define ARMBITREVINDEXTABLE_256_TABLE_LENGTH ((uint16_t)440 ) +#define ARMBITREVINDEXTABLE_512_TABLE_LENGTH ((uint16_t)448 ) +#define ARMBITREVINDEXTABLE1024_TABLE_LENGTH ((uint16_t)1800) +#define ARMBITREVINDEXTABLE2048_TABLE_LENGTH ((uint16_t)3808) +#define ARMBITREVINDEXTABLE4096_TABLE_LENGTH ((uint16_t)4032) + +extern const uint16_t armBitRevIndexTable16[ARMBITREVINDEXTABLE__16_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable32[ARMBITREVINDEXTABLE__32_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable64[ARMBITREVINDEXTABLE__64_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable128[ARMBITREVINDEXTABLE_128_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable256[ARMBITREVINDEXTABLE_256_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable512[ARMBITREVINDEXTABLE_512_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable1024[ARMBITREVINDEXTABLE1024_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable2048[ARMBITREVINDEXTABLE2048_TABLE_LENGTH]; +extern const uint16_t armBitRevIndexTable4096[ARMBITREVINDEXTABLE4096_TABLE_LENGTH]; + +#endif /* ARM_COMMON_TABLES_H */ diff --git a/Firmware/SDK/CMSIS/arm_const_structs.h b/Firmware/SDK/CMSIS/arm_const_structs.h new file mode 100644 index 0000000..8d7fac0 --- /dev/null +++ b/Firmware/SDK/CMSIS/arm_const_structs.h @@ -0,0 +1,85 @@ +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2013 ARM Limited. All rights reserved. +* +* $Date: 17. January 2013 +* $Revision: V1.4.1 +* +* Project: CMSIS DSP Library +* Title: arm_const_structs.h +* +* Description: This file has constant structs that are initialized for +* user convenience. For example, some can be given as +* arguments to the arm_cfft_f32() function. +* +* Target Processor: Cortex-M4/Cortex-M3 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. +* -------------------------------------------------------------------- */ + +#ifndef _ARM_CONST_STRUCTS_H +#define _ARM_CONST_STRUCTS_H + +#include "arm_math.h" +#include "arm_common_tables.h" + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len16 = { + 16, twiddleCoef_16, armBitRevIndexTable16, ARMBITREVINDEXTABLE__16_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len32 = { + 32, twiddleCoef_32, armBitRevIndexTable32, ARMBITREVINDEXTABLE__32_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len64 = { + 64, twiddleCoef_64, armBitRevIndexTable64, ARMBITREVINDEXTABLE__64_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len128 = { + 128, twiddleCoef_128, armBitRevIndexTable128, ARMBITREVINDEXTABLE_128_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len256 = { + 256, twiddleCoef_256, armBitRevIndexTable256, ARMBITREVINDEXTABLE_256_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len512 = { + 512, twiddleCoef_512, armBitRevIndexTable512, ARMBITREVINDEXTABLE_512_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len1024 = { + 1024, twiddleCoef_1024, armBitRevIndexTable1024, ARMBITREVINDEXTABLE1024_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len2048 = { + 2048, twiddleCoef_2048, armBitRevIndexTable2048, ARMBITREVINDEXTABLE2048_TABLE_LENGTH + }; + + const arm_cfft_instance_f32 arm_cfft_sR_f32_len4096 = { + 4096, twiddleCoef_4096, armBitRevIndexTable4096, ARMBITREVINDEXTABLE4096_TABLE_LENGTH + }; + +#endif diff --git a/Firmware/SDK/CMSIS/arm_math.h b/Firmware/SDK/CMSIS/arm_math.h new file mode 100644 index 0000000..65304c1 --- /dev/null +++ b/Firmware/SDK/CMSIS/arm_math.h @@ -0,0 +1,7306 @@ +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2013 ARM Limited. All rights reserved. +* +* $Date: 17. January 2013 +* $Revision: V1.4.1 +* +* Project: CMSIS DSP Library +* Title: arm_math.h +* +* Description: Public header file for CMSIS DSP Library +* +* Target Processor: Cortex-M4/Cortex-M3/Cortex-M0 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. + * -------------------------------------------------------------------- */ + +/** + \mainpage CMSIS DSP Software Library + * + * Introduction + * + * This user manual describes the CMSIS DSP software library, + * a suite of common signal processing functions for use on Cortex-M processor based devices. + * + * The library is divided into a number of functions each covering a specific category: + * - Basic math functions + * - Fast math functions + * - Complex math functions + * - Filters + * - Matrix functions + * - Transforms + * - Motor control functions + * - Statistical functions + * - Support functions + * - Interpolation functions + * + * The library has separate functions for operating on 8-bit integers, 16-bit integers, + * 32-bit integer and 32-bit floating-point values. + * + * Using the Library + * + * The library installer contains prebuilt versions of the libraries in the Lib folder. + * - arm_cortexM4lf_math.lib (Little endian and Floating Point Unit on Cortex-M4) + * - arm_cortexM4bf_math.lib (Big endian and Floating Point Unit on Cortex-M4) + * - arm_cortexM4l_math.lib (Little endian on Cortex-M4) + * - arm_cortexM4b_math.lib (Big endian on Cortex-M4) + * - arm_cortexM3l_math.lib (Little endian on Cortex-M3) + * - arm_cortexM3b_math.lib (Big endian on Cortex-M3) + * - arm_cortexM0l_math.lib (Little endian on Cortex-M0) + * - arm_cortexM0b_math.lib (Big endian on Cortex-M3) + * + * The library functions are declared in the public file arm_math.h which is placed in the Include folder. + * Simply include this file and link the appropriate library in the application and begin calling the library functions. The Library supports single + * public header file arm_math.h for Cortex-M4/M3/M0 with little endian and big endian. Same header file will be used for floating point unit(FPU) variants. + * Define the appropriate pre processor MACRO ARM_MATH_CM4 or ARM_MATH_CM3 or + * ARM_MATH_CM0 or ARM_MATH_CM0PLUS depending on the target processor in the application. + * + * Examples + * + * The library ships with a number of examples which demonstrate how to use the library functions. + * + * Toolchain Support + * + * The library has been developed and tested with MDK-ARM version 4.60. + * The library is being tested in GCC and IAR toolchains and updates on this activity will be made available shortly. + * + * Building the Library + * + * The library installer contains project files to re build libraries on MDK Tool chain in the CMSIS\\DSP_Lib\\Source\\ARM folder. + * - arm_cortexM0b_math.uvproj + * - arm_cortexM0l_math.uvproj + * - arm_cortexM3b_math.uvproj + * - arm_cortexM3l_math.uvproj + * - arm_cortexM4b_math.uvproj + * - arm_cortexM4l_math.uvproj + * - arm_cortexM4bf_math.uvproj + * - arm_cortexM4lf_math.uvproj + * + * + * The project can be built by opening the appropriate project in MDK-ARM 4.60 chain and defining the optional pre processor MACROs detailed above. + * + * Pre-processor Macros + * + * Each library project have differant pre-processor macros. + * + * - UNALIGNED_SUPPORT_DISABLE: + * + * Define macro UNALIGNED_SUPPORT_DISABLE, If the silicon does not support unaligned memory access + * + * - ARM_MATH_BIG_ENDIAN: + * + * Define macro ARM_MATH_BIG_ENDIAN to build the library for big endian targets. By default library builds for little endian targets. + * + * - ARM_MATH_MATRIX_CHECK: + * + * Define macro ARM_MATH_MATRIX_CHECK for checking on the input and output sizes of matrices + * + * - ARM_MATH_ROUNDING: + * + * Define macro ARM_MATH_ROUNDING for rounding on support functions + * + * - ARM_MATH_CMx: + * + * Define macro ARM_MATH_CM4 for building the library on Cortex-M4 target, ARM_MATH_CM3 for building library on Cortex-M3 target + * and ARM_MATH_CM0 for building library on cortex-M0 target, ARM_MATH_CM0PLUS for building library on cortex-M0+ target. + * + * - __FPU_PRESENT: + * + * Initialize macro __FPU_PRESENT = 1 when building on FPU supported Targets. Enable this macro for M4bf and M4lf libraries + * + * Copyright Notice + * + * Copyright (C) 2010-2013 ARM Limited. All rights reserved. + */ + + +/** + * @defgroup groupMath Basic Math Functions + */ + +/** + * @defgroup groupFastMath Fast Math Functions + * This set of functions provides a fast approximation to sine, cosine, and square root. + * As compared to most of the other functions in the CMSIS math library, the fast math functions + * operate on individual values and not arrays. + * There are separate functions for Q15, Q31, and floating-point data. + * + */ + +/** + * @defgroup groupCmplxMath Complex Math Functions + * This set of functions operates on complex data vectors. + * The data in the complex arrays is stored in an interleaved fashion + * (real, imag, real, imag, ...). + * In the API functions, the number of samples in a complex array refers + * to the number of complex values; the array contains twice this number of + * real values. + */ + +/** + * @defgroup groupFilters Filtering Functions + */ + +/** + * @defgroup groupMatrix Matrix Functions + * + * This set of functions provides basic matrix math operations. + * The functions operate on matrix data structures. For example, + * the type + * definition for the floating-point matrix structure is shown + * below: + *
      + *     typedef struct
      + *     {
      + *       uint16_t numRows;     // number of rows of the matrix.
      + *       uint16_t numCols;     // number of columns of the matrix.
      + *       float32_t *pData;     // points to the data of the matrix.
      + *     } arm_matrix_instance_f32;
      + * 
      + * There are similar definitions for Q15 and Q31 data types. + * + * The structure specifies the size of the matrix and then points to + * an array of data. The array is of size numRows X numCols + * and the values are arranged in row order. That is, the + * matrix element (i, j) is stored at: + *
      + *     pData[i*numCols + j]
      + * 
      + * + * \par Init Functions + * There is an associated initialization function for each type of matrix + * data structure. + * The initialization function sets the values of the internal structure fields. + * Refer to the function arm_mat_init_f32(), arm_mat_init_q31() + * and arm_mat_init_q15() for floating-point, Q31 and Q15 types, respectively. + * + * \par + * Use of the initialization function is optional. However, if initialization function is used + * then the instance structure cannot be placed into a const data section. + * To place the instance structure in a const data + * section, manually initialize the data structure. For example: + *
      + * arm_matrix_instance_f32 S = {nRows, nColumns, pData};
      + * arm_matrix_instance_q31 S = {nRows, nColumns, pData};
      + * arm_matrix_instance_q15 S = {nRows, nColumns, pData};
      + * 
      + * where nRows specifies the number of rows, nColumns + * specifies the number of columns, and pData points to the + * data array. + * + * \par Size Checking + * By default all of the matrix functions perform size checking on the input and + * output matrices. For example, the matrix addition function verifies that the + * two input matrices and the output matrix all have the same number of rows and + * columns. If the size check fails the functions return: + *
      + *     ARM_MATH_SIZE_MISMATCH
      + * 
      + * Otherwise the functions return + *
      + *     ARM_MATH_SUCCESS
      + * 
      + * There is some overhead associated with this matrix size checking. + * The matrix size checking is enabled via the \#define + *
      + *     ARM_MATH_MATRIX_CHECK
      + * 
      + * within the library project settings. By default this macro is defined + * and size checking is enabled. By changing the project settings and + * undefining this macro size checking is eliminated and the functions + * run a bit faster. With size checking disabled the functions always + * return ARM_MATH_SUCCESS. + */ + +/** + * @defgroup groupTransforms Transform Functions + */ + +/** + * @defgroup groupController Controller Functions + */ + +/** + * @defgroup groupStats Statistics Functions + */ +/** + * @defgroup groupSupport Support Functions + */ + +/** + * @defgroup groupInterpolation Interpolation Functions + * These functions perform 1- and 2-dimensional interpolation of data. + * Linear interpolation is used for 1-dimensional data and + * bilinear interpolation is used for 2-dimensional data. + */ + +/** + * @defgroup groupExamples Examples + */ +#ifndef _ARM_MATH_H +#define _ARM_MATH_H + +#define __CMSIS_GENERIC /* disable NVIC and Systick functions */ + +#if defined (ARM_MATH_CM4) +#include "core_cm4.h" +#elif defined (ARM_MATH_CM3) +#include "core_cm3.h" +#elif defined (ARM_MATH_CM0) +#include "core_cm0.h" +#define ARM_MATH_CM0_FAMILY +#elif defined (ARM_MATH_CM0PLUS) +#include "core_cm0plus.h" +#define ARM_MATH_CM0_FAMILY +#else +#include "ARMCM4.h" +#warning "Define either ARM_MATH_CM4 OR ARM_MATH_CM3...By Default building on ARM_MATH_CM4....." +#endif + +#undef __CMSIS_GENERIC /* enable NVIC and Systick functions */ +#include "string.h" +#include "math.h" +#ifdef __cplusplus +extern "C" +{ +#endif + + + /** + * @brief Macros required for reciprocal calculation in Normalized LMS + */ + +#define DELTA_Q31 (0x100) +#define DELTA_Q15 0x5 +#define INDEX_MASK 0x0000003F +#ifndef PI +#define PI 3.14159265358979f +#endif + + /** + * @brief Macros required for SINE and COSINE Fast math approximations + */ + +#define TABLE_SIZE 256 +#define TABLE_SPACING_Q31 0x800000 +#define TABLE_SPACING_Q15 0x80 + + /** + * @brief Macros required for SINE and COSINE Controller functions + */ + /* 1.31(q31) Fixed value of 2/360 */ + /* -1 to +1 is divided into 360 values so total spacing is (2/360) */ +#define INPUT_SPACING 0xB60B61 + + /** + * @brief Macro for Unaligned Support + */ +#ifndef UNALIGNED_SUPPORT_DISABLE + #define ALIGN4 +#else + #if defined (__GNUC__) + #define ALIGN4 __attribute__((aligned(4))) + #else + #define ALIGN4 __align(4) + #endif +#endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */ + + /** + * @brief Error status returned by some functions in the library. + */ + + typedef enum + { + ARM_MATH_SUCCESS = 0, /**< No error */ + ARM_MATH_ARGUMENT_ERROR = -1, /**< One or more arguments are incorrect */ + ARM_MATH_LENGTH_ERROR = -2, /**< Length of data buffer is incorrect */ + ARM_MATH_SIZE_MISMATCH = -3, /**< Size of matrices is not compatible with the operation. */ + ARM_MATH_NANINF = -4, /**< Not-a-number (NaN) or infinity is generated */ + ARM_MATH_SINGULAR = -5, /**< Generated by matrix inversion if the input matrix is singular and cannot be inverted. */ + ARM_MATH_TEST_FAILURE = -6 /**< Test Failed */ + } arm_status; + + /** + * @brief 8-bit fractional data type in 1.7 format. + */ + typedef int8_t q7_t; + + /** + * @brief 16-bit fractional data type in 1.15 format. + */ + typedef int16_t q15_t; + + /** + * @brief 32-bit fractional data type in 1.31 format. + */ + typedef int32_t q31_t; + + /** + * @brief 64-bit fractional data type in 1.63 format. + */ + typedef int64_t q63_t; + + /** + * @brief 32-bit floating-point type definition. + */ + typedef float float32_t; + + /** + * @brief 64-bit floating-point type definition. + */ + typedef double float64_t; + + /** + * @brief definition to read/write two 16 bit values. + */ +#if defined __CC_ARM +#define __SIMD32_TYPE int32_t __packed +#define CMSIS_UNUSED __attribute__((unused)) +#elif defined __ICCARM__ +#define CMSIS_UNUSED +#define __SIMD32_TYPE int32_t __packed +#elif defined __GNUC__ +#define __SIMD32_TYPE int32_t +#define CMSIS_UNUSED __attribute__((unused)) +#else +#error Unknown compiler +#endif + +#define __SIMD32(addr) (*(__SIMD32_TYPE **) & (addr)) +#define __SIMD32_CONST(addr) ((__SIMD32_TYPE *)(addr)) + +#define _SIMD32_OFFSET(addr) (*(__SIMD32_TYPE *) (addr)) + +#define __SIMD64(addr) (*(int64_t **) & (addr)) + +#if defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) + /** + * @brief definition to pack two 16 bit values. + */ +#define __PKHBT(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0x0000FFFF) | \ + (((int32_t)(ARG2) << ARG3) & (int32_t)0xFFFF0000) ) +#define __PKHTB(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0xFFFF0000) | \ + (((int32_t)(ARG2) >> ARG3) & (int32_t)0x0000FFFF) ) + +#endif + + + /** + * @brief definition to pack four 8 bit values. + */ +#ifndef ARM_MATH_BIG_ENDIAN + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v0) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v1) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v2) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v3) << 24) & (int32_t)0xFF000000) ) +#else + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v3) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v2) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v1) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v0) << 24) & (int32_t)0xFF000000) ) + +#endif + + + /** + * @brief Clips Q63 to Q31 values. + */ + static __INLINE q31_t clip_q63_to_q31( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFFFFFF ^ ((q31_t) (x >> 63)))) : (q31_t) x; + } + + /** + * @brief Clips Q63 to Q15 values. + */ + static __INLINE q15_t clip_q63_to_q15( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFF ^ ((q15_t) (x >> 63)))) : (q15_t) (x >> 15); + } + + /** + * @brief Clips Q31 to Q7 values. + */ + static __INLINE q7_t clip_q31_to_q7( + q31_t x) + { + return ((q31_t) (x >> 24) != ((q31_t) x >> 23)) ? + ((0x7F ^ ((q7_t) (x >> 31)))) : (q7_t) x; + } + + /** + * @brief Clips Q31 to Q15 values. + */ + static __INLINE q15_t clip_q31_to_q15( + q31_t x) + { + return ((q31_t) (x >> 16) != ((q31_t) x >> 15)) ? + ((0x7FFF ^ ((q15_t) (x >> 31)))) : (q15_t) x; + } + + /** + * @brief Multiplies 32 X 64 and returns 32 bit result in 2.30 format. + */ + + static __INLINE q63_t mult32x64( + q63_t x, + q31_t y) + { + return ((((q63_t) (x & 0x00000000FFFFFFFF) * y) >> 32) + + (((q63_t) (x >> 32) * y))); + } + + +#if defined (ARM_MATH_CM0_FAMILY) && defined ( __CC_ARM ) +#define __CLZ __clz +#endif + +#if defined (ARM_MATH_CM0_FAMILY) && ((defined (__ICCARM__)) ||(defined (__GNUC__)) || defined (__TASKING__) ) + + static __INLINE uint32_t __CLZ( + q31_t data); + + + static __INLINE uint32_t __CLZ( + q31_t data) + { + uint32_t count = 0; + uint32_t mask = 0x80000000; + + while((data & mask) == 0) + { + count += 1u; + mask = mask >> 1u; + } + + return (count); + + } + +#endif + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q31 Data type. + */ + + static __INLINE uint32_t arm_recip_q31( + q31_t in, + q31_t * dst, + q31_t * pRecipTable) + { + + uint32_t out, tempVal; + uint32_t index, i; + uint32_t signBits; + + if(in > 0) + { + signBits = __CLZ(in) - 1; + } + else + { + signBits = __CLZ(-in) - 1; + } + + /* Convert input sample to 1.31 format */ + in = in << signBits; + + /* calculation of index for initial approximated Val */ + index = (uint32_t) (in >> 24u); + index = (index & INDEX_MASK); + + /* 1.31 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0u; i < 2u; i++) + { + tempVal = (q31_t) (((q63_t) in * out) >> 31u); + tempVal = 0x7FFFFFFF - tempVal; + /* 1.31 with exp 1 */ + //out = (q31_t) (((q63_t) out * tempVal) >> 30u); + out = (q31_t) clip_q63_to_q31(((q63_t) out * tempVal) >> 30u); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1u); + + } + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q15 Data type. + */ + static __INLINE uint32_t arm_recip_q15( + q15_t in, + q15_t * dst, + q15_t * pRecipTable) + { + + uint32_t out = 0, tempVal = 0; + uint32_t index = 0, i = 0; + uint32_t signBits = 0; + + if(in > 0) + { + signBits = __CLZ(in) - 17; + } + else + { + signBits = __CLZ(-in) - 17; + } + + /* Convert input sample to 1.15 format */ + in = in << signBits; + + /* calculation of index for initial approximated Val */ + index = in >> 8; + index = (index & INDEX_MASK); + + /* 1.15 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0; i < 2; i++) + { + tempVal = (q15_t) (((q31_t) in * out) >> 15); + tempVal = 0x7FFF - tempVal; + /* 1.15 with exp 1 */ + out = (q15_t) (((q31_t) out * tempVal) >> 14); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1); + + } + + + /* + * @brief C custom defined intrinisic function for only M0 processors + */ +#if defined(ARM_MATH_CM0_FAMILY) + + static __INLINE q31_t __SSAT( + q31_t x, + uint32_t y) + { + int32_t posMax, negMin; + uint32_t i; + + posMax = 1; + for (i = 0; i < (y - 1); i++) + { + posMax = posMax * 2; + } + + if(x > 0) + { + posMax = (posMax - 1); + + if(x > posMax) + { + x = posMax; + } + } + else + { + negMin = -posMax; + + if(x < negMin) + { + x = negMin; + } + } + return (x); + + + } + +#endif /* end of ARM_MATH_CM0_FAMILY */ + + + + /* + * @brief C custom defined intrinsic function for M3 and M0 processors + */ +#if defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) + + /* + * @brief C custom defined QADD8 for M3 and M0 processors + */ + static __INLINE q31_t __QADD8( + q31_t x, + q31_t y) + { + + q31_t sum; + q7_t r, s, t, u; + + r = (q7_t) x; + s = (q7_t) y; + + r = __SSAT((q31_t) (r + s), 8); + s = __SSAT(((q31_t) (((x << 16) >> 24) + ((y << 16) >> 24))), 8); + t = __SSAT(((q31_t) (((x << 8) >> 24) + ((y << 8) >> 24))), 8); + u = __SSAT(((q31_t) ((x >> 24) + (y >> 24))), 8); + + sum = + (((q31_t) u << 24) & 0xFF000000) | (((q31_t) t << 16) & 0x00FF0000) | + (((q31_t) s << 8) & 0x0000FF00) | (r & 0x000000FF); + + return sum; + + } + + /* + * @brief C custom defined QSUB8 for M3 and M0 processors + */ + static __INLINE q31_t __QSUB8( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s, t, u; + + r = (q7_t) x; + s = (q7_t) y; + + r = __SSAT((r - s), 8); + s = __SSAT(((q31_t) (((x << 16) >> 24) - ((y << 16) >> 24))), 8) << 8; + t = __SSAT(((q31_t) (((x << 8) >> 24) - ((y << 8) >> 24))), 8) << 16; + u = __SSAT(((q31_t) ((x >> 24) - (y >> 24))), 8) << 24; + + sum = + (u & 0xFF000000) | (t & 0x00FF0000) | (s & 0x0000FF00) | (r & + 0x000000FF); + + return sum; + } + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + static __INLINE q31_t __QADD16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = __SSAT(r + s, 16); + s = __SSAT(((q31_t) ((x >> 16) + (y >> 16))), 16) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + + } + + /* + * @brief C custom defined SHADD16 for M3 and M0 processors + */ + static __INLINE q31_t __SHADD16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) + (s >> 1)); + s = ((q31_t) ((x >> 17) + (y >> 17))) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + + } + + /* + * @brief C custom defined QSUB16 for M3 and M0 processors + */ + static __INLINE q31_t __QSUB16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = __SSAT(r - s, 16); + s = __SSAT(((q31_t) ((x >> 16) - (y >> 16))), 16) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + /* + * @brief C custom defined SHSUB16 for M3 and M0 processors + */ + static __INLINE q31_t __SHSUB16( + q31_t x, + q31_t y) + { + + q31_t diff; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) - (s >> 1)); + s = (((x >> 17) - (y >> 17)) << 16); + + diff = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return diff; + } + + /* + * @brief C custom defined QASX for M3 and M0 processors + */ + static __INLINE q31_t __QASX( + q31_t x, + q31_t y) + { + + q31_t sum = 0; + + sum = + ((sum + + clip_q31_to_q15((q31_t) ((short) (x >> 16) + (short) y))) << 16) + + clip_q31_to_q15((q31_t) ((short) x - (short) (y >> 16))); + + return sum; + } + + /* + * @brief C custom defined SHASX for M3 and M0 processors + */ + static __INLINE q31_t __SHASX( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) - (y >> 17)); + s = (((x >> 17) + (s >> 1)) << 16); + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + + /* + * @brief C custom defined QSAX for M3 and M0 processors + */ + static __INLINE q31_t __QSAX( + q31_t x, + q31_t y) + { + + q31_t sum = 0; + + sum = + ((sum + + clip_q31_to_q15((q31_t) ((short) (x >> 16) - (short) y))) << 16) + + clip_q31_to_q15((q31_t) ((short) x + (short) (y >> 16))); + + return sum; + } + + /* + * @brief C custom defined SHSAX for M3 and M0 processors + */ + static __INLINE q31_t __SHSAX( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) + (y >> 17)); + s = (((x >> 17) - (s >> 1)) << 16); + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + /* + * @brief C custom defined SMUSDX for M3 and M0 processors + */ + static __INLINE q31_t __SMUSDX( + q31_t x, + q31_t y) + { + + return ((q31_t) (((short) x * (short) (y >> 16)) - + ((short) (x >> 16) * (short) y))); + } + + /* + * @brief C custom defined SMUADX for M3 and M0 processors + */ + static __INLINE q31_t __SMUADX( + q31_t x, + q31_t y) + { + + return ((q31_t) (((short) x * (short) (y >> 16)) + + ((short) (x >> 16) * (short) y))); + } + + /* + * @brief C custom defined QADD for M3 and M0 processors + */ + static __INLINE q31_t __QADD( + q31_t x, + q31_t y) + { + return clip_q63_to_q31((q63_t) x + y); + } + + /* + * @brief C custom defined QSUB for M3 and M0 processors + */ + static __INLINE q31_t __QSUB( + q31_t x, + q31_t y) + { + return clip_q63_to_q31((q63_t) x - y); + } + + /* + * @brief C custom defined SMLAD for M3 and M0 processors + */ + static __INLINE q31_t __SMLAD( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum + ((short) (x >> 16) * (short) (y >> 16)) + + ((short) x * (short) y)); + } + + /* + * @brief C custom defined SMLADX for M3 and M0 processors + */ + static __INLINE q31_t __SMLADX( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum + ((short) (x >> 16) * (short) (y)) + + ((short) x * (short) (y >> 16))); + } + + /* + * @brief C custom defined SMLSDX for M3 and M0 processors + */ + static __INLINE q31_t __SMLSDX( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum - ((short) (x >> 16) * (short) (y)) + + ((short) x * (short) (y >> 16))); + } + + /* + * @brief C custom defined SMLALD for M3 and M0 processors + */ + static __INLINE q63_t __SMLALD( + q31_t x, + q31_t y, + q63_t sum) + { + + return (sum + ((short) (x >> 16) * (short) (y >> 16)) + + ((short) x * (short) y)); + } + + /* + * @brief C custom defined SMLALDX for M3 and M0 processors + */ + static __INLINE q63_t __SMLALDX( + q31_t x, + q31_t y, + q63_t sum) + { + + return (sum + ((short) (x >> 16) * (short) y)) + + ((short) x * (short) (y >> 16)); + } + + /* + * @brief C custom defined SMUAD for M3 and M0 processors + */ + static __INLINE q31_t __SMUAD( + q31_t x, + q31_t y) + { + + return (((x >> 16) * (y >> 16)) + + (((x << 16) >> 16) * ((y << 16) >> 16))); + } + + /* + * @brief C custom defined SMUSD for M3 and M0 processors + */ + static __INLINE q31_t __SMUSD( + q31_t x, + q31_t y) + { + + return (-((x >> 16) * (y >> 16)) + + (((x << 16) >> 16) * ((y << 16) >> 16))); + } + + + /* + * @brief C custom defined SXTB16 for M3 and M0 processors + */ + static __INLINE q31_t __SXTB16( + q31_t x) + { + + return ((((x << 24) >> 24) & 0x0000FFFF) | + (((x << 8) >> 8) & 0xFFFF0000)); + } + + +#endif /* defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) */ + + + /** + * @brief Instance structure for the Q7 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q7_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q7; + + /** + * @brief Instance structure for the Q15 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_f32; + + + /** + * @brief Processing function for the Q7 FIR filter. + * @param[in] *S points to an instance of the Q7 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q7( + const arm_fir_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q7 FIR filter. + * @param[in,out] *S points to an instance of the Q7 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed. + * @return none + */ + void arm_fir_init_q7( + arm_fir_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 FIR filter. + * @param[in] *S points to an instance of the Q15 FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the fast Q15 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_fast_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q15 FIR filter. + * @param[in,out] *S points to an instance of the Q15 FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. Must be even and greater than or equal to 4. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return The function returns ARM_MATH_SUCCESS if initialization was successful or ARM_MATH_ARGUMENT_ERROR if + * numTaps is not a supported value. + */ + + arm_status arm_fir_init_q15( + arm_fir_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR filter. + * @param[in] *S points to an instance of the Q31 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the fast Q31 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_fast_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR filter. + * @param[in,out] *S points to an instance of the Q31 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return none. + */ + void arm_fir_init_q31( + arm_fir_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the floating-point FIR filter. + * @param[in] *S points to an instance of the floating-point FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_f32( + const arm_fir_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point FIR filter. + * @param[in,out] *S points to an instance of the floating-point FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return none. + */ + void arm_fir_init_f32( + arm_fir_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 Biquad cascade filter. + */ + typedef struct + { + int8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q15_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q15_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + int8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + + } arm_biquad_casd_df1_inst_q15; + + + /** + * @brief Instance structure for the Q31 Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q31_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + + } arm_biquad_casd_df1_inst_q31; + + /** + * @brief Instance structure for the floating-point Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + float32_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + + + } arm_biquad_casd_df1_inst_f32; + + + + /** + * @brief Processing function for the Q15 Biquad cascade filter. + * @param[in] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q15 Biquad cascade filter. + * @param[in,out] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cascade_df1_init_q15( + arm_biquad_casd_df1_inst_q15 * S, + uint8_t numStages, + q15_t * pCoeffs, + q15_t * pState, + int8_t postShift); + + + /** + * @brief Fast but less precise processing function for the Q15 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_fast_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 Biquad cascade filter + * @param[in] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Fast but less precise processing function for the Q31 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_fast_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 Biquad cascade filter. + * @param[in,out] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cascade_df1_init_q31( + arm_biquad_casd_df1_inst_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q31_t * pState, + int8_t postShift); + + /** + * @brief Processing function for the floating-point Biquad cascade filter. + * @param[in] *S points to an instance of the floating-point Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_f32( + const arm_biquad_casd_df1_inst_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point Biquad cascade filter. + * @param[in,out] *S points to an instance of the floating-point Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df1_init_f32( + arm_biquad_casd_df1_inst_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Instance structure for the floating-point matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + float32_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_f32; + + /** + * @brief Instance structure for the Q15 matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q15_t *pData; /**< points to the data of the matrix. */ + + } arm_matrix_instance_q15; + + /** + * @brief Instance structure for the Q31 matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q31_t *pData; /**< points to the data of the matrix. */ + + } arm_matrix_instance_q31; + + + + /** + * @brief Floating-point matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_f32( + const arm_matrix_instance_f32 * pSrc, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_q15( + const arm_matrix_instance_q15 * pSrc, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_q31( + const arm_matrix_instance_q31 * pSrc, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @param[in] *pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + /** + * @brief Q15 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @param[in] *pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_fast_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + /** + * @brief Q31 matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Q31 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_fast_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Floating-point matrix scaling. + * @param[in] *pSrc points to the input matrix + * @param[in] scale scale factor + * @param[out] *pDst points to the output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_f32( + const arm_matrix_instance_f32 * pSrc, + float32_t scale, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix scaling. + * @param[in] *pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_q15( + const arm_matrix_instance_q15 * pSrc, + q15_t scaleFract, + int32_t shift, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix scaling. + * @param[in] *pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_q31( + const arm_matrix_instance_q31 * pSrc, + q31_t scaleFract, + int32_t shift, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Q31 matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_q31( + arm_matrix_instance_q31 * S, + uint16_t nRows, + uint16_t nColumns, + q31_t * pData); + + /** + * @brief Q15 matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_q15( + arm_matrix_instance_q15 * S, + uint16_t nRows, + uint16_t nColumns, + q15_t * pData); + + /** + * @brief Floating-point matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_f32( + arm_matrix_instance_f32 * S, + uint16_t nRows, + uint16_t nColumns, + float32_t * pData); + + + + /** + * @brief Instance structure for the Q15 PID Control. + */ + typedef struct + { + q15_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ +#ifdef ARM_MATH_CM0_FAMILY + q15_t A1; + q15_t A2; +#else + q31_t A1; /**< The derived gain A1 = -Kp - 2Kd | Kd.*/ +#endif + q15_t state[3]; /**< The state array of length 3. */ + q15_t Kp; /**< The proportional gain. */ + q15_t Ki; /**< The integral gain. */ + q15_t Kd; /**< The derivative gain. */ + } arm_pid_instance_q15; + + /** + * @brief Instance structure for the Q31 PID Control. + */ + typedef struct + { + q31_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + q31_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + q31_t A2; /**< The derived gain, A2 = Kd . */ + q31_t state[3]; /**< The state array of length 3. */ + q31_t Kp; /**< The proportional gain. */ + q31_t Ki; /**< The integral gain. */ + q31_t Kd; /**< The derivative gain. */ + + } arm_pid_instance_q31; + + /** + * @brief Instance structure for the floating-point PID Control. + */ + typedef struct + { + float32_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + float32_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + float32_t A2; /**< The derived gain, A2 = Kd . */ + float32_t state[3]; /**< The state array of length 3. */ + float32_t Kp; /**< The proportional gain. */ + float32_t Ki; /**< The integral gain. */ + float32_t Kd; /**< The derivative gain. */ + } arm_pid_instance_f32; + + + + /** + * @brief Initialization function for the floating-point PID Control. + * @param[in,out] *S points to an instance of the PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_f32( + arm_pid_instance_f32 * S, + int32_t resetStateFlag); + + /** + * @brief Reset function for the floating-point PID Control. + * @param[in,out] *S is an instance of the floating-point PID Control structure + * @return none + */ + void arm_pid_reset_f32( + arm_pid_instance_f32 * S); + + + /** + * @brief Initialization function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_q31( + arm_pid_instance_q31 * S, + int32_t resetStateFlag); + + + /** + * @brief Reset function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q31 PID Control structure + * @return none + */ + + void arm_pid_reset_q31( + arm_pid_instance_q31 * S); + + /** + * @brief Initialization function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_q15( + arm_pid_instance_q15 * S, + int32_t resetStateFlag); + + /** + * @brief Reset function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the q15 PID Control structure + * @return none + */ + void arm_pid_reset_q15( + arm_pid_instance_q15 * S); + + + /** + * @brief Instance structure for the floating-point Linear Interpolate function. + */ + typedef struct + { + uint32_t nValues; /**< nValues */ + float32_t x1; /**< x1 */ + float32_t xSpacing; /**< xSpacing */ + float32_t *pYData; /**< pointer to the table of Y values */ + } arm_linear_interp_instance_f32; + + /** + * @brief Instance structure for the floating-point bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + float32_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_f32; + + /** + * @brief Instance structure for the Q31 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q31_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q31; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q15_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q15; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q7_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q7; + + + /** + * @brief Q7 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + + + + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the Sin twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q15; + + arm_status arm_cfft_radix2_init_q15( + arm_cfft_radix2_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + void arm_cfft_radix2_q15( + const arm_cfft_radix2_instance_q15 * S, + q15_t * pSrc); + + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q15; + + arm_status arm_cfft_radix4_init_q15( + arm_cfft_radix4_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + void arm_cfft_radix4_q15( + const arm_cfft_radix4_instance_q15 * S, + q15_t * pSrc); + + /** + * @brief Instance structure for the Radix-2 Q31 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q31; + + arm_status arm_cfft_radix2_init_q31( + arm_cfft_radix2_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + void arm_cfft_radix2_q31( + const arm_cfft_radix2_instance_q31 * S, + q31_t * pSrc); + + /** + * @brief Instance structure for the Q31 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q31; + + + void arm_cfft_radix4_q31( + const arm_cfft_radix4_instance_q31 * S, + q31_t * pSrc); + + arm_status arm_cfft_radix4_init_q31( + arm_cfft_radix4_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix2_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_f32( + arm_cfft_radix2_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_f32( + const arm_cfft_radix2_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix4_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix4_init_f32( + arm_cfft_radix4_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix4_f32( + const arm_cfft_radix4_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_f32; + + void arm_cfft_f32( + const arm_cfft_instance_f32 * S, + float32_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the Q15 RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint32_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q15_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q15_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q15; + + arm_status arm_rfft_init_q15( + arm_rfft_instance_q15 * S, + arm_cfft_radix4_instance_q15 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q15( + const arm_rfft_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst); + + /** + * @brief Instance structure for the Q31 RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint32_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q31_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q31_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q31; + + arm_status arm_rfft_init_q31( + arm_rfft_instance_q31 * S, + arm_cfft_radix4_instance_q31 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q31( + const arm_rfft_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint16_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + float32_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + float32_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_f32; + + arm_status arm_rfft_init_f32( + arm_rfft_instance_f32 * S, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_f32( + const arm_rfft_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + +typedef struct + { + arm_cfft_instance_f32 Sint; /**< Internal CFFT structure. */ + uint16_t fftLenRFFT; /**< length of the real sequence */ + float32_t * pTwiddleRFFT; /**< Twiddle factors real stage */ + } arm_rfft_fast_instance_f32 ; + +arm_status arm_rfft_fast_init_f32 ( + arm_rfft_fast_instance_f32 * S, + uint16_t fftLen); + +void arm_rfft_fast_f32( + arm_rfft_fast_instance_f32 * S, + float32_t * p, float32_t * pOut, + uint8_t ifftFlag); + + /** + * @brief Instance structure for the floating-point DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + float32_t normalize; /**< normalizing factor. */ + float32_t *pTwiddle; /**< points to the twiddle factor table. */ + float32_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_f32 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_f32; + + /** + * @brief Initialization function for the floating-point DCT4/IDCT4. + * @param[in,out] *S points to an instance of floating-point DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of floating-point RFFT/RIFFT structure. + * @param[in] *S_CFFT points to an instance of floating-point CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if fftLenReal is not a supported transform length. + */ + + arm_status arm_dct4_init_f32( + arm_dct4_instance_f32 * S, + arm_rfft_instance_f32 * S_RFFT, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint16_t N, + uint16_t Nby2, + float32_t normalize); + + /** + * @brief Processing function for the floating-point DCT4/IDCT4. + * @param[in] *S points to an instance of the floating-point DCT4/IDCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_f32( + const arm_dct4_instance_f32 * S, + float32_t * pState, + float32_t * pInlineBuffer); + + /** + * @brief Instance structure for the Q31 DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q31_t normalize; /**< normalizing factor. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + q31_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q31 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q31; + + /** + * @brief Initialization function for the Q31 DCT4/IDCT4. + * @param[in,out] *S points to an instance of Q31 DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of Q31 RFFT/RIFFT structure + * @param[in] *S_CFFT points to an instance of Q31 CFFT/CIFFT structure + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + + arm_status arm_dct4_init_q31( + arm_dct4_instance_q31 * S, + arm_rfft_instance_q31 * S_RFFT, + arm_cfft_radix4_instance_q31 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q31_t normalize); + + /** + * @brief Processing function for the Q31 DCT4/IDCT4. + * @param[in] *S points to an instance of the Q31 DCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_q31( + const arm_dct4_instance_q31 * S, + q31_t * pState, + q31_t * pInlineBuffer); + + /** + * @brief Instance structure for the Q15 DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q15_t normalize; /**< normalizing factor. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + q15_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q15 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q15; + + /** + * @brief Initialization function for the Q15 DCT4/IDCT4. + * @param[in,out] *S points to an instance of Q15 DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of Q15 RFFT/RIFFT structure. + * @param[in] *S_CFFT points to an instance of Q15 CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + + arm_status arm_dct4_init_q15( + arm_dct4_instance_q15 * S, + arm_rfft_instance_q15 * S_RFFT, + arm_cfft_radix4_instance_q15 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q15_t normalize); + + /** + * @brief Processing function for the Q15 DCT4/IDCT4. + * @param[in] *S points to an instance of the Q15 DCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_q15( + const arm_dct4_instance_q15 * S, + q15_t * pState, + q15_t * pInlineBuffer); + + /** + * @brief Floating-point vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a floating-point vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scale scale factor to be applied + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_f32( + float32_t * pSrc, + float32_t scale, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q7 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q7( + q7_t * pSrc, + q7_t scaleFract, + int8_t shift, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q15 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q15( + q15_t * pSrc, + q15_t scaleFract, + int8_t shift, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q31 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q31( + q31_t * pSrc, + q31_t scaleFract, + int8_t shift, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Dot product of floating-point vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t blockSize, + float32_t * result); + + /** + * @brief Dot product of Q7 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q7( + q7_t * pSrcA, + q7_t * pSrcB, + uint32_t blockSize, + q31_t * result); + + /** + * @brief Dot product of Q15 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + /** + * @brief Dot product of Q31 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + /** + * @brief Shifts the elements of a Q7 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q7( + q7_t * pSrc, + int8_t shiftBits, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Shifts the elements of a Q15 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q15( + q15_t * pSrc, + int8_t shiftBits, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Shifts the elements of a Q31 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q31( + q31_t * pSrc, + int8_t shiftBits, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a floating-point vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_f32( + float32_t * pSrc, + float32_t offset, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q7 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q7( + q7_t * pSrc, + q7_t offset, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q15 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q15( + q15_t * pSrc, + q15_t offset, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q31 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q31( + q31_t * pSrc, + q31_t offset, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a floating-point vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q7 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q15 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q31 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + /** + * @brief Copies the elements of a floating-point vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q7 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q15 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q31 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + /** + * @brief Fills a constant value into a floating-point vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_f32( + float32_t value, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q7 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q7( + q7_t value, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q15 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q15( + q15_t value, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q31 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q31( + q31_t value, + q31_t * pDst, + uint32_t blockSize); + +/** + * @brief Convolution of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return none. + */ + + + void arm_conv_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_conv_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + + /** + * @brief Convolution of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + /** + * @brief Convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_conv_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Partial convolution of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + /** + * @brief Partial convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] * pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] * pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] * pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] * pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Partial convolution of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q7 sequences + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + + /** + * @brief Instance structure for the Q15 FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + } arm_fir_decimate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + + } arm_fir_decimate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + + } arm_fir_decimate_instance_f32; + + + + /** + * @brief Processing function for the floating-point FIR decimator. + * @param[in] *S points to an instance of the floating-point FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_f32( + const arm_fir_decimate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point FIR decimator. + * @param[in,out] *S points to an instance of the floating-point FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_f32( + arm_fir_decimate_instance_f32 * S, + uint16_t numTaps, + uint8_t M, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 FIR decimator. + * @param[in] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_fast_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @brief Initialization function for the Q15 FIR decimator. + * @param[in,out] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_q15( + arm_fir_decimate_instance_q15 * S, + uint16_t numTaps, + uint8_t M, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator. + * @param[in] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_q31( + const arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_fast_q31( + arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR decimator. + * @param[in,out] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_q31( + arm_fir_decimate_instance_q31 * S, + uint16_t numTaps, + uint8_t M, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + + /** + * @brief Instance structure for the Q15 FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q15_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q31_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + float32_t *pState; /**< points to the state variable array. The array is of length phaseLength+numTaps-1. */ + } arm_fir_interpolate_instance_f32; + + + /** + * @brief Processing function for the Q15 FIR interpolator. + * @param[in] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_q15( + const arm_fir_interpolate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 FIR interpolator. + * @param[in,out] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_q15( + arm_fir_interpolate_instance_q15 * S, + uint8_t L, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR interpolator. + * @param[in] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_q31( + const arm_fir_interpolate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR interpolator. + * @param[in,out] *S points to an instance of the Q31 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_q31( + arm_fir_interpolate_instance_q31 * S, + uint8_t L, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point FIR interpolator. + * @param[in] *S points to an instance of the floating-point FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_f32( + const arm_fir_interpolate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point FIR interpolator. + * @param[in,out] *S points to an instance of the floating-point FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_f32( + arm_fir_interpolate_instance_f32 * S, + uint8_t L, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + /** + * @brief Instance structure for the high precision Q31 Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q63_t *pState; /**< points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< additional shift, in bits, applied to each output sample. */ + + } arm_biquad_cas_df1_32x64_ins_q31; + + + /** + * @param[in] *S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cas_df1_32x64_q31( + const arm_biquad_cas_df1_32x64_ins_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @param[in,out] *S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cas_df1_32x64_init_q31( + arm_biquad_cas_df1_32x64_ins_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q63_t * pState, + uint8_t postShift); + + + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< points to the array of state coefficients. The array is of length 2*numStages. */ + float32_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_df2T_instance_f32; + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in] *S points to an instance of the filter data structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df2T_f32( + const arm_biquad_cascade_df2T_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] *S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df2T_init_f32( + arm_biquad_cascade_df2T_instance_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + + /** + * @brief Instance structure for the Q15 FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_f32; + + /** + * @brief Initialization function for the Q15 FIR lattice filter. + * @param[in] *S points to an instance of the Q15 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_q15( + arm_fir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pCoeffs, + q15_t * pState); + + + /** + * @brief Processing function for the Q15 FIR lattice filter. + * @param[in] *S points to an instance of the Q15 FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_lattice_q15( + const arm_fir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR lattice filter. + * @param[in] *S points to an instance of the Q31 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_q31( + arm_fir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pCoeffs, + q31_t * pState); + + + /** + * @brief Processing function for the Q31 FIR lattice filter. + * @param[in] *S points to an instance of the Q31 FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_fir_lattice_q31( + const arm_fir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + +/** + * @brief Initialization function for the floating-point FIR lattice filter. + * @param[in] *S points to an instance of the floating-point FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_f32( + arm_fir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + /** + * @brief Processing function for the floating-point FIR lattice filter. + * @param[in] *S points to an instance of the floating-point FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_fir_lattice_f32( + const arm_fir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Instance structure for the Q15 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q15_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q15_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q31_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q31_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + float32_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + float32_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_f32; + + /** + * @brief Processing function for the floating-point IIR lattice filter. + * @param[in] *S points to an instance of the floating-point IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_f32( + const arm_iir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point IIR lattice filter. + * @param[in] *S points to an instance of the floating-point IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to the state buffer. The array is of length numStages+blockSize-1. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_init_f32( + arm_iir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pkCoeffs, + float32_t * pvCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 IIR lattice filter. + * @param[in] *S points to an instance of the Q31 IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_q31( + const arm_iir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 IIR lattice filter. + * @param[in] *S points to an instance of the Q31 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to the state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_init_q31( + arm_iir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pkCoeffs, + q31_t * pvCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 IIR lattice filter. + * @param[in] *S points to an instance of the Q15 IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_q15( + const arm_iir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + +/** + * @brief Initialization function for the Q15 IIR lattice filter. + * @param[in] *S points to an instance of the fixed-point Q15 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process per call. + * @return none. + */ + + void arm_iir_lattice_init_q15( + arm_iir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pkCoeffs, + q15_t * pvCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Instance structure for the floating-point LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that controls filter coefficient updates. */ + } arm_lms_instance_f32; + + /** + * @brief Processing function for floating-point LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_f32( + const arm_lms_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for floating-point LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to the coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_init_f32( + arm_lms_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + /** + * @brief Instance structure for the Q15 LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + } arm_lms_instance_q15; + + + /** + * @brief Initialization function for the Q15 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to the coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_init_q15( + arm_lms_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint32_t postShift); + + /** + * @brief Processing function for Q15 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_q15( + const arm_lms_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + + } arm_lms_instance_q31; + + /** + * @brief Processing function for Q31 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_q31( + const arm_lms_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for Q31 LMS filter. + * @param[in] *S points to an instance of the Q31 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_init_q31( + arm_lms_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint32_t postShift); + + /** + * @brief Instance structure for the floating-point normalized LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that control filter coefficient updates. */ + float32_t energy; /**< saves previous frame energy. */ + float32_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_f32; + + /** + * @brief Processing function for floating-point normalized LMS filter. + * @param[in] *S points to an instance of the floating-point normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_f32( + arm_lms_norm_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for floating-point normalized LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_init_f32( + arm_lms_norm_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 normalized LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q31_t *recipTable; /**< points to the reciprocal initial value table. */ + q31_t energy; /**< saves previous frame energy. */ + q31_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q31; + + /** + * @brief Processing function for Q31 normalized LMS filter. + * @param[in] *S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_q31( + arm_lms_norm_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for Q31 normalized LMS filter. + * @param[in] *S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_norm_init_q31( + arm_lms_norm_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint8_t postShift); + + /** + * @brief Instance structure for the Q15 normalized LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< Number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q15_t *recipTable; /**< Points to the reciprocal initial value table. */ + q15_t energy; /**< saves previous frame energy. */ + q15_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q15; + + /** + * @brief Processing function for Q15 normalized LMS filter. + * @param[in] *S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_q15( + arm_lms_norm_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for Q15 normalized LMS filter. + * @param[in] *S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_norm_init_q15( + arm_lms_norm_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint8_t postShift); + + /** + * @brief Correlation of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Correlation of Q15 sequences + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @return none. + */ + void arm_correlate_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + + /** + * @brief Correlation of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @return none. + */ + + void arm_correlate_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + /** + * @brief Correlation of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + /** + * @brief Correlation of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_correlate_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Instance structure for the floating-point sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + float32_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_f32; + + /** + * @brief Instance structure for the Q31 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q31_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q31; + + /** + * @brief Instance structure for the Q15 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q15_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q15; + + /** + * @brief Instance structure for the Q7 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q7_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q7; + + /** + * @brief Processing function for the floating-point sparse FIR filter. + * @param[in] *S points to an instance of the floating-point sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_f32( + arm_fir_sparse_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + float32_t * pScratchIn, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point sparse FIR filter. + * @param[in,out] *S points to an instance of the floating-point sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_f32( + arm_fir_sparse_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 sparse FIR filter. + * @param[in] *S points to an instance of the Q31 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q31( + arm_fir_sparse_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + q31_t * pScratchIn, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q31 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q31( + arm_fir_sparse_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 sparse FIR filter. + * @param[in] *S points to an instance of the Q15 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] *pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q15( + arm_fir_sparse_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + q15_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q15 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q15( + arm_fir_sparse_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q7 sparse FIR filter. + * @param[in] *S points to an instance of the Q7 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] *pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q7( + arm_fir_sparse_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + q7_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q7 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q7 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q7( + arm_fir_sparse_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /* + * @brief Floating-point sin_cos function. + * @param[in] theta input value in degrees + * @param[out] *pSinVal points to the processed sine output. + * @param[out] *pCosVal points to the processed cos output. + * @return none. + */ + + void arm_sin_cos_f32( + float32_t theta, + float32_t * pSinVal, + float32_t * pCcosVal); + + /* + * @brief Q31 sin_cos function. + * @param[in] theta scaled input value in degrees + * @param[out] *pSinVal points to the processed sine output. + * @param[out] *pCosVal points to the processed cosine output. + * @return none. + */ + + void arm_sin_cos_q31( + q31_t theta, + q31_t * pSinVal, + q31_t * pCosVal); + + + /** + * @brief Floating-point complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + + /** + * @brief Floating-point complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup PID PID Motor Control + * + * A Proportional Integral Derivative (PID) controller is a generic feedback control + * loop mechanism widely used in industrial control systems. + * A PID controller is the most commonly used type of feedback controller. + * + * This set of functions implements (PID) controllers + * for Q15, Q31, and floating-point data types. The functions operate on a single sample + * of data and each call to the function returns a single processed value. + * S points to an instance of the PID control data structure. in + * is the input sample value. The functions return the output value. + * + * \par Algorithm: + *
      +   *    y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2]
      +   *    A0 = Kp + Ki + Kd
      +   *    A1 = (-Kp ) - (2 * Kd )
      +   *    A2 = Kd  
      + * + * \par + * where \c Kp is proportional constant, \c Ki is Integral constant and \c Kd is Derivative constant + * + * \par + * \image html PID.gif "Proportional Integral Derivative Controller" + * + * \par + * The PID controller calculates an "error" value as the difference between + * the measured output and the reference input. + * The controller attempts to minimize the error by adjusting the process control inputs. + * The proportional value determines the reaction to the current error, + * the integral value determines the reaction based on the sum of recent errors, + * and the derivative value determines the reaction based on the rate at which the error has been changing. + * + * \par Instance Structure + * The Gains A0, A1, A2 and state variables for a PID controller are stored together in an instance data structure. + * A separate instance structure must be defined for each PID Controller. + * There are separate instance structure declarations for each of the 3 supported data types. + * + * \par Reset Functions + * There is also an associated reset function for each data type which clears the state array. + * + * \par Initialization Functions + * There is also an associated initialization function for each data type. + * The initialization function performs the following operations: + * - Initializes the Gains A0, A1, A2 from Kp,Ki, Kd gains. + * - Zeros out the values in the state buffer. + * + * \par + * Instance structure cannot be placed into a const data section and it is recommended to use the initialization function. + * + * \par Fixed-Point Behavior + * Care must be taken when using the fixed-point versions of the PID Controller functions. + * In particular, the overflow and saturation behavior of the accumulator used in each function must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup PID + * @{ + */ + + /** + * @brief Process function for the floating-point PID Control. + * @param[in,out] *S is an instance of the floating-point PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + */ + + + static __INLINE float32_t arm_pid_f32( + arm_pid_instance_f32 * S, + float32_t in) + { + float32_t out; + + /* y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2] */ + out = (S->A0 * in) + + (S->A1 * S->state[0]) + (S->A2 * S->state[1]) + (S->state[2]); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q31 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 64-bit accumulator. + * The accumulator has a 2.62 format and maintains full precision of the intermediate multiplication results but provides only a single guard bit. + * Thus, if the accumulator result overflows it wraps around rather than clip. + * In order to avoid overflows completely the input signal must be scaled down by 2 bits as there are four additions. + * After all multiply-accumulates are performed, the 2.62 accumulator is truncated to 1.32 format and then saturated to 1.31 format. + */ + + static __INLINE q31_t arm_pid_q31( + arm_pid_instance_q31 * S, + q31_t in) + { + q63_t acc; + q31_t out; + + /* acc = A0 * x[n] */ + acc = (q63_t) S->A0 * in; + + /* acc += A1 * x[n-1] */ + acc += (q63_t) S->A1 * S->state[0]; + + /* acc += A2 * x[n-2] */ + acc += (q63_t) S->A2 * S->state[1]; + + /* convert output to 1.31 format to add y[n-1] */ + out = (q31_t) (acc >> 31u); + + /* out += y[n-1] */ + out += S->state[2]; + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using a 64-bit internal accumulator. + * Both Gains and state variables are represented in 1.15 format and multiplications yield a 2.30 result. + * The 2.30 intermediate results are accumulated in a 64-bit accumulator in 34.30 format. + * There is no risk of internal overflow with this approach and the full precision of intermediate multiplications is preserved. + * After all additions have been performed, the accumulator is truncated to 34.15 format by discarding low 15 bits. + * Lastly, the accumulator is saturated to yield a result in 1.15 format. + */ + + static __INLINE q15_t arm_pid_q15( + arm_pid_instance_q15 * S, + q15_t in) + { + q63_t acc; + q15_t out; + +#ifndef ARM_MATH_CM0_FAMILY + __SIMD32_TYPE *vstate; + + /* Implementation of PID controller */ + + /* acc = A0 * x[n] */ + acc = (q31_t) __SMUAD(S->A0, in); + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + vstate = __SIMD32_CONST(S->state); + acc = __SMLALD(S->A1, (q31_t) *vstate, acc); + +#else + /* acc = A0 * x[n] */ + acc = ((q31_t) S->A0) * in; + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + acc += (q31_t) S->A1 * S->state[0]; + acc += (q31_t) S->A2 * S->state[1]; + +#endif + + /* acc += y[n-1] */ + acc += (q31_t) S->state[2] << 15; + + /* saturate the output */ + out = (q15_t) (__SSAT((acc >> 15), 16)); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @} end of PID group + */ + + + /** + * @brief Floating-point matrix inverse. + * @param[in] *src points to the instance of the input floating-point matrix structure. + * @param[out] *dst points to the instance of the output floating-point matrix structure. + * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match. + * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR. + */ + + arm_status arm_mat_inverse_f32( + const arm_matrix_instance_f32 * src, + arm_matrix_instance_f32 * dst); + + + + /** + * @ingroup groupController + */ + + + /** + * @defgroup clarke Vector Clarke Transform + * Forward Clarke transform converts the instantaneous stator phases into a two-coordinate time invariant vector. + * Generally the Clarke transform uses three-phase currents Ia, Ib and Ic to calculate currents + * in the two-phase orthogonal stator axis Ialpha and Ibeta. + * When Ialpha is superposed with Ia as shown in the figure below + * \image html clarke.gif Stator current space vector and its components in (a,b). + * and Ia + Ib + Ic = 0, in this condition Ialpha and Ibeta + * can be calculated using only Ia and Ib. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeFormula.gif + * where Ia and Ib are the instantaneous stator phases and + * pIalpha and pIbeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup clarke + * @{ + */ + + /** + * + * @brief Floating-point Clarke transform + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @return none. + */ + + static __INLINE void arm_clarke_f32( + float32_t Ia, + float32_t Ib, + float32_t * pIalpha, + float32_t * pIbeta) + { + /* Calculate pIalpha using the equation, pIalpha = Ia */ + *pIalpha = Ia; + + /* Calculate pIbeta using the equation, pIbeta = (1/sqrt(3)) * Ia + (2/sqrt(3)) * Ib */ + *pIbeta = + ((float32_t) 0.57735026919 * Ia + (float32_t) 1.15470053838 * Ib); + + } + + /** + * @brief Clarke transform for Q31 version + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + + static __INLINE void arm_clarke_q31( + q31_t Ia, + q31_t Ib, + q31_t * pIalpha, + q31_t * pIbeta) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIalpha from Ia by equation pIalpha = Ia */ + *pIalpha = Ia; + + /* Intermediate product is calculated by (1/(sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) Ia * 0x24F34E8B) >> 30); + + /* Intermediate product is calculated by (2/sqrt(3) * Ib) */ + product2 = (q31_t) (((q63_t) Ib * 0x49E69D16) >> 30); + + /* pIbeta is calculated by adding the intermediate products */ + *pIbeta = __QADD(product1, product2); + } + + /** + * @} end of clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q31 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_q7_to_q31( + q7_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_clarke Vector Inverse Clarke Transform + * Inverse Clarke transform converts the two-coordinate time invariant vector into instantaneous stator phases. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeInvFormula.gif + * where pIa and pIb are the instantaneous stator phases and + * Ialpha and Ibeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_clarke + * @{ + */ + + /** + * @brief Floating-point Inverse Clarke transform + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] *pIa points to output three-phase coordinate a + * @param[out] *pIb points to output three-phase coordinate b + * @return none. + */ + + + static __INLINE void arm_inv_clarke_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pIa, + float32_t * pIb) + { + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Calculating pIb from Ialpha and Ibeta by equation pIb = -(1/2) * Ialpha + (sqrt(3)/2) * Ibeta */ + *pIb = -0.5 * Ialpha + (float32_t) 0.8660254039 *Ibeta; + + } + + /** + * @brief Inverse Clarke transform for Q31 version + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] *pIa points to output three-phase coordinate a + * @param[out] *pIb points to output three-phase coordinate b + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the subtraction, hence there is no risk of overflow. + */ + + static __INLINE void arm_inv_clarke_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pIa, + q31_t * pIb) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Intermediate product is calculated by (1/(2*sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (0x40000000)) >> 31); + + /* Intermediate product is calculated by (1/sqrt(3) * pIb) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (0x6ED9EBA1)) >> 31); + + /* pIb is calculated by subtracting the products */ + *pIb = __QSUB(product2, product1); + + } + + /** + * @} end of inv_clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q15 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_q7_to_q15( + q7_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup park Vector Park Transform + * + * Forward Park transform converts the input two-coordinate vector to flux and torque components. + * The Park transform can be used to realize the transformation of the Ialpha and the Ibeta currents + * from the stationary to the moving reference frame and control the spatial relationship between + * the stator vector current and rotor flux vector. + * If we consider the d axis aligned with the rotor flux, the diagram below shows the + * current vector and the relationship from the two reference frames: + * \image html park.gif "Stator current space vector and its component in (a,b) and in the d,q rotating reference frame" + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkFormula.gif + * where Ialpha and Ibeta are the stator vector components, + * pId and pIq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup park + * @{ + */ + + /** + * @brief Floating-point Park transform + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] *pId points to output rotor reference frame d + * @param[out] *pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * The function implements the forward Park transform. + * + */ + + static __INLINE void arm_park_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pId, + float32_t * pIq, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pId using the equation, pId = Ialpha * cosVal + Ibeta * sinVal */ + *pId = Ialpha * cosVal + Ibeta * sinVal; + + /* Calculate pIq using the equation, pIq = - Ialpha * sinVal + Ibeta * cosVal */ + *pIq = -Ialpha * sinVal + Ibeta * cosVal; + + } + + /** + * @brief Park transform for Q31 version + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] *pId points to output rotor reference frame d + * @param[out] *pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition and subtraction, hence there is no risk of overflow. + */ + + + static __INLINE void arm_park_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pId, + q31_t * pIq, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Ialpha * cosVal) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * sinVal) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Ialpha * sinVal) */ + product3 = (q31_t) (((q63_t) (Ialpha) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * cosVal) */ + product4 = (q31_t) (((q63_t) (Ibeta) * (cosVal)) >> 31); + + /* Calculate pId by adding the two intermediate products 1 and 2 */ + *pId = __QADD(product1, product2); + + /* Calculate pIq by subtracting the two intermediate products 3 from 4 */ + *pIq = __QSUB(product4, product3); + } + + /** + * @} end of park group + */ + + /** + * @brief Converts the elements of the Q7 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q7_to_float( + q7_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_park Vector Inverse Park transform + * Inverse Park transform converts the input flux and torque components to two-coordinate vector. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkInvFormula.gif + * where pIalpha and pIbeta are the stator vector components, + * Id and Iq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_park + * @{ + */ + + /** + * @brief Floating-point Inverse Park transform + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + */ + + static __INLINE void arm_inv_park_f32( + float32_t Id, + float32_t Iq, + float32_t * pIalpha, + float32_t * pIbeta, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pIalpha using the equation, pIalpha = Id * cosVal - Iq * sinVal */ + *pIalpha = Id * cosVal - Iq * sinVal; + + /* Calculate pIbeta using the equation, pIbeta = Id * sinVal + Iq * cosVal */ + *pIbeta = Id * sinVal + Iq * cosVal; + + } + + + /** + * @brief Inverse Park transform for Q31 version + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + + + static __INLINE void arm_inv_park_q31( + q31_t Id, + q31_t Iq, + q31_t * pIalpha, + q31_t * pIbeta, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Id * cosVal) */ + product1 = (q31_t) (((q63_t) (Id) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Iq * sinVal) */ + product2 = (q31_t) (((q63_t) (Iq) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Id * sinVal) */ + product3 = (q31_t) (((q63_t) (Id) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Iq * cosVal) */ + product4 = (q31_t) (((q63_t) (Iq) * (cosVal)) >> 31); + + /* Calculate pIalpha by using the two intermediate products 1 and 2 */ + *pIalpha = __QSUB(product1, product2); + + /* Calculate pIbeta by using the two intermediate products 3 and 4 */ + *pIbeta = __QADD(product4, product3); + + } + + /** + * @} end of Inverse park group + */ + + + /** + * @brief Converts the elements of the Q31 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_float( + q31_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup LinearInterpolate Linear Interpolation + * + * Linear interpolation is a method of curve fitting using linear polynomials. + * Linear interpolation works by effectively drawing a straight line between two neighboring samples and returning the appropriate point along that line + * + * \par + * \image html LinearInterp.gif "Linear interpolation" + * + * \par + * A Linear Interpolate function calculates an output value(y), for the input(x) + * using linear interpolation of the input values x0, x1( nearest input values) and the output values y0 and y1(nearest output values) + * + * \par Algorithm: + *
      +   *       y = y0 + (x - x0) * ((y1 - y0)/(x1-x0))
      +   *       where x0, x1 are nearest values of input x
      +   *             y0, y1 are nearest values to output y
      +   * 
      + * + * \par + * This set of functions implements Linear interpolation process + * for Q7, Q15, Q31, and floating-point data types. The functions operate on a single + * sample of data and each call to the function returns a single processed value. + * S points to an instance of the Linear Interpolate function data structure. + * x is the input sample value. The functions returns the output value. + * + * \par + * if x is outside of the table boundary, Linear interpolation returns first value of the table + * if x is below input range and returns last value of table if x is above range. + */ + + /** + * @addtogroup LinearInterpolate + * @{ + */ + + /** + * @brief Process function for the floating-point Linear Interpolation Function. + * @param[in,out] *S is an instance of the floating-point Linear Interpolation structure + * @param[in] x input sample to process + * @return y processed output sample. + * + */ + + static __INLINE float32_t arm_linear_interp_f32( + arm_linear_interp_instance_f32 * S, + float32_t x) + { + + float32_t y; + float32_t x0, x1; /* Nearest input values */ + float32_t y0, y1; /* Nearest output values */ + float32_t xSpacing = S->xSpacing; /* spacing between input values */ + int32_t i; /* Index variable */ + float32_t *pYData = S->pYData; /* pointer to output table */ + + /* Calculation of index */ + i = (int32_t) ((x - S->x1) / xSpacing); + + if(i < 0) + { + /* Iniatilize output for below specified range as least output value of table */ + y = pYData[0]; + } + else if((uint32_t)i >= S->nValues) + { + /* Iniatilize output for above specified range as last output value of table */ + y = pYData[S->nValues - 1]; + } + else + { + /* Calculation of nearest input values */ + x0 = S->x1 + i * xSpacing; + x1 = S->x1 + (i + 1) * xSpacing; + + /* Read of nearest output values */ + y0 = pYData[i]; + y1 = pYData[i + 1]; + + /* Calculation of output */ + y = y0 + (x - x0) * ((y1 - y0) / (x1 - x0)); + + } + + /* returns output value */ + return (y); + } + + /** + * + * @brief Process function for the Q31 Linear Interpolation Function. + * @param[in] *pYData pointer to Q31 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + + + static __INLINE q31_t arm_linear_interp_q31( + q31_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q31_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & 0xFFF00000) >> 20); + + if(index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if(index < 0) + { + return (pYData[0]); + } + else + { + + /* 20 bits for the fractional part */ + /* shift left by 11 to keep fract in 1.31 format */ + fract = (x & 0x000FFFFF) << 11; + + /* Read two nearest output values from the index in 1.31(q31) format */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract) and y is in 2.30 format */ + y = ((q31_t) ((q63_t) y0 * (0x7FFFFFFF - fract) >> 32)); + + /* Calculation of y0 * (1-fract) + y1 *fract and y is in 2.30 format */ + y += ((q31_t) (((q63_t) y1 * fract) >> 32)); + + /* Convert y to 1.31 format */ + return (y << 1u); + + } + + } + + /** + * + * @brief Process function for the Q15 Linear Interpolation Function. + * @param[in] *pYData pointer to Q15 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + + + static __INLINE q15_t arm_linear_interp_q15( + q15_t * pYData, + q31_t x, + uint32_t nValues) + { + q63_t y; /* output */ + q15_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & 0xFFF00000) >> 20u); + + if(index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if(index < 0) + { + return (pYData[0]); + } + else + { + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract) and y is in 13.35 format */ + y = ((q63_t) y0 * (0xFFFFF - fract)); + + /* Calculation of (y0 * (1-fract) + y1 * fract) and y is in 13.35 format */ + y += ((q63_t) y1 * (fract)); + + /* convert y to 1.15 format */ + return (y >> 20); + } + + + } + + /** + * + * @brief Process function for the Q7 Linear Interpolation Function. + * @param[in] *pYData pointer to Q7 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + */ + + + static __INLINE q7_t arm_linear_interp_q7( + q7_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q7_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + uint32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + if (x < 0) + { + return (pYData[0]); + } + index = (x >> 20) & 0xfff; + + + if(index >= (nValues - 1)) + { + return (pYData[nValues - 1]); + } + else + { + + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index and are in 1.7(q7) format */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract ) and y is in 13.27(q27) format */ + y = ((y0 * (0xFFFFF - fract))); + + /* Calculation of y1 * fract + y0 * (1-fract) and y is in 13.27(q27) format */ + y += (y1 * fract); + + /* convert y to 1.7(q7) format */ + return (y >> 20u); + + } + + } + /** + * @} end of LinearInterpolate group + */ + + /** + * @brief Fast approximation to the trigonometric sine function for floating-point data. + * @param[in] x input value in radians. + * @return sin(x). + */ + + float32_t arm_sin_f32( + float32_t x); + + /** + * @brief Fast approximation to the trigonometric sine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + + q31_t arm_sin_q31( + q31_t x); + + /** + * @brief Fast approximation to the trigonometric sine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + + q15_t arm_sin_q15( + q15_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for floating-point data. + * @param[in] x input value in radians. + * @return cos(x). + */ + + float32_t arm_cos_f32( + float32_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + + q31_t arm_cos_q31( + q31_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + + q15_t arm_cos_q15( + q15_t x); + + + /** + * @ingroup groupFastMath + */ + + + /** + * @defgroup SQRT Square Root + * + * Computes the square root of a number. + * There are separate functions for Q15, Q31, and floating-point data types. + * The square root function is computed using the Newton-Raphson algorithm. + * This is an iterative algorithm of the form: + *
      +   *      x1 = x0 - f(x0)/f'(x0)
      +   * 
      + * where x1 is the current estimate, + * x0 is the previous estimate, and + * f'(x0) is the derivative of f() evaluated at x0. + * For the square root function, the algorithm reduces to: + *
      +   *     x0 = in/2                         [initial guess]
      +   *     x1 = 1/2 * ( x0 + in / x0)        [each iteration]
      +   * 
      + */ + + + /** + * @addtogroup SQRT + * @{ + */ + + /** + * @brief Floating-point square root function. + * @param[in] in input value. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + + static __INLINE arm_status arm_sqrt_f32( + float32_t in, + float32_t * pOut) + { + if(in > 0) + { + +// #if __FPU_USED +#if (__FPU_USED == 1) && defined ( __CC_ARM ) + *pOut = __sqrtf(in); +#else + *pOut = sqrtf(in); +#endif + + return (ARM_MATH_SUCCESS); + } + else + { + *pOut = 0.0f; + return (ARM_MATH_ARGUMENT_ERROR); + } + + } + + + /** + * @brief Q31 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x00000000 to 0x7FFFFFFF. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q31( + q31_t in, + q31_t * pOut); + + /** + * @brief Q15 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x0000 to 0x7FFF. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q15( + q15_t in, + q15_t * pOut); + + /** + * @} end of SQRT group + */ + + + + + + + /** + * @brief floating-point Circular write function. + */ + + static __INLINE void arm_circularWrite_f32( + int32_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const int32_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief floating-point Circular Read function. + */ + static __INLINE void arm_circularRead_f32( + int32_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + int32_t * dst, + int32_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (int32_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + /** + * @brief Q15 Circular write function. + */ + + static __INLINE void arm_circularWrite_q15( + q15_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q15_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief Q15 Circular Read function. + */ + static __INLINE void arm_circularRead_q15( + q15_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q15_t * dst, + q15_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (q15_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update wOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Q7 Circular write function. + */ + + static __INLINE void arm_circularWrite_q7( + q7_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q7_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief Q7 Circular Read function. + */ + static __INLINE void arm_circularRead_q7( + q7_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q7_t * dst, + q7_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (q7_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Sum of the squares of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q31( + q31_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Sum of the squares of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Sum of the squares of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q15( + q15_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Sum of the squares of the elements of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q7( + q7_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Mean value of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_mean_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult); + + /** + * @brief Mean value of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Mean value of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Mean value of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Variance of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Variance of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_q31( + q31_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Variance of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_q15( + q15_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Root Mean Square of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Root Mean Square of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Root Mean Square of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Standard deviation of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Standard deviation of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Standard deviation of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Floating-point complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t numSamples, + q31_t * realResult, + q31_t * imagResult); + + /** + * @brief Q31 complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t numSamples, + q63_t * realResult, + q63_t * imagResult); + + /** + * @brief Floating-point complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t numSamples, + float32_t * realResult, + float32_t * imagResult); + + /** + * @brief Q15 complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_q15( + q15_t * pSrcCmplx, + q15_t * pSrcReal, + q15_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Q31 complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_q31( + q31_t * pSrcCmplx, + q31_t * pSrcReal, + q31_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Floating-point complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_f32( + float32_t * pSrcCmplx, + float32_t * pSrcReal, + float32_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Minimum value of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *result is output pointer + * @param[in] index is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * result, + uint32_t * index); + + /** + * @brief Minimum value of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[in] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + + /** + * @brief Minimum value of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[out] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + void arm_min_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + + /** + * @brief Minimum value of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[out] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q7 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q15 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q31 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a floating-point vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + + /** + * @brief Q15 complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Floating-point complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Converts the elements of the floating-point vector to Q31 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q31 output vector + * @param[in] blockSize length of the input vector + * @return none. + */ + void arm_float_to_q31( + float32_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the floating-point vector to Q15 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q15 output vector + * @param[in] blockSize length of the input vector + * @return none + */ + void arm_float_to_q15( + float32_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the floating-point vector to Q7 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q7 output vector + * @param[in] blockSize length of the input vector + * @return none + */ + void arm_float_to_q7( + float32_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q31 vector to Q15 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_q15( + q31_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the Q31 vector to Q7 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_q7( + q31_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the Q15 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_float( + q15_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q31 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_q31( + q15_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q7 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_q7( + q15_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup BilinearInterpolate Bilinear Interpolation + * + * Bilinear interpolation is an extension of linear interpolation applied to a two dimensional grid. + * The underlying function f(x, y) is sampled on a regular grid and the interpolation process + * determines values between the grid points. + * Bilinear interpolation is equivalent to two step linear interpolation, first in the x-dimension and then in the y-dimension. + * Bilinear interpolation is often used in image processing to rescale images. + * The CMSIS DSP library provides bilinear interpolation functions for Q7, Q15, Q31, and floating-point data types. + * + * Algorithm + * \par + * The instance structure used by the bilinear interpolation functions describes a two dimensional data table. + * For floating-point, the instance structure is defined as: + *
      +   *   typedef struct
      +   *   {
      +   *     uint16_t numRows;
      +   *     uint16_t numCols;
      +   *     float32_t *pData;
      +   * } arm_bilinear_interp_instance_f32;
      +   * 
      + * + * \par + * where numRows specifies the number of rows in the table; + * numCols specifies the number of columns in the table; + * and pData points to an array of size numRows*numCols values. + * The data table pTable is organized in row order and the supplied data values fall on integer indexes. + * That is, table element (x,y) is located at pTable[x + y*numCols] where x and y are integers. + * + * \par + * Let (x, y) specify the desired interpolation point. Then define: + *
      +   *     XF = floor(x)
      +   *     YF = floor(y)
      +   * 
      + * \par + * The interpolated output point is computed as: + *
      +   *  f(x, y) = f(XF, YF) * (1-(x-XF)) * (1-(y-YF))
      +   *           + f(XF+1, YF) * (x-XF)*(1-(y-YF))
      +   *           + f(XF, YF+1) * (1-(x-XF))*(y-YF)
      +   *           + f(XF+1, YF+1) * (x-XF)*(y-YF)
      +   * 
      + * Note that the coordinates (x, y) contain integer and fractional components. + * The integer components specify which portion of the table to use while the + * fractional components control the interpolation processor. + * + * \par + * if (x,y) are outside of the table boundary, Bilinear interpolation returns zero output. + */ + + /** + * @addtogroup BilinearInterpolate + * @{ + */ + + /** + * + * @brief Floating-point bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate. + * @param[in] Y interpolation coordinate. + * @return out interpolated value. + */ + + + static __INLINE float32_t arm_bilinear_interp_f32( + const arm_bilinear_interp_instance_f32 * S, + float32_t X, + float32_t Y) + { + float32_t out; + float32_t f00, f01, f10, f11; + float32_t *pData = S->pData; + int32_t xIndex, yIndex, index; + float32_t xdiff, ydiff; + float32_t b1, b2, b3, b4; + + xIndex = (int32_t) X; + yIndex = (int32_t) Y; + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(xIndex < 0 || xIndex > (S->numRows - 1) || yIndex < 0 + || yIndex > (S->numCols - 1)) + { + return (0); + } + + /* Calculation of index for two nearest points in X-direction */ + index = (xIndex - 1) + (yIndex - 1) * S->numCols; + + + /* Read two nearest points in X-direction */ + f00 = pData[index]; + f01 = pData[index + 1]; + + /* Calculation of index for two nearest points in Y-direction */ + index = (xIndex - 1) + (yIndex) * S->numCols; + + + /* Read two nearest points in Y-direction */ + f10 = pData[index]; + f11 = pData[index + 1]; + + /* Calculation of intermediate values */ + b1 = f00; + b2 = f01 - f00; + b3 = f10 - f00; + b4 = f00 - f01 - f10 + f11; + + /* Calculation of fractional part in X */ + xdiff = X - xIndex; + + /* Calculation of fractional part in Y */ + ydiff = Y - yIndex; + + /* Calculation of bi-linear interpolated output */ + out = b1 + b2 * xdiff + b3 * ydiff + b4 * xdiff * ydiff; + + /* return to application */ + return (out); + + } + + /** + * + * @brief Q31 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q31_t arm_bilinear_interp_q31( + arm_bilinear_interp_instance_q31 * S, + q31_t X, + q31_t Y) + { + q31_t out; /* Temporary output */ + q31_t acc = 0; /* output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q31_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q31_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20u); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20u); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* shift left xfract by 11 to keep 1.31 format */ + xfract = (X & 0x000FFFFF) << 11u; + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + /* 20 bits for the fractional part */ + /* shift left yfract by 11 to keep 1.31 format */ + yfract = (Y & 0x000FFFFF) << 11u; + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 3.29(q29) format */ + out = ((q31_t) (((q63_t) x1 * (0x7FFFFFFF - xfract)) >> 32)); + acc = ((q31_t) (((q63_t) out * (0x7FFFFFFF - yfract)) >> 32)); + + /* x2 * (xfract) * (1-yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) x2 * (0x7FFFFFFF - yfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (xfract) >> 32)); + + /* y1 * (1 - xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y1 * (0x7FFFFFFF - xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* y2 * (xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y2 * (xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* Convert acc to 1.31(q31) format */ + return (acc << 2u); + + } + + /** + * @brief Q15 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q15_t arm_bilinear_interp_q15( + arm_bilinear_interp_instance_q15 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q15_t x1, x2, y1, y2; /* Nearest output values */ + q31_t xfract, yfract; /* X, Y fractional parts */ + int32_t rI, cI; /* Row and column indices */ + q15_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 13.51 format */ + + /* x1 is in 1.15(q15), xfract in 12.20 format and out is in 13.35 format */ + /* convert 13.35 to 13.31 by right shifting and out is in 1.31 */ + out = (q31_t) (((q63_t) x1 * (0xFFFFF - xfract)) >> 4u); + acc = ((q63_t) out * (0xFFFFF - yfract)); + + /* x2 * (xfract) * (1-yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) x2 * (0xFFFFF - yfract)) >> 4u); + acc += ((q63_t) out * (xfract)); + + /* y1 * (1 - xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y1 * (0xFFFFF - xfract)) >> 4u); + acc += ((q63_t) out * (yfract)); + + /* y2 * (xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y2 * (xfract)) >> 4u); + acc += ((q63_t) out * (yfract)); + + /* acc is in 13.51 format and down shift acc by 36 times */ + /* Convert out to 1.15 format */ + return (acc >> 36); + + } + + /** + * @brief Q7 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q7_t arm_bilinear_interp_q7( + arm_bilinear_interp_instance_q7 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q7_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q7_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 16.47 format */ + out = ((x1 * (0xFFFFF - xfract))); + acc = (((q63_t) out * (0xFFFFF - yfract))); + + /* x2 * (xfract) * (1-yfract) in 2.22 and adding to acc */ + out = ((x2 * (0xFFFFF - yfract))); + acc += (((q63_t) out * (xfract))); + + /* y1 * (1 - xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y1 * (0xFFFFF - xfract))); + acc += (((q63_t) out * (yfract))); + + /* y2 * (xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y2 * (yfract))); + acc += (((q63_t) out * (xfract))); + + /* acc in 16.47 format and down shift by 40 to convert to 1.7 format */ + return (acc >> 40); + + } + + /** + * @} end of BilinearInterpolate group + */ + + +#if defined ( __CC_ARM ) //Keil +//SMMLAR + #define multAcc_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) + ((q63_t) x * y) + 0x80000000LL ) >> 32) + +//SMMLSR + #define multSub_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) - ((q63_t) x * y) + 0x80000000LL ) >> 32) + +//SMMULR + #define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y + 0x80000000LL ) >> 32) + +//Enter low optimization region - place directly above function definition + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("push") \ + _Pragma ("O1") + +//Exit low optimization region - place directly after end of function definition + #define LOW_OPTIMIZATION_EXIT \ + _Pragma ("pop") + +//Enter low optimization region - place directly above function definition + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + +//Exit low optimization region - place directly after end of function definition + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__ICCARM__) //IAR + //SMMLA + #define multAcc_32x32_keep32_R(a, x, y) \ + a += (q31_t) (((q63_t) x * y) >> 32) + + //SMMLS + #define multSub_32x32_keep32_R(a, x, y) \ + a -= (q31_t) (((q63_t) x * y) >> 32) + +//SMMUL + #define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y ) >> 32) + +//Enter low optimization region - place directly above function definition + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + +//Exit low optimization region - place directly after end of function definition + #define LOW_OPTIMIZATION_EXIT + +//Enter low optimization region - place directly above function definition + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + +//Exit low optimization region - place directly after end of function definition + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__GNUC__) + //SMMLA + #define multAcc_32x32_keep32_R(a, x, y) \ + a += (q31_t) (((q63_t) x * y) >> 32) + + //SMMLS + #define multSub_32x32_keep32_R(a, x, y) \ + a -= (q31_t) (((q63_t) x * y) >> 32) + +//SMMUL + #define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y ) >> 32) + + #define LOW_OPTIMIZATION_ENTER __attribute__(( optimize("-O1") )) + + #define LOW_OPTIMIZATION_EXIT + + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#endif + + + + + +#ifdef __cplusplus +} +#endif + + +#endif /* _ARM_MATH_H */ + + +/** + * + * End of file. + */ diff --git a/Firmware/SDK/CMSIS/core_cm3.h b/Firmware/SDK/CMSIS/core_cm3.h new file mode 100644 index 0000000..122c9aa --- /dev/null +++ b/Firmware/SDK/CMSIS/core_cm3.h @@ -0,0 +1,1627 @@ +/**************************************************************************//** + * @file core_cm3.h + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Header File + * @version V3.20 + * @date 25. February 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM3_H_GENERIC +#define __CORE_CM3_H_GENERIC + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
      + Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
      + Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
      + Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M3 + @{ + */ + +/* CMSIS CM3 definitions */ +#define __CM3_CMSIS_VERSION_MAIN (0x03) /*!< [31:16] CMSIS HAL main version */ +#define __CM3_CMSIS_VERSION_SUB (0x20) /*!< [15:0] CMSIS HAL sub version */ +#define __CM3_CMSIS_VERSION ((__CM3_CMSIS_VERSION_MAIN << 16) | \ + __CM3_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x03) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. This core does not support an FPU at all +*/ +#define __FPU_USED 0 + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI__VFP_SUPPORT____ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ + +#endif /* __CORE_CM3_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM3_H_DEPENDANT +#define __CORE_CM3_H_DEPENDANT + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM3_REV + #define __CM3_REV 0x0200 + #warning "__CM3_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M3 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#if (__CM3_REV < 0x0201) /* core r2p1 */ +#define SCB_VTOR_TBLBASE_Pos 29 /*!< SCB VTOR: TBLBASE Position */ +#define SCB_VTOR_TBLBASE_Msk (1UL << SCB_VTOR_TBLBASE_Pos) /*!< SCB VTOR: TBLBASE Mask */ + +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x3FFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#else +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ +#if ((defined __CM3_REV) && (__CM3_REV >= 0x200)) + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +#else + uint32_t RESERVED1[1]; +#endif +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M3 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the samllest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + +#endif /* __CORE_CM3_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ + +#ifdef __cplusplus +} +#endif diff --git a/Firmware/SDK/CMSIS/core_cm4.h b/Firmware/SDK/CMSIS/core_cm4.h new file mode 100644 index 0000000..d65016c --- /dev/null +++ b/Firmware/SDK/CMSIS/core_cm4.h @@ -0,0 +1,1772 @@ +/**************************************************************************//** + * @file core_cm4.h + * @brief CMSIS Cortex-M4 Core Peripheral Access Layer Header File + * @version V3.20 + * @date 25. February 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM4_H_GENERIC +#define __CORE_CM4_H_GENERIC + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
      + Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
      + Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
      + Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M4 + @{ + */ + +/* CMSIS CM4 definitions */ +#define __CM4_CMSIS_VERSION_MAIN (0x03) /*!< [31:16] CMSIS HAL main version */ +#define __CM4_CMSIS_VERSION_SUB (0x20) /*!< [15:0] CMSIS HAL sub version */ +#define __CM4_CMSIS_VERSION ((__CM4_CMSIS_VERSION_MAIN << 16) | \ + __CM4_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x04) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions. +*/ +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ +#include /* Compiler specific SIMD Intrinsics */ + +#endif /* __CORE_CM4_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM4_H_DEPENDANT +#define __CORE_CM4_H_DEPENDANT + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM4_REV + #define __CM4_REV 0x0000 + #warning "__CM4_REV not defined in device header file; using default!" + #endif + + #ifndef __FPU_PRESENT + #define __FPU_PRESENT 0 + #warning "__FPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M4 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + - Core FPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ +#define SCnSCB_ACTLR_DISOOFP_Pos 9 /*!< ACTLR: DISOOFP Position */ +#define SCnSCB_ACTLR_DISOOFP_Msk (1UL << SCnSCB_ACTLR_DISOOFP_Pos) /*!< ACTLR: DISOOFP Mask */ + +#define SCnSCB_ACTLR_DISFPCA_Pos 8 /*!< ACTLR: DISFPCA Position */ +#define SCnSCB_ACTLR_DISFPCA_Msk (1UL << SCnSCB_ACTLR_DISFPCA_Pos) /*!< ACTLR: DISFPCA Mask */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +#if (__FPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_FPU Floating Point Unit (FPU) + \brief Type definitions for the Floating Point Unit (FPU) + @{ + */ + +/** \brief Structure type to access the Floating Point Unit (FPU). + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __IO uint32_t FPCCR; /*!< Offset: 0x004 (R/W) Floating-Point Context Control Register */ + __IO uint32_t FPCAR; /*!< Offset: 0x008 (R/W) Floating-Point Context Address Register */ + __IO uint32_t FPDSCR; /*!< Offset: 0x00C (R/W) Floating-Point Default Status Control Register */ + __I uint32_t MVFR0; /*!< Offset: 0x010 (R/ ) Media and FP Feature Register 0 */ + __I uint32_t MVFR1; /*!< Offset: 0x014 (R/ ) Media and FP Feature Register 1 */ +} FPU_Type; + +/* Floating-Point Context Control Register */ +#define FPU_FPCCR_ASPEN_Pos 31 /*!< FPCCR: ASPEN bit Position */ +#define FPU_FPCCR_ASPEN_Msk (1UL << FPU_FPCCR_ASPEN_Pos) /*!< FPCCR: ASPEN bit Mask */ + +#define FPU_FPCCR_LSPEN_Pos 30 /*!< FPCCR: LSPEN Position */ +#define FPU_FPCCR_LSPEN_Msk (1UL << FPU_FPCCR_LSPEN_Pos) /*!< FPCCR: LSPEN bit Mask */ + +#define FPU_FPCCR_MONRDY_Pos 8 /*!< FPCCR: MONRDY Position */ +#define FPU_FPCCR_MONRDY_Msk (1UL << FPU_FPCCR_MONRDY_Pos) /*!< FPCCR: MONRDY bit Mask */ + +#define FPU_FPCCR_BFRDY_Pos 6 /*!< FPCCR: BFRDY Position */ +#define FPU_FPCCR_BFRDY_Msk (1UL << FPU_FPCCR_BFRDY_Pos) /*!< FPCCR: BFRDY bit Mask */ + +#define FPU_FPCCR_MMRDY_Pos 5 /*!< FPCCR: MMRDY Position */ +#define FPU_FPCCR_MMRDY_Msk (1UL << FPU_FPCCR_MMRDY_Pos) /*!< FPCCR: MMRDY bit Mask */ + +#define FPU_FPCCR_HFRDY_Pos 4 /*!< FPCCR: HFRDY Position */ +#define FPU_FPCCR_HFRDY_Msk (1UL << FPU_FPCCR_HFRDY_Pos) /*!< FPCCR: HFRDY bit Mask */ + +#define FPU_FPCCR_THREAD_Pos 3 /*!< FPCCR: processor mode bit Position */ +#define FPU_FPCCR_THREAD_Msk (1UL << FPU_FPCCR_THREAD_Pos) /*!< FPCCR: processor mode active bit Mask */ + +#define FPU_FPCCR_USER_Pos 1 /*!< FPCCR: privilege level bit Position */ +#define FPU_FPCCR_USER_Msk (1UL << FPU_FPCCR_USER_Pos) /*!< FPCCR: privilege level bit Mask */ + +#define FPU_FPCCR_LSPACT_Pos 0 /*!< FPCCR: Lazy state preservation active bit Position */ +#define FPU_FPCCR_LSPACT_Msk (1UL << FPU_FPCCR_LSPACT_Pos) /*!< FPCCR: Lazy state preservation active bit Mask */ + +/* Floating-Point Context Address Register */ +#define FPU_FPCAR_ADDRESS_Pos 3 /*!< FPCAR: ADDRESS bit Position */ +#define FPU_FPCAR_ADDRESS_Msk (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos) /*!< FPCAR: ADDRESS bit Mask */ + +/* Floating-Point Default Status Control Register */ +#define FPU_FPDSCR_AHP_Pos 26 /*!< FPDSCR: AHP bit Position */ +#define FPU_FPDSCR_AHP_Msk (1UL << FPU_FPDSCR_AHP_Pos) /*!< FPDSCR: AHP bit Mask */ + +#define FPU_FPDSCR_DN_Pos 25 /*!< FPDSCR: DN bit Position */ +#define FPU_FPDSCR_DN_Msk (1UL << FPU_FPDSCR_DN_Pos) /*!< FPDSCR: DN bit Mask */ + +#define FPU_FPDSCR_FZ_Pos 24 /*!< FPDSCR: FZ bit Position */ +#define FPU_FPDSCR_FZ_Msk (1UL << FPU_FPDSCR_FZ_Pos) /*!< FPDSCR: FZ bit Mask */ + +#define FPU_FPDSCR_RMode_Pos 22 /*!< FPDSCR: RMode bit Position */ +#define FPU_FPDSCR_RMode_Msk (3UL << FPU_FPDSCR_RMode_Pos) /*!< FPDSCR: RMode bit Mask */ + +/* Media and FP Feature Register 0 */ +#define FPU_MVFR0_FP_rounding_modes_Pos 28 /*!< MVFR0: FP rounding modes bits Position */ +#define FPU_MVFR0_FP_rounding_modes_Msk (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos) /*!< MVFR0: FP rounding modes bits Mask */ + +#define FPU_MVFR0_Short_vectors_Pos 24 /*!< MVFR0: Short vectors bits Position */ +#define FPU_MVFR0_Short_vectors_Msk (0xFUL << FPU_MVFR0_Short_vectors_Pos) /*!< MVFR0: Short vectors bits Mask */ + +#define FPU_MVFR0_Square_root_Pos 20 /*!< MVFR0: Square root bits Position */ +#define FPU_MVFR0_Square_root_Msk (0xFUL << FPU_MVFR0_Square_root_Pos) /*!< MVFR0: Square root bits Mask */ + +#define FPU_MVFR0_Divide_Pos 16 /*!< MVFR0: Divide bits Position */ +#define FPU_MVFR0_Divide_Msk (0xFUL << FPU_MVFR0_Divide_Pos) /*!< MVFR0: Divide bits Mask */ + +#define FPU_MVFR0_FP_excep_trapping_Pos 12 /*!< MVFR0: FP exception trapping bits Position */ +#define FPU_MVFR0_FP_excep_trapping_Msk (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos) /*!< MVFR0: FP exception trapping bits Mask */ + +#define FPU_MVFR0_Double_precision_Pos 8 /*!< MVFR0: Double-precision bits Position */ +#define FPU_MVFR0_Double_precision_Msk (0xFUL << FPU_MVFR0_Double_precision_Pos) /*!< MVFR0: Double-precision bits Mask */ + +#define FPU_MVFR0_Single_precision_Pos 4 /*!< MVFR0: Single-precision bits Position */ +#define FPU_MVFR0_Single_precision_Msk (0xFUL << FPU_MVFR0_Single_precision_Pos) /*!< MVFR0: Single-precision bits Mask */ + +#define FPU_MVFR0_A_SIMD_registers_Pos 0 /*!< MVFR0: A_SIMD registers bits Position */ +#define FPU_MVFR0_A_SIMD_registers_Msk (0xFUL << FPU_MVFR0_A_SIMD_registers_Pos) /*!< MVFR0: A_SIMD registers bits Mask */ + +/* Media and FP Feature Register 1 */ +#define FPU_MVFR1_FP_fused_MAC_Pos 28 /*!< MVFR1: FP fused MAC bits Position */ +#define FPU_MVFR1_FP_fused_MAC_Msk (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos) /*!< MVFR1: FP fused MAC bits Mask */ + +#define FPU_MVFR1_FP_HPFP_Pos 24 /*!< MVFR1: FP HPFP bits Position */ +#define FPU_MVFR1_FP_HPFP_Msk (0xFUL << FPU_MVFR1_FP_HPFP_Pos) /*!< MVFR1: FP HPFP bits Mask */ + +#define FPU_MVFR1_D_NaN_mode_Pos 4 /*!< MVFR1: D_NaN mode bits Position */ +#define FPU_MVFR1_D_NaN_mode_Msk (0xFUL << FPU_MVFR1_D_NaN_mode_Pos) /*!< MVFR1: D_NaN mode bits Mask */ + +#define FPU_MVFR1_FtZ_mode_Pos 0 /*!< MVFR1: FtZ mode bits Position */ +#define FPU_MVFR1_FtZ_mode_Msk (0xFUL << FPU_MVFR1_FtZ_mode_Pos) /*!< MVFR1: FtZ mode bits Mask */ + +/*@} end of group CMSIS_FPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M4 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +#if (__FPU_PRESENT == 1) + #define FPU_BASE (SCS_BASE + 0x0F30UL) /*!< Floating Point Unit */ + #define FPU ((FPU_Type *) FPU_BASE ) /*!< Floating Point Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ +/* NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); enable interrupt */ + NVIC->ISER[(uint32_t)((int32_t)IRQn) >> 5] = (uint32_t)(1 << ((uint32_t)((int32_t)IRQn) & (uint32_t)0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the samllest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + +#endif /* __CORE_CM4_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ + +#ifdef __cplusplus +} +#endif diff --git a/Firmware/SDK/CMSIS/core_cm4_simd.h b/Firmware/SDK/CMSIS/core_cm4_simd.h new file mode 100644 index 0000000..c0a9e54 --- /dev/null +++ b/Firmware/SDK/CMSIS/core_cm4_simd.h @@ -0,0 +1,671 @@ +/** + * @file core_cm4_simd.h + * @brief CMSIS Cortex-M4 SIMD Header File + * @version V3.20 + * @date 25. February 2013 + ******************************************************************************/ + +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM4_SIMD_H +#define __CORE_CM4_SIMD_H + + +/******************************************************************************* + * Hardware Abstraction Layer + ******************************************************************************/ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +#define __SADD8 __sadd8 +#define __QADD8 __qadd8 +#define __SHADD8 __shadd8 +#define __UADD8 __uadd8 +#define __UQADD8 __uqadd8 +#define __UHADD8 __uhadd8 +#define __SSUB8 __ssub8 +#define __QSUB8 __qsub8 +#define __SHSUB8 __shsub8 +#define __USUB8 __usub8 +#define __UQSUB8 __uqsub8 +#define __UHSUB8 __uhsub8 +#define __SADD16 __sadd16 +#define __QADD16 __qadd16 +#define __SHADD16 __shadd16 +#define __UADD16 __uadd16 +#define __UQADD16 __uqadd16 +#define __UHADD16 __uhadd16 +#define __SSUB16 __ssub16 +#define __QSUB16 __qsub16 +#define __SHSUB16 __shsub16 +#define __USUB16 __usub16 +#define __UQSUB16 __uqsub16 +#define __UHSUB16 __uhsub16 +#define __SASX __sasx +#define __QASX __qasx +#define __SHASX __shasx +#define __UASX __uasx +#define __UQASX __uqasx +#define __UHASX __uhasx +#define __SSAX __ssax +#define __QSAX __qsax +#define __SHSAX __shsax +#define __USAX __usax +#define __UQSAX __uqsax +#define __UHSAX __uhsax +#define __USAD8 __usad8 +#define __USADA8 __usada8 +#define __SSAT16 __ssat16 +#define __USAT16 __usat16 +#define __UXTB16 __uxtb16 +#define __UXTAB16 __uxtab16 +#define __SXTB16 __sxtb16 +#define __SXTAB16 __sxtab16 +#define __SMUAD __smuad +#define __SMUADX __smuadx +#define __SMLAD __smlad +#define __SMLADX __smladx +#define __SMLALD __smlald +#define __SMLALDX __smlaldx +#define __SMUSD __smusd +#define __SMUSDX __smusdx +#define __SMLSD __smlsd +#define __SMLSDX __smlsdx +#define __SMLSLD __smlsld +#define __SMLSLDX __smlsldx +#define __SEL __sel +#define __QADD __qadd +#define __QSUB __qsub + +#define __PKHBT(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0x0000FFFFUL) | \ + ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL) ) + +#define __PKHTB(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0xFFFF0000UL) | \ + ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL) ) + +#define __SMMLA(ARG1,ARG2,ARG3) ( (int32_t)((((int64_t)(ARG1) * (ARG2)) + \ + ((int64_t)(ARG3) << 32) ) >> 32)) + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +#include + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +#include + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SSAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +#define __USAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUAD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SMLALD(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((uint64_t)(ARG3) >> 32), __ARG3_L = (uint32_t)((uint64_t)(ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +#define __SMLALDX(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((uint64_t)(ARG3) >> 32), __ARG3_L = (uint32_t)((uint64_t)(ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SMLSLD(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((ARG3) >> 32), __ARG3_L = (uint32_t)((ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +#define __SMLSLDX(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((ARG3) >> 32), __ARG3_L = (uint32_t)((ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SEL (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +#define __PKHBT(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + __ASM ("pkhbt %0, %1, %2, lsl %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +#define __PKHTB(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + if (ARG3 == 0) \ + __ASM ("pkhtb %0, %1, %2" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2) ); \ + else \ + __ASM ("pkhtb %0, %1, %2, asr %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMMLA (int32_t op1, int32_t op2, int32_t op3) +{ + int32_t result; + + __ASM volatile ("smmla %0, %1, %2, %3" : "=r" (result): "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +/* not yet supported */ +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + +#endif + +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#endif /* __CORE_CM4_SIMD_H */ + +#ifdef __cplusplus +} +#endif diff --git a/Firmware/SDK/CMSIS/core_cmFunc.h b/Firmware/SDK/CMSIS/core_cmFunc.h new file mode 100644 index 0000000..2859b7c --- /dev/null +++ b/Firmware/SDK/CMSIS/core_cmFunc.h @@ -0,0 +1,633 @@ +/** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V3.20 + * @date 25. February 2013 + ******************************************************************************/ + +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** @ingroup CMSIS_Core_FunctionInterface + @defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** @brief Get Control Register + + This function returns the content of the Control Register. + + @return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** @brief Set Control Register + + This function writes the given value to the Control Register. + + @param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** @brief Get IPSR Register + + This function returns the content of the IPSR Register. + + @return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** @brief Get APSR Register + + This function returns the content of the APSR Register. + + @return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** @brief Get xPSR Register + + This function returns the content of the xPSR Register. + + @return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** @brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + @return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** @brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + @param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** @brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + @return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** @brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + @param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** @brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + @return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** @brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + @param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03) + +/** @brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** @brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** @brief Get Base Priority + + This function returns the current value of the Base Priority register. + + @return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** @brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + @param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xff); +} + + +/** @brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + @return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** @brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + @param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** @brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + @return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0); +#endif +} + + +/** @brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + @param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** @brief Enable IRQ Interrupts + + This function enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i" : : : "memory"); +} + + +/** @brief Disable IRQ Interrupts + + This function disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i" : : : "memory"); +} + + +/** @brief Get Control Register + + This function returns the content of the Control Register. + + @return Control Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +/** @brief Set Control Register + + This function writes the given value to the Control Register. + + @param [in] control Control Register value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) : "memory"); +} + + +/** @brief Get IPSR Register + + This function returns the content of the IPSR Register. + + @return IPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** @brief Get APSR Register + + This function returns the content of the APSR Register. + + @return APSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** @brief Get xPSR Register + + This function returns the content of the xPSR Register. + + @return xPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** @brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + @return PSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, psp\n" : "=r" (result) ); + return(result); +} + + +/** @brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + @param [in] topOfProcStack Process Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n" : : "r" (topOfProcStack) : "sp"); +} + + +/** @brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + @return MSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, msp\n" : "=r" (result) ); + return(result); +} + + +/** @brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + @param [in] topOfMainStack Main Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n" : : "r" (topOfMainStack) : "sp"); +} + + +/** @brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + @return Priority Mask value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + + +/** @brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + @param [in] priMask Priority Mask + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory"); +} + + +#if (__CORTEX_M >= 0x03) + +/** @brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f" : : : "memory"); +} + + +/** @brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f" : : : "memory"); +} + + +/** @brief Get Base Priority + + This function returns the current value of the Base Priority register. + + @return Base Priority register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri_max" : "=r" (result) ); + return(result); +} + + +/** @brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + @param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) : "memory"); +} + + +/** @brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + @return Fault Mask register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +/** @brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + @param [in] faultMask Fault Mask value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory"); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** @brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + @return Floating Point Status/Control register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + uint32_t result; + + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + __ASM volatile (""); + return(result); +#else + return(0); +#endif +} + + +/** @brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + @param [in] fpscr Floating Point Status/Control value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) : "vfpcc"); + __ASM volatile (""); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all instrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +#endif /* __CORE_CMFUNC_H */ diff --git a/Firmware/SDK/CMSIS/core_cmInstr.h b/Firmware/SDK/CMSIS/core_cmInstr.h new file mode 100644 index 0000000..d213f0e --- /dev/null +++ b/Firmware/SDK/CMSIS/core_cmInstr.h @@ -0,0 +1,688 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V3.20 + * @date 05. March 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +#define __ISB() __isb(0xF) + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() __dsb(0xF) + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() __dmb(0xF) + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} +#endif + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} +#endif + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __breakpoint(value) + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __RBIT __rbit + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXB(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXH(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXW(value, ptr) __strex(value, ptr) + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +#define __CLREX __clrex + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + +#endif /* (__CORTEX_M >= 0x03) */ + + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/* Define macros for porting to both thumb1 and thumb2. + * For thumb1, use low register (r0-r7), specified by constrant "l" + * Otherwise, use general registers, specified by constrant "r" */ +#if defined (__thumb__) && !defined (__thumb2__) +#define __CMSIS_GCC_OUT_REG(r) "=l" (r) +#define __CMSIS_GCC_USE_REG(r) "l" (r) +#else +#define __CMSIS_GCC_OUT_REG(r) "=r" (r) +#define __CMSIS_GCC_USE_REG(r) "r" (r) +#endif + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __NOP(void) +{ + __ASM volatile ("nop"); +} + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFI(void) +{ + __ASM volatile ("wfi"); +} + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFE(void) +{ + __ASM volatile ("wfe"); +} + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __SEV(void) +{ + __ASM volatile ("sev"); +} + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __ISB(void) +{ + __ASM volatile ("isb"); +} + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DSB(void) +{ + __ASM volatile ("dsb"); +} + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DMB(void) +{ + __ASM volatile ("dmb"); +} + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV(uint32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 5) + return __builtin_bswap32(value); +#else + uint32_t result; + + __ASM volatile ("rev %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __REVSH(int32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + return (short)__builtin_bswap16(value); +#else + uint32_t result; + + __ASM volatile ("revsh %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + return (op1 >> op2) | (op1 << (32 - op2)); +} + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __ASM volatile ("bkpt "#value) + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexb %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return(result); +} + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexh %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return(result); +} + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __CLREX(void) +{ + __ASM volatile ("clrex" ::: "memory"); +} + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __CLZ(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("clz %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/Firmware/SDK/Device/bbfc_regs.h b/Firmware/SDK/Device/bbfc_regs.h new file mode 100644 index 0000000..3b0a1d1 --- /dev/null +++ b/Firmware/SDK/Device/bbfc_regs.h @@ -0,0 +1,127 @@ +/** + * @file bbfc_regs.h + * @brief Registers, Bit Masks and Bit Positions for the BBFC Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _BBFC_REGS_H_ +#define _BBFC_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup bbfc + * @defgroup bbfc_registers BBFC_Registers + * @brief Registers, Bit Masks and Bit Positions for the BBFC Peripheral Module. + * @details Battery-Backed Function Control. + */ + +/** + * @ingroup bbfc_registers + * Structure type to access the BBFC Registers. + */ +typedef struct { + __IO uint32_t bbfcr0; /**< \b 0x00: BBFC BBFCR0 Register */ +} mxc_bbfc_regs_t; + +/* Register offsets for module BBFC */ +/** + * @ingroup bbfc_registers + * @defgroup BBFC_Register_Offsets Register Offsets + * @brief BBFC Peripheral Register Offsets from the BBFC Base Peripheral Address. + * @{ + */ + #define MXC_R_BBFC_BBFCR0 ((uint32_t)0x00000000UL) /**< Offset from BBFC Base Address: 0x0000 */ +/**@} end of group bbfc_registers */ + +/** + * @ingroup bbfc_registers + * @defgroup BBFC_BBFCR0 BBFC_BBFCR0 + * @brief Function Control Register 0. + * @{ + */ + #define MXC_F_BBFC_BBFCR0_CKPDRV_POS 0 /**< BBFCR0_CKPDRV Position */ + #define MXC_F_BBFC_BBFCR0_CKPDRV ((uint32_t)(0xFUL << MXC_F_BBFC_BBFCR0_CKPDRV_POS)) /**< BBFCR0_CKPDRV Mask */ + + #define MXC_F_BBFC_BBFCR0_CKNPDRV_POS 4 /**< BBFCR0_CKNPDRV Position */ + #define MXC_F_BBFC_BBFCR0_CKNPDRV ((uint32_t)(0xFUL << MXC_F_BBFC_BBFCR0_CKNPDRV_POS)) /**< BBFCR0_CKNPDRV Mask */ + + #define MXC_F_BBFC_BBFCR0_RDSDLLEN_POS 8 /**< BBFCR0_RDSDLLEN Position */ + #define MXC_F_BBFC_BBFCR0_RDSDLLEN ((uint32_t)(0x1UL << MXC_F_BBFC_BBFCR0_RDSDLLEN_POS)) /**< BBFCR0_RDSDLLEN Mask */ + #define MXC_V_BBFC_BBFCR0_RDSDLLEN_DIS ((uint32_t)0x0UL) /**< BBFCR0_RDSDLLEN_DIS Value */ + #define MXC_S_BBFC_BBFCR0_RDSDLLEN_DIS (MXC_V_BBFC_BBFCR0_RDSDLLEN_DIS << MXC_F_BBFC_BBFCR0_RDSDLLEN_POS) /**< BBFCR0_RDSDLLEN_DIS Setting */ + #define MXC_V_BBFC_BBFCR0_RDSDLLEN_EN ((uint32_t)0x1UL) /**< BBFCR0_RDSDLLEN_EN Value */ + #define MXC_S_BBFC_BBFCR0_RDSDLLEN_EN (MXC_V_BBFC_BBFCR0_RDSDLLEN_EN << MXC_F_BBFC_BBFCR0_RDSDLLEN_POS) /**< BBFCR0_RDSDLLEN_EN Setting */ + +/**@} end of group BBFC_BBFCR0_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _BBFC_REGS_H_ */ diff --git a/Firmware/SDK/Device/bbsir_regs.h b/Firmware/SDK/Device/bbsir_regs.h new file mode 100644 index 0000000..cac7b0a --- /dev/null +++ b/Firmware/SDK/Device/bbsir_regs.h @@ -0,0 +1,111 @@ +/** + * @file bbsir_regs.h + * @brief Registers, Bit Masks and Bit Positions for the BBSIR Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _BBSIR_REGS_H_ +#define _BBSIR_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup bbsir + * @defgroup bbsir_registers BBSIR_Registers + * @brief Registers, Bit Masks and Bit Positions for the BBSIR Peripheral Module. + * @details Battery-Backed Registers. + */ + +/** + * @ingroup bbsir_registers + * Structure type to access the BBSIR Registers. + */ +typedef struct { + __IO uint32_t rsv0; /**< \b 0x00: BBSIR RSV0 Register */ + __R uint32_t rsv_0x4; + __I uint32_t bb_sir2; /**< \b 0x08: BBSIR BB_SIR2 Register */ + __I uint32_t bb_sir3; /**< \b 0x0C: BBSIR BB_SIR3 Register */ +} mxc_bbsir_regs_t; + +/* Register offsets for module BBSIR */ +/** + * @ingroup bbsir_registers + * @defgroup BBSIR_Register_Offsets Register Offsets + * @brief BBSIR Peripheral Register Offsets from the BBSIR Base Peripheral Address. + * @{ + */ + #define MXC_R_BBSIR_RSV0 ((uint32_t)0x00000000UL) /**< Offset from BBSIR Base Address: 0x0000 */ + #define MXC_R_BBSIR_BB_SIR2 ((uint32_t)0x00000008UL) /**< Offset from BBSIR Base Address: 0x0008 */ + #define MXC_R_BBSIR_BB_SIR3 ((uint32_t)0x0000000CUL) /**< Offset from BBSIR Base Address: 0x000C */ +/**@} end of group bbsir_registers */ + +#ifdef __cplusplus +} +#endif + +#endif /* _BBSIR_REGS_H_ */ diff --git a/Firmware/SDK/Device/dma_regs.h b/Firmware/SDK/Device/dma_regs.h new file mode 100644 index 0000000..e60da8e --- /dev/null +++ b/Firmware/SDK/Device/dma_regs.h @@ -0,0 +1,470 @@ +/** + * @file dma_regs.h + * @brief Registers, Bit Masks and Bit Positions for the DMA Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _DMA_REGS_H_ +#define _DMA_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup dma + * @defgroup dma_registers DMA_Registers + * @brief Registers, Bit Masks and Bit Positions for the DMA Peripheral Module. + * @details DMA Controller Fully programmable, chaining capable DMA channels. + */ + +/** + * @ingroup dma_registers + * Structure type to access the DMA Registers. + */ +typedef struct { + __IO uint32_t cfg; /**< \b 0x100: DMA CFG Register */ + __IO uint32_t st; /**< \b 0x104: DMA ST Register */ + __IO uint32_t src; /**< \b 0x108: DMA SRC Register */ + __IO uint32_t dst; /**< \b 0x10C: DMA DST Register */ + __IO uint32_t cnt; /**< \b 0x110: DMA CNT Register */ + __IO uint32_t src_rld; /**< \b 0x114: DMA SRC_RLD Register */ + __IO uint32_t dst_rld; /**< \b 0x118: DMA DST_RLD Register */ + __IO uint32_t cnt_rld; /**< \b 0x11C: DMA CNT_RLD Register */ +} mxc_dma_ch_regs_t; + +typedef struct { + __IO uint32_t cn; /**< \b 0x000: DMA CN Register */ + __I uint32_t intr; /**< \b 0x004: DMA INTR Register */ + __R uint32_t rsv_0x8_0xff[62]; + __IO mxc_dma_ch_regs_t ch[4]; /**< \b 0x100: DMA CH Register */ +} mxc_dma_regs_t; + +/* Register offsets for module DMA */ +/** + * @ingroup dma_registers + * @defgroup DMA_Register_Offsets Register Offsets + * @brief DMA Peripheral Register Offsets from the DMA Base Peripheral Address. + * @{ + */ + #define MXC_R_DMA_CFG ((uint32_t)0x00000100UL) /**< Offset from DMA Base Address: 0x0100 */ + #define MXC_R_DMA_ST ((uint32_t)0x00000104UL) /**< Offset from DMA Base Address: 0x0104 */ + #define MXC_R_DMA_SRC ((uint32_t)0x00000108UL) /**< Offset from DMA Base Address: 0x0108 */ + #define MXC_R_DMA_DST ((uint32_t)0x0000010CUL) /**< Offset from DMA Base Address: 0x010C */ + #define MXC_R_DMA_CNT ((uint32_t)0x00000110UL) /**< Offset from DMA Base Address: 0x0110 */ + #define MXC_R_DMA_SRC_RLD ((uint32_t)0x00000114UL) /**< Offset from DMA Base Address: 0x0114 */ + #define MXC_R_DMA_DST_RLD ((uint32_t)0x00000118UL) /**< Offset from DMA Base Address: 0x0118 */ + #define MXC_R_DMA_CNT_RLD ((uint32_t)0x0000011CUL) /**< Offset from DMA Base Address: 0x011C */ + #define MXC_R_DMA_CN ((uint32_t)0x00000000UL) /**< Offset from DMA Base Address: 0x0000 */ + #define MXC_R_DMA_INTR ((uint32_t)0x00000004UL) /**< Offset from DMA Base Address: 0x0004 */ + #define MXC_R_DMA_CH ((uint32_t)0x00000100UL) /**< Offset from DMA Base Address: 0x0100 */ +/**@} end of group dma_registers */ + +/** + * @ingroup dma_registers + * @defgroup DMA_CN DMA_CN + * @brief DMA Control Register. + * @{ + */ + #define MXC_F_DMA_CN_CH0_IEN_POS 0 /**< CN_CH0_IEN Position */ + #define MXC_F_DMA_CN_CH0_IEN ((uint32_t)(0x1UL << MXC_F_DMA_CN_CH0_IEN_POS)) /**< CN_CH0_IEN Mask */ + #define MXC_V_DMA_CN_CH0_IEN_DIS ((uint32_t)0x0UL) /**< CN_CH0_IEN_DIS Value */ + #define MXC_S_DMA_CN_CH0_IEN_DIS (MXC_V_DMA_CN_CH0_IEN_DIS << MXC_F_DMA_CN_CH0_IEN_POS) /**< CN_CH0_IEN_DIS Setting */ + #define MXC_V_DMA_CN_CH0_IEN_EN ((uint32_t)0x1UL) /**< CN_CH0_IEN_EN Value */ + #define MXC_S_DMA_CN_CH0_IEN_EN (MXC_V_DMA_CN_CH0_IEN_EN << MXC_F_DMA_CN_CH0_IEN_POS) /**< CN_CH0_IEN_EN Setting */ + + #define MXC_F_DMA_CN_CH1_IEN_POS 1 /**< CN_CH1_IEN Position */ + #define MXC_F_DMA_CN_CH1_IEN ((uint32_t)(0x1UL << MXC_F_DMA_CN_CH1_IEN_POS)) /**< CN_CH1_IEN Mask */ + + #define MXC_F_DMA_CN_CH2_IEN_POS 2 /**< CN_CH2_IEN Position */ + #define MXC_F_DMA_CN_CH2_IEN ((uint32_t)(0x1UL << MXC_F_DMA_CN_CH2_IEN_POS)) /**< CN_CH2_IEN Mask */ + + #define MXC_F_DMA_CN_CH3_IEN_POS 3 /**< CN_CH3_IEN Position */ + #define MXC_F_DMA_CN_CH3_IEN ((uint32_t)(0x1UL << MXC_F_DMA_CN_CH3_IEN_POS)) /**< CN_CH3_IEN Mask */ + +/**@} end of group DMA_CN_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_INTR DMA_INTR + * @brief DMA Interrupt Register. + * @{ + */ + #define MXC_F_DMA_INTR_CH0_IPEND_POS 0 /**< INTR_CH0_IPEND Position */ + #define MXC_F_DMA_INTR_CH0_IPEND ((uint32_t)(0x1UL << MXC_F_DMA_INTR_CH0_IPEND_POS)) /**< INTR_CH0_IPEND Mask */ + #define MXC_V_DMA_INTR_CH0_IPEND_INACTIVE ((uint32_t)0x0UL) /**< INTR_CH0_IPEND_INACTIVE Value */ + #define MXC_S_DMA_INTR_CH0_IPEND_INACTIVE (MXC_V_DMA_INTR_CH0_IPEND_INACTIVE << MXC_F_DMA_INTR_CH0_IPEND_POS) /**< INTR_CH0_IPEND_INACTIVE Setting */ + #define MXC_V_DMA_INTR_CH0_IPEND_PENDING ((uint32_t)0x1UL) /**< INTR_CH0_IPEND_PENDING Value */ + #define MXC_S_DMA_INTR_CH0_IPEND_PENDING (MXC_V_DMA_INTR_CH0_IPEND_PENDING << MXC_F_DMA_INTR_CH0_IPEND_POS) /**< INTR_CH0_IPEND_PENDING Setting */ + + #define MXC_F_DMA_INTR_CH1_IPEND_POS 1 /**< INTR_CH1_IPEND Position */ + #define MXC_F_DMA_INTR_CH1_IPEND ((uint32_t)(0x1UL << MXC_F_DMA_INTR_CH1_IPEND_POS)) /**< INTR_CH1_IPEND Mask */ + + #define MXC_F_DMA_INTR_CH2_IPEND_POS 2 /**< INTR_CH2_IPEND Position */ + #define MXC_F_DMA_INTR_CH2_IPEND ((uint32_t)(0x1UL << MXC_F_DMA_INTR_CH2_IPEND_POS)) /**< INTR_CH2_IPEND Mask */ + + #define MXC_F_DMA_INTR_CH3_IPEND_POS 3 /**< INTR_CH3_IPEND Position */ + #define MXC_F_DMA_INTR_CH3_IPEND ((uint32_t)(0x1UL << MXC_F_DMA_INTR_CH3_IPEND_POS)) /**< INTR_CH3_IPEND Mask */ + +/**@} end of group DMA_INTR_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_CFG DMA_CFG + * @brief DMA Channel Configuration Register. + * @{ + */ + #define MXC_F_DMA_CFG_CHEN_POS 0 /**< CFG_CHEN Position */ + #define MXC_F_DMA_CFG_CHEN ((uint32_t)(0x1UL << MXC_F_DMA_CFG_CHEN_POS)) /**< CFG_CHEN Mask */ + #define MXC_V_DMA_CFG_CHEN_DIS ((uint32_t)0x0UL) /**< CFG_CHEN_DIS Value */ + #define MXC_S_DMA_CFG_CHEN_DIS (MXC_V_DMA_CFG_CHEN_DIS << MXC_F_DMA_CFG_CHEN_POS) /**< CFG_CHEN_DIS Setting */ + #define MXC_V_DMA_CFG_CHEN_EN ((uint32_t)0x1UL) /**< CFG_CHEN_EN Value */ + #define MXC_S_DMA_CFG_CHEN_EN (MXC_V_DMA_CFG_CHEN_EN << MXC_F_DMA_CFG_CHEN_POS) /**< CFG_CHEN_EN Setting */ + + #define MXC_F_DMA_CFG_RLDEN_POS 1 /**< CFG_RLDEN Position */ + #define MXC_F_DMA_CFG_RLDEN ((uint32_t)(0x1UL << MXC_F_DMA_CFG_RLDEN_POS)) /**< CFG_RLDEN Mask */ + #define MXC_V_DMA_CFG_RLDEN_DIS ((uint32_t)0x0UL) /**< CFG_RLDEN_DIS Value */ + #define MXC_S_DMA_CFG_RLDEN_DIS (MXC_V_DMA_CFG_RLDEN_DIS << MXC_F_DMA_CFG_RLDEN_POS) /**< CFG_RLDEN_DIS Setting */ + #define MXC_V_DMA_CFG_RLDEN_EN ((uint32_t)0x1UL) /**< CFG_RLDEN_EN Value */ + #define MXC_S_DMA_CFG_RLDEN_EN (MXC_V_DMA_CFG_RLDEN_EN << MXC_F_DMA_CFG_RLDEN_POS) /**< CFG_RLDEN_EN Setting */ + + #define MXC_F_DMA_CFG_PRI_POS 2 /**< CFG_PRI Position */ + #define MXC_F_DMA_CFG_PRI ((uint32_t)(0x3UL << MXC_F_DMA_CFG_PRI_POS)) /**< CFG_PRI Mask */ + #define MXC_V_DMA_CFG_PRI_HIGH ((uint32_t)0x0UL) /**< CFG_PRI_HIGH Value */ + #define MXC_S_DMA_CFG_PRI_HIGH (MXC_V_DMA_CFG_PRI_HIGH << MXC_F_DMA_CFG_PRI_POS) /**< CFG_PRI_HIGH Setting */ + #define MXC_V_DMA_CFG_PRI_MEDHIGH ((uint32_t)0x1UL) /**< CFG_PRI_MEDHIGH Value */ + #define MXC_S_DMA_CFG_PRI_MEDHIGH (MXC_V_DMA_CFG_PRI_MEDHIGH << MXC_F_DMA_CFG_PRI_POS) /**< CFG_PRI_MEDHIGH Setting */ + #define MXC_V_DMA_CFG_PRI_MEDLOW ((uint32_t)0x2UL) /**< CFG_PRI_MEDLOW Value */ + #define MXC_S_DMA_CFG_PRI_MEDLOW (MXC_V_DMA_CFG_PRI_MEDLOW << MXC_F_DMA_CFG_PRI_POS) /**< CFG_PRI_MEDLOW Setting */ + #define MXC_V_DMA_CFG_PRI_LOW ((uint32_t)0x3UL) /**< CFG_PRI_LOW Value */ + #define MXC_S_DMA_CFG_PRI_LOW (MXC_V_DMA_CFG_PRI_LOW << MXC_F_DMA_CFG_PRI_POS) /**< CFG_PRI_LOW Setting */ + + #define MXC_F_DMA_CFG_REQSEL_POS 4 /**< CFG_REQSEL Position */ + #define MXC_F_DMA_CFG_REQSEL ((uint32_t)(0x3FUL << MXC_F_DMA_CFG_REQSEL_POS)) /**< CFG_REQSEL Mask */ + #define MXC_V_DMA_CFG_REQSEL_MEMTOMEM ((uint32_t)0x0UL) /**< CFG_REQSEL_MEMTOMEM Value */ + #define MXC_S_DMA_CFG_REQSEL_MEMTOMEM (MXC_V_DMA_CFG_REQSEL_MEMTOMEM << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_MEMTOMEM Setting */ + #define MXC_V_DMA_CFG_REQSEL_SPI0RX ((uint32_t)0x1UL) /**< CFG_REQSEL_SPI0RX Value */ + #define MXC_S_DMA_CFG_REQSEL_SPI0RX (MXC_V_DMA_CFG_REQSEL_SPI0RX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_SPI0RX Setting */ + #define MXC_V_DMA_CFG_REQSEL_SPI1RX ((uint32_t)0x2UL) /**< CFG_REQSEL_SPI1RX Value */ + #define MXC_S_DMA_CFG_REQSEL_SPI1RX (MXC_V_DMA_CFG_REQSEL_SPI1RX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_SPI1RX Setting */ + #define MXC_V_DMA_CFG_REQSEL_UART0RX ((uint32_t)0x4UL) /**< CFG_REQSEL_UART0RX Value */ + #define MXC_S_DMA_CFG_REQSEL_UART0RX (MXC_V_DMA_CFG_REQSEL_UART0RX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_UART0RX Setting */ + #define MXC_V_DMA_CFG_REQSEL_UART1RX ((uint32_t)0x5UL) /**< CFG_REQSEL_UART1RX Value */ + #define MXC_S_DMA_CFG_REQSEL_UART1RX (MXC_V_DMA_CFG_REQSEL_UART1RX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_UART1RX Setting */ + #define MXC_V_DMA_CFG_REQSEL_I2C0RX ((uint32_t)0x7UL) /**< CFG_REQSEL_I2C0RX Value */ + #define MXC_S_DMA_CFG_REQSEL_I2C0RX (MXC_V_DMA_CFG_REQSEL_I2C0RX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_I2C0RX Setting */ + #define MXC_V_DMA_CFG_REQSEL_I2C1RX ((uint32_t)0x8UL) /**< CFG_REQSEL_I2C1RX Value */ + #define MXC_S_DMA_CFG_REQSEL_I2C1RX (MXC_V_DMA_CFG_REQSEL_I2C1RX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_I2C1RX Setting */ + #define MXC_V_DMA_CFG_REQSEL_SPI0TX ((uint32_t)0x21UL) /**< CFG_REQSEL_SPI0TX Value */ + #define MXC_S_DMA_CFG_REQSEL_SPI0TX (MXC_V_DMA_CFG_REQSEL_SPI0TX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_SPI0TX Setting */ + #define MXC_V_DMA_CFG_REQSEL_SPI1TX ((uint32_t)0x22UL) /**< CFG_REQSEL_SPI1TX Value */ + #define MXC_S_DMA_CFG_REQSEL_SPI1TX (MXC_V_DMA_CFG_REQSEL_SPI1TX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_SPI1TX Setting */ + #define MXC_V_DMA_CFG_REQSEL_UART0TX ((uint32_t)0x24UL) /**< CFG_REQSEL_UART0TX Value */ + #define MXC_S_DMA_CFG_REQSEL_UART0TX (MXC_V_DMA_CFG_REQSEL_UART0TX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_UART0TX Setting */ + #define MXC_V_DMA_CFG_REQSEL_UART1TX ((uint32_t)0x25UL) /**< CFG_REQSEL_UART1TX Value */ + #define MXC_S_DMA_CFG_REQSEL_UART1TX (MXC_V_DMA_CFG_REQSEL_UART1TX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_UART1TX Setting */ + #define MXC_V_DMA_CFG_REQSEL_I2C0TX ((uint32_t)0x27UL) /**< CFG_REQSEL_I2C0TX Value */ + #define MXC_S_DMA_CFG_REQSEL_I2C0TX (MXC_V_DMA_CFG_REQSEL_I2C0TX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_I2C0TX Setting */ + #define MXC_V_DMA_CFG_REQSEL_I2C1TX ((uint32_t)0x28UL) /**< CFG_REQSEL_I2C1TX Value */ + #define MXC_S_DMA_CFG_REQSEL_I2C1TX (MXC_V_DMA_CFG_REQSEL_I2C1TX << MXC_F_DMA_CFG_REQSEL_POS) /**< CFG_REQSEL_I2C1TX Setting */ + + #define MXC_F_DMA_CFG_REQWAIT_POS 10 /**< CFG_REQWAIT Position */ + #define MXC_F_DMA_CFG_REQWAIT ((uint32_t)(0x1UL << MXC_F_DMA_CFG_REQWAIT_POS)) /**< CFG_REQWAIT Mask */ + #define MXC_V_DMA_CFG_REQWAIT_DIS ((uint32_t)0x0UL) /**< CFG_REQWAIT_DIS Value */ + #define MXC_S_DMA_CFG_REQWAIT_DIS (MXC_V_DMA_CFG_REQWAIT_DIS << MXC_F_DMA_CFG_REQWAIT_POS) /**< CFG_REQWAIT_DIS Setting */ + #define MXC_V_DMA_CFG_REQWAIT_EN ((uint32_t)0x1UL) /**< CFG_REQWAIT_EN Value */ + #define MXC_S_DMA_CFG_REQWAIT_EN (MXC_V_DMA_CFG_REQWAIT_EN << MXC_F_DMA_CFG_REQWAIT_POS) /**< CFG_REQWAIT_EN Setting */ + + #define MXC_F_DMA_CFG_TOSEL_POS 11 /**< CFG_TOSEL Position */ + #define MXC_F_DMA_CFG_TOSEL ((uint32_t)(0x7UL << MXC_F_DMA_CFG_TOSEL_POS)) /**< CFG_TOSEL Mask */ + #define MXC_V_DMA_CFG_TOSEL_TO4 ((uint32_t)0x0UL) /**< CFG_TOSEL_TO4 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO4 (MXC_V_DMA_CFG_TOSEL_TO4 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO4 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO8 ((uint32_t)0x1UL) /**< CFG_TOSEL_TO8 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO8 (MXC_V_DMA_CFG_TOSEL_TO8 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO8 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO16 ((uint32_t)0x2UL) /**< CFG_TOSEL_TO16 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO16 (MXC_V_DMA_CFG_TOSEL_TO16 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO16 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO32 ((uint32_t)0x3UL) /**< CFG_TOSEL_TO32 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO32 (MXC_V_DMA_CFG_TOSEL_TO32 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO32 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO64 ((uint32_t)0x4UL) /**< CFG_TOSEL_TO64 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO64 (MXC_V_DMA_CFG_TOSEL_TO64 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO64 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO128 ((uint32_t)0x5UL) /**< CFG_TOSEL_TO128 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO128 (MXC_V_DMA_CFG_TOSEL_TO128 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO128 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO256 ((uint32_t)0x6UL) /**< CFG_TOSEL_TO256 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO256 (MXC_V_DMA_CFG_TOSEL_TO256 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO256 Setting */ + #define MXC_V_DMA_CFG_TOSEL_TO512 ((uint32_t)0x7UL) /**< CFG_TOSEL_TO512 Value */ + #define MXC_S_DMA_CFG_TOSEL_TO512 (MXC_V_DMA_CFG_TOSEL_TO512 << MXC_F_DMA_CFG_TOSEL_POS) /**< CFG_TOSEL_TO512 Setting */ + + #define MXC_F_DMA_CFG_PSSEL_POS 14 /**< CFG_PSSEL Position */ + #define MXC_F_DMA_CFG_PSSEL ((uint32_t)(0x3UL << MXC_F_DMA_CFG_PSSEL_POS)) /**< CFG_PSSEL Mask */ + #define MXC_V_DMA_CFG_PSSEL_DIS ((uint32_t)0x0UL) /**< CFG_PSSEL_DIS Value */ + #define MXC_S_DMA_CFG_PSSEL_DIS (MXC_V_DMA_CFG_PSSEL_DIS << MXC_F_DMA_CFG_PSSEL_POS) /**< CFG_PSSEL_DIS Setting */ + #define MXC_V_DMA_CFG_PSSEL_DIV256 ((uint32_t)0x1UL) /**< CFG_PSSEL_DIV256 Value */ + #define MXC_S_DMA_CFG_PSSEL_DIV256 (MXC_V_DMA_CFG_PSSEL_DIV256 << MXC_F_DMA_CFG_PSSEL_POS) /**< CFG_PSSEL_DIV256 Setting */ + #define MXC_V_DMA_CFG_PSSEL_DIV64K ((uint32_t)0x2UL) /**< CFG_PSSEL_DIV64K Value */ + #define MXC_S_DMA_CFG_PSSEL_DIV64K (MXC_V_DMA_CFG_PSSEL_DIV64K << MXC_F_DMA_CFG_PSSEL_POS) /**< CFG_PSSEL_DIV64K Setting */ + #define MXC_V_DMA_CFG_PSSEL_DIV16M ((uint32_t)0x3UL) /**< CFG_PSSEL_DIV16M Value */ + #define MXC_S_DMA_CFG_PSSEL_DIV16M (MXC_V_DMA_CFG_PSSEL_DIV16M << MXC_F_DMA_CFG_PSSEL_POS) /**< CFG_PSSEL_DIV16M Setting */ + + #define MXC_F_DMA_CFG_SRCWD_POS 16 /**< CFG_SRCWD Position */ + #define MXC_F_DMA_CFG_SRCWD ((uint32_t)(0x3UL << MXC_F_DMA_CFG_SRCWD_POS)) /**< CFG_SRCWD Mask */ + #define MXC_V_DMA_CFG_SRCWD_BYTE ((uint32_t)0x0UL) /**< CFG_SRCWD_BYTE Value */ + #define MXC_S_DMA_CFG_SRCWD_BYTE (MXC_V_DMA_CFG_SRCWD_BYTE << MXC_F_DMA_CFG_SRCWD_POS) /**< CFG_SRCWD_BYTE Setting */ + #define MXC_V_DMA_CFG_SRCWD_HALFWORD ((uint32_t)0x1UL) /**< CFG_SRCWD_HALFWORD Value */ + #define MXC_S_DMA_CFG_SRCWD_HALFWORD (MXC_V_DMA_CFG_SRCWD_HALFWORD << MXC_F_DMA_CFG_SRCWD_POS) /**< CFG_SRCWD_HALFWORD Setting */ + #define MXC_V_DMA_CFG_SRCWD_WORD ((uint32_t)0x2UL) /**< CFG_SRCWD_WORD Value */ + #define MXC_S_DMA_CFG_SRCWD_WORD (MXC_V_DMA_CFG_SRCWD_WORD << MXC_F_DMA_CFG_SRCWD_POS) /**< CFG_SRCWD_WORD Setting */ + + #define MXC_F_DMA_CFG_SRCINC_POS 18 /**< CFG_SRCINC Position */ + #define MXC_F_DMA_CFG_SRCINC ((uint32_t)(0x1UL << MXC_F_DMA_CFG_SRCINC_POS)) /**< CFG_SRCINC Mask */ + #define MXC_V_DMA_CFG_SRCINC_DIS ((uint32_t)0x0UL) /**< CFG_SRCINC_DIS Value */ + #define MXC_S_DMA_CFG_SRCINC_DIS (MXC_V_DMA_CFG_SRCINC_DIS << MXC_F_DMA_CFG_SRCINC_POS) /**< CFG_SRCINC_DIS Setting */ + #define MXC_V_DMA_CFG_SRCINC_EN ((uint32_t)0x1UL) /**< CFG_SRCINC_EN Value */ + #define MXC_S_DMA_CFG_SRCINC_EN (MXC_V_DMA_CFG_SRCINC_EN << MXC_F_DMA_CFG_SRCINC_POS) /**< CFG_SRCINC_EN Setting */ + + #define MXC_F_DMA_CFG_DSTWD_POS 20 /**< CFG_DSTWD Position */ + #define MXC_F_DMA_CFG_DSTWD ((uint32_t)(0x3UL << MXC_F_DMA_CFG_DSTWD_POS)) /**< CFG_DSTWD Mask */ + #define MXC_V_DMA_CFG_DSTWD_BYTE ((uint32_t)0x0UL) /**< CFG_DSTWD_BYTE Value */ + #define MXC_S_DMA_CFG_DSTWD_BYTE (MXC_V_DMA_CFG_DSTWD_BYTE << MXC_F_DMA_CFG_DSTWD_POS) /**< CFG_DSTWD_BYTE Setting */ + #define MXC_V_DMA_CFG_DSTWD_HALFWORD ((uint32_t)0x1UL) /**< CFG_DSTWD_HALFWORD Value */ + #define MXC_S_DMA_CFG_DSTWD_HALFWORD (MXC_V_DMA_CFG_DSTWD_HALFWORD << MXC_F_DMA_CFG_DSTWD_POS) /**< CFG_DSTWD_HALFWORD Setting */ + #define MXC_V_DMA_CFG_DSTWD_WORD ((uint32_t)0x2UL) /**< CFG_DSTWD_WORD Value */ + #define MXC_S_DMA_CFG_DSTWD_WORD (MXC_V_DMA_CFG_DSTWD_WORD << MXC_F_DMA_CFG_DSTWD_POS) /**< CFG_DSTWD_WORD Setting */ + + #define MXC_F_DMA_CFG_DSTINC_POS 22 /**< CFG_DSTINC Position */ + #define MXC_F_DMA_CFG_DSTINC ((uint32_t)(0x1UL << MXC_F_DMA_CFG_DSTINC_POS)) /**< CFG_DSTINC Mask */ + #define MXC_V_DMA_CFG_DSTINC_DIS ((uint32_t)0x0UL) /**< CFG_DSTINC_DIS Value */ + #define MXC_S_DMA_CFG_DSTINC_DIS (MXC_V_DMA_CFG_DSTINC_DIS << MXC_F_DMA_CFG_DSTINC_POS) /**< CFG_DSTINC_DIS Setting */ + #define MXC_V_DMA_CFG_DSTINC_EN ((uint32_t)0x1UL) /**< CFG_DSTINC_EN Value */ + #define MXC_S_DMA_CFG_DSTINC_EN (MXC_V_DMA_CFG_DSTINC_EN << MXC_F_DMA_CFG_DSTINC_POS) /**< CFG_DSTINC_EN Setting */ + + #define MXC_F_DMA_CFG_BRST_POS 24 /**< CFG_BRST Position */ + #define MXC_F_DMA_CFG_BRST ((uint32_t)(0x1FUL << MXC_F_DMA_CFG_BRST_POS)) /**< CFG_BRST Mask */ + + #define MXC_F_DMA_CFG_CHDIEN_POS 30 /**< CFG_CHDIEN Position */ + #define MXC_F_DMA_CFG_CHDIEN ((uint32_t)(0x1UL << MXC_F_DMA_CFG_CHDIEN_POS)) /**< CFG_CHDIEN Mask */ + #define MXC_V_DMA_CFG_CHDIEN_DIS ((uint32_t)0x0UL) /**< CFG_CHDIEN_DIS Value */ + #define MXC_S_DMA_CFG_CHDIEN_DIS (MXC_V_DMA_CFG_CHDIEN_DIS << MXC_F_DMA_CFG_CHDIEN_POS) /**< CFG_CHDIEN_DIS Setting */ + #define MXC_V_DMA_CFG_CHDIEN_EN ((uint32_t)0x1UL) /**< CFG_CHDIEN_EN Value */ + #define MXC_S_DMA_CFG_CHDIEN_EN (MXC_V_DMA_CFG_CHDIEN_EN << MXC_F_DMA_CFG_CHDIEN_POS) /**< CFG_CHDIEN_EN Setting */ + + #define MXC_F_DMA_CFG_CTZIEN_POS 31 /**< CFG_CTZIEN Position */ + #define MXC_F_DMA_CFG_CTZIEN ((uint32_t)(0x1UL << MXC_F_DMA_CFG_CTZIEN_POS)) /**< CFG_CTZIEN Mask */ + #define MXC_V_DMA_CFG_CTZIEN_DIS ((uint32_t)0x0UL) /**< CFG_CTZIEN_DIS Value */ + #define MXC_S_DMA_CFG_CTZIEN_DIS (MXC_V_DMA_CFG_CTZIEN_DIS << MXC_F_DMA_CFG_CTZIEN_POS) /**< CFG_CTZIEN_DIS Setting */ + #define MXC_V_DMA_CFG_CTZIEN_EN ((uint32_t)0x1UL) /**< CFG_CTZIEN_EN Value */ + #define MXC_S_DMA_CFG_CTZIEN_EN (MXC_V_DMA_CFG_CTZIEN_EN << MXC_F_DMA_CFG_CTZIEN_POS) /**< CFG_CTZIEN_EN Setting */ + +/**@} end of group DMA_CFG_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_ST DMA_ST + * @brief DMA Channel Status Register. + * @{ + */ + #define MXC_F_DMA_ST_CH_ST_POS 0 /**< ST_CH_ST Position */ + #define MXC_F_DMA_ST_CH_ST ((uint32_t)(0x1UL << MXC_F_DMA_ST_CH_ST_POS)) /**< ST_CH_ST Mask */ + #define MXC_V_DMA_ST_CH_ST_DIS ((uint32_t)0x0UL) /**< ST_CH_ST_DIS Value */ + #define MXC_S_DMA_ST_CH_ST_DIS (MXC_V_DMA_ST_CH_ST_DIS << MXC_F_DMA_ST_CH_ST_POS) /**< ST_CH_ST_DIS Setting */ + #define MXC_V_DMA_ST_CH_ST_EN ((uint32_t)0x1UL) /**< ST_CH_ST_EN Value */ + #define MXC_S_DMA_ST_CH_ST_EN (MXC_V_DMA_ST_CH_ST_EN << MXC_F_DMA_ST_CH_ST_POS) /**< ST_CH_ST_EN Setting */ + + #define MXC_F_DMA_ST_IPEND_POS 1 /**< ST_IPEND Position */ + #define MXC_F_DMA_ST_IPEND ((uint32_t)(0x1UL << MXC_F_DMA_ST_IPEND_POS)) /**< ST_IPEND Mask */ + #define MXC_V_DMA_ST_IPEND_INACTIVE ((uint32_t)0x0UL) /**< ST_IPEND_INACTIVE Value */ + #define MXC_S_DMA_ST_IPEND_INACTIVE (MXC_V_DMA_ST_IPEND_INACTIVE << MXC_F_DMA_ST_IPEND_POS) /**< ST_IPEND_INACTIVE Setting */ + #define MXC_V_DMA_ST_IPEND_PENDING ((uint32_t)0x1UL) /**< ST_IPEND_PENDING Value */ + #define MXC_S_DMA_ST_IPEND_PENDING (MXC_V_DMA_ST_IPEND_PENDING << MXC_F_DMA_ST_IPEND_POS) /**< ST_IPEND_PENDING Setting */ + + #define MXC_F_DMA_ST_CTZ_ST_POS 2 /**< ST_CTZ_ST Position */ + #define MXC_F_DMA_ST_CTZ_ST ((uint32_t)(0x1UL << MXC_F_DMA_ST_CTZ_ST_POS)) /**< ST_CTZ_ST Mask */ + #define MXC_V_DMA_ST_CTZ_ST_NOEVENT ((uint32_t)0x0UL) /**< ST_CTZ_ST_NOEVENT Value */ + #define MXC_S_DMA_ST_CTZ_ST_NOEVENT (MXC_V_DMA_ST_CTZ_ST_NOEVENT << MXC_F_DMA_ST_CTZ_ST_POS) /**< ST_CTZ_ST_NOEVENT Setting */ + #define MXC_V_DMA_ST_CTZ_ST_OCCURRED ((uint32_t)0x1UL) /**< ST_CTZ_ST_OCCURRED Value */ + #define MXC_S_DMA_ST_CTZ_ST_OCCURRED (MXC_V_DMA_ST_CTZ_ST_OCCURRED << MXC_F_DMA_ST_CTZ_ST_POS) /**< ST_CTZ_ST_OCCURRED Setting */ + #define MXC_V_DMA_ST_CTZ_ST_CLEAR ((uint32_t)0x1UL) /**< ST_CTZ_ST_CLEAR Value */ + #define MXC_S_DMA_ST_CTZ_ST_CLEAR (MXC_V_DMA_ST_CTZ_ST_CLEAR << MXC_F_DMA_ST_CTZ_ST_POS) /**< ST_CTZ_ST_CLEAR Setting */ + + #define MXC_F_DMA_ST_RLD_ST_POS 3 /**< ST_RLD_ST Position */ + #define MXC_F_DMA_ST_RLD_ST ((uint32_t)(0x1UL << MXC_F_DMA_ST_RLD_ST_POS)) /**< ST_RLD_ST Mask */ + #define MXC_V_DMA_ST_RLD_ST_NOEVENT ((uint32_t)0x0UL) /**< ST_RLD_ST_NOEVENT Value */ + #define MXC_S_DMA_ST_RLD_ST_NOEVENT (MXC_V_DMA_ST_RLD_ST_NOEVENT << MXC_F_DMA_ST_RLD_ST_POS) /**< ST_RLD_ST_NOEVENT Setting */ + #define MXC_V_DMA_ST_RLD_ST_OCCURRED ((uint32_t)0x1UL) /**< ST_RLD_ST_OCCURRED Value */ + #define MXC_S_DMA_ST_RLD_ST_OCCURRED (MXC_V_DMA_ST_RLD_ST_OCCURRED << MXC_F_DMA_ST_RLD_ST_POS) /**< ST_RLD_ST_OCCURRED Setting */ + #define MXC_V_DMA_ST_RLD_ST_CLEAR ((uint32_t)0x1UL) /**< ST_RLD_ST_CLEAR Value */ + #define MXC_S_DMA_ST_RLD_ST_CLEAR (MXC_V_DMA_ST_RLD_ST_CLEAR << MXC_F_DMA_ST_RLD_ST_POS) /**< ST_RLD_ST_CLEAR Setting */ + + #define MXC_F_DMA_ST_BUS_ERR_POS 4 /**< ST_BUS_ERR Position */ + #define MXC_F_DMA_ST_BUS_ERR ((uint32_t)(0x1UL << MXC_F_DMA_ST_BUS_ERR_POS)) /**< ST_BUS_ERR Mask */ + #define MXC_V_DMA_ST_BUS_ERR_NOEVENT ((uint32_t)0x0UL) /**< ST_BUS_ERR_NOEVENT Value */ + #define MXC_S_DMA_ST_BUS_ERR_NOEVENT (MXC_V_DMA_ST_BUS_ERR_NOEVENT << MXC_F_DMA_ST_BUS_ERR_POS) /**< ST_BUS_ERR_NOEVENT Setting */ + #define MXC_V_DMA_ST_BUS_ERR_OCCURRED ((uint32_t)0x1UL) /**< ST_BUS_ERR_OCCURRED Value */ + #define MXC_S_DMA_ST_BUS_ERR_OCCURRED (MXC_V_DMA_ST_BUS_ERR_OCCURRED << MXC_F_DMA_ST_BUS_ERR_POS) /**< ST_BUS_ERR_OCCURRED Setting */ + #define MXC_V_DMA_ST_BUS_ERR_CLEAR ((uint32_t)0x1UL) /**< ST_BUS_ERR_CLEAR Value */ + #define MXC_S_DMA_ST_BUS_ERR_CLEAR (MXC_V_DMA_ST_BUS_ERR_CLEAR << MXC_F_DMA_ST_BUS_ERR_POS) /**< ST_BUS_ERR_CLEAR Setting */ + + #define MXC_F_DMA_ST_TO_ST_POS 6 /**< ST_TO_ST Position */ + #define MXC_F_DMA_ST_TO_ST ((uint32_t)(0x1UL << MXC_F_DMA_ST_TO_ST_POS)) /**< ST_TO_ST Mask */ + #define MXC_V_DMA_ST_TO_ST_NOEVENT ((uint32_t)0x0UL) /**< ST_TO_ST_NOEVENT Value */ + #define MXC_S_DMA_ST_TO_ST_NOEVENT (MXC_V_DMA_ST_TO_ST_NOEVENT << MXC_F_DMA_ST_TO_ST_POS) /**< ST_TO_ST_NOEVENT Setting */ + #define MXC_V_DMA_ST_TO_ST_OCCURRED ((uint32_t)0x1UL) /**< ST_TO_ST_OCCURRED Value */ + #define MXC_S_DMA_ST_TO_ST_OCCURRED (MXC_V_DMA_ST_TO_ST_OCCURRED << MXC_F_DMA_ST_TO_ST_POS) /**< ST_TO_ST_OCCURRED Setting */ + #define MXC_V_DMA_ST_TO_ST_CLEAR ((uint32_t)0x1UL) /**< ST_TO_ST_CLEAR Value */ + #define MXC_S_DMA_ST_TO_ST_CLEAR (MXC_V_DMA_ST_TO_ST_CLEAR << MXC_F_DMA_ST_TO_ST_POS) /**< ST_TO_ST_CLEAR Setting */ + +/**@} end of group DMA_ST_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_SRC DMA_SRC + * @brief Source Device Address. If SRCINC=1, the counter bits are incremented by 1,2, or + * 4, depending on the data width of each AHB cycle. For peripheral transfers, some + * or all of the actual address bits are fixed. If SRCINC=0, this register remains + * constant. In the case where a count-to-zero condition occurs while RLDEN=1, the + * register is reloaded with the contents of DMA_SRC_RLD. + * @{ + */ + #define MXC_F_DMA_SRC_ADDR_POS 0 /**< SRC_ADDR Position */ + #define MXC_F_DMA_SRC_ADDR ((uint32_t)(0xFFFFFFFFUL << MXC_F_DMA_SRC_ADDR_POS)) /**< SRC_ADDR Mask */ + +/**@} end of group DMA_SRC_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_DST DMA_DST + * @brief Destination Device Address. For peripheral transfers, some or all of the actual + * address bits are fixed. If DSTINC=1, this register is incremented on every AHB + * write out of the DMA FIFO. They are incremented by 1, 2, or 4, depending on the + * data width of each AHB cycle. In the case where a count-to-zero condition occurs + * while RLDEN=1, the register is reloaded with DMA_DST_RLD. + * @{ + */ + #define MXC_F_DMA_DST_ADDR_POS 0 /**< DST_ADDR Position */ + #define MXC_F_DMA_DST_ADDR ((uint32_t)(0xFFFFFFFFUL << MXC_F_DMA_DST_ADDR_POS)) /**< DST_ADDR Mask */ + +/**@} end of group DMA_DST_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_CNT DMA_CNT + * @brief DMA Counter. The user loads this register with the number of bytes to transfer. + * This counter decreases on every AHB cycle into the DMA FIFO. The decrement will + * be 1, 2, or 4 depending on the data width of each AHB cycle. When the counter + * reaches 0, a count-to-zero condition is triggered. + * @{ + */ + #define MXC_F_DMA_CNT_CNT_POS 0 /**< CNT_CNT Position */ + #define MXC_F_DMA_CNT_CNT ((uint32_t)(0xFFFFFFUL << MXC_F_DMA_CNT_CNT_POS)) /**< CNT_CNT Mask */ + +/**@} end of group DMA_CNT_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_SRC_RLD DMA_SRC_RLD + * @brief Source Address Reload Value. The value of this register is loaded into DMA0_SRC + * upon a count-to-zero condition. + * @{ + */ + #define MXC_F_DMA_SRC_RLD_SRC_RLD_POS 0 /**< SRC_RLD_SRC_RLD Position */ + #define MXC_F_DMA_SRC_RLD_SRC_RLD ((uint32_t)(0x7FFFFFFFUL << MXC_F_DMA_SRC_RLD_SRC_RLD_POS)) /**< SRC_RLD_SRC_RLD Mask */ + +/**@} end of group DMA_SRC_RLD_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_DST_RLD DMA_DST_RLD + * @brief Destination Address Reload Value. The value of this register is loaded into + * DMA0_DST upon a count-to-zero condition. + * @{ + */ + #define MXC_F_DMA_DST_RLD_DST_RLD_POS 0 /**< DST_RLD_DST_RLD Position */ + #define MXC_F_DMA_DST_RLD_DST_RLD ((uint32_t)(0x7FFFFFFFUL << MXC_F_DMA_DST_RLD_DST_RLD_POS)) /**< DST_RLD_DST_RLD Mask */ + +/**@} end of group DMA_DST_RLD_Register */ + +/** + * @ingroup dma_registers + * @defgroup DMA_CNT_RLD DMA_CNT_RLD + * @brief DMA Channel Count Reload Register. + * @{ + */ + #define MXC_F_DMA_CNT_RLD_CNT_RLD_POS 0 /**< CNT_RLD_CNT_RLD Position */ + #define MXC_F_DMA_CNT_RLD_CNT_RLD ((uint32_t)(0xFFFFFFUL << MXC_F_DMA_CNT_RLD_CNT_RLD_POS)) /**< CNT_RLD_CNT_RLD Mask */ + + #define MXC_F_DMA_CNT_RLD_RLDEN_POS 31 /**< CNT_RLD_RLDEN Position */ + #define MXC_F_DMA_CNT_RLD_RLDEN ((uint32_t)(0x1UL << MXC_F_DMA_CNT_RLD_RLDEN_POS)) /**< CNT_RLD_RLDEN Mask */ + #define MXC_V_DMA_CNT_RLD_RLDEN_DIS ((uint32_t)0x0UL) /**< CNT_RLD_RLDEN_DIS Value */ + #define MXC_S_DMA_CNT_RLD_RLDEN_DIS (MXC_V_DMA_CNT_RLD_RLDEN_DIS << MXC_F_DMA_CNT_RLD_RLDEN_POS) /**< CNT_RLD_RLDEN_DIS Setting */ + #define MXC_V_DMA_CNT_RLD_RLDEN_EN ((uint32_t)0x1UL) /**< CNT_RLD_RLDEN_EN Value */ + #define MXC_S_DMA_CNT_RLD_RLDEN_EN (MXC_V_DMA_CNT_RLD_RLDEN_EN << MXC_F_DMA_CNT_RLD_RLDEN_POS) /**< CNT_RLD_RLDEN_EN Setting */ + +/**@} end of group DMA_CNT_RLD_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _DMA_REGS_H_ */ diff --git a/Firmware/SDK/Device/flc_regs.h b/Firmware/SDK/Device/flc_regs.h new file mode 100644 index 0000000..c0c9864 --- /dev/null +++ b/Firmware/SDK/Device/flc_regs.h @@ -0,0 +1,264 @@ +/** + * @file flc_regs.h + * @brief Registers, Bit Masks and Bit Positions for the FLC Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _FLC_REGS_H_ +#define _FLC_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup flc + * @defgroup flc_registers FLC_Registers + * @brief Registers, Bit Masks and Bit Positions for the FLC Peripheral Module. + * @details Flash Memory Control. + */ + +/** + * @ingroup flc_registers + * Structure type to access the FLC Registers. + */ +typedef struct { + __IO uint32_t addr; /**< \b 0x00: FLC ADDR Register */ + __IO uint32_t clkdiv; /**< \b 0x04: FLC CLKDIV Register */ + __IO uint32_t cn; /**< \b 0x08: FLC CN Register */ + __R uint32_t rsv_0xc_0x23[6]; + __IO uint32_t intr; /**< \b 0x024: FLC INTR Register */ + __R uint32_t rsv_0x28_0x2f[2]; + __IO uint32_t data[4]; /**< \b 0x30: FLC DATA Register */ + __O uint32_t acntl; /**< \b 0x40: FLC ACNTL Register */ +} mxc_flc_regs_t; + +/* Register offsets for module FLC */ +/** + * @ingroup flc_registers + * @defgroup FLC_Register_Offsets Register Offsets + * @brief FLC Peripheral Register Offsets from the FLC Base Peripheral Address. + * @{ + */ + #define MXC_R_FLC_ADDR ((uint32_t)0x00000000UL) /**< Offset from FLC Base Address: 0x0000 */ + #define MXC_R_FLC_CLKDIV ((uint32_t)0x00000004UL) /**< Offset from FLC Base Address: 0x0004 */ + #define MXC_R_FLC_CN ((uint32_t)0x00000008UL) /**< Offset from FLC Base Address: 0x0008 */ + #define MXC_R_FLC_INTR ((uint32_t)0x00000024UL) /**< Offset from FLC Base Address: 0x0024 */ + #define MXC_R_FLC_DATA ((uint32_t)0x00000030UL) /**< Offset from FLC Base Address: 0x0030 */ + #define MXC_R_FLC_ACNTL ((uint32_t)0x00000040UL) /**< Offset from FLC Base Address: 0x0040 */ +/**@} end of group flc_registers */ + +/** + * @ingroup flc_registers + * @defgroup FLC_ADDR FLC_ADDR + * @brief Flash Write Address. + * @{ + */ + #define MXC_F_FLC_ADDR_ADDR_POS 0 /**< ADDR_ADDR Position */ + #define MXC_F_FLC_ADDR_ADDR ((uint32_t)(0xFFFFFFFFUL << MXC_F_FLC_ADDR_ADDR_POS)) /**< ADDR_ADDR Mask */ + +/**@} end of group FLC_ADDR_Register */ + +/** + * @ingroup flc_registers + * @defgroup FLC_CLKDIV FLC_CLKDIV + * @brief Flash Clock Divide. The clock (PLL0) is divided by this value to generate a 1 + * MHz clock for Flash controller. + * @{ + */ + #define MXC_F_FLC_CLKDIV_CLKDIV_POS 0 /**< CLKDIV_CLKDIV Position */ + #define MXC_F_FLC_CLKDIV_CLKDIV ((uint32_t)(0xFFUL << MXC_F_FLC_CLKDIV_CLKDIV_POS)) /**< CLKDIV_CLKDIV Mask */ + +/**@} end of group FLC_CLKDIV_Register */ + +/** + * @ingroup flc_registers + * @defgroup FLC_CN FLC_CN + * @brief Flash Control Register. + * @{ + */ + #define MXC_F_FLC_CN_WR_POS 0 /**< CN_WR Position */ + #define MXC_F_FLC_CN_WR ((uint32_t)(0x1UL << MXC_F_FLC_CN_WR_POS)) /**< CN_WR Mask */ + #define MXC_V_FLC_CN_WR_COMPLETE ((uint32_t)0x0UL) /**< CN_WR_COMPLETE Value */ + #define MXC_S_FLC_CN_WR_COMPLETE (MXC_V_FLC_CN_WR_COMPLETE << MXC_F_FLC_CN_WR_POS) /**< CN_WR_COMPLETE Setting */ + #define MXC_V_FLC_CN_WR_START ((uint32_t)0x1UL) /**< CN_WR_START Value */ + #define MXC_S_FLC_CN_WR_START (MXC_V_FLC_CN_WR_START << MXC_F_FLC_CN_WR_POS) /**< CN_WR_START Setting */ + + #define MXC_F_FLC_CN_ME_POS 1 /**< CN_ME Position */ + #define MXC_F_FLC_CN_ME ((uint32_t)(0x1UL << MXC_F_FLC_CN_ME_POS)) /**< CN_ME Mask */ + + #define MXC_F_FLC_CN_PGE_POS 2 /**< CN_PGE Position */ + #define MXC_F_FLC_CN_PGE ((uint32_t)(0x1UL << MXC_F_FLC_CN_PGE_POS)) /**< CN_PGE Mask */ + + #define MXC_F_FLC_CN_WDTH_POS 4 /**< CN_WDTH Position */ + #define MXC_F_FLC_CN_WDTH ((uint32_t)(0x1UL << MXC_F_FLC_CN_WDTH_POS)) /**< CN_WDTH Mask */ + #define MXC_V_FLC_CN_WDTH_SIZE128 ((uint32_t)0x0UL) /**< CN_WDTH_SIZE128 Value */ + #define MXC_S_FLC_CN_WDTH_SIZE128 (MXC_V_FLC_CN_WDTH_SIZE128 << MXC_F_FLC_CN_WDTH_POS) /**< CN_WDTH_SIZE128 Setting */ + #define MXC_V_FLC_CN_WDTH_SIZE32 ((uint32_t)0x1UL) /**< CN_WDTH_SIZE32 Value */ + #define MXC_S_FLC_CN_WDTH_SIZE32 (MXC_V_FLC_CN_WDTH_SIZE32 << MXC_F_FLC_CN_WDTH_POS) /**< CN_WDTH_SIZE32 Setting */ + + #define MXC_F_FLC_CN_ERASE_CODE_POS 8 /**< CN_ERASE_CODE Position */ + #define MXC_F_FLC_CN_ERASE_CODE ((uint32_t)(0xFFUL << MXC_F_FLC_CN_ERASE_CODE_POS)) /**< CN_ERASE_CODE Mask */ + #define MXC_V_FLC_CN_ERASE_CODE_NOP ((uint32_t)0x0UL) /**< CN_ERASE_CODE_NOP Value */ + #define MXC_S_FLC_CN_ERASE_CODE_NOP (MXC_V_FLC_CN_ERASE_CODE_NOP << MXC_F_FLC_CN_ERASE_CODE_POS) /**< CN_ERASE_CODE_NOP Setting */ + #define MXC_V_FLC_CN_ERASE_CODE_ERASEPAGE ((uint32_t)0x55UL) /**< CN_ERASE_CODE_ERASEPAGE Value */ + #define MXC_S_FLC_CN_ERASE_CODE_ERASEPAGE (MXC_V_FLC_CN_ERASE_CODE_ERASEPAGE << MXC_F_FLC_CN_ERASE_CODE_POS) /**< CN_ERASE_CODE_ERASEPAGE Setting */ + #define MXC_V_FLC_CN_ERASE_CODE_ERASEALL ((uint32_t)0xAAUL) /**< CN_ERASE_CODE_ERASEALL Value */ + #define MXC_S_FLC_CN_ERASE_CODE_ERASEALL (MXC_V_FLC_CN_ERASE_CODE_ERASEALL << MXC_F_FLC_CN_ERASE_CODE_POS) /**< CN_ERASE_CODE_ERASEALL Setting */ + + #define MXC_F_FLC_CN_PEND_POS 24 /**< CN_PEND Position */ + #define MXC_F_FLC_CN_PEND ((uint32_t)(0x1UL << MXC_F_FLC_CN_PEND_POS)) /**< CN_PEND Mask */ + #define MXC_V_FLC_CN_PEND_IDLE ((uint32_t)0x0UL) /**< CN_PEND_IDLE Value */ + #define MXC_S_FLC_CN_PEND_IDLE (MXC_V_FLC_CN_PEND_IDLE << MXC_F_FLC_CN_PEND_POS) /**< CN_PEND_IDLE Setting */ + #define MXC_V_FLC_CN_PEND_BUSY ((uint32_t)0x1UL) /**< CN_PEND_BUSY Value */ + #define MXC_S_FLC_CN_PEND_BUSY (MXC_V_FLC_CN_PEND_BUSY << MXC_F_FLC_CN_PEND_POS) /**< CN_PEND_BUSY Setting */ + + #define MXC_F_FLC_CN_LVE_POS 25 /**< CN_LVE Position */ + #define MXC_F_FLC_CN_LVE ((uint32_t)(0x1UL << MXC_F_FLC_CN_LVE_POS)) /**< CN_LVE Mask */ + #define MXC_V_FLC_CN_LVE_DIS ((uint32_t)0x0UL) /**< CN_LVE_DIS Value */ + #define MXC_S_FLC_CN_LVE_DIS (MXC_V_FLC_CN_LVE_DIS << MXC_F_FLC_CN_LVE_POS) /**< CN_LVE_DIS Setting */ + #define MXC_V_FLC_CN_LVE_EN ((uint32_t)0x1UL) /**< CN_LVE_EN Value */ + #define MXC_S_FLC_CN_LVE_EN (MXC_V_FLC_CN_LVE_EN << MXC_F_FLC_CN_LVE_POS) /**< CN_LVE_EN Setting */ + + #define MXC_F_FLC_CN_BRST_POS 27 /**< CN_BRST Position */ + #define MXC_F_FLC_CN_BRST ((uint32_t)(0x1UL << MXC_F_FLC_CN_BRST_POS)) /**< CN_BRST Mask */ + #define MXC_V_FLC_CN_BRST_DISABLE ((uint32_t)0x0UL) /**< CN_BRST_DISABLE Value */ + #define MXC_S_FLC_CN_BRST_DISABLE (MXC_V_FLC_CN_BRST_DISABLE << MXC_F_FLC_CN_BRST_POS) /**< CN_BRST_DISABLE Setting */ + #define MXC_V_FLC_CN_BRST_ENABLE ((uint32_t)0x1UL) /**< CN_BRST_ENABLE Value */ + #define MXC_S_FLC_CN_BRST_ENABLE (MXC_V_FLC_CN_BRST_ENABLE << MXC_F_FLC_CN_BRST_POS) /**< CN_BRST_ENABLE Setting */ + + #define MXC_F_FLC_CN_UNLOCK_POS 28 /**< CN_UNLOCK Position */ + #define MXC_F_FLC_CN_UNLOCK ((uint32_t)(0xFUL << MXC_F_FLC_CN_UNLOCK_POS)) /**< CN_UNLOCK Mask */ + #define MXC_V_FLC_CN_UNLOCK_UNLOCKED ((uint32_t)0x2UL) /**< CN_UNLOCK_UNLOCKED Value */ + #define MXC_S_FLC_CN_UNLOCK_UNLOCKED (MXC_V_FLC_CN_UNLOCK_UNLOCKED << MXC_F_FLC_CN_UNLOCK_POS) /**< CN_UNLOCK_UNLOCKED Setting */ + #define MXC_V_FLC_CN_UNLOCK_LOCKED ((uint32_t)0x3UL) /**< CN_UNLOCK_LOCKED Value */ + #define MXC_S_FLC_CN_UNLOCK_LOCKED (MXC_V_FLC_CN_UNLOCK_LOCKED << MXC_F_FLC_CN_UNLOCK_POS) /**< CN_UNLOCK_LOCKED Setting */ + +/**@} end of group FLC_CN_Register */ + +/** + * @ingroup flc_registers + * @defgroup FLC_INTR FLC_INTR + * @brief Flash Interrupt Register. + * @{ + */ + #define MXC_F_FLC_INTR_DONE_POS 0 /**< INTR_DONE Position */ + #define MXC_F_FLC_INTR_DONE ((uint32_t)(0x1UL << MXC_F_FLC_INTR_DONE_POS)) /**< INTR_DONE Mask */ + #define MXC_V_FLC_INTR_DONE_INACTIVE ((uint32_t)0x0UL) /**< INTR_DONE_INACTIVE Value */ + #define MXC_S_FLC_INTR_DONE_INACTIVE (MXC_V_FLC_INTR_DONE_INACTIVE << MXC_F_FLC_INTR_DONE_POS) /**< INTR_DONE_INACTIVE Setting */ + #define MXC_V_FLC_INTR_DONE_PENDING ((uint32_t)0x1UL) /**< INTR_DONE_PENDING Value */ + #define MXC_S_FLC_INTR_DONE_PENDING (MXC_V_FLC_INTR_DONE_PENDING << MXC_F_FLC_INTR_DONE_POS) /**< INTR_DONE_PENDING Setting */ + + #define MXC_F_FLC_INTR_AF_POS 1 /**< INTR_AF Position */ + #define MXC_F_FLC_INTR_AF ((uint32_t)(0x1UL << MXC_F_FLC_INTR_AF_POS)) /**< INTR_AF Mask */ + #define MXC_V_FLC_INTR_AF_NOERROR ((uint32_t)0x0UL) /**< INTR_AF_NOERROR Value */ + #define MXC_S_FLC_INTR_AF_NOERROR (MXC_V_FLC_INTR_AF_NOERROR << MXC_F_FLC_INTR_AF_POS) /**< INTR_AF_NOERROR Setting */ + #define MXC_V_FLC_INTR_AF_ERROR ((uint32_t)0x1UL) /**< INTR_AF_ERROR Value */ + #define MXC_S_FLC_INTR_AF_ERROR (MXC_V_FLC_INTR_AF_ERROR << MXC_F_FLC_INTR_AF_POS) /**< INTR_AF_ERROR Setting */ + + #define MXC_F_FLC_INTR_DONEIE_POS 8 /**< INTR_DONEIE Position */ + #define MXC_F_FLC_INTR_DONEIE ((uint32_t)(0x1UL << MXC_F_FLC_INTR_DONEIE_POS)) /**< INTR_DONEIE Mask */ + #define MXC_V_FLC_INTR_DONEIE_DISABLE ((uint32_t)0x0UL) /**< INTR_DONEIE_DISABLE Value */ + #define MXC_S_FLC_INTR_DONEIE_DISABLE (MXC_V_FLC_INTR_DONEIE_DISABLE << MXC_F_FLC_INTR_DONEIE_POS) /**< INTR_DONEIE_DISABLE Setting */ + #define MXC_V_FLC_INTR_DONEIE_ENABLE ((uint32_t)0x1UL) /**< INTR_DONEIE_ENABLE Value */ + #define MXC_S_FLC_INTR_DONEIE_ENABLE (MXC_V_FLC_INTR_DONEIE_ENABLE << MXC_F_FLC_INTR_DONEIE_POS) /**< INTR_DONEIE_ENABLE Setting */ + + #define MXC_F_FLC_INTR_AFIE_POS 9 /**< INTR_AFIE Position */ + #define MXC_F_FLC_INTR_AFIE ((uint32_t)(0x1UL << MXC_F_FLC_INTR_AFIE_POS)) /**< INTR_AFIE Mask */ + +/**@} end of group FLC_INTR_Register */ + +/** + * @ingroup flc_registers + * @defgroup FLC_DATA FLC_DATA + * @brief Flash Write Data. + * @{ + */ + #define MXC_F_FLC_DATA_DATA_POS 0 /**< DATA_DATA Position */ + #define MXC_F_FLC_DATA_DATA ((uint32_t)(0xFFFFFFFFUL << MXC_F_FLC_DATA_DATA_POS)) /**< DATA_DATA Mask */ + +/**@} end of group FLC_DATA_Register */ + +/** + * @ingroup flc_registers + * @defgroup FLC_ACNTL FLC_ACNTL + * @brief Access Control Register. Writing the ACNTL register with the following values in + * the order shown, allows read and write access to the system and user Information + * block: pflc-acntl = 0x3a7f5ca3; pflc-acntl = 0xa1e34f20; pflc-acntl = + * 0x9608b2c1. When unlocked, a write of any word will disable access to system and + * user information block. Readback of this register is always zero. + * @{ + */ + #define MXC_F_FLC_ACNTL_ACNTL_POS 0 /**< ACNTL_ACNTL Position */ + #define MXC_F_FLC_ACNTL_ACNTL ((uint32_t)(0xFFFFFFFFUL << MXC_F_FLC_ACNTL_ACNTL_POS)) /**< ACNTL_ACNTL Mask */ + +/**@} end of group FLC_ACNTL_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _FLC_REGS_H_ */ diff --git a/Firmware/SDK/Device/gcr_regs.h b/Firmware/SDK/Device/gcr_regs.h new file mode 100644 index 0000000..1506311 --- /dev/null +++ b/Firmware/SDK/Device/gcr_regs.h @@ -0,0 +1,769 @@ +/** + * @file gcr_regs.h + * @brief Registers, Bit Masks and Bit Positions for the GCR Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _GCR_REGS_H_ +#define _GCR_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup gcr + * @defgroup gcr_registers GCR_Registers + * @brief Registers, Bit Masks and Bit Positions for the GCR Peripheral Module. + * @details Global Control Registers. + */ + +/** + * @ingroup gcr_registers + * Structure type to access the GCR Registers. + */ +typedef struct { + __IO uint32_t scon; /**< \b 0x00: GCR SCON Register */ + __IO uint32_t rstr0; /**< \b 0x04: GCR RSTR0 Register */ + __IO uint32_t clkcn; /**< \b 0x08: GCR CLKCN Register */ + __IO uint32_t pm; /**< \b 0x0C: GCR PM Register */ + __R uint32_t rsv_0x10_0x17[2]; + __IO uint32_t pckdiv; /**< \b 0x18: GCR PCKDIV Register */ + __R uint32_t rsv_0x1c_0x23[2]; + __IO uint32_t perckcn0; /**< \b 0x24: GCR PERCKCN0 Register */ + __IO uint32_t memckcn; /**< \b 0x28: GCR MEMCKCN Register */ + __IO uint32_t memzcn; /**< \b 0x2C: GCR MEMZCN Register */ + __R uint32_t rsv_0x30; + __IO uint32_t scck; /**< \b 0x34: GCR SCCK Register */ + __IO uint32_t mpri0; /**< \b 0x38: GCR MPRI0 Register */ + __IO uint32_t mpri1; /**< \b 0x3C: GCR MPRI1 Register */ + __IO uint32_t sysst; /**< \b 0x40: GCR SYSST Register */ + __IO uint32_t rstr1; /**< \b 0x44: GCR RSTR1 Register */ + __IO uint32_t perckcn1; /**< \b 0x48: GCR PERCKCN1 Register */ + __IO uint32_t evten; /**< \b 0x4C: GCR EVTEN Register */ + __I uint32_t revision; /**< \b 0x50: GCR REVISION Register */ + __IO uint32_t syssie; /**< \b 0x54: GCR SYSSIE Register */ +} mxc_gcr_regs_t; + +/* Register offsets for module GCR */ +/** + * @ingroup gcr_registers + * @defgroup GCR_Register_Offsets Register Offsets + * @brief GCR Peripheral Register Offsets from the GCR Base Peripheral Address. + * @{ + */ + #define MXC_R_GCR_SCON ((uint32_t)0x00000000UL) /**< Offset from GCR Base Address: 0x0000 */ + #define MXC_R_GCR_RSTR0 ((uint32_t)0x00000004UL) /**< Offset from GCR Base Address: 0x0004 */ + #define MXC_R_GCR_CLKCN ((uint32_t)0x00000008UL) /**< Offset from GCR Base Address: 0x0008 */ + #define MXC_R_GCR_PM ((uint32_t)0x0000000CUL) /**< Offset from GCR Base Address: 0x000C */ + #define MXC_R_GCR_PCKDIV ((uint32_t)0x00000018UL) /**< Offset from GCR Base Address: 0x0018 */ + #define MXC_R_GCR_PERCKCN0 ((uint32_t)0x00000024UL) /**< Offset from GCR Base Address: 0x0024 */ + #define MXC_R_GCR_MEMCKCN ((uint32_t)0x00000028UL) /**< Offset from GCR Base Address: 0x0028 */ + #define MXC_R_GCR_MEMZCN ((uint32_t)0x0000002CUL) /**< Offset from GCR Base Address: 0x002C */ + #define MXC_R_GCR_SCCK ((uint32_t)0x00000034UL) /**< Offset from GCR Base Address: 0x0034 */ + #define MXC_R_GCR_MPRI0 ((uint32_t)0x00000038UL) /**< Offset from GCR Base Address: 0x0038 */ + #define MXC_R_GCR_MPRI1 ((uint32_t)0x0000003CUL) /**< Offset from GCR Base Address: 0x003C */ + #define MXC_R_GCR_SYSST ((uint32_t)0x00000040UL) /**< Offset from GCR Base Address: 0x0040 */ + #define MXC_R_GCR_RSTR1 ((uint32_t)0x00000044UL) /**< Offset from GCR Base Address: 0x0044 */ + #define MXC_R_GCR_PERCKCN1 ((uint32_t)0x00000048UL) /**< Offset from GCR Base Address: 0x0048 */ + #define MXC_R_GCR_EVTEN ((uint32_t)0x0000004CUL) /**< Offset from GCR Base Address: 0x004C */ + #define MXC_R_GCR_REVISION ((uint32_t)0x00000050UL) /**< Offset from GCR Base Address: 0x0050 */ + #define MXC_R_GCR_SYSSIE ((uint32_t)0x00000054UL) /**< Offset from GCR Base Address: 0x0054 */ +/**@} end of group gcr_registers */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_SCON GCR_SCON + * @brief System Control. + * @{ + */ + #define MXC_F_GCR_SCON_SBUSARB_POS 1 /**< SCON_SBUSARB Position */ + #define MXC_F_GCR_SCON_SBUSARB ((uint32_t)(0x3UL << MXC_F_GCR_SCON_SBUSARB_POS)) /**< SCON_SBUSARB Mask */ + #define MXC_V_GCR_SCON_SBUSARB_FIX ((uint32_t)0x0UL) /**< SCON_SBUSARB_FIX Value */ + #define MXC_S_GCR_SCON_SBUSARB_FIX (MXC_V_GCR_SCON_SBUSARB_FIX << MXC_F_GCR_SCON_SBUSARB_POS) /**< SCON_SBUSARB_FIX Setting */ + #define MXC_V_GCR_SCON_SBUSARB_ROUND ((uint32_t)0x1UL) /**< SCON_SBUSARB_ROUND Value */ + #define MXC_S_GCR_SCON_SBUSARB_ROUND (MXC_V_GCR_SCON_SBUSARB_ROUND << MXC_F_GCR_SCON_SBUSARB_POS) /**< SCON_SBUSARB_ROUND Setting */ + + #define MXC_F_GCR_SCON_FLASH_PAGE_FLIP_POS 4 /**< SCON_FLASH_PAGE_FLIP Position */ + #define MXC_F_GCR_SCON_FLASH_PAGE_FLIP ((uint32_t)(0x1UL << MXC_F_GCR_SCON_FLASH_PAGE_FLIP_POS)) /**< SCON_FLASH_PAGE_FLIP Mask */ + #define MXC_V_GCR_SCON_FLASH_PAGE_FLIP_NORMAL ((uint32_t)0x0UL) /**< SCON_FLASH_PAGE_FLIP_NORMAL Value */ + #define MXC_S_GCR_SCON_FLASH_PAGE_FLIP_NORMAL (MXC_V_GCR_SCON_FLASH_PAGE_FLIP_NORMAL << MXC_F_GCR_SCON_FLASH_PAGE_FLIP_POS) /**< SCON_FLASH_PAGE_FLIP_NORMAL Setting */ + #define MXC_V_GCR_SCON_FLASH_PAGE_FLIP_SWAPPED ((uint32_t)0x1UL) /**< SCON_FLASH_PAGE_FLIP_SWAPPED Value */ + #define MXC_S_GCR_SCON_FLASH_PAGE_FLIP_SWAPPED (MXC_V_GCR_SCON_FLASH_PAGE_FLIP_SWAPPED << MXC_F_GCR_SCON_FLASH_PAGE_FLIP_POS) /**< SCON_FLASH_PAGE_FLIP_SWAPPED Setting */ + + #define MXC_F_GCR_SCON_FPU_DIS_POS 5 /**< SCON_FPU_DIS Position */ + #define MXC_F_GCR_SCON_FPU_DIS ((uint32_t)(0x1UL << MXC_F_GCR_SCON_FPU_DIS_POS)) /**< SCON_FPU_DIS Mask */ + #define MXC_V_GCR_SCON_FPU_DIS_ENABLE ((uint32_t)0x0UL) /**< SCON_FPU_DIS_ENABLE Value */ + #define MXC_S_GCR_SCON_FPU_DIS_ENABLE (MXC_V_GCR_SCON_FPU_DIS_ENABLE << MXC_F_GCR_SCON_FPU_DIS_POS) /**< SCON_FPU_DIS_ENABLE Setting */ + #define MXC_V_GCR_SCON_FPU_DIS_DISABLE ((uint32_t)0x1UL) /**< SCON_FPU_DIS_DISABLE Value */ + #define MXC_S_GCR_SCON_FPU_DIS_DISABLE (MXC_V_GCR_SCON_FPU_DIS_DISABLE << MXC_F_GCR_SCON_FPU_DIS_POS) /**< SCON_FPU_DIS_DISABLE Setting */ + + #define MXC_F_GCR_SCON_CCACHE_FLUSH_POS 6 /**< SCON_CCACHE_FLUSH Position */ + #define MXC_F_GCR_SCON_CCACHE_FLUSH ((uint32_t)(0x1UL << MXC_F_GCR_SCON_CCACHE_FLUSH_POS)) /**< SCON_CCACHE_FLUSH Mask */ + #define MXC_V_GCR_SCON_CCACHE_FLUSH_NORMAL ((uint32_t)0x0UL) /**< SCON_CCACHE_FLUSH_NORMAL Value */ + #define MXC_S_GCR_SCON_CCACHE_FLUSH_NORMAL (MXC_V_GCR_SCON_CCACHE_FLUSH_NORMAL << MXC_F_GCR_SCON_CCACHE_FLUSH_POS) /**< SCON_CCACHE_FLUSH_NORMAL Setting */ + #define MXC_V_GCR_SCON_CCACHE_FLUSH_FLUSH ((uint32_t)0x1UL) /**< SCON_CCACHE_FLUSH_FLUSH Value */ + #define MXC_S_GCR_SCON_CCACHE_FLUSH_FLUSH (MXC_V_GCR_SCON_CCACHE_FLUSH_FLUSH << MXC_F_GCR_SCON_CCACHE_FLUSH_POS) /**< SCON_CCACHE_FLUSH_FLUSH Setting */ + + #define MXC_F_GCR_SCON_SWD_DIS_POS 14 /**< SCON_SWD_DIS Position */ + #define MXC_F_GCR_SCON_SWD_DIS ((uint32_t)(0x1UL << MXC_F_GCR_SCON_SWD_DIS_POS)) /**< SCON_SWD_DIS Mask */ + #define MXC_V_GCR_SCON_SWD_DIS_ENABLE ((uint32_t)0x0UL) /**< SCON_SWD_DIS_ENABLE Value */ + #define MXC_S_GCR_SCON_SWD_DIS_ENABLE (MXC_V_GCR_SCON_SWD_DIS_ENABLE << MXC_F_GCR_SCON_SWD_DIS_POS) /**< SCON_SWD_DIS_ENABLE Setting */ + #define MXC_V_GCR_SCON_SWD_DIS_DISABLE ((uint32_t)0x1UL) /**< SCON_SWD_DIS_DISABLE Value */ + #define MXC_S_GCR_SCON_SWD_DIS_DISABLE (MXC_V_GCR_SCON_SWD_DIS_DISABLE << MXC_F_GCR_SCON_SWD_DIS_POS) /**< SCON_SWD_DIS_DISABLE Setting */ + +/**@} end of group GCR_SCON_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_RSTR0 GCR_RSTR0 + * @brief Reset. + * @{ + */ + #define MXC_F_GCR_RSTR0_DMA_POS 0 /**< RSTR0_DMA Position */ + #define MXC_F_GCR_RSTR0_DMA ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_DMA_POS)) /**< RSTR0_DMA Mask */ + #define MXC_V_GCR_RSTR0_DMA_RFU ((uint32_t)0x0UL) /**< RSTR0_DMA_RFU Value */ + #define MXC_S_GCR_RSTR0_DMA_RFU (MXC_V_GCR_RSTR0_DMA_RFU << MXC_F_GCR_RSTR0_DMA_POS) /**< RSTR0_DMA_RFU Setting */ + #define MXC_V_GCR_RSTR0_DMA_RESET ((uint32_t)0x1UL) /**< RSTR0_DMA_RESET Value */ + #define MXC_S_GCR_RSTR0_DMA_RESET (MXC_V_GCR_RSTR0_DMA_RESET << MXC_F_GCR_RSTR0_DMA_POS) /**< RSTR0_DMA_RESET Setting */ + #define MXC_V_GCR_RSTR0_DMA_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_DMA_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_DMA_RESET_DONE (MXC_V_GCR_RSTR0_DMA_RESET_DONE << MXC_F_GCR_RSTR0_DMA_POS) /**< RSTR0_DMA_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_DMA_BUSY ((uint32_t)0x1UL) /**< RSTR0_DMA_BUSY Value */ + #define MXC_S_GCR_RSTR0_DMA_BUSY (MXC_V_GCR_RSTR0_DMA_BUSY << MXC_F_GCR_RSTR0_DMA_POS) /**< RSTR0_DMA_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_WDT_POS 1 /**< RSTR0_WDT Position */ + #define MXC_F_GCR_RSTR0_WDT ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_WDT_POS)) /**< RSTR0_WDT Mask */ + #define MXC_V_GCR_RSTR0_WDT_RFU ((uint32_t)0x0UL) /**< RSTR0_WDT_RFU Value */ + #define MXC_S_GCR_RSTR0_WDT_RFU (MXC_V_GCR_RSTR0_WDT_RFU << MXC_F_GCR_RSTR0_WDT_POS) /**< RSTR0_WDT_RFU Setting */ + #define MXC_V_GCR_RSTR0_WDT_RESET ((uint32_t)0x1UL) /**< RSTR0_WDT_RESET Value */ + #define MXC_S_GCR_RSTR0_WDT_RESET (MXC_V_GCR_RSTR0_WDT_RESET << MXC_F_GCR_RSTR0_WDT_POS) /**< RSTR0_WDT_RESET Setting */ + #define MXC_V_GCR_RSTR0_WDT_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_WDT_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_WDT_RESET_DONE (MXC_V_GCR_RSTR0_WDT_RESET_DONE << MXC_F_GCR_RSTR0_WDT_POS) /**< RSTR0_WDT_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_WDT_BUSY ((uint32_t)0x1UL) /**< RSTR0_WDT_BUSY Value */ + #define MXC_S_GCR_RSTR0_WDT_BUSY (MXC_V_GCR_RSTR0_WDT_BUSY << MXC_F_GCR_RSTR0_WDT_POS) /**< RSTR0_WDT_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_GPIO0_POS 2 /**< RSTR0_GPIO0 Position */ + #define MXC_F_GCR_RSTR0_GPIO0 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_GPIO0_POS)) /**< RSTR0_GPIO0 Mask */ + #define MXC_V_GCR_RSTR0_GPIO0_RFU ((uint32_t)0x0UL) /**< RSTR0_GPIO0_RFU Value */ + #define MXC_S_GCR_RSTR0_GPIO0_RFU (MXC_V_GCR_RSTR0_GPIO0_RFU << MXC_F_GCR_RSTR0_GPIO0_POS) /**< RSTR0_GPIO0_RFU Setting */ + #define MXC_V_GCR_RSTR0_GPIO0_RESET ((uint32_t)0x1UL) /**< RSTR0_GPIO0_RESET Value */ + #define MXC_S_GCR_RSTR0_GPIO0_RESET (MXC_V_GCR_RSTR0_GPIO0_RESET << MXC_F_GCR_RSTR0_GPIO0_POS) /**< RSTR0_GPIO0_RESET Setting */ + #define MXC_V_GCR_RSTR0_GPIO0_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_GPIO0_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_GPIO0_RESET_DONE (MXC_V_GCR_RSTR0_GPIO0_RESET_DONE << MXC_F_GCR_RSTR0_GPIO0_POS) /**< RSTR0_GPIO0_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_GPIO0_BUSY ((uint32_t)0x1UL) /**< RSTR0_GPIO0_BUSY Value */ + #define MXC_S_GCR_RSTR0_GPIO0_BUSY (MXC_V_GCR_RSTR0_GPIO0_BUSY << MXC_F_GCR_RSTR0_GPIO0_POS) /**< RSTR0_GPIO0_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_TIMER0_POS 5 /**< RSTR0_TIMER0 Position */ + #define MXC_F_GCR_RSTR0_TIMER0 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_TIMER0_POS)) /**< RSTR0_TIMER0 Mask */ + #define MXC_V_GCR_RSTR0_TIMER0_RFU ((uint32_t)0x0UL) /**< RSTR0_TIMER0_RFU Value */ + #define MXC_S_GCR_RSTR0_TIMER0_RFU (MXC_V_GCR_RSTR0_TIMER0_RFU << MXC_F_GCR_RSTR0_TIMER0_POS) /**< RSTR0_TIMER0_RFU Setting */ + #define MXC_V_GCR_RSTR0_TIMER0_RESET ((uint32_t)0x1UL) /**< RSTR0_TIMER0_RESET Value */ + #define MXC_S_GCR_RSTR0_TIMER0_RESET (MXC_V_GCR_RSTR0_TIMER0_RESET << MXC_F_GCR_RSTR0_TIMER0_POS) /**< RSTR0_TIMER0_RESET Setting */ + #define MXC_V_GCR_RSTR0_TIMER0_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_TIMER0_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_TIMER0_RESET_DONE (MXC_V_GCR_RSTR0_TIMER0_RESET_DONE << MXC_F_GCR_RSTR0_TIMER0_POS) /**< RSTR0_TIMER0_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_TIMER0_BUSY ((uint32_t)0x1UL) /**< RSTR0_TIMER0_BUSY Value */ + #define MXC_S_GCR_RSTR0_TIMER0_BUSY (MXC_V_GCR_RSTR0_TIMER0_BUSY << MXC_F_GCR_RSTR0_TIMER0_POS) /**< RSTR0_TIMER0_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_TIMER1_POS 6 /**< RSTR0_TIMER1 Position */ + #define MXC_F_GCR_RSTR0_TIMER1 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_TIMER1_POS)) /**< RSTR0_TIMER1 Mask */ + #define MXC_V_GCR_RSTR0_TIMER1_RFU ((uint32_t)0x0UL) /**< RSTR0_TIMER1_RFU Value */ + #define MXC_S_GCR_RSTR0_TIMER1_RFU (MXC_V_GCR_RSTR0_TIMER1_RFU << MXC_F_GCR_RSTR0_TIMER1_POS) /**< RSTR0_TIMER1_RFU Setting */ + #define MXC_V_GCR_RSTR0_TIMER1_RESET ((uint32_t)0x1UL) /**< RSTR0_TIMER1_RESET Value */ + #define MXC_S_GCR_RSTR0_TIMER1_RESET (MXC_V_GCR_RSTR0_TIMER1_RESET << MXC_F_GCR_RSTR0_TIMER1_POS) /**< RSTR0_TIMER1_RESET Setting */ + #define MXC_V_GCR_RSTR0_TIMER1_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_TIMER1_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_TIMER1_RESET_DONE (MXC_V_GCR_RSTR0_TIMER1_RESET_DONE << MXC_F_GCR_RSTR0_TIMER1_POS) /**< RSTR0_TIMER1_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_TIMER1_BUSY ((uint32_t)0x1UL) /**< RSTR0_TIMER1_BUSY Value */ + #define MXC_S_GCR_RSTR0_TIMER1_BUSY (MXC_V_GCR_RSTR0_TIMER1_BUSY << MXC_F_GCR_RSTR0_TIMER1_POS) /**< RSTR0_TIMER1_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_TIMER2_POS 7 /**< RSTR0_TIMER2 Position */ + #define MXC_F_GCR_RSTR0_TIMER2 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_TIMER2_POS)) /**< RSTR0_TIMER2 Mask */ + #define MXC_V_GCR_RSTR0_TIMER2_RFU ((uint32_t)0x0UL) /**< RSTR0_TIMER2_RFU Value */ + #define MXC_S_GCR_RSTR0_TIMER2_RFU (MXC_V_GCR_RSTR0_TIMER2_RFU << MXC_F_GCR_RSTR0_TIMER2_POS) /**< RSTR0_TIMER2_RFU Setting */ + #define MXC_V_GCR_RSTR0_TIMER2_RESET ((uint32_t)0x1UL) /**< RSTR0_TIMER2_RESET Value */ + #define MXC_S_GCR_RSTR0_TIMER2_RESET (MXC_V_GCR_RSTR0_TIMER2_RESET << MXC_F_GCR_RSTR0_TIMER2_POS) /**< RSTR0_TIMER2_RESET Setting */ + #define MXC_V_GCR_RSTR0_TIMER2_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_TIMER2_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_TIMER2_RESET_DONE (MXC_V_GCR_RSTR0_TIMER2_RESET_DONE << MXC_F_GCR_RSTR0_TIMER2_POS) /**< RSTR0_TIMER2_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_TIMER2_BUSY ((uint32_t)0x1UL) /**< RSTR0_TIMER2_BUSY Value */ + #define MXC_S_GCR_RSTR0_TIMER2_BUSY (MXC_V_GCR_RSTR0_TIMER2_BUSY << MXC_F_GCR_RSTR0_TIMER2_POS) /**< RSTR0_TIMER2_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_UART0_POS 11 /**< RSTR0_UART0 Position */ + #define MXC_F_GCR_RSTR0_UART0 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_UART0_POS)) /**< RSTR0_UART0 Mask */ + #define MXC_V_GCR_RSTR0_UART0_RFU ((uint32_t)0x0UL) /**< RSTR0_UART0_RFU Value */ + #define MXC_S_GCR_RSTR0_UART0_RFU (MXC_V_GCR_RSTR0_UART0_RFU << MXC_F_GCR_RSTR0_UART0_POS) /**< RSTR0_UART0_RFU Setting */ + #define MXC_V_GCR_RSTR0_UART0_RESET ((uint32_t)0x1UL) /**< RSTR0_UART0_RESET Value */ + #define MXC_S_GCR_RSTR0_UART0_RESET (MXC_V_GCR_RSTR0_UART0_RESET << MXC_F_GCR_RSTR0_UART0_POS) /**< RSTR0_UART0_RESET Setting */ + #define MXC_V_GCR_RSTR0_UART0_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_UART0_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_UART0_RESET_DONE (MXC_V_GCR_RSTR0_UART0_RESET_DONE << MXC_F_GCR_RSTR0_UART0_POS) /**< RSTR0_UART0_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_UART0_BUSY ((uint32_t)0x1UL) /**< RSTR0_UART0_BUSY Value */ + #define MXC_S_GCR_RSTR0_UART0_BUSY (MXC_V_GCR_RSTR0_UART0_BUSY << MXC_F_GCR_RSTR0_UART0_POS) /**< RSTR0_UART0_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_UART1_POS 12 /**< RSTR0_UART1 Position */ + #define MXC_F_GCR_RSTR0_UART1 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_UART1_POS)) /**< RSTR0_UART1 Mask */ + #define MXC_V_GCR_RSTR0_UART1_RFU ((uint32_t)0x0UL) /**< RSTR0_UART1_RFU Value */ + #define MXC_S_GCR_RSTR0_UART1_RFU (MXC_V_GCR_RSTR0_UART1_RFU << MXC_F_GCR_RSTR0_UART1_POS) /**< RSTR0_UART1_RFU Setting */ + #define MXC_V_GCR_RSTR0_UART1_RESET ((uint32_t)0x1UL) /**< RSTR0_UART1_RESET Value */ + #define MXC_S_GCR_RSTR0_UART1_RESET (MXC_V_GCR_RSTR0_UART1_RESET << MXC_F_GCR_RSTR0_UART1_POS) /**< RSTR0_UART1_RESET Setting */ + #define MXC_V_GCR_RSTR0_UART1_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_UART1_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_UART1_RESET_DONE (MXC_V_GCR_RSTR0_UART1_RESET_DONE << MXC_F_GCR_RSTR0_UART1_POS) /**< RSTR0_UART1_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_UART1_BUSY ((uint32_t)0x1UL) /**< RSTR0_UART1_BUSY Value */ + #define MXC_S_GCR_RSTR0_UART1_BUSY (MXC_V_GCR_RSTR0_UART1_BUSY << MXC_F_GCR_RSTR0_UART1_POS) /**< RSTR0_UART1_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_SPI0_POS 13 /**< RSTR0_SPI0 Position */ + #define MXC_F_GCR_RSTR0_SPI0 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_SPI0_POS)) /**< RSTR0_SPI0 Mask */ + #define MXC_V_GCR_RSTR0_SPI0_RFU ((uint32_t)0x0UL) /**< RSTR0_SPI0_RFU Value */ + #define MXC_S_GCR_RSTR0_SPI0_RFU (MXC_V_GCR_RSTR0_SPI0_RFU << MXC_F_GCR_RSTR0_SPI0_POS) /**< RSTR0_SPI0_RFU Setting */ + #define MXC_V_GCR_RSTR0_SPI0_RESET ((uint32_t)0x1UL) /**< RSTR0_SPI0_RESET Value */ + #define MXC_S_GCR_RSTR0_SPI0_RESET (MXC_V_GCR_RSTR0_SPI0_RESET << MXC_F_GCR_RSTR0_SPI0_POS) /**< RSTR0_SPI0_RESET Setting */ + #define MXC_V_GCR_RSTR0_SPI0_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_SPI0_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_SPI0_RESET_DONE (MXC_V_GCR_RSTR0_SPI0_RESET_DONE << MXC_F_GCR_RSTR0_SPI0_POS) /**< RSTR0_SPI0_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_SPI0_BUSY ((uint32_t)0x1UL) /**< RSTR0_SPI0_BUSY Value */ + #define MXC_S_GCR_RSTR0_SPI0_BUSY (MXC_V_GCR_RSTR0_SPI0_BUSY << MXC_F_GCR_RSTR0_SPI0_POS) /**< RSTR0_SPI0_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_SPI1_POS 14 /**< RSTR0_SPI1 Position */ + #define MXC_F_GCR_RSTR0_SPI1 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_SPI1_POS)) /**< RSTR0_SPI1 Mask */ + #define MXC_V_GCR_RSTR0_SPI1_RFU ((uint32_t)0x0UL) /**< RSTR0_SPI1_RFU Value */ + #define MXC_S_GCR_RSTR0_SPI1_RFU (MXC_V_GCR_RSTR0_SPI1_RFU << MXC_F_GCR_RSTR0_SPI1_POS) /**< RSTR0_SPI1_RFU Setting */ + #define MXC_V_GCR_RSTR0_SPI1_RESET ((uint32_t)0x1UL) /**< RSTR0_SPI1_RESET Value */ + #define MXC_S_GCR_RSTR0_SPI1_RESET (MXC_V_GCR_RSTR0_SPI1_RESET << MXC_F_GCR_RSTR0_SPI1_POS) /**< RSTR0_SPI1_RESET Setting */ + #define MXC_V_GCR_RSTR0_SPI1_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_SPI1_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_SPI1_RESET_DONE (MXC_V_GCR_RSTR0_SPI1_RESET_DONE << MXC_F_GCR_RSTR0_SPI1_POS) /**< RSTR0_SPI1_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_SPI1_BUSY ((uint32_t)0x1UL) /**< RSTR0_SPI1_BUSY Value */ + #define MXC_S_GCR_RSTR0_SPI1_BUSY (MXC_V_GCR_RSTR0_SPI1_BUSY << MXC_F_GCR_RSTR0_SPI1_POS) /**< RSTR0_SPI1_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_I2C0_POS 16 /**< RSTR0_I2C0 Position */ + #define MXC_F_GCR_RSTR0_I2C0 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_I2C0_POS)) /**< RSTR0_I2C0 Mask */ + #define MXC_V_GCR_RSTR0_I2C0_RFU ((uint32_t)0x0UL) /**< RSTR0_I2C0_RFU Value */ + #define MXC_S_GCR_RSTR0_I2C0_RFU (MXC_V_GCR_RSTR0_I2C0_RFU << MXC_F_GCR_RSTR0_I2C0_POS) /**< RSTR0_I2C0_RFU Setting */ + #define MXC_V_GCR_RSTR0_I2C0_RESET ((uint32_t)0x1UL) /**< RSTR0_I2C0_RESET Value */ + #define MXC_S_GCR_RSTR0_I2C0_RESET (MXC_V_GCR_RSTR0_I2C0_RESET << MXC_F_GCR_RSTR0_I2C0_POS) /**< RSTR0_I2C0_RESET Setting */ + #define MXC_V_GCR_RSTR0_I2C0_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_I2C0_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_I2C0_RESET_DONE (MXC_V_GCR_RSTR0_I2C0_RESET_DONE << MXC_F_GCR_RSTR0_I2C0_POS) /**< RSTR0_I2C0_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_I2C0_BUSY ((uint32_t)0x1UL) /**< RSTR0_I2C0_BUSY Value */ + #define MXC_S_GCR_RSTR0_I2C0_BUSY (MXC_V_GCR_RSTR0_I2C0_BUSY << MXC_F_GCR_RSTR0_I2C0_POS) /**< RSTR0_I2C0_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_RTC_POS 17 /**< RSTR0_RTC Position */ + #define MXC_F_GCR_RSTR0_RTC ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_RTC_POS)) /**< RSTR0_RTC Mask */ + #define MXC_V_GCR_RSTR0_RTC_RFU ((uint32_t)0x0UL) /**< RSTR0_RTC_RFU Value */ + #define MXC_S_GCR_RSTR0_RTC_RFU (MXC_V_GCR_RSTR0_RTC_RFU << MXC_F_GCR_RSTR0_RTC_POS) /**< RSTR0_RTC_RFU Setting */ + #define MXC_V_GCR_RSTR0_RTC_RESET ((uint32_t)0x1UL) /**< RSTR0_RTC_RESET Value */ + #define MXC_S_GCR_RSTR0_RTC_RESET (MXC_V_GCR_RSTR0_RTC_RESET << MXC_F_GCR_RSTR0_RTC_POS) /**< RSTR0_RTC_RESET Setting */ + #define MXC_V_GCR_RSTR0_RTC_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_RTC_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_RTC_RESET_DONE (MXC_V_GCR_RSTR0_RTC_RESET_DONE << MXC_F_GCR_RSTR0_RTC_POS) /**< RSTR0_RTC_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_RTC_BUSY ((uint32_t)0x1UL) /**< RSTR0_RTC_BUSY Value */ + #define MXC_S_GCR_RSTR0_RTC_BUSY (MXC_V_GCR_RSTR0_RTC_BUSY << MXC_F_GCR_RSTR0_RTC_POS) /**< RSTR0_RTC_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_SRST_POS 29 /**< RSTR0_SRST Position */ + #define MXC_F_GCR_RSTR0_SRST ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_SRST_POS)) /**< RSTR0_SRST Mask */ + #define MXC_V_GCR_RSTR0_SRST_RFU ((uint32_t)0x0UL) /**< RSTR0_SRST_RFU Value */ + #define MXC_S_GCR_RSTR0_SRST_RFU (MXC_V_GCR_RSTR0_SRST_RFU << MXC_F_GCR_RSTR0_SRST_POS) /**< RSTR0_SRST_RFU Setting */ + #define MXC_V_GCR_RSTR0_SRST_RESET ((uint32_t)0x1UL) /**< RSTR0_SRST_RESET Value */ + #define MXC_S_GCR_RSTR0_SRST_RESET (MXC_V_GCR_RSTR0_SRST_RESET << MXC_F_GCR_RSTR0_SRST_POS) /**< RSTR0_SRST_RESET Setting */ + #define MXC_V_GCR_RSTR0_SRST_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_SRST_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_SRST_RESET_DONE (MXC_V_GCR_RSTR0_SRST_RESET_DONE << MXC_F_GCR_RSTR0_SRST_POS) /**< RSTR0_SRST_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_SRST_BUSY ((uint32_t)0x1UL) /**< RSTR0_SRST_BUSY Value */ + #define MXC_S_GCR_RSTR0_SRST_BUSY (MXC_V_GCR_RSTR0_SRST_BUSY << MXC_F_GCR_RSTR0_SRST_POS) /**< RSTR0_SRST_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_PRST_POS 30 /**< RSTR0_PRST Position */ + #define MXC_F_GCR_RSTR0_PRST ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_PRST_POS)) /**< RSTR0_PRST Mask */ + #define MXC_V_GCR_RSTR0_PRST_RFU ((uint32_t)0x0UL) /**< RSTR0_PRST_RFU Value */ + #define MXC_S_GCR_RSTR0_PRST_RFU (MXC_V_GCR_RSTR0_PRST_RFU << MXC_F_GCR_RSTR0_PRST_POS) /**< RSTR0_PRST_RFU Setting */ + #define MXC_V_GCR_RSTR0_PRST_RESET ((uint32_t)0x1UL) /**< RSTR0_PRST_RESET Value */ + #define MXC_S_GCR_RSTR0_PRST_RESET (MXC_V_GCR_RSTR0_PRST_RESET << MXC_F_GCR_RSTR0_PRST_POS) /**< RSTR0_PRST_RESET Setting */ + #define MXC_V_GCR_RSTR0_PRST_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_PRST_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_PRST_RESET_DONE (MXC_V_GCR_RSTR0_PRST_RESET_DONE << MXC_F_GCR_RSTR0_PRST_POS) /**< RSTR0_PRST_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_PRST_BUSY ((uint32_t)0x1UL) /**< RSTR0_PRST_BUSY Value */ + #define MXC_S_GCR_RSTR0_PRST_BUSY (MXC_V_GCR_RSTR0_PRST_BUSY << MXC_F_GCR_RSTR0_PRST_POS) /**< RSTR0_PRST_BUSY Setting */ + + #define MXC_F_GCR_RSTR0_SYSTEM_POS 31 /**< RSTR0_SYSTEM Position */ + #define MXC_F_GCR_RSTR0_SYSTEM ((uint32_t)(0x1UL << MXC_F_GCR_RSTR0_SYSTEM_POS)) /**< RSTR0_SYSTEM Mask */ + #define MXC_V_GCR_RSTR0_SYSTEM_RFU ((uint32_t)0x0UL) /**< RSTR0_SYSTEM_RFU Value */ + #define MXC_S_GCR_RSTR0_SYSTEM_RFU (MXC_V_GCR_RSTR0_SYSTEM_RFU << MXC_F_GCR_RSTR0_SYSTEM_POS) /**< RSTR0_SYSTEM_RFU Setting */ + #define MXC_V_GCR_RSTR0_SYSTEM_RESET ((uint32_t)0x1UL) /**< RSTR0_SYSTEM_RESET Value */ + #define MXC_S_GCR_RSTR0_SYSTEM_RESET (MXC_V_GCR_RSTR0_SYSTEM_RESET << MXC_F_GCR_RSTR0_SYSTEM_POS) /**< RSTR0_SYSTEM_RESET Setting */ + #define MXC_V_GCR_RSTR0_SYSTEM_RESET_DONE ((uint32_t)0x0UL) /**< RSTR0_SYSTEM_RESET_DONE Value */ + #define MXC_S_GCR_RSTR0_SYSTEM_RESET_DONE (MXC_V_GCR_RSTR0_SYSTEM_RESET_DONE << MXC_F_GCR_RSTR0_SYSTEM_POS) /**< RSTR0_SYSTEM_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR0_SYSTEM_BUSY ((uint32_t)0x1UL) /**< RSTR0_SYSTEM_BUSY Value */ + #define MXC_S_GCR_RSTR0_SYSTEM_BUSY (MXC_V_GCR_RSTR0_SYSTEM_BUSY << MXC_F_GCR_RSTR0_SYSTEM_POS) /**< RSTR0_SYSTEM_BUSY Setting */ + +/**@} end of group GCR_RSTR0_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_CLKCN GCR_CLKCN + * @brief Clock Control. + * @{ + */ + #define MXC_F_GCR_CLKCN_PSC_POS 6 /**< CLKCN_PSC Position */ + #define MXC_F_GCR_CLKCN_PSC ((uint32_t)(0x7UL << MXC_F_GCR_CLKCN_PSC_POS)) /**< CLKCN_PSC Mask */ + #define MXC_V_GCR_CLKCN_PSC_DIV1 ((uint32_t)0x0UL) /**< CLKCN_PSC_DIV1 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV1 (MXC_V_GCR_CLKCN_PSC_DIV1 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV1 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV2 ((uint32_t)0x1UL) /**< CLKCN_PSC_DIV2 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV2 (MXC_V_GCR_CLKCN_PSC_DIV2 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV2 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV4 ((uint32_t)0x2UL) /**< CLKCN_PSC_DIV4 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV4 (MXC_V_GCR_CLKCN_PSC_DIV4 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV4 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV8 ((uint32_t)0x3UL) /**< CLKCN_PSC_DIV8 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV8 (MXC_V_GCR_CLKCN_PSC_DIV8 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV8 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV16 ((uint32_t)0x4UL) /**< CLKCN_PSC_DIV16 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV16 (MXC_V_GCR_CLKCN_PSC_DIV16 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV16 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV32 ((uint32_t)0x5UL) /**< CLKCN_PSC_DIV32 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV32 (MXC_V_GCR_CLKCN_PSC_DIV32 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV32 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV64 ((uint32_t)0x6UL) /**< CLKCN_PSC_DIV64 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV64 (MXC_V_GCR_CLKCN_PSC_DIV64 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV64 Setting */ + #define MXC_V_GCR_CLKCN_PSC_DIV128 ((uint32_t)0x7UL) /**< CLKCN_PSC_DIV128 Value */ + #define MXC_S_GCR_CLKCN_PSC_DIV128 (MXC_V_GCR_CLKCN_PSC_DIV128 << MXC_F_GCR_CLKCN_PSC_POS) /**< CLKCN_PSC_DIV128 Setting */ + + #define MXC_F_GCR_CLKCN_CLKSEL_POS 9 /**< CLKCN_CLKSEL Position */ + #define MXC_F_GCR_CLKCN_CLKSEL ((uint32_t)(0x7UL << MXC_F_GCR_CLKCN_CLKSEL_POS)) /**< CLKCN_CLKSEL Mask */ + #define MXC_V_GCR_CLKCN_CLKSEL_HIRC ((uint32_t)0x0UL) /**< CLKCN_CLKSEL_HIRC Value */ + #define MXC_S_GCR_CLKCN_CLKSEL_HIRC (MXC_V_GCR_CLKCN_CLKSEL_HIRC << MXC_F_GCR_CLKCN_CLKSEL_POS) /**< CLKCN_CLKSEL_HIRC Setting */ + #define MXC_V_GCR_CLKCN_CLKSEL_NANORING ((uint32_t)0x3UL) /**< CLKCN_CLKSEL_NANORING Value */ + #define MXC_S_GCR_CLKCN_CLKSEL_NANORING (MXC_V_GCR_CLKCN_CLKSEL_NANORING << MXC_F_GCR_CLKCN_CLKSEL_POS) /**< CLKCN_CLKSEL_NANORING Setting */ + #define MXC_V_GCR_CLKCN_CLKSEL_HFXIN ((uint32_t)0x6UL) /**< CLKCN_CLKSEL_HFXIN Value */ + #define MXC_S_GCR_CLKCN_CLKSEL_HFXIN (MXC_V_GCR_CLKCN_CLKSEL_HFXIN << MXC_F_GCR_CLKCN_CLKSEL_POS) /**< CLKCN_CLKSEL_HFXIN Setting */ + + #define MXC_F_GCR_CLKCN_CKRDY_POS 13 /**< CLKCN_CKRDY Position */ + #define MXC_F_GCR_CLKCN_CKRDY ((uint32_t)(0x1UL << MXC_F_GCR_CLKCN_CKRDY_POS)) /**< CLKCN_CKRDY Mask */ + #define MXC_V_GCR_CLKCN_CKRDY_BUSY ((uint32_t)0x0UL) /**< CLKCN_CKRDY_BUSY Value */ + #define MXC_S_GCR_CLKCN_CKRDY_BUSY (MXC_V_GCR_CLKCN_CKRDY_BUSY << MXC_F_GCR_CLKCN_CKRDY_POS) /**< CLKCN_CKRDY_BUSY Setting */ + #define MXC_V_GCR_CLKCN_CKRDY_READY ((uint32_t)0x1UL) /**< CLKCN_CKRDY_READY Value */ + #define MXC_S_GCR_CLKCN_CKRDY_READY (MXC_V_GCR_CLKCN_CKRDY_READY << MXC_F_GCR_CLKCN_CKRDY_POS) /**< CLKCN_CKRDY_READY Setting */ + + #define MXC_F_GCR_CLKCN_X32K_EN_POS 17 /**< CLKCN_X32K_EN Position */ + #define MXC_F_GCR_CLKCN_X32K_EN ((uint32_t)(0x1UL << MXC_F_GCR_CLKCN_X32K_EN_POS)) /**< CLKCN_X32K_EN Mask */ + #define MXC_V_GCR_CLKCN_X32K_EN_DIS ((uint32_t)0x0UL) /**< CLKCN_X32K_EN_DIS Value */ + #define MXC_S_GCR_CLKCN_X32K_EN_DIS (MXC_V_GCR_CLKCN_X32K_EN_DIS << MXC_F_GCR_CLKCN_X32K_EN_POS) /**< CLKCN_X32K_EN_DIS Setting */ + #define MXC_V_GCR_CLKCN_X32K_EN_EN ((uint32_t)0x1UL) /**< CLKCN_X32K_EN_EN Value */ + #define MXC_S_GCR_CLKCN_X32K_EN_EN (MXC_V_GCR_CLKCN_X32K_EN_EN << MXC_F_GCR_CLKCN_X32K_EN_POS) /**< CLKCN_X32K_EN_EN Setting */ + + #define MXC_F_GCR_CLKCN_HIRC_EN_POS 18 /**< CLKCN_HIRC_EN Position */ + #define MXC_F_GCR_CLKCN_HIRC_EN ((uint32_t)(0x1UL << MXC_F_GCR_CLKCN_HIRC_EN_POS)) /**< CLKCN_HIRC_EN Mask */ + #define MXC_V_GCR_CLKCN_HIRC_EN_DIS ((uint32_t)0x0UL) /**< CLKCN_HIRC_EN_DIS Value */ + #define MXC_S_GCR_CLKCN_HIRC_EN_DIS (MXC_V_GCR_CLKCN_HIRC_EN_DIS << MXC_F_GCR_CLKCN_HIRC_EN_POS) /**< CLKCN_HIRC_EN_DIS Setting */ + #define MXC_V_GCR_CLKCN_HIRC_EN_EN ((uint32_t)0x1UL) /**< CLKCN_HIRC_EN_EN Value */ + #define MXC_S_GCR_CLKCN_HIRC_EN_EN (MXC_V_GCR_CLKCN_HIRC_EN_EN << MXC_F_GCR_CLKCN_HIRC_EN_POS) /**< CLKCN_HIRC_EN_EN Setting */ + + #define MXC_F_GCR_CLKCN_X32K_RDY_POS 25 /**< CLKCN_X32K_RDY Position */ + #define MXC_F_GCR_CLKCN_X32K_RDY ((uint32_t)(0x1UL << MXC_F_GCR_CLKCN_X32K_RDY_POS)) /**< CLKCN_X32K_RDY Mask */ + #define MXC_V_GCR_CLKCN_X32K_RDY_NOT ((uint32_t)0x0UL) /**< CLKCN_X32K_RDY_NOT Value */ + #define MXC_S_GCR_CLKCN_X32K_RDY_NOT (MXC_V_GCR_CLKCN_X32K_RDY_NOT << MXC_F_GCR_CLKCN_X32K_RDY_POS) /**< CLKCN_X32K_RDY_NOT Setting */ + #define MXC_V_GCR_CLKCN_X32K_RDY_READY ((uint32_t)0x1UL) /**< CLKCN_X32K_RDY_READY Value */ + #define MXC_S_GCR_CLKCN_X32K_RDY_READY (MXC_V_GCR_CLKCN_X32K_RDY_READY << MXC_F_GCR_CLKCN_X32K_RDY_POS) /**< CLKCN_X32K_RDY_READY Setting */ + + #define MXC_F_GCR_CLKCN_HIRC_RDY_POS 26 /**< CLKCN_HIRC_RDY Position */ + #define MXC_F_GCR_CLKCN_HIRC_RDY ((uint32_t)(0x1UL << MXC_F_GCR_CLKCN_HIRC_RDY_POS)) /**< CLKCN_HIRC_RDY Mask */ + #define MXC_V_GCR_CLKCN_HIRC_RDY_NOT ((uint32_t)0x0UL) /**< CLKCN_HIRC_RDY_NOT Value */ + #define MXC_S_GCR_CLKCN_HIRC_RDY_NOT (MXC_V_GCR_CLKCN_HIRC_RDY_NOT << MXC_F_GCR_CLKCN_HIRC_RDY_POS) /**< CLKCN_HIRC_RDY_NOT Setting */ + #define MXC_V_GCR_CLKCN_HIRC_RDY_READY ((uint32_t)0x1UL) /**< CLKCN_HIRC_RDY_READY Value */ + #define MXC_S_GCR_CLKCN_HIRC_RDY_READY (MXC_V_GCR_CLKCN_HIRC_RDY_READY << MXC_F_GCR_CLKCN_HIRC_RDY_POS) /**< CLKCN_HIRC_RDY_READY Setting */ + + #define MXC_F_GCR_CLKCN_LIRC8K_RDY_POS 29 /**< CLKCN_LIRC8K_RDY Position */ + #define MXC_F_GCR_CLKCN_LIRC8K_RDY ((uint32_t)(0x1UL << MXC_F_GCR_CLKCN_LIRC8K_RDY_POS)) /**< CLKCN_LIRC8K_RDY Mask */ + #define MXC_V_GCR_CLKCN_LIRC8K_RDY_NOT ((uint32_t)0x0UL) /**< CLKCN_LIRC8K_RDY_NOT Value */ + #define MXC_S_GCR_CLKCN_LIRC8K_RDY_NOT (MXC_V_GCR_CLKCN_LIRC8K_RDY_NOT << MXC_F_GCR_CLKCN_LIRC8K_RDY_POS) /**< CLKCN_LIRC8K_RDY_NOT Setting */ + #define MXC_V_GCR_CLKCN_LIRC8K_RDY_READY ((uint32_t)0x1UL) /**< CLKCN_LIRC8K_RDY_READY Value */ + #define MXC_S_GCR_CLKCN_LIRC8K_RDY_READY (MXC_V_GCR_CLKCN_LIRC8K_RDY_READY << MXC_F_GCR_CLKCN_LIRC8K_RDY_POS) /**< CLKCN_LIRC8K_RDY_READY Setting */ + +/**@} end of group GCR_CLKCN_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_PM GCR_PM + * @brief Power Management. + * @{ + */ + #define MXC_F_GCR_PM_MODE_POS 0 /**< PM_MODE Position */ + #define MXC_F_GCR_PM_MODE ((uint32_t)(0x7UL << MXC_F_GCR_PM_MODE_POS)) /**< PM_MODE Mask */ + #define MXC_V_GCR_PM_MODE_ACTIVE ((uint32_t)0x0UL) /**< PM_MODE_ACTIVE Value */ + #define MXC_S_GCR_PM_MODE_ACTIVE (MXC_V_GCR_PM_MODE_ACTIVE << MXC_F_GCR_PM_MODE_POS) /**< PM_MODE_ACTIVE Setting */ + #define MXC_V_GCR_PM_MODE_SHUTDOWN ((uint32_t)0x3UL) /**< PM_MODE_SHUTDOWN Value */ + #define MXC_S_GCR_PM_MODE_SHUTDOWN (MXC_V_GCR_PM_MODE_SHUTDOWN << MXC_F_GCR_PM_MODE_POS) /**< PM_MODE_SHUTDOWN Setting */ + #define MXC_V_GCR_PM_MODE_BACKUP ((uint32_t)0x4UL) /**< PM_MODE_BACKUP Value */ + #define MXC_S_GCR_PM_MODE_BACKUP (MXC_V_GCR_PM_MODE_BACKUP << MXC_F_GCR_PM_MODE_POS) /**< PM_MODE_BACKUP Setting */ + + #define MXC_F_GCR_PM_GPIOWKEN_POS 4 /**< PM_GPIOWKEN Position */ + #define MXC_F_GCR_PM_GPIOWKEN ((uint32_t)(0x1UL << MXC_F_GCR_PM_GPIOWKEN_POS)) /**< PM_GPIOWKEN Mask */ + #define MXC_V_GCR_PM_GPIOWKEN_DIS ((uint32_t)0x0UL) /**< PM_GPIOWKEN_DIS Value */ + #define MXC_S_GCR_PM_GPIOWKEN_DIS (MXC_V_GCR_PM_GPIOWKEN_DIS << MXC_F_GCR_PM_GPIOWKEN_POS) /**< PM_GPIOWKEN_DIS Setting */ + #define MXC_V_GCR_PM_GPIOWKEN_EN ((uint32_t)0x1UL) /**< PM_GPIOWKEN_EN Value */ + #define MXC_S_GCR_PM_GPIOWKEN_EN (MXC_V_GCR_PM_GPIOWKEN_EN << MXC_F_GCR_PM_GPIOWKEN_POS) /**< PM_GPIOWKEN_EN Setting */ + + #define MXC_F_GCR_PM_RTCWKEN_POS 5 /**< PM_RTCWKEN Position */ + #define MXC_F_GCR_PM_RTCWKEN ((uint32_t)(0x1UL << MXC_F_GCR_PM_RTCWKEN_POS)) /**< PM_RTCWKEN Mask */ + #define MXC_V_GCR_PM_RTCWKEN_DIS ((uint32_t)0x0UL) /**< PM_RTCWKEN_DIS Value */ + #define MXC_S_GCR_PM_RTCWKEN_DIS (MXC_V_GCR_PM_RTCWKEN_DIS << MXC_F_GCR_PM_RTCWKEN_POS) /**< PM_RTCWKEN_DIS Setting */ + #define MXC_V_GCR_PM_RTCWKEN_EN ((uint32_t)0x1UL) /**< PM_RTCWKEN_EN Value */ + #define MXC_S_GCR_PM_RTCWKEN_EN (MXC_V_GCR_PM_RTCWKEN_EN << MXC_F_GCR_PM_RTCWKEN_POS) /**< PM_RTCWKEN_EN Setting */ + + #define MXC_F_GCR_PM_HIRCPD_POS 15 /**< PM_HIRCPD Position */ + #define MXC_F_GCR_PM_HIRCPD ((uint32_t)(0x1UL << MXC_F_GCR_PM_HIRCPD_POS)) /**< PM_HIRCPD Mask */ + #define MXC_V_GCR_PM_HIRCPD_ACTIVE ((uint32_t)0x0UL) /**< PM_HIRCPD_ACTIVE Value */ + #define MXC_S_GCR_PM_HIRCPD_ACTIVE (MXC_V_GCR_PM_HIRCPD_ACTIVE << MXC_F_GCR_PM_HIRCPD_POS) /**< PM_HIRCPD_ACTIVE Setting */ + #define MXC_V_GCR_PM_HIRCPD_DEEPSLEEP ((uint32_t)0x1UL) /**< PM_HIRCPD_DEEPSLEEP Value */ + #define MXC_S_GCR_PM_HIRCPD_DEEPSLEEP (MXC_V_GCR_PM_HIRCPD_DEEPSLEEP << MXC_F_GCR_PM_HIRCPD_POS) /**< PM_HIRCPD_DEEPSLEEP Setting */ + +/**@} end of group GCR_PM_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_PCKDIV GCR_PCKDIV + * @brief Peripheral Clock Divider. + * @{ + */ + #define MXC_F_GCR_PCKDIV_AONCD_POS 0 /**< PCKDIV_AONCD Position */ + #define MXC_F_GCR_PCKDIV_AONCD ((uint32_t)(0x3UL << MXC_F_GCR_PCKDIV_AONCD_POS)) /**< PCKDIV_AONCD Mask */ + #define MXC_V_GCR_PCKDIV_AONCD_DIV_4 ((uint32_t)0x0UL) /**< PCKDIV_AONCD_DIV_4 Value */ + #define MXC_S_GCR_PCKDIV_AONCD_DIV_4 (MXC_V_GCR_PCKDIV_AONCD_DIV_4 << MXC_F_GCR_PCKDIV_AONCD_POS) /**< PCKDIV_AONCD_DIV_4 Setting */ + #define MXC_V_GCR_PCKDIV_AONCD_DIV_8 ((uint32_t)0x1UL) /**< PCKDIV_AONCD_DIV_8 Value */ + #define MXC_S_GCR_PCKDIV_AONCD_DIV_8 (MXC_V_GCR_PCKDIV_AONCD_DIV_8 << MXC_F_GCR_PCKDIV_AONCD_POS) /**< PCKDIV_AONCD_DIV_8 Setting */ + #define MXC_V_GCR_PCKDIV_AONCD_DIV_16 ((uint32_t)0x2UL) /**< PCKDIV_AONCD_DIV_16 Value */ + #define MXC_S_GCR_PCKDIV_AONCD_DIV_16 (MXC_V_GCR_PCKDIV_AONCD_DIV_16 << MXC_F_GCR_PCKDIV_AONCD_POS) /**< PCKDIV_AONCD_DIV_16 Setting */ + #define MXC_V_GCR_PCKDIV_AONCD_DIV_32 ((uint32_t)0x3UL) /**< PCKDIV_AONCD_DIV_32 Value */ + #define MXC_S_GCR_PCKDIV_AONCD_DIV_32 (MXC_V_GCR_PCKDIV_AONCD_DIV_32 << MXC_F_GCR_PCKDIV_AONCD_POS) /**< PCKDIV_AONCD_DIV_32 Setting */ + +/**@} end of group GCR_PCKDIV_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_PERCKCN0 GCR_PERCKCN0 + * @brief Peripheral Clock Disable. + * @{ + */ + #define MXC_F_GCR_PERCKCN0_GPIO0D_POS 0 /**< PERCKCN0_GPIO0D Position */ + #define MXC_F_GCR_PERCKCN0_GPIO0D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_GPIO0D_POS)) /**< PERCKCN0_GPIO0D Mask */ + #define MXC_V_GCR_PERCKCN0_GPIO0D_EN ((uint32_t)0x0UL) /**< PERCKCN0_GPIO0D_EN Value */ + #define MXC_S_GCR_PERCKCN0_GPIO0D_EN (MXC_V_GCR_PERCKCN0_GPIO0D_EN << MXC_F_GCR_PERCKCN0_GPIO0D_POS) /**< PERCKCN0_GPIO0D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_GPIO0D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_GPIO0D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_GPIO0D_DIS (MXC_V_GCR_PERCKCN0_GPIO0D_DIS << MXC_F_GCR_PERCKCN0_GPIO0D_POS) /**< PERCKCN0_GPIO0D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_DMAD_POS 5 /**< PERCKCN0_DMAD Position */ + #define MXC_F_GCR_PERCKCN0_DMAD ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_DMAD_POS)) /**< PERCKCN0_DMAD Mask */ + #define MXC_V_GCR_PERCKCN0_DMAD_EN ((uint32_t)0x0UL) /**< PERCKCN0_DMAD_EN Value */ + #define MXC_S_GCR_PERCKCN0_DMAD_EN (MXC_V_GCR_PERCKCN0_DMAD_EN << MXC_F_GCR_PERCKCN0_DMAD_POS) /**< PERCKCN0_DMAD_EN Setting */ + #define MXC_V_GCR_PERCKCN0_DMAD_DIS ((uint32_t)0x1UL) /**< PERCKCN0_DMAD_DIS Value */ + #define MXC_S_GCR_PERCKCN0_DMAD_DIS (MXC_V_GCR_PERCKCN0_DMAD_DIS << MXC_F_GCR_PERCKCN0_DMAD_POS) /**< PERCKCN0_DMAD_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_SPI0D_POS 6 /**< PERCKCN0_SPI0D Position */ + #define MXC_F_GCR_PERCKCN0_SPI0D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_SPI0D_POS)) /**< PERCKCN0_SPI0D Mask */ + #define MXC_V_GCR_PERCKCN0_SPI0D_EN ((uint32_t)0x0UL) /**< PERCKCN0_SPI0D_EN Value */ + #define MXC_S_GCR_PERCKCN0_SPI0D_EN (MXC_V_GCR_PERCKCN0_SPI0D_EN << MXC_F_GCR_PERCKCN0_SPI0D_POS) /**< PERCKCN0_SPI0D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_SPI0D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_SPI0D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_SPI0D_DIS (MXC_V_GCR_PERCKCN0_SPI0D_DIS << MXC_F_GCR_PERCKCN0_SPI0D_POS) /**< PERCKCN0_SPI0D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_SPI1D_POS 7 /**< PERCKCN0_SPI1D Position */ + #define MXC_F_GCR_PERCKCN0_SPI1D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_SPI1D_POS)) /**< PERCKCN0_SPI1D Mask */ + #define MXC_V_GCR_PERCKCN0_SPI1D_EN ((uint32_t)0x0UL) /**< PERCKCN0_SPI1D_EN Value */ + #define MXC_S_GCR_PERCKCN0_SPI1D_EN (MXC_V_GCR_PERCKCN0_SPI1D_EN << MXC_F_GCR_PERCKCN0_SPI1D_POS) /**< PERCKCN0_SPI1D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_SPI1D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_SPI1D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_SPI1D_DIS (MXC_V_GCR_PERCKCN0_SPI1D_DIS << MXC_F_GCR_PERCKCN0_SPI1D_POS) /**< PERCKCN0_SPI1D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_UART0D_POS 9 /**< PERCKCN0_UART0D Position */ + #define MXC_F_GCR_PERCKCN0_UART0D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_UART0D_POS)) /**< PERCKCN0_UART0D Mask */ + #define MXC_V_GCR_PERCKCN0_UART0D_EN ((uint32_t)0x0UL) /**< PERCKCN0_UART0D_EN Value */ + #define MXC_S_GCR_PERCKCN0_UART0D_EN (MXC_V_GCR_PERCKCN0_UART0D_EN << MXC_F_GCR_PERCKCN0_UART0D_POS) /**< PERCKCN0_UART0D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_UART0D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_UART0D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_UART0D_DIS (MXC_V_GCR_PERCKCN0_UART0D_DIS << MXC_F_GCR_PERCKCN0_UART0D_POS) /**< PERCKCN0_UART0D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_UART1D_POS 10 /**< PERCKCN0_UART1D Position */ + #define MXC_F_GCR_PERCKCN0_UART1D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_UART1D_POS)) /**< PERCKCN0_UART1D Mask */ + #define MXC_V_GCR_PERCKCN0_UART1D_EN ((uint32_t)0x0UL) /**< PERCKCN0_UART1D_EN Value */ + #define MXC_S_GCR_PERCKCN0_UART1D_EN (MXC_V_GCR_PERCKCN0_UART1D_EN << MXC_F_GCR_PERCKCN0_UART1D_POS) /**< PERCKCN0_UART1D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_UART1D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_UART1D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_UART1D_DIS (MXC_V_GCR_PERCKCN0_UART1D_DIS << MXC_F_GCR_PERCKCN0_UART1D_POS) /**< PERCKCN0_UART1D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_I2C0D_POS 13 /**< PERCKCN0_I2C0D Position */ + #define MXC_F_GCR_PERCKCN0_I2C0D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_I2C0D_POS)) /**< PERCKCN0_I2C0D Mask */ + #define MXC_V_GCR_PERCKCN0_I2C0D_EN ((uint32_t)0x0UL) /**< PERCKCN0_I2C0D_EN Value */ + #define MXC_S_GCR_PERCKCN0_I2C0D_EN (MXC_V_GCR_PERCKCN0_I2C0D_EN << MXC_F_GCR_PERCKCN0_I2C0D_POS) /**< PERCKCN0_I2C0D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_I2C0D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_I2C0D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_I2C0D_DIS (MXC_V_GCR_PERCKCN0_I2C0D_DIS << MXC_F_GCR_PERCKCN0_I2C0D_POS) /**< PERCKCN0_I2C0D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_T0D_POS 15 /**< PERCKCN0_T0D Position */ + #define MXC_F_GCR_PERCKCN0_T0D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_T0D_POS)) /**< PERCKCN0_T0D Mask */ + #define MXC_V_GCR_PERCKCN0_T0D_EN ((uint32_t)0x0UL) /**< PERCKCN0_T0D_EN Value */ + #define MXC_S_GCR_PERCKCN0_T0D_EN (MXC_V_GCR_PERCKCN0_T0D_EN << MXC_F_GCR_PERCKCN0_T0D_POS) /**< PERCKCN0_T0D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_T0D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_T0D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_T0D_DIS (MXC_V_GCR_PERCKCN0_T0D_DIS << MXC_F_GCR_PERCKCN0_T0D_POS) /**< PERCKCN0_T0D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_T1D_POS 16 /**< PERCKCN0_T1D Position */ + #define MXC_F_GCR_PERCKCN0_T1D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_T1D_POS)) /**< PERCKCN0_T1D Mask */ + #define MXC_V_GCR_PERCKCN0_T1D_EN ((uint32_t)0x0UL) /**< PERCKCN0_T1D_EN Value */ + #define MXC_S_GCR_PERCKCN0_T1D_EN (MXC_V_GCR_PERCKCN0_T1D_EN << MXC_F_GCR_PERCKCN0_T1D_POS) /**< PERCKCN0_T1D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_T1D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_T1D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_T1D_DIS (MXC_V_GCR_PERCKCN0_T1D_DIS << MXC_F_GCR_PERCKCN0_T1D_POS) /**< PERCKCN0_T1D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_T2D_POS 17 /**< PERCKCN0_T2D Position */ + #define MXC_F_GCR_PERCKCN0_T2D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_T2D_POS)) /**< PERCKCN0_T2D Mask */ + #define MXC_V_GCR_PERCKCN0_T2D_EN ((uint32_t)0x0UL) /**< PERCKCN0_T2D_EN Value */ + #define MXC_S_GCR_PERCKCN0_T2D_EN (MXC_V_GCR_PERCKCN0_T2D_EN << MXC_F_GCR_PERCKCN0_T2D_POS) /**< PERCKCN0_T2D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_T2D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_T2D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_T2D_DIS (MXC_V_GCR_PERCKCN0_T2D_DIS << MXC_F_GCR_PERCKCN0_T2D_POS) /**< PERCKCN0_T2D_DIS Setting */ + + #define MXC_F_GCR_PERCKCN0_I2C1D_POS 28 /**< PERCKCN0_I2C1D Position */ + #define MXC_F_GCR_PERCKCN0_I2C1D ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN0_I2C1D_POS)) /**< PERCKCN0_I2C1D Mask */ + #define MXC_V_GCR_PERCKCN0_I2C1D_EN ((uint32_t)0x0UL) /**< PERCKCN0_I2C1D_EN Value */ + #define MXC_S_GCR_PERCKCN0_I2C1D_EN (MXC_V_GCR_PERCKCN0_I2C1D_EN << MXC_F_GCR_PERCKCN0_I2C1D_POS) /**< PERCKCN0_I2C1D_EN Setting */ + #define MXC_V_GCR_PERCKCN0_I2C1D_DIS ((uint32_t)0x1UL) /**< PERCKCN0_I2C1D_DIS Value */ + #define MXC_S_GCR_PERCKCN0_I2C1D_DIS (MXC_V_GCR_PERCKCN0_I2C1D_DIS << MXC_F_GCR_PERCKCN0_I2C1D_POS) /**< PERCKCN0_I2C1D_DIS Setting */ + +/**@} end of group GCR_PERCKCN0_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_MEMCKCN GCR_MEMCKCN + * @brief Memory Clock Control Register. + * @{ + */ + #define MXC_F_GCR_MEMCKCN_FWS_POS 0 /**< MEMCKCN_FWS Position */ + #define MXC_F_GCR_MEMCKCN_FWS ((uint32_t)(0x7UL << MXC_F_GCR_MEMCKCN_FWS_POS)) /**< MEMCKCN_FWS Mask */ + + #define MXC_F_GCR_MEMCKCN_SYSRAM0LS_POS 8 /**< MEMCKCN_SYSRAM0LS Position */ + #define MXC_F_GCR_MEMCKCN_SYSRAM0LS ((uint32_t)(0x1UL << MXC_F_GCR_MEMCKCN_SYSRAM0LS_POS)) /**< MEMCKCN_SYSRAM0LS Mask */ + #define MXC_V_GCR_MEMCKCN_SYSRAM0LS_ACTIVE ((uint32_t)0x0UL) /**< MEMCKCN_SYSRAM0LS_ACTIVE Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM0LS_ACTIVE (MXC_V_GCR_MEMCKCN_SYSRAM0LS_ACTIVE << MXC_F_GCR_MEMCKCN_SYSRAM0LS_POS) /**< MEMCKCN_SYSRAM0LS_ACTIVE Setting */ + #define MXC_V_GCR_MEMCKCN_SYSRAM0LS_LIGHT_SLEEP ((uint32_t)0x1UL) /**< MEMCKCN_SYSRAM0LS_LIGHT_SLEEP Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM0LS_LIGHT_SLEEP (MXC_V_GCR_MEMCKCN_SYSRAM0LS_LIGHT_SLEEP << MXC_F_GCR_MEMCKCN_SYSRAM0LS_POS) /**< MEMCKCN_SYSRAM0LS_LIGHT_SLEEP Setting */ + + #define MXC_F_GCR_MEMCKCN_SYSRAM1LS_POS 9 /**< MEMCKCN_SYSRAM1LS Position */ + #define MXC_F_GCR_MEMCKCN_SYSRAM1LS ((uint32_t)(0x1UL << MXC_F_GCR_MEMCKCN_SYSRAM1LS_POS)) /**< MEMCKCN_SYSRAM1LS Mask */ + #define MXC_V_GCR_MEMCKCN_SYSRAM1LS_ACTIVE ((uint32_t)0x0UL) /**< MEMCKCN_SYSRAM1LS_ACTIVE Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM1LS_ACTIVE (MXC_V_GCR_MEMCKCN_SYSRAM1LS_ACTIVE << MXC_F_GCR_MEMCKCN_SYSRAM1LS_POS) /**< MEMCKCN_SYSRAM1LS_ACTIVE Setting */ + #define MXC_V_GCR_MEMCKCN_SYSRAM1LS_LIGHT_SLEEP ((uint32_t)0x1UL) /**< MEMCKCN_SYSRAM1LS_LIGHT_SLEEP Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM1LS_LIGHT_SLEEP (MXC_V_GCR_MEMCKCN_SYSRAM1LS_LIGHT_SLEEP << MXC_F_GCR_MEMCKCN_SYSRAM1LS_POS) /**< MEMCKCN_SYSRAM1LS_LIGHT_SLEEP Setting */ + + #define MXC_F_GCR_MEMCKCN_SYSRAM2LS_POS 10 /**< MEMCKCN_SYSRAM2LS Position */ + #define MXC_F_GCR_MEMCKCN_SYSRAM2LS ((uint32_t)(0x1UL << MXC_F_GCR_MEMCKCN_SYSRAM2LS_POS)) /**< MEMCKCN_SYSRAM2LS Mask */ + #define MXC_V_GCR_MEMCKCN_SYSRAM2LS_ACTIVE ((uint32_t)0x0UL) /**< MEMCKCN_SYSRAM2LS_ACTIVE Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM2LS_ACTIVE (MXC_V_GCR_MEMCKCN_SYSRAM2LS_ACTIVE << MXC_F_GCR_MEMCKCN_SYSRAM2LS_POS) /**< MEMCKCN_SYSRAM2LS_ACTIVE Setting */ + #define MXC_V_GCR_MEMCKCN_SYSRAM2LS_LIGHT_SLEEP ((uint32_t)0x1UL) /**< MEMCKCN_SYSRAM2LS_LIGHT_SLEEP Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM2LS_LIGHT_SLEEP (MXC_V_GCR_MEMCKCN_SYSRAM2LS_LIGHT_SLEEP << MXC_F_GCR_MEMCKCN_SYSRAM2LS_POS) /**< MEMCKCN_SYSRAM2LS_LIGHT_SLEEP Setting */ + + #define MXC_F_GCR_MEMCKCN_SYSRAM3LS_POS 11 /**< MEMCKCN_SYSRAM3LS Position */ + #define MXC_F_GCR_MEMCKCN_SYSRAM3LS ((uint32_t)(0x1UL << MXC_F_GCR_MEMCKCN_SYSRAM3LS_POS)) /**< MEMCKCN_SYSRAM3LS Mask */ + #define MXC_V_GCR_MEMCKCN_SYSRAM3LS_ACTIVE ((uint32_t)0x0UL) /**< MEMCKCN_SYSRAM3LS_ACTIVE Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM3LS_ACTIVE (MXC_V_GCR_MEMCKCN_SYSRAM3LS_ACTIVE << MXC_F_GCR_MEMCKCN_SYSRAM3LS_POS) /**< MEMCKCN_SYSRAM3LS_ACTIVE Setting */ + #define MXC_V_GCR_MEMCKCN_SYSRAM3LS_LIGHT_SLEEP ((uint32_t)0x1UL) /**< MEMCKCN_SYSRAM3LS_LIGHT_SLEEP Value */ + #define MXC_S_GCR_MEMCKCN_SYSRAM3LS_LIGHT_SLEEP (MXC_V_GCR_MEMCKCN_SYSRAM3LS_LIGHT_SLEEP << MXC_F_GCR_MEMCKCN_SYSRAM3LS_POS) /**< MEMCKCN_SYSRAM3LS_LIGHT_SLEEP Setting */ + + #define MXC_F_GCR_MEMCKCN_ICACHELS_POS 12 /**< MEMCKCN_ICACHELS Position */ + #define MXC_F_GCR_MEMCKCN_ICACHELS ((uint32_t)(0x1UL << MXC_F_GCR_MEMCKCN_ICACHELS_POS)) /**< MEMCKCN_ICACHELS Mask */ + #define MXC_V_GCR_MEMCKCN_ICACHELS_ACTIVE ((uint32_t)0x0UL) /**< MEMCKCN_ICACHELS_ACTIVE Value */ + #define MXC_S_GCR_MEMCKCN_ICACHELS_ACTIVE (MXC_V_GCR_MEMCKCN_ICACHELS_ACTIVE << MXC_F_GCR_MEMCKCN_ICACHELS_POS) /**< MEMCKCN_ICACHELS_ACTIVE Setting */ + #define MXC_V_GCR_MEMCKCN_ICACHELS_LIGHT_SLEEP ((uint32_t)0x1UL) /**< MEMCKCN_ICACHELS_LIGHT_SLEEP Value */ + #define MXC_S_GCR_MEMCKCN_ICACHELS_LIGHT_SLEEP (MXC_V_GCR_MEMCKCN_ICACHELS_LIGHT_SLEEP << MXC_F_GCR_MEMCKCN_ICACHELS_POS) /**< MEMCKCN_ICACHELS_LIGHT_SLEEP Setting */ + +/**@} end of group GCR_MEMCKCN_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_MEMZCN GCR_MEMZCN + * @brief Memory Zeroize Control. + * @{ + */ + #define MXC_F_GCR_MEMZCN_SRAM0Z_POS 0 /**< MEMZCN_SRAM0Z Position */ + #define MXC_F_GCR_MEMZCN_SRAM0Z ((uint32_t)(0x1UL << MXC_F_GCR_MEMZCN_SRAM0Z_POS)) /**< MEMZCN_SRAM0Z Mask */ + #define MXC_V_GCR_MEMZCN_SRAM0Z_NOP ((uint32_t)0x0UL) /**< MEMZCN_SRAM0Z_NOP Value */ + #define MXC_S_GCR_MEMZCN_SRAM0Z_NOP (MXC_V_GCR_MEMZCN_SRAM0Z_NOP << MXC_F_GCR_MEMZCN_SRAM0Z_POS) /**< MEMZCN_SRAM0Z_NOP Setting */ + #define MXC_V_GCR_MEMZCN_SRAM0Z_START ((uint32_t)0x1UL) /**< MEMZCN_SRAM0Z_START Value */ + #define MXC_S_GCR_MEMZCN_SRAM0Z_START (MXC_V_GCR_MEMZCN_SRAM0Z_START << MXC_F_GCR_MEMZCN_SRAM0Z_POS) /**< MEMZCN_SRAM0Z_START Setting */ + + #define MXC_F_GCR_MEMZCN_ICACHEZ_POS 1 /**< MEMZCN_ICACHEZ Position */ + #define MXC_F_GCR_MEMZCN_ICACHEZ ((uint32_t)(0x1UL << MXC_F_GCR_MEMZCN_ICACHEZ_POS)) /**< MEMZCN_ICACHEZ Mask */ + #define MXC_V_GCR_MEMZCN_ICACHEZ_NOP ((uint32_t)0x0UL) /**< MEMZCN_ICACHEZ_NOP Value */ + #define MXC_S_GCR_MEMZCN_ICACHEZ_NOP (MXC_V_GCR_MEMZCN_ICACHEZ_NOP << MXC_F_GCR_MEMZCN_ICACHEZ_POS) /**< MEMZCN_ICACHEZ_NOP Setting */ + #define MXC_V_GCR_MEMZCN_ICACHEZ_START ((uint32_t)0x1UL) /**< MEMZCN_ICACHEZ_START Value */ + #define MXC_S_GCR_MEMZCN_ICACHEZ_START (MXC_V_GCR_MEMZCN_ICACHEZ_START << MXC_F_GCR_MEMZCN_ICACHEZ_POS) /**< MEMZCN_ICACHEZ_START Setting */ + +/**@} end of group GCR_MEMZCN_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_SYSST GCR_SYSST + * @brief System Status Register. + * @{ + */ + #define MXC_F_GCR_SYSST_ICECLOCK_POS 0 /**< SYSST_ICECLOCK Position */ + #define MXC_F_GCR_SYSST_ICECLOCK ((uint32_t)(0x1UL << MXC_F_GCR_SYSST_ICECLOCK_POS)) /**< SYSST_ICECLOCK Mask */ + #define MXC_V_GCR_SYSST_ICECLOCK_UNLOCKED ((uint32_t)0x0UL) /**< SYSST_ICECLOCK_UNLOCKED Value */ + #define MXC_S_GCR_SYSST_ICECLOCK_UNLOCKED (MXC_V_GCR_SYSST_ICECLOCK_UNLOCKED << MXC_F_GCR_SYSST_ICECLOCK_POS) /**< SYSST_ICECLOCK_UNLOCKED Setting */ + #define MXC_V_GCR_SYSST_ICECLOCK_LOCKED ((uint32_t)0x1UL) /**< SYSST_ICECLOCK_LOCKED Value */ + #define MXC_S_GCR_SYSST_ICECLOCK_LOCKED (MXC_V_GCR_SYSST_ICECLOCK_LOCKED << MXC_F_GCR_SYSST_ICECLOCK_POS) /**< SYSST_ICECLOCK_LOCKED Setting */ + + #define MXC_F_GCR_SYSST_CODEINTERR_POS 1 /**< SYSST_CODEINTERR Position */ + #define MXC_F_GCR_SYSST_CODEINTERR ((uint32_t)(0x1UL << MXC_F_GCR_SYSST_CODEINTERR_POS)) /**< SYSST_CODEINTERR Mask */ + #define MXC_V_GCR_SYSST_CODEINTERR_NORM ((uint32_t)0x0UL) /**< SYSST_CODEINTERR_NORM Value */ + #define MXC_S_GCR_SYSST_CODEINTERR_NORM (MXC_V_GCR_SYSST_CODEINTERR_NORM << MXC_F_GCR_SYSST_CODEINTERR_POS) /**< SYSST_CODEINTERR_NORM Setting */ + #define MXC_V_GCR_SYSST_CODEINTERR_CODE ((uint32_t)0x1UL) /**< SYSST_CODEINTERR_CODE Value */ + #define MXC_S_GCR_SYSST_CODEINTERR_CODE (MXC_V_GCR_SYSST_CODEINTERR_CODE << MXC_F_GCR_SYSST_CODEINTERR_POS) /**< SYSST_CODEINTERR_CODE Setting */ + + #define MXC_F_GCR_SYSST_SCMEMF_POS 5 /**< SYSST_SCMEMF Position */ + #define MXC_F_GCR_SYSST_SCMEMF ((uint32_t)(0x1UL << MXC_F_GCR_SYSST_SCMEMF_POS)) /**< SYSST_SCMEMF Mask */ + #define MXC_V_GCR_SYSST_SCMEMF_NORM ((uint32_t)0x0UL) /**< SYSST_SCMEMF_NORM Value */ + #define MXC_S_GCR_SYSST_SCMEMF_NORM (MXC_V_GCR_SYSST_SCMEMF_NORM << MXC_F_GCR_SYSST_SCMEMF_POS) /**< SYSST_SCMEMF_NORM Setting */ + #define MXC_V_GCR_SYSST_SCMEMF_MEMORY ((uint32_t)0x1UL) /**< SYSST_SCMEMF_MEMORY Value */ + #define MXC_S_GCR_SYSST_SCMEMF_MEMORY (MXC_V_GCR_SYSST_SCMEMF_MEMORY << MXC_F_GCR_SYSST_SCMEMF_POS) /**< SYSST_SCMEMF_MEMORY Setting */ + +/**@} end of group GCR_SYSST_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_RSTR1 GCR_RSTR1 + * @brief Reset 1. + * @{ + */ + #define MXC_F_GCR_RSTR1_I2C1_POS 0 /**< RSTR1_I2C1 Position */ + #define MXC_F_GCR_RSTR1_I2C1 ((uint32_t)(0x1UL << MXC_F_GCR_RSTR1_I2C1_POS)) /**< RSTR1_I2C1 Mask */ + #define MXC_V_GCR_RSTR1_I2C1_RFU ((uint32_t)0x0UL) /**< RSTR1_I2C1_RFU Value */ + #define MXC_S_GCR_RSTR1_I2C1_RFU (MXC_V_GCR_RSTR1_I2C1_RFU << MXC_F_GCR_RSTR1_I2C1_POS) /**< RSTR1_I2C1_RFU Setting */ + #define MXC_V_GCR_RSTR1_I2C1_RESET ((uint32_t)0x1UL) /**< RSTR1_I2C1_RESET Value */ + #define MXC_S_GCR_RSTR1_I2C1_RESET (MXC_V_GCR_RSTR1_I2C1_RESET << MXC_F_GCR_RSTR1_I2C1_POS) /**< RSTR1_I2C1_RESET Setting */ + #define MXC_V_GCR_RSTR1_I2C1_RESET_DONE ((uint32_t)0x0UL) /**< RSTR1_I2C1_RESET_DONE Value */ + #define MXC_S_GCR_RSTR1_I2C1_RESET_DONE (MXC_V_GCR_RSTR1_I2C1_RESET_DONE << MXC_F_GCR_RSTR1_I2C1_POS) /**< RSTR1_I2C1_RESET_DONE Setting */ + #define MXC_V_GCR_RSTR1_I2C1_BUSY ((uint32_t)0x1UL) /**< RSTR1_I2C1_BUSY Value */ + #define MXC_S_GCR_RSTR1_I2C1_BUSY (MXC_V_GCR_RSTR1_I2C1_BUSY << MXC_F_GCR_RSTR1_I2C1_POS) /**< RSTR1_I2C1_BUSY Setting */ + +/**@} end of group GCR_RSTR1_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_PERCKCN1 GCR_PERCKCN1 + * @brief Peripheral Clock Disable. + * @{ + */ + #define MXC_F_GCR_PERCKCN1_FLCD_POS 3 /**< PERCKCN1_FLCD Position */ + #define MXC_F_GCR_PERCKCN1_FLCD ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN1_FLCD_POS)) /**< PERCKCN1_FLCD Mask */ + #define MXC_V_GCR_PERCKCN1_FLCD_EN ((uint32_t)0x0UL) /**< PERCKCN1_FLCD_EN Value */ + #define MXC_S_GCR_PERCKCN1_FLCD_EN (MXC_V_GCR_PERCKCN1_FLCD_EN << MXC_F_GCR_PERCKCN1_FLCD_POS) /**< PERCKCN1_FLCD_EN Setting */ + #define MXC_V_GCR_PERCKCN1_FLCD_DIS ((uint32_t)0x1UL) /**< PERCKCN1_FLCD_DIS Value */ + #define MXC_S_GCR_PERCKCN1_FLCD_DIS (MXC_V_GCR_PERCKCN1_FLCD_DIS << MXC_F_GCR_PERCKCN1_FLCD_POS) /**< PERCKCN1_FLCD_DIS Setting */ + + #define MXC_F_GCR_PERCKCN1_ICACHED_POS 11 /**< PERCKCN1_ICACHED Position */ + #define MXC_F_GCR_PERCKCN1_ICACHED ((uint32_t)(0x1UL << MXC_F_GCR_PERCKCN1_ICACHED_POS)) /**< PERCKCN1_ICACHED Mask */ + #define MXC_V_GCR_PERCKCN1_ICACHED_EN ((uint32_t)0x0UL) /**< PERCKCN1_ICACHED_EN Value */ + #define MXC_S_GCR_PERCKCN1_ICACHED_EN (MXC_V_GCR_PERCKCN1_ICACHED_EN << MXC_F_GCR_PERCKCN1_ICACHED_POS) /**< PERCKCN1_ICACHED_EN Setting */ + #define MXC_V_GCR_PERCKCN1_ICACHED_DIS ((uint32_t)0x1UL) /**< PERCKCN1_ICACHED_DIS Value */ + #define MXC_S_GCR_PERCKCN1_ICACHED_DIS (MXC_V_GCR_PERCKCN1_ICACHED_DIS << MXC_F_GCR_PERCKCN1_ICACHED_POS) /**< PERCKCN1_ICACHED_DIS Setting */ + +/**@} end of group GCR_PERCKCN1_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_EVTEN GCR_EVTEN + * @brief Event Enable Register. + * @{ + */ + #define MXC_F_GCR_EVTEN_DMAEVENT_POS 0 /**< EVTEN_DMAEVENT Position */ + #define MXC_F_GCR_EVTEN_DMAEVENT ((uint32_t)(0x1UL << MXC_F_GCR_EVTEN_DMAEVENT_POS)) /**< EVTEN_DMAEVENT Mask */ + + #define MXC_F_GCR_EVTEN_RXEVENT_POS 1 /**< EVTEN_RXEVENT Position */ + #define MXC_F_GCR_EVTEN_RXEVENT ((uint32_t)(0x1UL << MXC_F_GCR_EVTEN_RXEVENT_POS)) /**< EVTEN_RXEVENT Mask */ + +/**@} end of group GCR_EVTEN_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_REVISION GCR_REVISION + * @brief Revision Register. + * @{ + */ + #define MXC_F_GCR_REVISION_REVISION_POS 0 /**< REVISION_REVISION Position */ + #define MXC_F_GCR_REVISION_REVISION ((uint32_t)(0xFFFFUL << MXC_F_GCR_REVISION_REVISION_POS)) /**< REVISION_REVISION Mask */ + +/**@} end of group GCR_REVISION_Register */ + +/** + * @ingroup gcr_registers + * @defgroup GCR_SYSSIE GCR_SYSSIE + * @brief System Status Interrupt Enable Register. + * @{ + */ + #define MXC_F_GCR_SYSSIE_ICEULIE_POS 0 /**< SYSSIE_ICEULIE Position */ + #define MXC_F_GCR_SYSSIE_ICEULIE ((uint32_t)(0x1UL << MXC_F_GCR_SYSSIE_ICEULIE_POS)) /**< SYSSIE_ICEULIE Mask */ + #define MXC_V_GCR_SYSSIE_ICEULIE_DIS ((uint32_t)0x0UL) /**< SYSSIE_ICEULIE_DIS Value */ + #define MXC_S_GCR_SYSSIE_ICEULIE_DIS (MXC_V_GCR_SYSSIE_ICEULIE_DIS << MXC_F_GCR_SYSSIE_ICEULIE_POS) /**< SYSSIE_ICEULIE_DIS Setting */ + #define MXC_V_GCR_SYSSIE_ICEULIE_EN ((uint32_t)0x1UL) /**< SYSSIE_ICEULIE_EN Value */ + #define MXC_S_GCR_SYSSIE_ICEULIE_EN (MXC_V_GCR_SYSSIE_ICEULIE_EN << MXC_F_GCR_SYSSIE_ICEULIE_POS) /**< SYSSIE_ICEULIE_EN Setting */ + + #define MXC_F_GCR_SYSSIE_CIEIE_POS 1 /**< SYSSIE_CIEIE Position */ + #define MXC_F_GCR_SYSSIE_CIEIE ((uint32_t)(0x1UL << MXC_F_GCR_SYSSIE_CIEIE_POS)) /**< SYSSIE_CIEIE Mask */ + #define MXC_V_GCR_SYSSIE_CIEIE_DIS ((uint32_t)0x0UL) /**< SYSSIE_CIEIE_DIS Value */ + #define MXC_S_GCR_SYSSIE_CIEIE_DIS (MXC_V_GCR_SYSSIE_CIEIE_DIS << MXC_F_GCR_SYSSIE_CIEIE_POS) /**< SYSSIE_CIEIE_DIS Setting */ + #define MXC_V_GCR_SYSSIE_CIEIE_EN ((uint32_t)0x1UL) /**< SYSSIE_CIEIE_EN Value */ + #define MXC_S_GCR_SYSSIE_CIEIE_EN (MXC_V_GCR_SYSSIE_CIEIE_EN << MXC_F_GCR_SYSSIE_CIEIE_POS) /**< SYSSIE_CIEIE_EN Setting */ + + #define MXC_F_GCR_SYSSIE_SCMFIE_POS 5 /**< SYSSIE_SCMFIE Position */ + #define MXC_F_GCR_SYSSIE_SCMFIE ((uint32_t)(0x1UL << MXC_F_GCR_SYSSIE_SCMFIE_POS)) /**< SYSSIE_SCMFIE Mask */ + #define MXC_V_GCR_SYSSIE_SCMFIE_DIS ((uint32_t)0x0UL) /**< SYSSIE_SCMFIE_DIS Value */ + #define MXC_S_GCR_SYSSIE_SCMFIE_DIS (MXC_V_GCR_SYSSIE_SCMFIE_DIS << MXC_F_GCR_SYSSIE_SCMFIE_POS) /**< SYSSIE_SCMFIE_DIS Setting */ + #define MXC_V_GCR_SYSSIE_SCMFIE_EN ((uint32_t)0x1UL) /**< SYSSIE_SCMFIE_EN Value */ + #define MXC_S_GCR_SYSSIE_SCMFIE_EN (MXC_V_GCR_SYSSIE_SCMFIE_EN << MXC_F_GCR_SYSSIE_SCMFIE_POS) /**< SYSSIE_SCMFIE_EN Setting */ + +/**@} end of group GCR_SYSSIE_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _GCR_REGS_H_ */ diff --git a/Firmware/SDK/Device/gpio_regs.h b/Firmware/SDK/Device/gpio_regs.h new file mode 100644 index 0000000..d25878b --- /dev/null +++ b/Firmware/SDK/Device/gpio_regs.h @@ -0,0 +1,663 @@ +/** + * @file gpio_regs.h + * @brief Registers, Bit Masks and Bit Positions for the GPIO Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _GPIO_REGS_H_ +#define _GPIO_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup gpio + * @defgroup gpio_registers GPIO_Registers + * @brief Registers, Bit Masks and Bit Positions for the GPIO Peripheral Module. + * @details Individual I/O for each GPIO + */ + +/** + * @ingroup gpio_registers + * Structure type to access the GPIO Registers. + */ +typedef struct { + __IO uint32_t en; /**< \b 0x00: GPIO EN Register */ + __IO uint32_t en_set; /**< \b 0x04: GPIO EN_SET Register */ + __IO uint32_t en_clr; /**< \b 0x08: GPIO EN_CLR Register */ + __IO uint32_t out_en; /**< \b 0x0C: GPIO OUT_EN Register */ + __IO uint32_t out_en_set; /**< \b 0x10: GPIO OUT_EN_SET Register */ + __IO uint32_t out_en_clr; /**< \b 0x14: GPIO OUT_EN_CLR Register */ + __IO uint32_t out; /**< \b 0x18: GPIO OUT Register */ + __O uint32_t out_set; /**< \b 0x1C: GPIO OUT_SET Register */ + __O uint32_t out_clr; /**< \b 0x20: GPIO OUT_CLR Register */ + __I uint32_t in; /**< \b 0x24: GPIO IN Register */ + __IO uint32_t int_mod; /**< \b 0x28: GPIO INT_MOD Register */ + __IO uint32_t int_pol; /**< \b 0x2C: GPIO INT_POL Register */ + __R uint32_t rsv_0x30; + __IO uint32_t int_en; /**< \b 0x34: GPIO INT_EN Register */ + __IO uint32_t int_en_set; /**< \b 0x38: GPIO INT_EN_SET Register */ + __IO uint32_t int_en_clr; /**< \b 0x3C: GPIO INT_EN_CLR Register */ + __I uint32_t int_stat; /**< \b 0x40: GPIO INT_STAT Register */ + __R uint32_t rsv_0x44; + __IO uint32_t int_clr; /**< \b 0x48: GPIO INT_CLR Register */ + __IO uint32_t wake_en; /**< \b 0x4C: GPIO WAKE_EN Register */ + __IO uint32_t wake_en_set; /**< \b 0x50: GPIO WAKE_EN_SET Register */ + __IO uint32_t wake_en_clr; /**< \b 0x54: GPIO WAKE_EN_CLR Register */ + __R uint32_t rsv_0x58; + __IO uint32_t int_dual_edge; /**< \b 0x5C: GPIO INT_DUAL_EDGE Register */ + __IO uint32_t pad_cfg1; /**< \b 0x60: GPIO PAD_CFG1 Register */ + __IO uint32_t pad_cfg2; /**< \b 0x64: GPIO PAD_CFG2 Register */ + __IO uint32_t en1; /**< \b 0x68: GPIO EN1 Register */ + __IO uint32_t en1_set; /**< \b 0x6C: GPIO EN1_SET Register */ + __IO uint32_t en1_clr; /**< \b 0x70: GPIO EN1_CLR Register */ + __IO uint32_t en2; /**< \b 0x74: GPIO EN2 Register */ + __IO uint32_t en2_set; /**< \b 0x78: GPIO EN2_SET Register */ + __IO uint32_t en2_clr; /**< \b 0x7C: GPIO EN2_CLR Register */ + __R uint32_t rsv_0x80_0xa7[10]; + __IO uint32_t is; /**< \b 0xA8: GPIO IS Register */ + __IO uint32_t sr; /**< \b 0xAC: GPIO SR Register */ + __IO uint32_t ds; /**< \b 0xB0: GPIO DS Register */ + __IO uint32_t ds1; /**< \b 0xB4: GPIO DS1 Register */ + __IO uint32_t ps; /**< \b 0xB8: GPIO PS Register */ + __R uint32_t rsv_0xbc; + __IO uint32_t vssel; /**< \b 0xC0: GPIO VSSEL Register */ +} mxc_gpio_regs_t; + +/* Register offsets for module GPIO */ +/** + * @ingroup gpio_registers + * @defgroup GPIO_Register_Offsets Register Offsets + * @brief GPIO Peripheral Register Offsets from the GPIO Base Peripheral Address. + * @{ + */ + #define MXC_R_GPIO_EN ((uint32_t)0x00000000UL) /**< Offset from GPIO Base Address: 0x0000 */ + #define MXC_R_GPIO_EN_SET ((uint32_t)0x00000004UL) /**< Offset from GPIO Base Address: 0x0004 */ + #define MXC_R_GPIO_EN_CLR ((uint32_t)0x00000008UL) /**< Offset from GPIO Base Address: 0x0008 */ + #define MXC_R_GPIO_OUT_EN ((uint32_t)0x0000000CUL) /**< Offset from GPIO Base Address: 0x000C */ + #define MXC_R_GPIO_OUT_EN_SET ((uint32_t)0x00000010UL) /**< Offset from GPIO Base Address: 0x0010 */ + #define MXC_R_GPIO_OUT_EN_CLR ((uint32_t)0x00000014UL) /**< Offset from GPIO Base Address: 0x0014 */ + #define MXC_R_GPIO_OUT ((uint32_t)0x00000018UL) /**< Offset from GPIO Base Address: 0x0018 */ + #define MXC_R_GPIO_OUT_SET ((uint32_t)0x0000001CUL) /**< Offset from GPIO Base Address: 0x001C */ + #define MXC_R_GPIO_OUT_CLR ((uint32_t)0x00000020UL) /**< Offset from GPIO Base Address: 0x0020 */ + #define MXC_R_GPIO_IN ((uint32_t)0x00000024UL) /**< Offset from GPIO Base Address: 0x0024 */ + #define MXC_R_GPIO_INT_MOD ((uint32_t)0x00000028UL) /**< Offset from GPIO Base Address: 0x0028 */ + #define MXC_R_GPIO_INT_POL ((uint32_t)0x0000002CUL) /**< Offset from GPIO Base Address: 0x002C */ + #define MXC_R_GPIO_INT_EN ((uint32_t)0x00000034UL) /**< Offset from GPIO Base Address: 0x0034 */ + #define MXC_R_GPIO_INT_EN_SET ((uint32_t)0x00000038UL) /**< Offset from GPIO Base Address: 0x0038 */ + #define MXC_R_GPIO_INT_EN_CLR ((uint32_t)0x0000003CUL) /**< Offset from GPIO Base Address: 0x003C */ + #define MXC_R_GPIO_INT_STAT ((uint32_t)0x00000040UL) /**< Offset from GPIO Base Address: 0x0040 */ + #define MXC_R_GPIO_INT_CLR ((uint32_t)0x00000048UL) /**< Offset from GPIO Base Address: 0x0048 */ + #define MXC_R_GPIO_WAKE_EN ((uint32_t)0x0000004CUL) /**< Offset from GPIO Base Address: 0x004C */ + #define MXC_R_GPIO_WAKE_EN_SET ((uint32_t)0x00000050UL) /**< Offset from GPIO Base Address: 0x0050 */ + #define MXC_R_GPIO_WAKE_EN_CLR ((uint32_t)0x00000054UL) /**< Offset from GPIO Base Address: 0x0054 */ + #define MXC_R_GPIO_INT_DUAL_EDGE ((uint32_t)0x0000005CUL) /**< Offset from GPIO Base Address: 0x005C */ + #define MXC_R_GPIO_PAD_CFG1 ((uint32_t)0x00000060UL) /**< Offset from GPIO Base Address: 0x0060 */ + #define MXC_R_GPIO_PAD_CFG2 ((uint32_t)0x00000064UL) /**< Offset from GPIO Base Address: 0x0064 */ + #define MXC_R_GPIO_EN1 ((uint32_t)0x00000068UL) /**< Offset from GPIO Base Address: 0x0068 */ + #define MXC_R_GPIO_EN1_SET ((uint32_t)0x0000006CUL) /**< Offset from GPIO Base Address: 0x006C */ + #define MXC_R_GPIO_EN1_CLR ((uint32_t)0x00000070UL) /**< Offset from GPIO Base Address: 0x0070 */ + #define MXC_R_GPIO_EN2 ((uint32_t)0x00000074UL) /**< Offset from GPIO Base Address: 0x0074 */ + #define MXC_R_GPIO_EN2_SET ((uint32_t)0x00000078UL) /**< Offset from GPIO Base Address: 0x0078 */ + #define MXC_R_GPIO_EN2_CLR ((uint32_t)0x0000007CUL) /**< Offset from GPIO Base Address: 0x007C */ + #define MXC_R_GPIO_IS ((uint32_t)0x000000A8UL) /**< Offset from GPIO Base Address: 0x00A8 */ + #define MXC_R_GPIO_SR ((uint32_t)0x000000ACUL) /**< Offset from GPIO Base Address: 0x00AC */ + #define MXC_R_GPIO_DS ((uint32_t)0x000000B0UL) /**< Offset from GPIO Base Address: 0x00B0 */ + #define MXC_R_GPIO_DS1 ((uint32_t)0x000000B4UL) /**< Offset from GPIO Base Address: 0x00B4 */ + #define MXC_R_GPIO_PS ((uint32_t)0x000000B8UL) /**< Offset from GPIO Base Address: 0x00B8 */ + #define MXC_R_GPIO_VSSEL ((uint32_t)0x000000C0UL) /**< Offset from GPIO Base Address: 0x00C0 */ +/**@} end of group gpio_registers */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN GPIO_EN + * @brief GPIO Function Enable Register. Each bit controls the GPIO_EN setting for one + * GPIO pin on the associated port. + * @{ + */ + #define MXC_F_GPIO_EN_GPIO_EN_POS 0 /**< EN_GPIO_EN Position */ + #define MXC_F_GPIO_EN_GPIO_EN ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN_GPIO_EN_POS)) /**< EN_GPIO_EN Mask */ + #define MXC_V_GPIO_EN_GPIO_EN_ALTERNATE ((uint32_t)0x0UL) /**< EN_GPIO_EN_ALTERNATE Value */ + #define MXC_S_GPIO_EN_GPIO_EN_ALTERNATE (MXC_V_GPIO_EN_GPIO_EN_ALTERNATE << MXC_F_GPIO_EN_GPIO_EN_POS) /**< EN_GPIO_EN_ALTERNATE Setting */ + #define MXC_V_GPIO_EN_GPIO_EN_GPIO ((uint32_t)0x1UL) /**< EN_GPIO_EN_GPIO Value */ + #define MXC_S_GPIO_EN_GPIO_EN_GPIO (MXC_V_GPIO_EN_GPIO_EN_GPIO << MXC_F_GPIO_EN_GPIO_EN_POS) /**< EN_GPIO_EN_GPIO Setting */ + +/**@} end of group GPIO_EN_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN_SET GPIO_EN_SET + * @brief GPIO Set Function Enable Register. Writing a 1 to one or more bits in this + * register sets the bits in the same positions in GPIO_EN to 1, without affecting + * other bits in that register. + * @{ + */ + #define MXC_F_GPIO_EN_SET_ALL_POS 0 /**< EN_SET_ALL Position */ + #define MXC_F_GPIO_EN_SET_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN_SET_ALL_POS)) /**< EN_SET_ALL Mask */ + +/**@} end of group GPIO_EN_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN_CLR GPIO_EN_CLR + * @brief GPIO Clear Function Enable Register. Writing a 1 to one or more bits in this + * register clears the bits in the same positions in GPIO_EN to 0, without + * affecting other bits in that register. + * @{ + */ + #define MXC_F_GPIO_EN_CLR_ALL_POS 0 /**< EN_CLR_ALL Position */ + #define MXC_F_GPIO_EN_CLR_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN_CLR_ALL_POS)) /**< EN_CLR_ALL Mask */ + +/**@} end of group GPIO_EN_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_OUT_EN GPIO_OUT_EN + * @brief GPIO Output Enable Register. Each bit controls the GPIO_OUT_EN setting for one + * GPIO pin in the associated port. + * @{ + */ + #define MXC_F_GPIO_OUT_EN_GPIO_OUT_EN_POS 0 /**< OUT_EN_GPIO_OUT_EN Position */ + #define MXC_F_GPIO_OUT_EN_GPIO_OUT_EN ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_OUT_EN_GPIO_OUT_EN_POS)) /**< OUT_EN_GPIO_OUT_EN Mask */ + #define MXC_V_GPIO_OUT_EN_GPIO_OUT_EN_DIS ((uint32_t)0x0UL) /**< OUT_EN_GPIO_OUT_EN_DIS Value */ + #define MXC_S_GPIO_OUT_EN_GPIO_OUT_EN_DIS (MXC_V_GPIO_OUT_EN_GPIO_OUT_EN_DIS << MXC_F_GPIO_OUT_EN_GPIO_OUT_EN_POS) /**< OUT_EN_GPIO_OUT_EN_DIS Setting */ + #define MXC_V_GPIO_OUT_EN_GPIO_OUT_EN_EN ((uint32_t)0x1UL) /**< OUT_EN_GPIO_OUT_EN_EN Value */ + #define MXC_S_GPIO_OUT_EN_GPIO_OUT_EN_EN (MXC_V_GPIO_OUT_EN_GPIO_OUT_EN_EN << MXC_F_GPIO_OUT_EN_GPIO_OUT_EN_POS) /**< OUT_EN_GPIO_OUT_EN_EN Setting */ + +/**@} end of group GPIO_OUT_EN_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_OUT_EN_SET GPIO_OUT_EN_SET + * @brief GPIO Output Enable Set Function Enable Register. Writing a 1 to one or more bits + * in this register sets the bits in the same positions in GPIO_OUT_EN to 1, + * without affecting other bits in that register. + * @{ + */ + #define MXC_F_GPIO_OUT_EN_SET_ALL_POS 0 /**< OUT_EN_SET_ALL Position */ + #define MXC_F_GPIO_OUT_EN_SET_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_OUT_EN_SET_ALL_POS)) /**< OUT_EN_SET_ALL Mask */ + +/**@} end of group GPIO_OUT_EN_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_OUT_EN_CLR GPIO_OUT_EN_CLR + * @brief GPIO Output Enable Clear Function Enable Register. Writing a 1 to one or more + * bits in this register clears the bits in the same positions in GPIO_OUT_EN to 0, + * without affecting other bits in that register. + * @{ + */ + #define MXC_F_GPIO_OUT_EN_CLR_ALL_POS 0 /**< OUT_EN_CLR_ALL Position */ + #define MXC_F_GPIO_OUT_EN_CLR_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_OUT_EN_CLR_ALL_POS)) /**< OUT_EN_CLR_ALL Mask */ + +/**@} end of group GPIO_OUT_EN_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_OUT GPIO_OUT + * @brief GPIO Output Register. Each bit controls the GPIO_OUT setting for one pin in the + * associated port. This register can be written either directly, or by using the + * GPIO_OUT_SET and GPIO_OUT_CLR registers. + * @{ + */ + #define MXC_F_GPIO_OUT_GPIO_OUT_POS 0 /**< OUT_GPIO_OUT Position */ + #define MXC_F_GPIO_OUT_GPIO_OUT ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_OUT_GPIO_OUT_POS)) /**< OUT_GPIO_OUT Mask */ + #define MXC_V_GPIO_OUT_GPIO_OUT_LOW ((uint32_t)0x0UL) /**< OUT_GPIO_OUT_LOW Value */ + #define MXC_S_GPIO_OUT_GPIO_OUT_LOW (MXC_V_GPIO_OUT_GPIO_OUT_LOW << MXC_F_GPIO_OUT_GPIO_OUT_POS) /**< OUT_GPIO_OUT_LOW Setting */ + #define MXC_V_GPIO_OUT_GPIO_OUT_HIGH ((uint32_t)0x1UL) /**< OUT_GPIO_OUT_HIGH Value */ + #define MXC_S_GPIO_OUT_GPIO_OUT_HIGH (MXC_V_GPIO_OUT_GPIO_OUT_HIGH << MXC_F_GPIO_OUT_GPIO_OUT_POS) /**< OUT_GPIO_OUT_HIGH Setting */ + +/**@} end of group GPIO_OUT_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_OUT_SET GPIO_OUT_SET + * @brief GPIO Output Set. Writing a 1 to one or more bits in this register sets the bits + * in the same positions in GPIO_OUT to 1, without affecting other bits in that + * register. + * @{ + */ + #define MXC_F_GPIO_OUT_SET_GPIO_OUT_SET_POS 0 /**< OUT_SET_GPIO_OUT_SET Position */ + #define MXC_F_GPIO_OUT_SET_GPIO_OUT_SET ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_OUT_SET_GPIO_OUT_SET_POS)) /**< OUT_SET_GPIO_OUT_SET Mask */ + #define MXC_V_GPIO_OUT_SET_GPIO_OUT_SET_NO ((uint32_t)0x0UL) /**< OUT_SET_GPIO_OUT_SET_NO Value */ + #define MXC_S_GPIO_OUT_SET_GPIO_OUT_SET_NO (MXC_V_GPIO_OUT_SET_GPIO_OUT_SET_NO << MXC_F_GPIO_OUT_SET_GPIO_OUT_SET_POS) /**< OUT_SET_GPIO_OUT_SET_NO Setting */ + #define MXC_V_GPIO_OUT_SET_GPIO_OUT_SET_SET ((uint32_t)0x1UL) /**< OUT_SET_GPIO_OUT_SET_SET Value */ + #define MXC_S_GPIO_OUT_SET_GPIO_OUT_SET_SET (MXC_V_GPIO_OUT_SET_GPIO_OUT_SET_SET << MXC_F_GPIO_OUT_SET_GPIO_OUT_SET_POS) /**< OUT_SET_GPIO_OUT_SET_SET Setting */ + +/**@} end of group GPIO_OUT_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_OUT_CLR GPIO_OUT_CLR + * @brief GPIO Output Clear. Writing a 1 to one or more bits in this register clears the + * bits in the same positions in GPIO_OUT to 0, without affecting other bits in + * that register. + * @{ + */ + #define MXC_F_GPIO_OUT_CLR_GPIO_OUT_CLR_POS 0 /**< OUT_CLR_GPIO_OUT_CLR Position */ + #define MXC_F_GPIO_OUT_CLR_GPIO_OUT_CLR ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_OUT_CLR_GPIO_OUT_CLR_POS)) /**< OUT_CLR_GPIO_OUT_CLR Mask */ + +/**@} end of group GPIO_OUT_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_IN GPIO_IN + * @brief GPIO Input Register. Read-only register to read from the logic states of the + * GPIO pins on this port. + * @{ + */ + #define MXC_F_GPIO_IN_GPIO_IN_POS 0 /**< IN_GPIO_IN Position */ + #define MXC_F_GPIO_IN_GPIO_IN ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_IN_GPIO_IN_POS)) /**< IN_GPIO_IN Mask */ + +/**@} end of group GPIO_IN_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_MOD GPIO_INT_MOD + * @brief GPIO Interrupt Mode Register. Each bit in this register controls the interrupt + * mode setting for the associated GPIO pin on this port. + * @{ + */ + #define MXC_F_GPIO_INT_MOD_GPIO_INT_MOD_POS 0 /**< INT_MOD_GPIO_INT_MOD Position */ + #define MXC_F_GPIO_INT_MOD_GPIO_INT_MOD ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_MOD_GPIO_INT_MOD_POS)) /**< INT_MOD_GPIO_INT_MOD Mask */ + #define MXC_V_GPIO_INT_MOD_GPIO_INT_MOD_LEVEL ((uint32_t)0x0UL) /**< INT_MOD_GPIO_INT_MOD_LEVEL Value */ + #define MXC_S_GPIO_INT_MOD_GPIO_INT_MOD_LEVEL (MXC_V_GPIO_INT_MOD_GPIO_INT_MOD_LEVEL << MXC_F_GPIO_INT_MOD_GPIO_INT_MOD_POS) /**< INT_MOD_GPIO_INT_MOD_LEVEL Setting */ + #define MXC_V_GPIO_INT_MOD_GPIO_INT_MOD_EDGE ((uint32_t)0x1UL) /**< INT_MOD_GPIO_INT_MOD_EDGE Value */ + #define MXC_S_GPIO_INT_MOD_GPIO_INT_MOD_EDGE (MXC_V_GPIO_INT_MOD_GPIO_INT_MOD_EDGE << MXC_F_GPIO_INT_MOD_GPIO_INT_MOD_POS) /**< INT_MOD_GPIO_INT_MOD_EDGE Setting */ + +/**@} end of group GPIO_INT_MOD_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_POL GPIO_INT_POL + * @brief GPIO Interrupt Polarity Register. Each bit in this register controls the + * interrupt polarity setting for one GPIO pin in the associated port. + * @{ + */ + #define MXC_F_GPIO_INT_POL_GPIO_INT_POL_POS 0 /**< INT_POL_GPIO_INT_POL Position */ + #define MXC_F_GPIO_INT_POL_GPIO_INT_POL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_POL_GPIO_INT_POL_POS)) /**< INT_POL_GPIO_INT_POL Mask */ + #define MXC_V_GPIO_INT_POL_GPIO_INT_POL_FALLING ((uint32_t)0x0UL) /**< INT_POL_GPIO_INT_POL_FALLING Value */ + #define MXC_S_GPIO_INT_POL_GPIO_INT_POL_FALLING (MXC_V_GPIO_INT_POL_GPIO_INT_POL_FALLING << MXC_F_GPIO_INT_POL_GPIO_INT_POL_POS) /**< INT_POL_GPIO_INT_POL_FALLING Setting */ + #define MXC_V_GPIO_INT_POL_GPIO_INT_POL_RISING ((uint32_t)0x1UL) /**< INT_POL_GPIO_INT_POL_RISING Value */ + #define MXC_S_GPIO_INT_POL_GPIO_INT_POL_RISING (MXC_V_GPIO_INT_POL_GPIO_INT_POL_RISING << MXC_F_GPIO_INT_POL_GPIO_INT_POL_POS) /**< INT_POL_GPIO_INT_POL_RISING Setting */ + +/**@} end of group GPIO_INT_POL_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_EN GPIO_INT_EN + * @brief GPIO Interrupt Enable Register. Each bit in this register controls the GPIO + * interrupt enable for the associated pin on the GPIO port. + * @{ + */ + #define MXC_F_GPIO_INT_EN_GPIO_INT_EN_POS 0 /**< INT_EN_GPIO_INT_EN Position */ + #define MXC_F_GPIO_INT_EN_GPIO_INT_EN ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_EN_GPIO_INT_EN_POS)) /**< INT_EN_GPIO_INT_EN Mask */ + #define MXC_V_GPIO_INT_EN_GPIO_INT_EN_DIS ((uint32_t)0x0UL) /**< INT_EN_GPIO_INT_EN_DIS Value */ + #define MXC_S_GPIO_INT_EN_GPIO_INT_EN_DIS (MXC_V_GPIO_INT_EN_GPIO_INT_EN_DIS << MXC_F_GPIO_INT_EN_GPIO_INT_EN_POS) /**< INT_EN_GPIO_INT_EN_DIS Setting */ + #define MXC_V_GPIO_INT_EN_GPIO_INT_EN_EN ((uint32_t)0x1UL) /**< INT_EN_GPIO_INT_EN_EN Value */ + #define MXC_S_GPIO_INT_EN_GPIO_INT_EN_EN (MXC_V_GPIO_INT_EN_GPIO_INT_EN_EN << MXC_F_GPIO_INT_EN_GPIO_INT_EN_POS) /**< INT_EN_GPIO_INT_EN_EN Setting */ + +/**@} end of group GPIO_INT_EN_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_EN_SET GPIO_INT_EN_SET + * @brief GPIO Interrupt Enable Set. Writing a 1 to one or more bits in this register sets + * the bits in the same positions in GPIO_INT_EN to 1, without affecting other bits + * in that register. + * @{ + */ + #define MXC_F_GPIO_INT_EN_SET_GPIO_INT_EN_SET_POS 0 /**< INT_EN_SET_GPIO_INT_EN_SET Position */ + #define MXC_F_GPIO_INT_EN_SET_GPIO_INT_EN_SET ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_EN_SET_GPIO_INT_EN_SET_POS)) /**< INT_EN_SET_GPIO_INT_EN_SET Mask */ + #define MXC_V_GPIO_INT_EN_SET_GPIO_INT_EN_SET_NO ((uint32_t)0x0UL) /**< INT_EN_SET_GPIO_INT_EN_SET_NO Value */ + #define MXC_S_GPIO_INT_EN_SET_GPIO_INT_EN_SET_NO (MXC_V_GPIO_INT_EN_SET_GPIO_INT_EN_SET_NO << MXC_F_GPIO_INT_EN_SET_GPIO_INT_EN_SET_POS) /**< INT_EN_SET_GPIO_INT_EN_SET_NO Setting */ + #define MXC_V_GPIO_INT_EN_SET_GPIO_INT_EN_SET_SET ((uint32_t)0x1UL) /**< INT_EN_SET_GPIO_INT_EN_SET_SET Value */ + #define MXC_S_GPIO_INT_EN_SET_GPIO_INT_EN_SET_SET (MXC_V_GPIO_INT_EN_SET_GPIO_INT_EN_SET_SET << MXC_F_GPIO_INT_EN_SET_GPIO_INT_EN_SET_POS) /**< INT_EN_SET_GPIO_INT_EN_SET_SET Setting */ + +/**@} end of group GPIO_INT_EN_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_EN_CLR GPIO_INT_EN_CLR + * @brief GPIO Interrupt Enable Clear. Writing a 1 to one or more bits in this register + * clears the bits in the same positions in GPIO_INT_EN to 0, without affecting + * other bits in that register. + * @{ + */ + #define MXC_F_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_POS 0 /**< INT_EN_CLR_GPIO_INT_EN_CLR Position */ + #define MXC_F_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_POS)) /**< INT_EN_CLR_GPIO_INT_EN_CLR Mask */ + #define MXC_V_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_NO ((uint32_t)0x0UL) /**< INT_EN_CLR_GPIO_INT_EN_CLR_NO Value */ + #define MXC_S_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_NO (MXC_V_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_NO << MXC_F_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_POS) /**< INT_EN_CLR_GPIO_INT_EN_CLR_NO Setting */ + #define MXC_V_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_CLEAR ((uint32_t)0x1UL) /**< INT_EN_CLR_GPIO_INT_EN_CLR_CLEAR Value */ + #define MXC_S_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_CLEAR (MXC_V_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_CLEAR << MXC_F_GPIO_INT_EN_CLR_GPIO_INT_EN_CLR_POS) /**< INT_EN_CLR_GPIO_INT_EN_CLR_CLEAR Setting */ + +/**@} end of group GPIO_INT_EN_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_STAT GPIO_INT_STAT + * @brief GPIO Interrupt Status Register. Each bit in this register contains the pending + * interrupt status for the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_INT_STAT_GPIO_INT_STAT_POS 0 /**< INT_STAT_GPIO_INT_STAT Position */ + #define MXC_F_GPIO_INT_STAT_GPIO_INT_STAT ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_STAT_GPIO_INT_STAT_POS)) /**< INT_STAT_GPIO_INT_STAT Mask */ + #define MXC_V_GPIO_INT_STAT_GPIO_INT_STAT_NO ((uint32_t)0x0UL) /**< INT_STAT_GPIO_INT_STAT_NO Value */ + #define MXC_S_GPIO_INT_STAT_GPIO_INT_STAT_NO (MXC_V_GPIO_INT_STAT_GPIO_INT_STAT_NO << MXC_F_GPIO_INT_STAT_GPIO_INT_STAT_POS) /**< INT_STAT_GPIO_INT_STAT_NO Setting */ + #define MXC_V_GPIO_INT_STAT_GPIO_INT_STAT_PENDING ((uint32_t)0x1UL) /**< INT_STAT_GPIO_INT_STAT_PENDING Value */ + #define MXC_S_GPIO_INT_STAT_GPIO_INT_STAT_PENDING (MXC_V_GPIO_INT_STAT_GPIO_INT_STAT_PENDING << MXC_F_GPIO_INT_STAT_GPIO_INT_STAT_POS) /**< INT_STAT_GPIO_INT_STAT_PENDING Setting */ + +/**@} end of group GPIO_INT_STAT_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_CLR GPIO_INT_CLR + * @brief GPIO Status Clear. Writing a 1 to one or more bits in this register clears the + * bits in the same positions in GPIO_INT_STAT to 0, without affecting other bits + * in that register. + * @{ + */ + #define MXC_F_GPIO_INT_CLR_ALL_POS 0 /**< INT_CLR_ALL Position */ + #define MXC_F_GPIO_INT_CLR_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_CLR_ALL_POS)) /**< INT_CLR_ALL Mask */ + +/**@} end of group GPIO_INT_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_WAKE_EN GPIO_WAKE_EN + * @brief GPIO Wake Enable Register. Each bit in this register controls the PMU wakeup + * enable for the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_WAKE_EN_GPIO_WAKE_EN_POS 0 /**< WAKE_EN_GPIO_WAKE_EN Position */ + #define MXC_F_GPIO_WAKE_EN_GPIO_WAKE_EN ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_WAKE_EN_GPIO_WAKE_EN_POS)) /**< WAKE_EN_GPIO_WAKE_EN Mask */ + #define MXC_V_GPIO_WAKE_EN_GPIO_WAKE_EN_DIS ((uint32_t)0x0UL) /**< WAKE_EN_GPIO_WAKE_EN_DIS Value */ + #define MXC_S_GPIO_WAKE_EN_GPIO_WAKE_EN_DIS (MXC_V_GPIO_WAKE_EN_GPIO_WAKE_EN_DIS << MXC_F_GPIO_WAKE_EN_GPIO_WAKE_EN_POS) /**< WAKE_EN_GPIO_WAKE_EN_DIS Setting */ + #define MXC_V_GPIO_WAKE_EN_GPIO_WAKE_EN_EN ((uint32_t)0x1UL) /**< WAKE_EN_GPIO_WAKE_EN_EN Value */ + #define MXC_S_GPIO_WAKE_EN_GPIO_WAKE_EN_EN (MXC_V_GPIO_WAKE_EN_GPIO_WAKE_EN_EN << MXC_F_GPIO_WAKE_EN_GPIO_WAKE_EN_POS) /**< WAKE_EN_GPIO_WAKE_EN_EN Setting */ + +/**@} end of group GPIO_WAKE_EN_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_WAKE_EN_SET GPIO_WAKE_EN_SET + * @brief GPIO Wake Enable Set. Writing a 1 to one or more bits in this register sets the + * bits in the same positions in GPIO_WAKE_EN to 1, without affecting other bits in + * that register. + * @{ + */ + #define MXC_F_GPIO_WAKE_EN_SET_ALL_POS 0 /**< WAKE_EN_SET_ALL Position */ + #define MXC_F_GPIO_WAKE_EN_SET_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_WAKE_EN_SET_ALL_POS)) /**< WAKE_EN_SET_ALL Mask */ + +/**@} end of group GPIO_WAKE_EN_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_WAKE_EN_CLR GPIO_WAKE_EN_CLR + * @brief GPIO Wake Enable Clear. Writing a 1 to one or more bits in this register clears + * the bits in the same positions in GPIO_WAKE_EN to 0, without affecting other + * bits in that register. + * @{ + */ + #define MXC_F_GPIO_WAKE_EN_CLR_ALL_POS 0 /**< WAKE_EN_CLR_ALL Position */ + #define MXC_F_GPIO_WAKE_EN_CLR_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_WAKE_EN_CLR_ALL_POS)) /**< WAKE_EN_CLR_ALL Mask */ + +/**@} end of group GPIO_WAKE_EN_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_INT_DUAL_EDGE GPIO_INT_DUAL_EDGE + * @brief GPIO Interrupt Dual Edge Mode Register. Each bit in this register selects dual + * edge mode for the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_POS 0 /**< INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE Position */ + #define MXC_F_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_POS)) /**< INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE Mask */ + #define MXC_V_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_NO ((uint32_t)0x0UL) /**< INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_NO Value */ + #define MXC_S_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_NO (MXC_V_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_NO << MXC_F_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_POS) /**< INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_NO Setting */ + #define MXC_V_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_EN ((uint32_t)0x1UL) /**< INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_EN Value */ + #define MXC_S_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_EN (MXC_V_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_EN << MXC_F_GPIO_INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_POS) /**< INT_DUAL_EDGE_GPIO_INT_DUAL_EDGE_EN Setting */ + +/**@} end of group GPIO_INT_DUAL_EDGE_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_PAD_CFG1 GPIO_PAD_CFG1 + * @brief GPIO Input Mode Config 1. Each bit in this register enables the weak pull-up for + * the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_PAD_CFG1_GPIO_PAD_CFG1_POS 0 /**< PAD_CFG1_GPIO_PAD_CFG1 Position */ + #define MXC_F_GPIO_PAD_CFG1_GPIO_PAD_CFG1 ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_PAD_CFG1_GPIO_PAD_CFG1_POS)) /**< PAD_CFG1_GPIO_PAD_CFG1 Mask */ + #define MXC_V_GPIO_PAD_CFG1_GPIO_PAD_CFG1_IMPEDANCE ((uint32_t)0x0UL) /**< PAD_CFG1_GPIO_PAD_CFG1_IMPEDANCE Value */ + #define MXC_S_GPIO_PAD_CFG1_GPIO_PAD_CFG1_IMPEDANCE (MXC_V_GPIO_PAD_CFG1_GPIO_PAD_CFG1_IMPEDANCE << MXC_F_GPIO_PAD_CFG1_GPIO_PAD_CFG1_POS) /**< PAD_CFG1_GPIO_PAD_CFG1_IMPEDANCE Setting */ + #define MXC_V_GPIO_PAD_CFG1_GPIO_PAD_CFG1_PU ((uint32_t)0x1UL) /**< PAD_CFG1_GPIO_PAD_CFG1_PU Value */ + #define MXC_S_GPIO_PAD_CFG1_GPIO_PAD_CFG1_PU (MXC_V_GPIO_PAD_CFG1_GPIO_PAD_CFG1_PU << MXC_F_GPIO_PAD_CFG1_GPIO_PAD_CFG1_POS) /**< PAD_CFG1_GPIO_PAD_CFG1_PU Setting */ + #define MXC_V_GPIO_PAD_CFG1_GPIO_PAD_CFG1_PD ((uint32_t)0x2UL) /**< PAD_CFG1_GPIO_PAD_CFG1_PD Value */ + #define MXC_S_GPIO_PAD_CFG1_GPIO_PAD_CFG1_PD (MXC_V_GPIO_PAD_CFG1_GPIO_PAD_CFG1_PD << MXC_F_GPIO_PAD_CFG1_GPIO_PAD_CFG1_POS) /**< PAD_CFG1_GPIO_PAD_CFG1_PD Setting */ + +/**@} end of group GPIO_PAD_CFG1_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_PAD_CFG2 GPIO_PAD_CFG2 + * @brief GPIO Input Mode Config 2. Each bit in this register enables the weak pull-up for + * the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_PAD_CFG2_GPIO_PAD_CFG2_POS 0 /**< PAD_CFG2_GPIO_PAD_CFG2 Position */ + #define MXC_F_GPIO_PAD_CFG2_GPIO_PAD_CFG2 ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_PAD_CFG2_GPIO_PAD_CFG2_POS)) /**< PAD_CFG2_GPIO_PAD_CFG2 Mask */ + #define MXC_V_GPIO_PAD_CFG2_GPIO_PAD_CFG2_IMPEDANCE ((uint32_t)0x0UL) /**< PAD_CFG2_GPIO_PAD_CFG2_IMPEDANCE Value */ + #define MXC_S_GPIO_PAD_CFG2_GPIO_PAD_CFG2_IMPEDANCE (MXC_V_GPIO_PAD_CFG2_GPIO_PAD_CFG2_IMPEDANCE << MXC_F_GPIO_PAD_CFG2_GPIO_PAD_CFG2_POS) /**< PAD_CFG2_GPIO_PAD_CFG2_IMPEDANCE Setting */ + #define MXC_V_GPIO_PAD_CFG2_GPIO_PAD_CFG2_PU ((uint32_t)0x1UL) /**< PAD_CFG2_GPIO_PAD_CFG2_PU Value */ + #define MXC_S_GPIO_PAD_CFG2_GPIO_PAD_CFG2_PU (MXC_V_GPIO_PAD_CFG2_GPIO_PAD_CFG2_PU << MXC_F_GPIO_PAD_CFG2_GPIO_PAD_CFG2_POS) /**< PAD_CFG2_GPIO_PAD_CFG2_PU Setting */ + #define MXC_V_GPIO_PAD_CFG2_GPIO_PAD_CFG2_PD ((uint32_t)0x2UL) /**< PAD_CFG2_GPIO_PAD_CFG2_PD Value */ + #define MXC_S_GPIO_PAD_CFG2_GPIO_PAD_CFG2_PD (MXC_V_GPIO_PAD_CFG2_GPIO_PAD_CFG2_PD << MXC_F_GPIO_PAD_CFG2_GPIO_PAD_CFG2_POS) /**< PAD_CFG2_GPIO_PAD_CFG2_PD Setting */ + +/**@} end of group GPIO_PAD_CFG2_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN1 GPIO_EN1 + * @brief GPIO Alternate Function Enable Register. Each bit in this register selects + * between primary/secondary functions for the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_EN1_GPIO_EN1_POS 0 /**< EN1_GPIO_EN1 Position */ + #define MXC_F_GPIO_EN1_GPIO_EN1 ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN1_GPIO_EN1_POS)) /**< EN1_GPIO_EN1 Mask */ + #define MXC_V_GPIO_EN1_GPIO_EN1_PRIMARY ((uint32_t)0x0UL) /**< EN1_GPIO_EN1_PRIMARY Value */ + #define MXC_S_GPIO_EN1_GPIO_EN1_PRIMARY (MXC_V_GPIO_EN1_GPIO_EN1_PRIMARY << MXC_F_GPIO_EN1_GPIO_EN1_POS) /**< EN1_GPIO_EN1_PRIMARY Setting */ + #define MXC_V_GPIO_EN1_GPIO_EN1_SECONDARY ((uint32_t)0x1UL) /**< EN1_GPIO_EN1_SECONDARY Value */ + #define MXC_S_GPIO_EN1_GPIO_EN1_SECONDARY (MXC_V_GPIO_EN1_GPIO_EN1_SECONDARY << MXC_F_GPIO_EN1_GPIO_EN1_POS) /**< EN1_GPIO_EN1_SECONDARY Setting */ + +/**@} end of group GPIO_EN1_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN1_SET GPIO_EN1_SET + * @brief GPIO Alternate Function Set. Writing a 1 to one or more bits in this register + * sets the bits in the same positions in GPIO_EN1 to 1, without affecting other + * bits in that register. + * @{ + */ + #define MXC_F_GPIO_EN1_SET_ALL_POS 0 /**< EN1_SET_ALL Position */ + #define MXC_F_GPIO_EN1_SET_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN1_SET_ALL_POS)) /**< EN1_SET_ALL Mask */ + +/**@} end of group GPIO_EN1_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN1_CLR GPIO_EN1_CLR + * @brief GPIO Alternate Function Clear. Writing a 1 to one or more bits in this register + * clears the bits in the same positions in GPIO_EN1 to 0, without affecting other + * bits in that register. + * @{ + */ + #define MXC_F_GPIO_EN1_CLR_ALL_POS 0 /**< EN1_CLR_ALL Position */ + #define MXC_F_GPIO_EN1_CLR_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN1_CLR_ALL_POS)) /**< EN1_CLR_ALL Mask */ + +/**@} end of group GPIO_EN1_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN2 GPIO_EN2 + * @brief GPIO Alternate Function Enable Register. Each bit in this register selects + * between primary/secondary functions for the associated GPIO pin in this port. + * @{ + */ + #define MXC_F_GPIO_EN2_GPIO_EN2_POS 0 /**< EN2_GPIO_EN2 Position */ + #define MXC_F_GPIO_EN2_GPIO_EN2 ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN2_GPIO_EN2_POS)) /**< EN2_GPIO_EN2 Mask */ + #define MXC_V_GPIO_EN2_GPIO_EN2_PRIMARY ((uint32_t)0x0UL) /**< EN2_GPIO_EN2_PRIMARY Value */ + #define MXC_S_GPIO_EN2_GPIO_EN2_PRIMARY (MXC_V_GPIO_EN2_GPIO_EN2_PRIMARY << MXC_F_GPIO_EN2_GPIO_EN2_POS) /**< EN2_GPIO_EN2_PRIMARY Setting */ + #define MXC_V_GPIO_EN2_GPIO_EN2_SECONDARY ((uint32_t)0x1UL) /**< EN2_GPIO_EN2_SECONDARY Value */ + #define MXC_S_GPIO_EN2_GPIO_EN2_SECONDARY (MXC_V_GPIO_EN2_GPIO_EN2_SECONDARY << MXC_F_GPIO_EN2_GPIO_EN2_POS) /**< EN2_GPIO_EN2_SECONDARY Setting */ + +/**@} end of group GPIO_EN2_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN2_SET GPIO_EN2_SET + * @brief GPIO Alternate Function 2 Set. Writing a 1 to one or more bits in this register + * sets the bits in the same positions in GPIO_EN2 to 1, without affecting other + * bits in that register. + * @{ + */ + #define MXC_F_GPIO_EN2_SET_ALL_POS 0 /**< EN2_SET_ALL Position */ + #define MXC_F_GPIO_EN2_SET_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN2_SET_ALL_POS)) /**< EN2_SET_ALL Mask */ + +/**@} end of group GPIO_EN2_SET_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_EN2_CLR GPIO_EN2_CLR + * @brief GPIO Wake Alternate Function Clear. Writing a 1 to one or more bits in this + * register clears the bits in the same positions in GPIO_EN2 to 0, without + * affecting other bits in that register. + * @{ + */ + #define MXC_F_GPIO_EN2_CLR_ALL_POS 0 /**< EN2_CLR_ALL Position */ + #define MXC_F_GPIO_EN2_CLR_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_EN2_CLR_ALL_POS)) /**< EN2_CLR_ALL Mask */ + +/**@} end of group GPIO_EN2_CLR_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_DS GPIO_DS + * @brief GPIO Drive Strength Register. Each bit in this register selects the drive + * strength for the associated GPIO pin in this port. Refer to the Datasheet for + * sink/source current of GPIO pins in each mode. + * @{ + */ + #define MXC_F_GPIO_DS_DS_POS 0 /**< DS_DS Position */ + #define MXC_F_GPIO_DS_DS ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_DS_DS_POS)) /**< DS_DS Mask */ + #define MXC_V_GPIO_DS_DS_LD ((uint32_t)0x0UL) /**< DS_DS_LD Value */ + #define MXC_S_GPIO_DS_DS_LD (MXC_V_GPIO_DS_DS_LD << MXC_F_GPIO_DS_DS_POS) /**< DS_DS_LD Setting */ + #define MXC_V_GPIO_DS_DS_HD ((uint32_t)0x1UL) /**< DS_DS_HD Value */ + #define MXC_S_GPIO_DS_DS_HD (MXC_V_GPIO_DS_DS_HD << MXC_F_GPIO_DS_DS_POS) /**< DS_DS_HD Setting */ + +/**@} end of group GPIO_DS_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_DS1 GPIO_DS1 + * @brief GPIO Drive Strength 1 Register. Each bit in this register selects the drive + * strength for the associated GPIO pin in this port. Refer to the Datasheet for + * sink/source current of GPIO pins in each mode. + * @{ + */ + #define MXC_F_GPIO_DS1_ALL_POS 0 /**< DS1_ALL Position */ + #define MXC_F_GPIO_DS1_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_DS1_ALL_POS)) /**< DS1_ALL Mask */ + +/**@} end of group GPIO_DS1_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_PS GPIO_PS + * @brief GPIO Pull Select Mode. + * @{ + */ + #define MXC_F_GPIO_PS_ALL_POS 0 /**< PS_ALL Position */ + #define MXC_F_GPIO_PS_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_PS_ALL_POS)) /**< PS_ALL Mask */ + +/**@} end of group GPIO_PS_Register */ + +/** + * @ingroup gpio_registers + * @defgroup GPIO_VSSEL GPIO_VSSEL + * @brief GPIO Voltage Select. + * @{ + */ + #define MXC_F_GPIO_VSSEL_ALL_POS 0 /**< VSSEL_ALL Position */ + #define MXC_F_GPIO_VSSEL_ALL ((uint32_t)(0xFFFFFFFFUL << MXC_F_GPIO_VSSEL_ALL_POS)) /**< VSSEL_ALL Mask */ + +/**@} end of group GPIO_VSSEL_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _GPIO_REGS_H_ */ diff --git a/Firmware/SDK/Device/i2c_regs.h b/Firmware/SDK/Device/i2c_regs.h new file mode 100644 index 0000000..409a3b4 --- /dev/null +++ b/Firmware/SDK/Device/i2c_regs.h @@ -0,0 +1,843 @@ +/** + * @file i2c_regs.h + * @brief Registers, Bit Masks and Bit Positions for the I2C Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _I2C_REGS_H_ +#define _I2C_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup i2c + * @defgroup i2c_registers I2C_Registers + * @brief Registers, Bit Masks and Bit Positions for the I2C Peripheral Module. + * @details Inter-Integrated Circuit. + */ + +/** + * @ingroup i2c_registers + * Structure type to access the I2C Registers. + */ +typedef struct { + __IO uint32_t ctrl; /**< \b 0x00: I2C CTRL Register */ + __IO uint32_t status; /**< \b 0x04: I2C STATUS Register */ + __IO uint32_t int_fl0; /**< \b 0x08: I2C INT_FL0 Register */ + __IO uint32_t int_en0; /**< \b 0x0C: I2C INT_EN0 Register */ + __IO uint32_t int_fl1; /**< \b 0x10: I2C INT_FL1 Register */ + __IO uint32_t int_en1; /**< \b 0x14: I2C INT_EN1 Register */ + __IO uint32_t fifo_len; /**< \b 0x18: I2C FIFO_LEN Register */ + __IO uint32_t rx_ctrl0; /**< \b 0x1C: I2C RX_CTRL0 Register */ + __IO uint32_t rx_ctrl1; /**< \b 0x20: I2C RX_CTRL1 Register */ + __IO uint32_t tx_ctrl0; /**< \b 0x24: I2C TX_CTRL0 Register */ + __IO uint32_t tx_ctrl1; /**< \b 0x28: I2C TX_CTRL1 Register */ + __IO uint32_t fifo; /**< \b 0x2C: I2C FIFO Register */ + __IO uint32_t master_ctrl; /**< \b 0x30: I2C MASTER_CTRL Register */ + __IO uint32_t clk_lo; /**< \b 0x34: I2C CLK_LO Register */ + __IO uint32_t clk_hi; /**< \b 0x38: I2C CLK_HI Register */ + __IO uint32_t hs_clk; /**< \b 0x3C: I2C HS_CLK Register */ + __IO uint32_t timeout; /**< \b 0x40: I2C TIMEOUT Register */ + __IO uint32_t slave_addr; /**< \b 0x44: I2C SLAVE_ADDR Register */ + __IO uint32_t dma; /**< \b 0x48: I2C DMA Register */ +} mxc_i2c_regs_t; + +/* Register offsets for module I2C */ +/** + * @ingroup i2c_registers + * @defgroup I2C_Register_Offsets Register Offsets + * @brief I2C Peripheral Register Offsets from the I2C Base Peripheral Address. + * @{ + */ + #define MXC_R_I2C_CTRL ((uint32_t)0x00000000UL) /**< Offset from I2C Base Address: 0x0000 */ + #define MXC_R_I2C_STATUS ((uint32_t)0x00000004UL) /**< Offset from I2C Base Address: 0x0004 */ + #define MXC_R_I2C_INT_FL0 ((uint32_t)0x00000008UL) /**< Offset from I2C Base Address: 0x0008 */ + #define MXC_R_I2C_INT_EN0 ((uint32_t)0x0000000CUL) /**< Offset from I2C Base Address: 0x000C */ + #define MXC_R_I2C_INT_FL1 ((uint32_t)0x00000010UL) /**< Offset from I2C Base Address: 0x0010 */ + #define MXC_R_I2C_INT_EN1 ((uint32_t)0x00000014UL) /**< Offset from I2C Base Address: 0x0014 */ + #define MXC_R_I2C_FIFO_LEN ((uint32_t)0x00000018UL) /**< Offset from I2C Base Address: 0x0018 */ + #define MXC_R_I2C_RX_CTRL0 ((uint32_t)0x0000001CUL) /**< Offset from I2C Base Address: 0x001C */ + #define MXC_R_I2C_RX_CTRL1 ((uint32_t)0x00000020UL) /**< Offset from I2C Base Address: 0x0020 */ + #define MXC_R_I2C_TX_CTRL0 ((uint32_t)0x00000024UL) /**< Offset from I2C Base Address: 0x0024 */ + #define MXC_R_I2C_TX_CTRL1 ((uint32_t)0x00000028UL) /**< Offset from I2C Base Address: 0x0028 */ + #define MXC_R_I2C_FIFO ((uint32_t)0x0000002CUL) /**< Offset from I2C Base Address: 0x002C */ + #define MXC_R_I2C_MASTER_CTRL ((uint32_t)0x00000030UL) /**< Offset from I2C Base Address: 0x0030 */ + #define MXC_R_I2C_CLK_LO ((uint32_t)0x00000034UL) /**< Offset from I2C Base Address: 0x0034 */ + #define MXC_R_I2C_CLK_HI ((uint32_t)0x00000038UL) /**< Offset from I2C Base Address: 0x0038 */ + #define MXC_R_I2C_HS_CLK ((uint32_t)0x0000003CUL) /**< Offset from I2C Base Address: 0x003C */ + #define MXC_R_I2C_TIMEOUT ((uint32_t)0x00000040UL) /**< Offset from I2C Base Address: 0x0040 */ + #define MXC_R_I2C_SLAVE_ADDR ((uint32_t)0x00000044UL) /**< Offset from I2C Base Address: 0x0044 */ + #define MXC_R_I2C_DMA ((uint32_t)0x00000048UL) /**< Offset from I2C Base Address: 0x0048 */ +/**@} end of group i2c_registers */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_CTRL I2C_CTRL + * @brief Control Register0. + * @{ + */ + #define MXC_F_I2C_CTRL_I2C_EN_POS 0 /**< CTRL_I2C_EN Position */ + #define MXC_F_I2C_CTRL_I2C_EN ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_I2C_EN_POS)) /**< CTRL_I2C_EN Mask */ + #define MXC_V_I2C_CTRL_I2C_EN_DIS ((uint32_t)0x0UL) /**< CTRL_I2C_EN_DIS Value */ + #define MXC_S_I2C_CTRL_I2C_EN_DIS (MXC_V_I2C_CTRL_I2C_EN_DIS << MXC_F_I2C_CTRL_I2C_EN_POS) /**< CTRL_I2C_EN_DIS Setting */ + #define MXC_V_I2C_CTRL_I2C_EN_EN ((uint32_t)0x1UL) /**< CTRL_I2C_EN_EN Value */ + #define MXC_S_I2C_CTRL_I2C_EN_EN (MXC_V_I2C_CTRL_I2C_EN_EN << MXC_F_I2C_CTRL_I2C_EN_POS) /**< CTRL_I2C_EN_EN Setting */ + + #define MXC_F_I2C_CTRL_MST_POS 1 /**< CTRL_MST Position */ + #define MXC_F_I2C_CTRL_MST ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_MST_POS)) /**< CTRL_MST Mask */ + #define MXC_V_I2C_CTRL_MST_SLAVE_MODE ((uint32_t)0x0UL) /**< CTRL_MST_SLAVE_MODE Value */ + #define MXC_S_I2C_CTRL_MST_SLAVE_MODE (MXC_V_I2C_CTRL_MST_SLAVE_MODE << MXC_F_I2C_CTRL_MST_POS) /**< CTRL_MST_SLAVE_MODE Setting */ + #define MXC_V_I2C_CTRL_MST_MASTER_MODE ((uint32_t)0x1UL) /**< CTRL_MST_MASTER_MODE Value */ + #define MXC_S_I2C_CTRL_MST_MASTER_MODE (MXC_V_I2C_CTRL_MST_MASTER_MODE << MXC_F_I2C_CTRL_MST_POS) /**< CTRL_MST_MASTER_MODE Setting */ + + #define MXC_F_I2C_CTRL_GEN_CALL_ADDR_POS 2 /**< CTRL_GEN_CALL_ADDR Position */ + #define MXC_F_I2C_CTRL_GEN_CALL_ADDR ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_GEN_CALL_ADDR_POS)) /**< CTRL_GEN_CALL_ADDR Mask */ + #define MXC_V_I2C_CTRL_GEN_CALL_ADDR_DIS ((uint32_t)0x0UL) /**< CTRL_GEN_CALL_ADDR_DIS Value */ + #define MXC_S_I2C_CTRL_GEN_CALL_ADDR_DIS (MXC_V_I2C_CTRL_GEN_CALL_ADDR_DIS << MXC_F_I2C_CTRL_GEN_CALL_ADDR_POS) /**< CTRL_GEN_CALL_ADDR_DIS Setting */ + #define MXC_V_I2C_CTRL_GEN_CALL_ADDR_EN ((uint32_t)0x1UL) /**< CTRL_GEN_CALL_ADDR_EN Value */ + #define MXC_S_I2C_CTRL_GEN_CALL_ADDR_EN (MXC_V_I2C_CTRL_GEN_CALL_ADDR_EN << MXC_F_I2C_CTRL_GEN_CALL_ADDR_POS) /**< CTRL_GEN_CALL_ADDR_EN Setting */ + + #define MXC_F_I2C_CTRL_RX_MODE_POS 3 /**< CTRL_RX_MODE Position */ + #define MXC_F_I2C_CTRL_RX_MODE ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_RX_MODE_POS)) /**< CTRL_RX_MODE Mask */ + #define MXC_V_I2C_CTRL_RX_MODE_DIS ((uint32_t)0x0UL) /**< CTRL_RX_MODE_DIS Value */ + #define MXC_S_I2C_CTRL_RX_MODE_DIS (MXC_V_I2C_CTRL_RX_MODE_DIS << MXC_F_I2C_CTRL_RX_MODE_POS) /**< CTRL_RX_MODE_DIS Setting */ + #define MXC_V_I2C_CTRL_RX_MODE_EN ((uint32_t)0x1UL) /**< CTRL_RX_MODE_EN Value */ + #define MXC_S_I2C_CTRL_RX_MODE_EN (MXC_V_I2C_CTRL_RX_MODE_EN << MXC_F_I2C_CTRL_RX_MODE_POS) /**< CTRL_RX_MODE_EN Setting */ + + #define MXC_F_I2C_CTRL_RX_MODE_ACK_POS 4 /**< CTRL_RX_MODE_ACK Position */ + #define MXC_F_I2C_CTRL_RX_MODE_ACK ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_RX_MODE_ACK_POS)) /**< CTRL_RX_MODE_ACK Mask */ + #define MXC_V_I2C_CTRL_RX_MODE_ACK_ACK ((uint32_t)0x0UL) /**< CTRL_RX_MODE_ACK_ACK Value */ + #define MXC_S_I2C_CTRL_RX_MODE_ACK_ACK (MXC_V_I2C_CTRL_RX_MODE_ACK_ACK << MXC_F_I2C_CTRL_RX_MODE_ACK_POS) /**< CTRL_RX_MODE_ACK_ACK Setting */ + #define MXC_V_I2C_CTRL_RX_MODE_ACK_NACK ((uint32_t)0x1UL) /**< CTRL_RX_MODE_ACK_NACK Value */ + #define MXC_S_I2C_CTRL_RX_MODE_ACK_NACK (MXC_V_I2C_CTRL_RX_MODE_ACK_NACK << MXC_F_I2C_CTRL_RX_MODE_ACK_POS) /**< CTRL_RX_MODE_ACK_NACK Setting */ + + #define MXC_F_I2C_CTRL_SCL_OUT_POS 6 /**< CTRL_SCL_OUT Position */ + #define MXC_F_I2C_CTRL_SCL_OUT ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SCL_OUT_POS)) /**< CTRL_SCL_OUT Mask */ + #define MXC_V_I2C_CTRL_SCL_OUT_DRIVE_SCL_LOW ((uint32_t)0x0UL) /**< CTRL_SCL_OUT_DRIVE_SCL_LOW Value */ + #define MXC_S_I2C_CTRL_SCL_OUT_DRIVE_SCL_LOW (MXC_V_I2C_CTRL_SCL_OUT_DRIVE_SCL_LOW << MXC_F_I2C_CTRL_SCL_OUT_POS) /**< CTRL_SCL_OUT_DRIVE_SCL_LOW Setting */ + #define MXC_V_I2C_CTRL_SCL_OUT_RELEASE_SCL ((uint32_t)0x1UL) /**< CTRL_SCL_OUT_RELEASE_SCL Value */ + #define MXC_S_I2C_CTRL_SCL_OUT_RELEASE_SCL (MXC_V_I2C_CTRL_SCL_OUT_RELEASE_SCL << MXC_F_I2C_CTRL_SCL_OUT_POS) /**< CTRL_SCL_OUT_RELEASE_SCL Setting */ + + #define MXC_F_I2C_CTRL_SDA_OUT_POS 7 /**< CTRL_SDA_OUT Position */ + #define MXC_F_I2C_CTRL_SDA_OUT ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SDA_OUT_POS)) /**< CTRL_SDA_OUT Mask */ + #define MXC_V_I2C_CTRL_SDA_OUT_DRIVE_SDA_LOW ((uint32_t)0x0UL) /**< CTRL_SDA_OUT_DRIVE_SDA_LOW Value */ + #define MXC_S_I2C_CTRL_SDA_OUT_DRIVE_SDA_LOW (MXC_V_I2C_CTRL_SDA_OUT_DRIVE_SDA_LOW << MXC_F_I2C_CTRL_SDA_OUT_POS) /**< CTRL_SDA_OUT_DRIVE_SDA_LOW Setting */ + #define MXC_V_I2C_CTRL_SDA_OUT_RELEASE_SDA ((uint32_t)0x1UL) /**< CTRL_SDA_OUT_RELEASE_SDA Value */ + #define MXC_S_I2C_CTRL_SDA_OUT_RELEASE_SDA (MXC_V_I2C_CTRL_SDA_OUT_RELEASE_SDA << MXC_F_I2C_CTRL_SDA_OUT_POS) /**< CTRL_SDA_OUT_RELEASE_SDA Setting */ + + #define MXC_F_I2C_CTRL_SCL_POS 8 /**< CTRL_SCL Position */ + #define MXC_F_I2C_CTRL_SCL ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SCL_POS)) /**< CTRL_SCL Mask */ + + #define MXC_F_I2C_CTRL_SDA_POS 9 /**< CTRL_SDA Position */ + #define MXC_F_I2C_CTRL_SDA ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SDA_POS)) /**< CTRL_SDA Mask */ + + #define MXC_F_I2C_CTRL_SW_OUT_EN_POS 10 /**< CTRL_SW_OUT_EN Position */ + #define MXC_F_I2C_CTRL_SW_OUT_EN ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SW_OUT_EN_POS)) /**< CTRL_SW_OUT_EN Mask */ + #define MXC_V_I2C_CTRL_SW_OUT_EN_OUTPUTS_DISABLE ((uint32_t)0x0UL) /**< CTRL_SW_OUT_EN_OUTPUTS_DISABLE Value */ + #define MXC_S_I2C_CTRL_SW_OUT_EN_OUTPUTS_DISABLE (MXC_V_I2C_CTRL_SW_OUT_EN_OUTPUTS_DISABLE << MXC_F_I2C_CTRL_SW_OUT_EN_POS) /**< CTRL_SW_OUT_EN_OUTPUTS_DISABLE Setting */ + #define MXC_V_I2C_CTRL_SW_OUT_EN_OUTPUTS_ENABLE ((uint32_t)0x1UL) /**< CTRL_SW_OUT_EN_OUTPUTS_ENABLE Value */ + #define MXC_S_I2C_CTRL_SW_OUT_EN_OUTPUTS_ENABLE (MXC_V_I2C_CTRL_SW_OUT_EN_OUTPUTS_ENABLE << MXC_F_I2C_CTRL_SW_OUT_EN_POS) /**< CTRL_SW_OUT_EN_OUTPUTS_ENABLE Setting */ + + #define MXC_F_I2C_CTRL_READ_POS 11 /**< CTRL_READ Position */ + #define MXC_F_I2C_CTRL_READ ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_READ_POS)) /**< CTRL_READ Mask */ + #define MXC_V_I2C_CTRL_READ_WRITE ((uint32_t)0x0UL) /**< CTRL_READ_WRITE Value */ + #define MXC_S_I2C_CTRL_READ_WRITE (MXC_V_I2C_CTRL_READ_WRITE << MXC_F_I2C_CTRL_READ_POS) /**< CTRL_READ_WRITE Setting */ + #define MXC_V_I2C_CTRL_READ_READ ((uint32_t)0x1UL) /**< CTRL_READ_READ Value */ + #define MXC_S_I2C_CTRL_READ_READ (MXC_V_I2C_CTRL_READ_READ << MXC_F_I2C_CTRL_READ_POS) /**< CTRL_READ_READ Setting */ + + #define MXC_F_I2C_CTRL_SCL_CLK_STRECH_DIS_POS 12 /**< CTRL_SCL_CLK_STRECH_DIS Position */ + #define MXC_F_I2C_CTRL_SCL_CLK_STRECH_DIS ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SCL_CLK_STRECH_DIS_POS)) /**< CTRL_SCL_CLK_STRECH_DIS Mask */ + #define MXC_V_I2C_CTRL_SCL_CLK_STRECH_DIS_EN ((uint32_t)0x0UL) /**< CTRL_SCL_CLK_STRECH_DIS_EN Value */ + #define MXC_S_I2C_CTRL_SCL_CLK_STRECH_DIS_EN (MXC_V_I2C_CTRL_SCL_CLK_STRECH_DIS_EN << MXC_F_I2C_CTRL_SCL_CLK_STRECH_DIS_POS) /**< CTRL_SCL_CLK_STRECH_DIS_EN Setting */ + #define MXC_V_I2C_CTRL_SCL_CLK_STRECH_DIS_DIS ((uint32_t)0x1UL) /**< CTRL_SCL_CLK_STRECH_DIS_DIS Value */ + #define MXC_S_I2C_CTRL_SCL_CLK_STRECH_DIS_DIS (MXC_V_I2C_CTRL_SCL_CLK_STRECH_DIS_DIS << MXC_F_I2C_CTRL_SCL_CLK_STRECH_DIS_POS) /**< CTRL_SCL_CLK_STRECH_DIS_DIS Setting */ + + #define MXC_F_I2C_CTRL_SCL_PP_MODE_POS 13 /**< CTRL_SCL_PP_MODE Position */ + #define MXC_F_I2C_CTRL_SCL_PP_MODE ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_SCL_PP_MODE_POS)) /**< CTRL_SCL_PP_MODE Mask */ + #define MXC_V_I2C_CTRL_SCL_PP_MODE_DIS ((uint32_t)0x0UL) /**< CTRL_SCL_PP_MODE_DIS Value */ + #define MXC_S_I2C_CTRL_SCL_PP_MODE_DIS (MXC_V_I2C_CTRL_SCL_PP_MODE_DIS << MXC_F_I2C_CTRL_SCL_PP_MODE_POS) /**< CTRL_SCL_PP_MODE_DIS Setting */ + #define MXC_V_I2C_CTRL_SCL_PP_MODE_EN ((uint32_t)0x1UL) /**< CTRL_SCL_PP_MODE_EN Value */ + #define MXC_S_I2C_CTRL_SCL_PP_MODE_EN (MXC_V_I2C_CTRL_SCL_PP_MODE_EN << MXC_F_I2C_CTRL_SCL_PP_MODE_POS) /**< CTRL_SCL_PP_MODE_EN Setting */ + + #define MXC_F_I2C_CTRL_HS_MODE_POS 15 /**< CTRL_HS_MODE Position */ + #define MXC_F_I2C_CTRL_HS_MODE ((uint32_t)(0x1UL << MXC_F_I2C_CTRL_HS_MODE_POS)) /**< CTRL_HS_MODE Mask */ + #define MXC_V_I2C_CTRL_HS_MODE_DIS ((uint32_t)0x0UL) /**< CTRL_HS_MODE_DIS Value */ + #define MXC_S_I2C_CTRL_HS_MODE_DIS (MXC_V_I2C_CTRL_HS_MODE_DIS << MXC_F_I2C_CTRL_HS_MODE_POS) /**< CTRL_HS_MODE_DIS Setting */ + #define MXC_V_I2C_CTRL_HS_MODE_EN ((uint32_t)0x1UL) /**< CTRL_HS_MODE_EN Value */ + #define MXC_S_I2C_CTRL_HS_MODE_EN (MXC_V_I2C_CTRL_HS_MODE_EN << MXC_F_I2C_CTRL_HS_MODE_POS) /**< CTRL_HS_MODE_EN Setting */ + +/**@} end of group I2C_CTRL_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_STATUS I2C_STATUS + * @brief Status Register. + * @{ + */ + #define MXC_F_I2C_STATUS_BUS_POS 0 /**< STATUS_BUS Position */ + #define MXC_F_I2C_STATUS_BUS ((uint32_t)(0x1UL << MXC_F_I2C_STATUS_BUS_POS)) /**< STATUS_BUS Mask */ + #define MXC_V_I2C_STATUS_BUS_IDLE ((uint32_t)0x0UL) /**< STATUS_BUS_IDLE Value */ + #define MXC_S_I2C_STATUS_BUS_IDLE (MXC_V_I2C_STATUS_BUS_IDLE << MXC_F_I2C_STATUS_BUS_POS) /**< STATUS_BUS_IDLE Setting */ + #define MXC_V_I2C_STATUS_BUS_BUSY ((uint32_t)0x1UL) /**< STATUS_BUS_BUSY Value */ + #define MXC_S_I2C_STATUS_BUS_BUSY (MXC_V_I2C_STATUS_BUS_BUSY << MXC_F_I2C_STATUS_BUS_POS) /**< STATUS_BUS_BUSY Setting */ + + #define MXC_F_I2C_STATUS_RX_EMPTY_POS 1 /**< STATUS_RX_EMPTY Position */ + #define MXC_F_I2C_STATUS_RX_EMPTY ((uint32_t)(0x1UL << MXC_F_I2C_STATUS_RX_EMPTY_POS)) /**< STATUS_RX_EMPTY Mask */ + #define MXC_V_I2C_STATUS_RX_EMPTY_NOT_EMPTY ((uint32_t)0x0UL) /**< STATUS_RX_EMPTY_NOT_EMPTY Value */ + #define MXC_S_I2C_STATUS_RX_EMPTY_NOT_EMPTY (MXC_V_I2C_STATUS_RX_EMPTY_NOT_EMPTY << MXC_F_I2C_STATUS_RX_EMPTY_POS) /**< STATUS_RX_EMPTY_NOT_EMPTY Setting */ + #define MXC_V_I2C_STATUS_RX_EMPTY_EMPTY ((uint32_t)0x1UL) /**< STATUS_RX_EMPTY_EMPTY Value */ + #define MXC_S_I2C_STATUS_RX_EMPTY_EMPTY (MXC_V_I2C_STATUS_RX_EMPTY_EMPTY << MXC_F_I2C_STATUS_RX_EMPTY_POS) /**< STATUS_RX_EMPTY_EMPTY Setting */ + + #define MXC_F_I2C_STATUS_RX_FULL_POS 2 /**< STATUS_RX_FULL Position */ + #define MXC_F_I2C_STATUS_RX_FULL ((uint32_t)(0x1UL << MXC_F_I2C_STATUS_RX_FULL_POS)) /**< STATUS_RX_FULL Mask */ + #define MXC_V_I2C_STATUS_RX_FULL_NOT_FULL ((uint32_t)0x0UL) /**< STATUS_RX_FULL_NOT_FULL Value */ + #define MXC_S_I2C_STATUS_RX_FULL_NOT_FULL (MXC_V_I2C_STATUS_RX_FULL_NOT_FULL << MXC_F_I2C_STATUS_RX_FULL_POS) /**< STATUS_RX_FULL_NOT_FULL Setting */ + #define MXC_V_I2C_STATUS_RX_FULL_FULL ((uint32_t)0x1UL) /**< STATUS_RX_FULL_FULL Value */ + #define MXC_S_I2C_STATUS_RX_FULL_FULL (MXC_V_I2C_STATUS_RX_FULL_FULL << MXC_F_I2C_STATUS_RX_FULL_POS) /**< STATUS_RX_FULL_FULL Setting */ + + #define MXC_F_I2C_STATUS_TX_EMPTY_POS 3 /**< STATUS_TX_EMPTY Position */ + #define MXC_F_I2C_STATUS_TX_EMPTY ((uint32_t)(0x1UL << MXC_F_I2C_STATUS_TX_EMPTY_POS)) /**< STATUS_TX_EMPTY Mask */ + #define MXC_V_I2C_STATUS_TX_EMPTY_NOT_EMPTY ((uint32_t)0x0UL) /**< STATUS_TX_EMPTY_NOT_EMPTY Value */ + #define MXC_S_I2C_STATUS_TX_EMPTY_NOT_EMPTY (MXC_V_I2C_STATUS_TX_EMPTY_NOT_EMPTY << MXC_F_I2C_STATUS_TX_EMPTY_POS) /**< STATUS_TX_EMPTY_NOT_EMPTY Setting */ + #define MXC_V_I2C_STATUS_TX_EMPTY_EMPTY ((uint32_t)0x1UL) /**< STATUS_TX_EMPTY_EMPTY Value */ + #define MXC_S_I2C_STATUS_TX_EMPTY_EMPTY (MXC_V_I2C_STATUS_TX_EMPTY_EMPTY << MXC_F_I2C_STATUS_TX_EMPTY_POS) /**< STATUS_TX_EMPTY_EMPTY Setting */ + + #define MXC_F_I2C_STATUS_TX_FULL_POS 4 /**< STATUS_TX_FULL Position */ + #define MXC_F_I2C_STATUS_TX_FULL ((uint32_t)(0x1UL << MXC_F_I2C_STATUS_TX_FULL_POS)) /**< STATUS_TX_FULL Mask */ + #define MXC_V_I2C_STATUS_TX_FULL_NOT_EMPTY ((uint32_t)0x0UL) /**< STATUS_TX_FULL_NOT_EMPTY Value */ + #define MXC_S_I2C_STATUS_TX_FULL_NOT_EMPTY (MXC_V_I2C_STATUS_TX_FULL_NOT_EMPTY << MXC_F_I2C_STATUS_TX_FULL_POS) /**< STATUS_TX_FULL_NOT_EMPTY Setting */ + #define MXC_V_I2C_STATUS_TX_FULL_EMPTY ((uint32_t)0x1UL) /**< STATUS_TX_FULL_EMPTY Value */ + #define MXC_S_I2C_STATUS_TX_FULL_EMPTY (MXC_V_I2C_STATUS_TX_FULL_EMPTY << MXC_F_I2C_STATUS_TX_FULL_POS) /**< STATUS_TX_FULL_EMPTY Setting */ + + #define MXC_F_I2C_STATUS_CLK_MODE_POS 5 /**< STATUS_CLK_MODE Position */ + #define MXC_F_I2C_STATUS_CLK_MODE ((uint32_t)(0x1UL << MXC_F_I2C_STATUS_CLK_MODE_POS)) /**< STATUS_CLK_MODE Mask */ + #define MXC_V_I2C_STATUS_CLK_MODE_NOT_ACTIVELY_DRIVING_SCL_CLOCK ((uint32_t)0x0UL) /**< STATUS_CLK_MODE_NOT_ACTIVELY_DRIVING_SCL_CLOCK Value */ + #define MXC_S_I2C_STATUS_CLK_MODE_NOT_ACTIVELY_DRIVING_SCL_CLOCK (MXC_V_I2C_STATUS_CLK_MODE_NOT_ACTIVELY_DRIVING_SCL_CLOCK << MXC_F_I2C_STATUS_CLK_MODE_POS) /**< STATUS_CLK_MODE_NOT_ACTIVELY_DRIVING_SCL_CLOCK Setting */ + #define MXC_V_I2C_STATUS_CLK_MODE_ACTIVELY_DRIVING_SCL_CLOCK ((uint32_t)0x1UL) /**< STATUS_CLK_MODE_ACTIVELY_DRIVING_SCL_CLOCK Value */ + #define MXC_S_I2C_STATUS_CLK_MODE_ACTIVELY_DRIVING_SCL_CLOCK (MXC_V_I2C_STATUS_CLK_MODE_ACTIVELY_DRIVING_SCL_CLOCK << MXC_F_I2C_STATUS_CLK_MODE_POS) /**< STATUS_CLK_MODE_ACTIVELY_DRIVING_SCL_CLOCK Setting */ + + #define MXC_F_I2C_STATUS_STATUS_POS 8 /**< STATUS_STATUS Position */ + #define MXC_F_I2C_STATUS_STATUS ((uint32_t)(0xFUL << MXC_F_I2C_STATUS_STATUS_POS)) /**< STATUS_STATUS Mask */ + #define MXC_V_I2C_STATUS_STATUS_IDLE ((uint32_t)0x0UL) /**< STATUS_STATUS_IDLE Value */ + #define MXC_S_I2C_STATUS_STATUS_IDLE (MXC_V_I2C_STATUS_STATUS_IDLE << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_IDLE Setting */ + #define MXC_V_I2C_STATUS_STATUS_MTX_ADDR ((uint32_t)0x1UL) /**< STATUS_STATUS_MTX_ADDR Value */ + #define MXC_S_I2C_STATUS_STATUS_MTX_ADDR (MXC_V_I2C_STATUS_STATUS_MTX_ADDR << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_MTX_ADDR Setting */ + #define MXC_V_I2C_STATUS_STATUS_MRX_ADDR_ACK ((uint32_t)0x2UL) /**< STATUS_STATUS_MRX_ADDR_ACK Value */ + #define MXC_S_I2C_STATUS_STATUS_MRX_ADDR_ACK (MXC_V_I2C_STATUS_STATUS_MRX_ADDR_ACK << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_MRX_ADDR_ACK Setting */ + #define MXC_V_I2C_STATUS_STATUS_MTX_EX_ADDR ((uint32_t)0x3UL) /**< STATUS_STATUS_MTX_EX_ADDR Value */ + #define MXC_S_I2C_STATUS_STATUS_MTX_EX_ADDR (MXC_V_I2C_STATUS_STATUS_MTX_EX_ADDR << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_MTX_EX_ADDR Setting */ + #define MXC_V_I2C_STATUS_STATUS_MRX_EX_ADDR ((uint32_t)0x4UL) /**< STATUS_STATUS_MRX_EX_ADDR Value */ + #define MXC_S_I2C_STATUS_STATUS_MRX_EX_ADDR (MXC_V_I2C_STATUS_STATUS_MRX_EX_ADDR << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_MRX_EX_ADDR Setting */ + #define MXC_V_I2C_STATUS_STATUS_SRX_ADDR ((uint32_t)0x5UL) /**< STATUS_STATUS_SRX_ADDR Value */ + #define MXC_S_I2C_STATUS_STATUS_SRX_ADDR (MXC_V_I2C_STATUS_STATUS_SRX_ADDR << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_SRX_ADDR Setting */ + #define MXC_V_I2C_STATUS_STATUS_STX_ADDR_ACK ((uint32_t)0x6UL) /**< STATUS_STATUS_STX_ADDR_ACK Value */ + #define MXC_S_I2C_STATUS_STATUS_STX_ADDR_ACK (MXC_V_I2C_STATUS_STATUS_STX_ADDR_ACK << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_STX_ADDR_ACK Setting */ + #define MXC_V_I2C_STATUS_STATUS_SRX_EX_ADDR ((uint32_t)0x7UL) /**< STATUS_STATUS_SRX_EX_ADDR Value */ + #define MXC_S_I2C_STATUS_STATUS_SRX_EX_ADDR (MXC_V_I2C_STATUS_STATUS_SRX_EX_ADDR << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_SRX_EX_ADDR Setting */ + #define MXC_V_I2C_STATUS_STATUS_STX_EX_ADDR_ACK ((uint32_t)0x8UL) /**< STATUS_STATUS_STX_EX_ADDR_ACK Value */ + #define MXC_S_I2C_STATUS_STATUS_STX_EX_ADDR_ACK (MXC_V_I2C_STATUS_STATUS_STX_EX_ADDR_ACK << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_STX_EX_ADDR_ACK Setting */ + #define MXC_V_I2C_STATUS_STATUS_TX ((uint32_t)0x9UL) /**< STATUS_STATUS_TX Value */ + #define MXC_S_I2C_STATUS_STATUS_TX (MXC_V_I2C_STATUS_STATUS_TX << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_TX Setting */ + #define MXC_V_I2C_STATUS_STATUS_RX_ACK ((uint32_t)0xAUL) /**< STATUS_STATUS_RX_ACK Value */ + #define MXC_S_I2C_STATUS_STATUS_RX_ACK (MXC_V_I2C_STATUS_STATUS_RX_ACK << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_RX_ACK Setting */ + #define MXC_V_I2C_STATUS_STATUS_RX ((uint32_t)0xBUL) /**< STATUS_STATUS_RX Value */ + #define MXC_S_I2C_STATUS_STATUS_RX (MXC_V_I2C_STATUS_STATUS_RX << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_RX Setting */ + #define MXC_V_I2C_STATUS_STATUS_TX_ACK ((uint32_t)0xCUL) /**< STATUS_STATUS_TX_ACK Value */ + #define MXC_S_I2C_STATUS_STATUS_TX_ACK (MXC_V_I2C_STATUS_STATUS_TX_ACK << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_TX_ACK Setting */ + #define MXC_V_I2C_STATUS_STATUS_NACK ((uint32_t)0xDUL) /**< STATUS_STATUS_NACK Value */ + #define MXC_S_I2C_STATUS_STATUS_NACK (MXC_V_I2C_STATUS_STATUS_NACK << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_NACK Setting */ + #define MXC_V_I2C_STATUS_STATUS_BY_ST ((uint32_t)0xFUL) /**< STATUS_STATUS_BY_ST Value */ + #define MXC_S_I2C_STATUS_STATUS_BY_ST (MXC_V_I2C_STATUS_STATUS_BY_ST << MXC_F_I2C_STATUS_STATUS_POS) /**< STATUS_STATUS_BY_ST Setting */ + +/**@} end of group I2C_STATUS_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_INT_FL0 I2C_INT_FL0 + * @brief Interrupt Status Register. + * @{ + */ + #define MXC_F_I2C_INT_FL0_DONE_POS 0 /**< INT_FL0_DONE Position */ + #define MXC_F_I2C_INT_FL0_DONE ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_DONE_POS)) /**< INT_FL0_DONE Mask */ + #define MXC_V_I2C_INT_FL0_DONE_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_DONE_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_DONE_INACTIVE (MXC_V_I2C_INT_FL0_DONE_INACTIVE << MXC_F_I2C_INT_FL0_DONE_POS) /**< INT_FL0_DONE_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_DONE_PENDING ((uint32_t)0x1UL) /**< INT_FL0_DONE_PENDING Value */ + #define MXC_S_I2C_INT_FL0_DONE_PENDING (MXC_V_I2C_INT_FL0_DONE_PENDING << MXC_F_I2C_INT_FL0_DONE_POS) /**< INT_FL0_DONE_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_RX_MODE_POS 1 /**< INT_FL0_RX_MODE Position */ + #define MXC_F_I2C_INT_FL0_RX_MODE ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_RX_MODE_POS)) /**< INT_FL0_RX_MODE Mask */ + #define MXC_V_I2C_INT_FL0_RX_MODE_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_RX_MODE_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_RX_MODE_INACTIVE (MXC_V_I2C_INT_FL0_RX_MODE_INACTIVE << MXC_F_I2C_INT_FL0_RX_MODE_POS) /**< INT_FL0_RX_MODE_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_RX_MODE_PENDING ((uint32_t)0x1UL) /**< INT_FL0_RX_MODE_PENDING Value */ + #define MXC_S_I2C_INT_FL0_RX_MODE_PENDING (MXC_V_I2C_INT_FL0_RX_MODE_PENDING << MXC_F_I2C_INT_FL0_RX_MODE_POS) /**< INT_FL0_RX_MODE_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_GEN_CALL_ADDR_POS 2 /**< INT_FL0_GEN_CALL_ADDR Position */ + #define MXC_F_I2C_INT_FL0_GEN_CALL_ADDR ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_GEN_CALL_ADDR_POS)) /**< INT_FL0_GEN_CALL_ADDR Mask */ + #define MXC_V_I2C_INT_FL0_GEN_CALL_ADDR_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_GEN_CALL_ADDR_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_GEN_CALL_ADDR_INACTIVE (MXC_V_I2C_INT_FL0_GEN_CALL_ADDR_INACTIVE << MXC_F_I2C_INT_FL0_GEN_CALL_ADDR_POS) /**< INT_FL0_GEN_CALL_ADDR_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_GEN_CALL_ADDR_PENDING ((uint32_t)0x1UL) /**< INT_FL0_GEN_CALL_ADDR_PENDING Value */ + #define MXC_S_I2C_INT_FL0_GEN_CALL_ADDR_PENDING (MXC_V_I2C_INT_FL0_GEN_CALL_ADDR_PENDING << MXC_F_I2C_INT_FL0_GEN_CALL_ADDR_POS) /**< INT_FL0_GEN_CALL_ADDR_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_ADDR_MATCH_POS 3 /**< INT_FL0_ADDR_MATCH Position */ + #define MXC_F_I2C_INT_FL0_ADDR_MATCH ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_ADDR_MATCH_POS)) /**< INT_FL0_ADDR_MATCH Mask */ + #define MXC_V_I2C_INT_FL0_ADDR_MATCH_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_ADDR_MATCH_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_ADDR_MATCH_INACTIVE (MXC_V_I2C_INT_FL0_ADDR_MATCH_INACTIVE << MXC_F_I2C_INT_FL0_ADDR_MATCH_POS) /**< INT_FL0_ADDR_MATCH_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_ADDR_MATCH_PENDING ((uint32_t)0x1UL) /**< INT_FL0_ADDR_MATCH_PENDING Value */ + #define MXC_S_I2C_INT_FL0_ADDR_MATCH_PENDING (MXC_V_I2C_INT_FL0_ADDR_MATCH_PENDING << MXC_F_I2C_INT_FL0_ADDR_MATCH_POS) /**< INT_FL0_ADDR_MATCH_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_RX_THRESH_POS 4 /**< INT_FL0_RX_THRESH Position */ + #define MXC_F_I2C_INT_FL0_RX_THRESH ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_RX_THRESH_POS)) /**< INT_FL0_RX_THRESH Mask */ + #define MXC_V_I2C_INT_FL0_RX_THRESH_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_RX_THRESH_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_RX_THRESH_INACTIVE (MXC_V_I2C_INT_FL0_RX_THRESH_INACTIVE << MXC_F_I2C_INT_FL0_RX_THRESH_POS) /**< INT_FL0_RX_THRESH_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_RX_THRESH_PENDING ((uint32_t)0x1UL) /**< INT_FL0_RX_THRESH_PENDING Value */ + #define MXC_S_I2C_INT_FL0_RX_THRESH_PENDING (MXC_V_I2C_INT_FL0_RX_THRESH_PENDING << MXC_F_I2C_INT_FL0_RX_THRESH_POS) /**< INT_FL0_RX_THRESH_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_TX_THRESH_POS 5 /**< INT_FL0_TX_THRESH Position */ + #define MXC_F_I2C_INT_FL0_TX_THRESH ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_TX_THRESH_POS)) /**< INT_FL0_TX_THRESH Mask */ + #define MXC_V_I2C_INT_FL0_TX_THRESH_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_TX_THRESH_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_TX_THRESH_INACTIVE (MXC_V_I2C_INT_FL0_TX_THRESH_INACTIVE << MXC_F_I2C_INT_FL0_TX_THRESH_POS) /**< INT_FL0_TX_THRESH_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_TX_THRESH_PENDING ((uint32_t)0x1UL) /**< INT_FL0_TX_THRESH_PENDING Value */ + #define MXC_S_I2C_INT_FL0_TX_THRESH_PENDING (MXC_V_I2C_INT_FL0_TX_THRESH_PENDING << MXC_F_I2C_INT_FL0_TX_THRESH_POS) /**< INT_FL0_TX_THRESH_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_STOP_POS 6 /**< INT_FL0_STOP Position */ + #define MXC_F_I2C_INT_FL0_STOP ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_STOP_POS)) /**< INT_FL0_STOP Mask */ + #define MXC_V_I2C_INT_FL0_STOP_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_STOP_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_STOP_INACTIVE (MXC_V_I2C_INT_FL0_STOP_INACTIVE << MXC_F_I2C_INT_FL0_STOP_POS) /**< INT_FL0_STOP_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_STOP_PENDING ((uint32_t)0x1UL) /**< INT_FL0_STOP_PENDING Value */ + #define MXC_S_I2C_INT_FL0_STOP_PENDING (MXC_V_I2C_INT_FL0_STOP_PENDING << MXC_F_I2C_INT_FL0_STOP_POS) /**< INT_FL0_STOP_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_ADDR_ACK_POS 7 /**< INT_FL0_ADDR_ACK Position */ + #define MXC_F_I2C_INT_FL0_ADDR_ACK ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_ADDR_ACK_POS)) /**< INT_FL0_ADDR_ACK Mask */ + #define MXC_V_I2C_INT_FL0_ADDR_ACK_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_ADDR_ACK_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_ADDR_ACK_INACTIVE (MXC_V_I2C_INT_FL0_ADDR_ACK_INACTIVE << MXC_F_I2C_INT_FL0_ADDR_ACK_POS) /**< INT_FL0_ADDR_ACK_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_ADDR_ACK_PENDING ((uint32_t)0x1UL) /**< INT_FL0_ADDR_ACK_PENDING Value */ + #define MXC_S_I2C_INT_FL0_ADDR_ACK_PENDING (MXC_V_I2C_INT_FL0_ADDR_ACK_PENDING << MXC_F_I2C_INT_FL0_ADDR_ACK_POS) /**< INT_FL0_ADDR_ACK_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_ARB_ER_POS 8 /**< INT_FL0_ARB_ER Position */ + #define MXC_F_I2C_INT_FL0_ARB_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_ARB_ER_POS)) /**< INT_FL0_ARB_ER Mask */ + #define MXC_V_I2C_INT_FL0_ARB_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_ARB_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_ARB_ER_INACTIVE (MXC_V_I2C_INT_FL0_ARB_ER_INACTIVE << MXC_F_I2C_INT_FL0_ARB_ER_POS) /**< INT_FL0_ARB_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_ARB_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_ARB_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_ARB_ER_PENDING (MXC_V_I2C_INT_FL0_ARB_ER_PENDING << MXC_F_I2C_INT_FL0_ARB_ER_POS) /**< INT_FL0_ARB_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_TO_ER_POS 9 /**< INT_FL0_TO_ER Position */ + #define MXC_F_I2C_INT_FL0_TO_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_TO_ER_POS)) /**< INT_FL0_TO_ER Mask */ + #define MXC_V_I2C_INT_FL0_TO_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_TO_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_TO_ER_INACTIVE (MXC_V_I2C_INT_FL0_TO_ER_INACTIVE << MXC_F_I2C_INT_FL0_TO_ER_POS) /**< INT_FL0_TO_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_TO_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_TO_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_TO_ER_PENDING (MXC_V_I2C_INT_FL0_TO_ER_PENDING << MXC_F_I2C_INT_FL0_TO_ER_POS) /**< INT_FL0_TO_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_ADDR_NACK_ER_POS 10 /**< INT_FL0_ADDR_NACK_ER Position */ + #define MXC_F_I2C_INT_FL0_ADDR_NACK_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_ADDR_NACK_ER_POS)) /**< INT_FL0_ADDR_NACK_ER Mask */ + #define MXC_V_I2C_INT_FL0_ADDR_NACK_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_ADDR_NACK_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_ADDR_NACK_ER_INACTIVE (MXC_V_I2C_INT_FL0_ADDR_NACK_ER_INACTIVE << MXC_F_I2C_INT_FL0_ADDR_NACK_ER_POS) /**< INT_FL0_ADDR_NACK_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_ADDR_NACK_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_ADDR_NACK_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_ADDR_NACK_ER_PENDING (MXC_V_I2C_INT_FL0_ADDR_NACK_ER_PENDING << MXC_F_I2C_INT_FL0_ADDR_NACK_ER_POS) /**< INT_FL0_ADDR_NACK_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_DATA_ER_POS 11 /**< INT_FL0_DATA_ER Position */ + #define MXC_F_I2C_INT_FL0_DATA_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_DATA_ER_POS)) /**< INT_FL0_DATA_ER Mask */ + #define MXC_V_I2C_INT_FL0_DATA_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_DATA_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_DATA_ER_INACTIVE (MXC_V_I2C_INT_FL0_DATA_ER_INACTIVE << MXC_F_I2C_INT_FL0_DATA_ER_POS) /**< INT_FL0_DATA_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_DATA_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_DATA_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_DATA_ER_PENDING (MXC_V_I2C_INT_FL0_DATA_ER_PENDING << MXC_F_I2C_INT_FL0_DATA_ER_POS) /**< INT_FL0_DATA_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_DO_NOT_RESP_ER_POS 12 /**< INT_FL0_DO_NOT_RESP_ER Position */ + #define MXC_F_I2C_INT_FL0_DO_NOT_RESP_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_DO_NOT_RESP_ER_POS)) /**< INT_FL0_DO_NOT_RESP_ER Mask */ + #define MXC_V_I2C_INT_FL0_DO_NOT_RESP_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_DO_NOT_RESP_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_DO_NOT_RESP_ER_INACTIVE (MXC_V_I2C_INT_FL0_DO_NOT_RESP_ER_INACTIVE << MXC_F_I2C_INT_FL0_DO_NOT_RESP_ER_POS) /**< INT_FL0_DO_NOT_RESP_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_DO_NOT_RESP_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_DO_NOT_RESP_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_DO_NOT_RESP_ER_PENDING (MXC_V_I2C_INT_FL0_DO_NOT_RESP_ER_PENDING << MXC_F_I2C_INT_FL0_DO_NOT_RESP_ER_POS) /**< INT_FL0_DO_NOT_RESP_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_START_ER_POS 13 /**< INT_FL0_START_ER Position */ + #define MXC_F_I2C_INT_FL0_START_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_START_ER_POS)) /**< INT_FL0_START_ER Mask */ + #define MXC_V_I2C_INT_FL0_START_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_START_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_START_ER_INACTIVE (MXC_V_I2C_INT_FL0_START_ER_INACTIVE << MXC_F_I2C_INT_FL0_START_ER_POS) /**< INT_FL0_START_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_START_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_START_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_START_ER_PENDING (MXC_V_I2C_INT_FL0_START_ER_PENDING << MXC_F_I2C_INT_FL0_START_ER_POS) /**< INT_FL0_START_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_STOP_ER_POS 14 /**< INT_FL0_STOP_ER Position */ + #define MXC_F_I2C_INT_FL0_STOP_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_STOP_ER_POS)) /**< INT_FL0_STOP_ER Mask */ + #define MXC_V_I2C_INT_FL0_STOP_ER_INACTIVE ((uint32_t)0x0UL) /**< INT_FL0_STOP_ER_INACTIVE Value */ + #define MXC_S_I2C_INT_FL0_STOP_ER_INACTIVE (MXC_V_I2C_INT_FL0_STOP_ER_INACTIVE << MXC_F_I2C_INT_FL0_STOP_ER_POS) /**< INT_FL0_STOP_ER_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL0_STOP_ER_PENDING ((uint32_t)0x1UL) /**< INT_FL0_STOP_ER_PENDING Value */ + #define MXC_S_I2C_INT_FL0_STOP_ER_PENDING (MXC_V_I2C_INT_FL0_STOP_ER_PENDING << MXC_F_I2C_INT_FL0_STOP_ER_POS) /**< INT_FL0_STOP_ER_PENDING Setting */ + + #define MXC_F_I2C_INT_FL0_TX_LOCK_OUT_POS 15 /**< INT_FL0_TX_LOCK_OUT Position */ + #define MXC_F_I2C_INT_FL0_TX_LOCK_OUT ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL0_TX_LOCK_OUT_POS)) /**< INT_FL0_TX_LOCK_OUT Mask */ + +/**@} end of group I2C_INT_FL0_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_INT_EN0 I2C_INT_EN0 + * @brief Interrupt Enable Register. + * @{ + */ + #define MXC_F_I2C_INT_EN0_DONE_POS 0 /**< INT_EN0_DONE Position */ + #define MXC_F_I2C_INT_EN0_DONE ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_DONE_POS)) /**< INT_EN0_DONE Mask */ + #define MXC_V_I2C_INT_EN0_DONE_DIS ((uint32_t)0x0UL) /**< INT_EN0_DONE_DIS Value */ + #define MXC_S_I2C_INT_EN0_DONE_DIS (MXC_V_I2C_INT_EN0_DONE_DIS << MXC_F_I2C_INT_EN0_DONE_POS) /**< INT_EN0_DONE_DIS Setting */ + #define MXC_V_I2C_INT_EN0_DONE_EN ((uint32_t)0x1UL) /**< INT_EN0_DONE_EN Value */ + #define MXC_S_I2C_INT_EN0_DONE_EN (MXC_V_I2C_INT_EN0_DONE_EN << MXC_F_I2C_INT_EN0_DONE_POS) /**< INT_EN0_DONE_EN Setting */ + + #define MXC_F_I2C_INT_EN0_RX_MODE_POS 1 /**< INT_EN0_RX_MODE Position */ + #define MXC_F_I2C_INT_EN0_RX_MODE ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_RX_MODE_POS)) /**< INT_EN0_RX_MODE Mask */ + #define MXC_V_I2C_INT_EN0_RX_MODE_DIS ((uint32_t)0x0UL) /**< INT_EN0_RX_MODE_DIS Value */ + #define MXC_S_I2C_INT_EN0_RX_MODE_DIS (MXC_V_I2C_INT_EN0_RX_MODE_DIS << MXC_F_I2C_INT_EN0_RX_MODE_POS) /**< INT_EN0_RX_MODE_DIS Setting */ + #define MXC_V_I2C_INT_EN0_RX_MODE_EN ((uint32_t)0x1UL) /**< INT_EN0_RX_MODE_EN Value */ + #define MXC_S_I2C_INT_EN0_RX_MODE_EN (MXC_V_I2C_INT_EN0_RX_MODE_EN << MXC_F_I2C_INT_EN0_RX_MODE_POS) /**< INT_EN0_RX_MODE_EN Setting */ + + #define MXC_F_I2C_INT_EN0_GEN_CTRL_ADDR_POS 2 /**< INT_EN0_GEN_CTRL_ADDR Position */ + #define MXC_F_I2C_INT_EN0_GEN_CTRL_ADDR ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_GEN_CTRL_ADDR_POS)) /**< INT_EN0_GEN_CTRL_ADDR Mask */ + #define MXC_V_I2C_INT_EN0_GEN_CTRL_ADDR_DIS ((uint32_t)0x0UL) /**< INT_EN0_GEN_CTRL_ADDR_DIS Value */ + #define MXC_S_I2C_INT_EN0_GEN_CTRL_ADDR_DIS (MXC_V_I2C_INT_EN0_GEN_CTRL_ADDR_DIS << MXC_F_I2C_INT_EN0_GEN_CTRL_ADDR_POS) /**< INT_EN0_GEN_CTRL_ADDR_DIS Setting */ + #define MXC_V_I2C_INT_EN0_GEN_CTRL_ADDR_EN ((uint32_t)0x1UL) /**< INT_EN0_GEN_CTRL_ADDR_EN Value */ + #define MXC_S_I2C_INT_EN0_GEN_CTRL_ADDR_EN (MXC_V_I2C_INT_EN0_GEN_CTRL_ADDR_EN << MXC_F_I2C_INT_EN0_GEN_CTRL_ADDR_POS) /**< INT_EN0_GEN_CTRL_ADDR_EN Setting */ + + #define MXC_F_I2C_INT_EN0_ADDR_MATCH_POS 3 /**< INT_EN0_ADDR_MATCH Position */ + #define MXC_F_I2C_INT_EN0_ADDR_MATCH ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_ADDR_MATCH_POS)) /**< INT_EN0_ADDR_MATCH Mask */ + #define MXC_V_I2C_INT_EN0_ADDR_MATCH_DIS ((uint32_t)0x0UL) /**< INT_EN0_ADDR_MATCH_DIS Value */ + #define MXC_S_I2C_INT_EN0_ADDR_MATCH_DIS (MXC_V_I2C_INT_EN0_ADDR_MATCH_DIS << MXC_F_I2C_INT_EN0_ADDR_MATCH_POS) /**< INT_EN0_ADDR_MATCH_DIS Setting */ + #define MXC_V_I2C_INT_EN0_ADDR_MATCH_EN ((uint32_t)0x1UL) /**< INT_EN0_ADDR_MATCH_EN Value */ + #define MXC_S_I2C_INT_EN0_ADDR_MATCH_EN (MXC_V_I2C_INT_EN0_ADDR_MATCH_EN << MXC_F_I2C_INT_EN0_ADDR_MATCH_POS) /**< INT_EN0_ADDR_MATCH_EN Setting */ + + #define MXC_F_I2C_INT_EN0_RX_THRESH_POS 4 /**< INT_EN0_RX_THRESH Position */ + #define MXC_F_I2C_INT_EN0_RX_THRESH ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_RX_THRESH_POS)) /**< INT_EN0_RX_THRESH Mask */ + #define MXC_V_I2C_INT_EN0_RX_THRESH_DIS ((uint32_t)0x0UL) /**< INT_EN0_RX_THRESH_DIS Value */ + #define MXC_S_I2C_INT_EN0_RX_THRESH_DIS (MXC_V_I2C_INT_EN0_RX_THRESH_DIS << MXC_F_I2C_INT_EN0_RX_THRESH_POS) /**< INT_EN0_RX_THRESH_DIS Setting */ + #define MXC_V_I2C_INT_EN0_RX_THRESH_EN ((uint32_t)0x1UL) /**< INT_EN0_RX_THRESH_EN Value */ + #define MXC_S_I2C_INT_EN0_RX_THRESH_EN (MXC_V_I2C_INT_EN0_RX_THRESH_EN << MXC_F_I2C_INT_EN0_RX_THRESH_POS) /**< INT_EN0_RX_THRESH_EN Setting */ + + #define MXC_F_I2C_INT_EN0_TX_THRESH_POS 5 /**< INT_EN0_TX_THRESH Position */ + #define MXC_F_I2C_INT_EN0_TX_THRESH ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_TX_THRESH_POS)) /**< INT_EN0_TX_THRESH Mask */ + #define MXC_V_I2C_INT_EN0_TX_THRESH_DIS ((uint32_t)0x0UL) /**< INT_EN0_TX_THRESH_DIS Value */ + #define MXC_S_I2C_INT_EN0_TX_THRESH_DIS (MXC_V_I2C_INT_EN0_TX_THRESH_DIS << MXC_F_I2C_INT_EN0_TX_THRESH_POS) /**< INT_EN0_TX_THRESH_DIS Setting */ + #define MXC_V_I2C_INT_EN0_TX_THRESH_EN ((uint32_t)0x1UL) /**< INT_EN0_TX_THRESH_EN Value */ + #define MXC_S_I2C_INT_EN0_TX_THRESH_EN (MXC_V_I2C_INT_EN0_TX_THRESH_EN << MXC_F_I2C_INT_EN0_TX_THRESH_POS) /**< INT_EN0_TX_THRESH_EN Setting */ + + #define MXC_F_I2C_INT_EN0_STOP_POS 6 /**< INT_EN0_STOP Position */ + #define MXC_F_I2C_INT_EN0_STOP ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_STOP_POS)) /**< INT_EN0_STOP Mask */ + #define MXC_V_I2C_INT_EN0_STOP_DIS ((uint32_t)0x0UL) /**< INT_EN0_STOP_DIS Value */ + #define MXC_S_I2C_INT_EN0_STOP_DIS (MXC_V_I2C_INT_EN0_STOP_DIS << MXC_F_I2C_INT_EN0_STOP_POS) /**< INT_EN0_STOP_DIS Setting */ + #define MXC_V_I2C_INT_EN0_STOP_EN ((uint32_t)0x1UL) /**< INT_EN0_STOP_EN Value */ + #define MXC_S_I2C_INT_EN0_STOP_EN (MXC_V_I2C_INT_EN0_STOP_EN << MXC_F_I2C_INT_EN0_STOP_POS) /**< INT_EN0_STOP_EN Setting */ + + #define MXC_F_I2C_INT_EN0_ADDR_ACK_POS 7 /**< INT_EN0_ADDR_ACK Position */ + #define MXC_F_I2C_INT_EN0_ADDR_ACK ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_ADDR_ACK_POS)) /**< INT_EN0_ADDR_ACK Mask */ + #define MXC_V_I2C_INT_EN0_ADDR_ACK_DIS ((uint32_t)0x0UL) /**< INT_EN0_ADDR_ACK_DIS Value */ + #define MXC_S_I2C_INT_EN0_ADDR_ACK_DIS (MXC_V_I2C_INT_EN0_ADDR_ACK_DIS << MXC_F_I2C_INT_EN0_ADDR_ACK_POS) /**< INT_EN0_ADDR_ACK_DIS Setting */ + #define MXC_V_I2C_INT_EN0_ADDR_ACK_EN ((uint32_t)0x1UL) /**< INT_EN0_ADDR_ACK_EN Value */ + #define MXC_S_I2C_INT_EN0_ADDR_ACK_EN (MXC_V_I2C_INT_EN0_ADDR_ACK_EN << MXC_F_I2C_INT_EN0_ADDR_ACK_POS) /**< INT_EN0_ADDR_ACK_EN Setting */ + + #define MXC_F_I2C_INT_EN0_ARB_ER_POS 8 /**< INT_EN0_ARB_ER Position */ + #define MXC_F_I2C_INT_EN0_ARB_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_ARB_ER_POS)) /**< INT_EN0_ARB_ER Mask */ + #define MXC_V_I2C_INT_EN0_ARB_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_ARB_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_ARB_ER_DIS (MXC_V_I2C_INT_EN0_ARB_ER_DIS << MXC_F_I2C_INT_EN0_ARB_ER_POS) /**< INT_EN0_ARB_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_ARB_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_ARB_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_ARB_ER_EN (MXC_V_I2C_INT_EN0_ARB_ER_EN << MXC_F_I2C_INT_EN0_ARB_ER_POS) /**< INT_EN0_ARB_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_TO_ER_POS 9 /**< INT_EN0_TO_ER Position */ + #define MXC_F_I2C_INT_EN0_TO_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_TO_ER_POS)) /**< INT_EN0_TO_ER Mask */ + #define MXC_V_I2C_INT_EN0_TO_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_TO_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_TO_ER_DIS (MXC_V_I2C_INT_EN0_TO_ER_DIS << MXC_F_I2C_INT_EN0_TO_ER_POS) /**< INT_EN0_TO_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_TO_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_TO_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_TO_ER_EN (MXC_V_I2C_INT_EN0_TO_ER_EN << MXC_F_I2C_INT_EN0_TO_ER_POS) /**< INT_EN0_TO_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_ADDR_ER_POS 10 /**< INT_EN0_ADDR_ER Position */ + #define MXC_F_I2C_INT_EN0_ADDR_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_ADDR_ER_POS)) /**< INT_EN0_ADDR_ER Mask */ + #define MXC_V_I2C_INT_EN0_ADDR_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_ADDR_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_ADDR_ER_DIS (MXC_V_I2C_INT_EN0_ADDR_ER_DIS << MXC_F_I2C_INT_EN0_ADDR_ER_POS) /**< INT_EN0_ADDR_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_ADDR_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_ADDR_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_ADDR_ER_EN (MXC_V_I2C_INT_EN0_ADDR_ER_EN << MXC_F_I2C_INT_EN0_ADDR_ER_POS) /**< INT_EN0_ADDR_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_DATA_ER_POS 11 /**< INT_EN0_DATA_ER Position */ + #define MXC_F_I2C_INT_EN0_DATA_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_DATA_ER_POS)) /**< INT_EN0_DATA_ER Mask */ + #define MXC_V_I2C_INT_EN0_DATA_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_DATA_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_DATA_ER_DIS (MXC_V_I2C_INT_EN0_DATA_ER_DIS << MXC_F_I2C_INT_EN0_DATA_ER_POS) /**< INT_EN0_DATA_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_DATA_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_DATA_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_DATA_ER_EN (MXC_V_I2C_INT_EN0_DATA_ER_EN << MXC_F_I2C_INT_EN0_DATA_ER_POS) /**< INT_EN0_DATA_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_DO_NOT_RESP_ER_POS 12 /**< INT_EN0_DO_NOT_RESP_ER Position */ + #define MXC_F_I2C_INT_EN0_DO_NOT_RESP_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_DO_NOT_RESP_ER_POS)) /**< INT_EN0_DO_NOT_RESP_ER Mask */ + #define MXC_V_I2C_INT_EN0_DO_NOT_RESP_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_DO_NOT_RESP_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_DO_NOT_RESP_ER_DIS (MXC_V_I2C_INT_EN0_DO_NOT_RESP_ER_DIS << MXC_F_I2C_INT_EN0_DO_NOT_RESP_ER_POS) /**< INT_EN0_DO_NOT_RESP_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_DO_NOT_RESP_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_DO_NOT_RESP_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_DO_NOT_RESP_ER_EN (MXC_V_I2C_INT_EN0_DO_NOT_RESP_ER_EN << MXC_F_I2C_INT_EN0_DO_NOT_RESP_ER_POS) /**< INT_EN0_DO_NOT_RESP_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_START_ER_POS 13 /**< INT_EN0_START_ER Position */ + #define MXC_F_I2C_INT_EN0_START_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_START_ER_POS)) /**< INT_EN0_START_ER Mask */ + #define MXC_V_I2C_INT_EN0_START_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_START_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_START_ER_DIS (MXC_V_I2C_INT_EN0_START_ER_DIS << MXC_F_I2C_INT_EN0_START_ER_POS) /**< INT_EN0_START_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_START_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_START_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_START_ER_EN (MXC_V_I2C_INT_EN0_START_ER_EN << MXC_F_I2C_INT_EN0_START_ER_POS) /**< INT_EN0_START_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_STOP_ER_POS 14 /**< INT_EN0_STOP_ER Position */ + #define MXC_F_I2C_INT_EN0_STOP_ER ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_STOP_ER_POS)) /**< INT_EN0_STOP_ER Mask */ + #define MXC_V_I2C_INT_EN0_STOP_ER_DIS ((uint32_t)0x0UL) /**< INT_EN0_STOP_ER_DIS Value */ + #define MXC_S_I2C_INT_EN0_STOP_ER_DIS (MXC_V_I2C_INT_EN0_STOP_ER_DIS << MXC_F_I2C_INT_EN0_STOP_ER_POS) /**< INT_EN0_STOP_ER_DIS Setting */ + #define MXC_V_I2C_INT_EN0_STOP_ER_EN ((uint32_t)0x1UL) /**< INT_EN0_STOP_ER_EN Value */ + #define MXC_S_I2C_INT_EN0_STOP_ER_EN (MXC_V_I2C_INT_EN0_STOP_ER_EN << MXC_F_I2C_INT_EN0_STOP_ER_POS) /**< INT_EN0_STOP_ER_EN Setting */ + + #define MXC_F_I2C_INT_EN0_TX_LOCK_OUT_POS 15 /**< INT_EN0_TX_LOCK_OUT Position */ + #define MXC_F_I2C_INT_EN0_TX_LOCK_OUT ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN0_TX_LOCK_OUT_POS)) /**< INT_EN0_TX_LOCK_OUT Mask */ + #define MXC_V_I2C_INT_EN0_TX_LOCK_OUT_DIS ((uint32_t)0x0UL) /**< INT_EN0_TX_LOCK_OUT_DIS Value */ + #define MXC_S_I2C_INT_EN0_TX_LOCK_OUT_DIS (MXC_V_I2C_INT_EN0_TX_LOCK_OUT_DIS << MXC_F_I2C_INT_EN0_TX_LOCK_OUT_POS) /**< INT_EN0_TX_LOCK_OUT_DIS Setting */ + #define MXC_V_I2C_INT_EN0_TX_LOCK_OUT_EN ((uint32_t)0x1UL) /**< INT_EN0_TX_LOCK_OUT_EN Value */ + #define MXC_S_I2C_INT_EN0_TX_LOCK_OUT_EN (MXC_V_I2C_INT_EN0_TX_LOCK_OUT_EN << MXC_F_I2C_INT_EN0_TX_LOCK_OUT_POS) /**< INT_EN0_TX_LOCK_OUT_EN Setting */ + +/**@} end of group I2C_INT_EN0_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_INT_FL1 I2C_INT_FL1 + * @brief Interrupt Status Register 1. + * @{ + */ + #define MXC_F_I2C_INT_FL1_RX_OVERFLOW_POS 0 /**< INT_FL1_RX_OVERFLOW Position */ + #define MXC_F_I2C_INT_FL1_RX_OVERFLOW ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL1_RX_OVERFLOW_POS)) /**< INT_FL1_RX_OVERFLOW Mask */ + #define MXC_V_I2C_INT_FL1_RX_OVERFLOW_INACTIVE ((uint32_t)0x0UL) /**< INT_FL1_RX_OVERFLOW_INACTIVE Value */ + #define MXC_S_I2C_INT_FL1_RX_OVERFLOW_INACTIVE (MXC_V_I2C_INT_FL1_RX_OVERFLOW_INACTIVE << MXC_F_I2C_INT_FL1_RX_OVERFLOW_POS) /**< INT_FL1_RX_OVERFLOW_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL1_RX_OVERFLOW_PENDING ((uint32_t)0x1UL) /**< INT_FL1_RX_OVERFLOW_PENDING Value */ + #define MXC_S_I2C_INT_FL1_RX_OVERFLOW_PENDING (MXC_V_I2C_INT_FL1_RX_OVERFLOW_PENDING << MXC_F_I2C_INT_FL1_RX_OVERFLOW_POS) /**< INT_FL1_RX_OVERFLOW_PENDING Setting */ + + #define MXC_F_I2C_INT_FL1_TX_UNDERFLOW_POS 1 /**< INT_FL1_TX_UNDERFLOW Position */ + #define MXC_F_I2C_INT_FL1_TX_UNDERFLOW ((uint32_t)(0x1UL << MXC_F_I2C_INT_FL1_TX_UNDERFLOW_POS)) /**< INT_FL1_TX_UNDERFLOW Mask */ + #define MXC_V_I2C_INT_FL1_TX_UNDERFLOW_INACTIVE ((uint32_t)0x0UL) /**< INT_FL1_TX_UNDERFLOW_INACTIVE Value */ + #define MXC_S_I2C_INT_FL1_TX_UNDERFLOW_INACTIVE (MXC_V_I2C_INT_FL1_TX_UNDERFLOW_INACTIVE << MXC_F_I2C_INT_FL1_TX_UNDERFLOW_POS) /**< INT_FL1_TX_UNDERFLOW_INACTIVE Setting */ + #define MXC_V_I2C_INT_FL1_TX_UNDERFLOW_PENDING ((uint32_t)0x1UL) /**< INT_FL1_TX_UNDERFLOW_PENDING Value */ + #define MXC_S_I2C_INT_FL1_TX_UNDERFLOW_PENDING (MXC_V_I2C_INT_FL1_TX_UNDERFLOW_PENDING << MXC_F_I2C_INT_FL1_TX_UNDERFLOW_POS) /**< INT_FL1_TX_UNDERFLOW_PENDING Setting */ + +/**@} end of group I2C_INT_FL1_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_INT_EN1 I2C_INT_EN1 + * @brief Interrupt Staus Register 1. + * @{ + */ + #define MXC_F_I2C_INT_EN1_RX_OVERFLOW_POS 0 /**< INT_EN1_RX_OVERFLOW Position */ + #define MXC_F_I2C_INT_EN1_RX_OVERFLOW ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN1_RX_OVERFLOW_POS)) /**< INT_EN1_RX_OVERFLOW Mask */ + #define MXC_V_I2C_INT_EN1_RX_OVERFLOW_DIS ((uint32_t)0x0UL) /**< INT_EN1_RX_OVERFLOW_DIS Value */ + #define MXC_S_I2C_INT_EN1_RX_OVERFLOW_DIS (MXC_V_I2C_INT_EN1_RX_OVERFLOW_DIS << MXC_F_I2C_INT_EN1_RX_OVERFLOW_POS) /**< INT_EN1_RX_OVERFLOW_DIS Setting */ + #define MXC_V_I2C_INT_EN1_RX_OVERFLOW_EN ((uint32_t)0x1UL) /**< INT_EN1_RX_OVERFLOW_EN Value */ + #define MXC_S_I2C_INT_EN1_RX_OVERFLOW_EN (MXC_V_I2C_INT_EN1_RX_OVERFLOW_EN << MXC_F_I2C_INT_EN1_RX_OVERFLOW_POS) /**< INT_EN1_RX_OVERFLOW_EN Setting */ + + #define MXC_F_I2C_INT_EN1_TX_UNDERFLOW_POS 1 /**< INT_EN1_TX_UNDERFLOW Position */ + #define MXC_F_I2C_INT_EN1_TX_UNDERFLOW ((uint32_t)(0x1UL << MXC_F_I2C_INT_EN1_TX_UNDERFLOW_POS)) /**< INT_EN1_TX_UNDERFLOW Mask */ + #define MXC_V_I2C_INT_EN1_TX_UNDERFLOW_DIS ((uint32_t)0x0UL) /**< INT_EN1_TX_UNDERFLOW_DIS Value */ + #define MXC_S_I2C_INT_EN1_TX_UNDERFLOW_DIS (MXC_V_I2C_INT_EN1_TX_UNDERFLOW_DIS << MXC_F_I2C_INT_EN1_TX_UNDERFLOW_POS) /**< INT_EN1_TX_UNDERFLOW_DIS Setting */ + #define MXC_V_I2C_INT_EN1_TX_UNDERFLOW_EN ((uint32_t)0x1UL) /**< INT_EN1_TX_UNDERFLOW_EN Value */ + #define MXC_S_I2C_INT_EN1_TX_UNDERFLOW_EN (MXC_V_I2C_INT_EN1_TX_UNDERFLOW_EN << MXC_F_I2C_INT_EN1_TX_UNDERFLOW_POS) /**< INT_EN1_TX_UNDERFLOW_EN Setting */ + +/**@} end of group I2C_INT_EN1_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_FIFO_LEN I2C_FIFO_LEN + * @brief FIFO Configuration Register. + * @{ + */ + #define MXC_F_I2C_FIFO_LEN_RX_LEN_POS 0 /**< FIFO_LEN_RX_LEN Position */ + #define MXC_F_I2C_FIFO_LEN_RX_LEN ((uint32_t)(0xFFUL << MXC_F_I2C_FIFO_LEN_RX_LEN_POS)) /**< FIFO_LEN_RX_LEN Mask */ + + #define MXC_F_I2C_FIFO_LEN_TX_LEN_POS 8 /**< FIFO_LEN_TX_LEN Position */ + #define MXC_F_I2C_FIFO_LEN_TX_LEN ((uint32_t)(0xFFUL << MXC_F_I2C_FIFO_LEN_TX_LEN_POS)) /**< FIFO_LEN_TX_LEN Mask */ + +/**@} end of group I2C_FIFO_LEN_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_RX_CTRL0 I2C_RX_CTRL0 + * @brief Receive Control Register 0. + * @{ + */ + #define MXC_F_I2C_RX_CTRL0_DNR_POS 0 /**< RX_CTRL0_DNR Position */ + #define MXC_F_I2C_RX_CTRL0_DNR ((uint32_t)(0x1UL << MXC_F_I2C_RX_CTRL0_DNR_POS)) /**< RX_CTRL0_DNR Mask */ + #define MXC_V_I2C_RX_CTRL0_DNR_RESPOND ((uint32_t)0x0UL) /**< RX_CTRL0_DNR_RESPOND Value */ + #define MXC_S_I2C_RX_CTRL0_DNR_RESPOND (MXC_V_I2C_RX_CTRL0_DNR_RESPOND << MXC_F_I2C_RX_CTRL0_DNR_POS) /**< RX_CTRL0_DNR_RESPOND Setting */ + #define MXC_V_I2C_RX_CTRL0_DNR_NOT_RESPOND_RX_FIFO_EMPTY ((uint32_t)0x1UL) /**< RX_CTRL0_DNR_NOT_RESPOND_RX_FIFO_EMPTY Value */ + #define MXC_S_I2C_RX_CTRL0_DNR_NOT_RESPOND_RX_FIFO_EMPTY (MXC_V_I2C_RX_CTRL0_DNR_NOT_RESPOND_RX_FIFO_EMPTY << MXC_F_I2C_RX_CTRL0_DNR_POS) /**< RX_CTRL0_DNR_NOT_RESPOND_RX_FIFO_EMPTY Setting */ + + #define MXC_F_I2C_RX_CTRL0_RX_FLUSH_POS 7 /**< RX_CTRL0_RX_FLUSH Position */ + #define MXC_F_I2C_RX_CTRL0_RX_FLUSH ((uint32_t)(0x1UL << MXC_F_I2C_RX_CTRL0_RX_FLUSH_POS)) /**< RX_CTRL0_RX_FLUSH Mask */ + #define MXC_V_I2C_RX_CTRL0_RX_FLUSH_NOT_FLUSHED ((uint32_t)0x0UL) /**< RX_CTRL0_RX_FLUSH_NOT_FLUSHED Value */ + #define MXC_S_I2C_RX_CTRL0_RX_FLUSH_NOT_FLUSHED (MXC_V_I2C_RX_CTRL0_RX_FLUSH_NOT_FLUSHED << MXC_F_I2C_RX_CTRL0_RX_FLUSH_POS) /**< RX_CTRL0_RX_FLUSH_NOT_FLUSHED Setting */ + #define MXC_V_I2C_RX_CTRL0_RX_FLUSH_FLUSH ((uint32_t)0x1UL) /**< RX_CTRL0_RX_FLUSH_FLUSH Value */ + #define MXC_S_I2C_RX_CTRL0_RX_FLUSH_FLUSH (MXC_V_I2C_RX_CTRL0_RX_FLUSH_FLUSH << MXC_F_I2C_RX_CTRL0_RX_FLUSH_POS) /**< RX_CTRL0_RX_FLUSH_FLUSH Setting */ + + #define MXC_F_I2C_RX_CTRL0_RX_THRESH_POS 8 /**< RX_CTRL0_RX_THRESH Position */ + #define MXC_F_I2C_RX_CTRL0_RX_THRESH ((uint32_t)(0xFUL << MXC_F_I2C_RX_CTRL0_RX_THRESH_POS)) /**< RX_CTRL0_RX_THRESH Mask */ + +/**@} end of group I2C_RX_CTRL0_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_RX_CTRL1 I2C_RX_CTRL1 + * @brief Receive Control Register 1. + * @{ + */ + #define MXC_F_I2C_RX_CTRL1_RX_CNT_POS 0 /**< RX_CTRL1_RX_CNT Position */ + #define MXC_F_I2C_RX_CTRL1_RX_CNT ((uint32_t)(0xFFUL << MXC_F_I2C_RX_CTRL1_RX_CNT_POS)) /**< RX_CTRL1_RX_CNT Mask */ + + #define MXC_F_I2C_RX_CTRL1_RX_FIFO_POS 8 /**< RX_CTRL1_RX_FIFO Position */ + #define MXC_F_I2C_RX_CTRL1_RX_FIFO ((uint32_t)(0xFUL << MXC_F_I2C_RX_CTRL1_RX_FIFO_POS)) /**< RX_CTRL1_RX_FIFO Mask */ + +/**@} end of group I2C_RX_CTRL1_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_TX_CTRL0 I2C_TX_CTRL0 + * @brief Transmit Control Register 0. + * @{ + */ + #define MXC_F_I2C_TX_CTRL0_TX_PRELOAD_POS 0 /**< TX_CTRL0_TX_PRELOAD Position */ + #define MXC_F_I2C_TX_CTRL0_TX_PRELOAD ((uint32_t)(0x1UL << MXC_F_I2C_TX_CTRL0_TX_PRELOAD_POS)) /**< TX_CTRL0_TX_PRELOAD Mask */ + + #define MXC_F_I2C_TX_CTRL0_TX_READY_MODE_POS 1 /**< TX_CTRL0_TX_READY_MODE Position */ + #define MXC_F_I2C_TX_CTRL0_TX_READY_MODE ((uint32_t)(0x1UL << MXC_F_I2C_TX_CTRL0_TX_READY_MODE_POS)) /**< TX_CTRL0_TX_READY_MODE Mask */ + #define MXC_V_I2C_TX_CTRL0_TX_READY_MODE_EN ((uint32_t)0x0UL) /**< TX_CTRL0_TX_READY_MODE_EN Value */ + #define MXC_S_I2C_TX_CTRL0_TX_READY_MODE_EN (MXC_V_I2C_TX_CTRL0_TX_READY_MODE_EN << MXC_F_I2C_TX_CTRL0_TX_READY_MODE_POS) /**< TX_CTRL0_TX_READY_MODE_EN Setting */ + #define MXC_V_I2C_TX_CTRL0_TX_READY_MODE_DIS ((uint32_t)0x1UL) /**< TX_CTRL0_TX_READY_MODE_DIS Value */ + #define MXC_S_I2C_TX_CTRL0_TX_READY_MODE_DIS (MXC_V_I2C_TX_CTRL0_TX_READY_MODE_DIS << MXC_F_I2C_TX_CTRL0_TX_READY_MODE_POS) /**< TX_CTRL0_TX_READY_MODE_DIS Setting */ + + #define MXC_F_I2C_TX_CTRL0_TX_FLUSH_POS 7 /**< TX_CTRL0_TX_FLUSH Position */ + #define MXC_F_I2C_TX_CTRL0_TX_FLUSH ((uint32_t)(0x1UL << MXC_F_I2C_TX_CTRL0_TX_FLUSH_POS)) /**< TX_CTRL0_TX_FLUSH Mask */ + #define MXC_V_I2C_TX_CTRL0_TX_FLUSH_NOT_FLUSHED ((uint32_t)0x0UL) /**< TX_CTRL0_TX_FLUSH_NOT_FLUSHED Value */ + #define MXC_S_I2C_TX_CTRL0_TX_FLUSH_NOT_FLUSHED (MXC_V_I2C_TX_CTRL0_TX_FLUSH_NOT_FLUSHED << MXC_F_I2C_TX_CTRL0_TX_FLUSH_POS) /**< TX_CTRL0_TX_FLUSH_NOT_FLUSHED Setting */ + #define MXC_V_I2C_TX_CTRL0_TX_FLUSH_FLUSH ((uint32_t)0x1UL) /**< TX_CTRL0_TX_FLUSH_FLUSH Value */ + #define MXC_S_I2C_TX_CTRL0_TX_FLUSH_FLUSH (MXC_V_I2C_TX_CTRL0_TX_FLUSH_FLUSH << MXC_F_I2C_TX_CTRL0_TX_FLUSH_POS) /**< TX_CTRL0_TX_FLUSH_FLUSH Setting */ + + #define MXC_F_I2C_TX_CTRL0_TX_THRESH_POS 8 /**< TX_CTRL0_TX_THRESH Position */ + #define MXC_F_I2C_TX_CTRL0_TX_THRESH ((uint32_t)(0xFUL << MXC_F_I2C_TX_CTRL0_TX_THRESH_POS)) /**< TX_CTRL0_TX_THRESH Mask */ + +/**@} end of group I2C_TX_CTRL0_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_TX_CTRL1 I2C_TX_CTRL1 + * @brief Transmit Control Register 1. + * @{ + */ + #define MXC_F_I2C_TX_CTRL1_TX_READY_POS 0 /**< TX_CTRL1_TX_READY Position */ + #define MXC_F_I2C_TX_CTRL1_TX_READY ((uint32_t)(0x1UL << MXC_F_I2C_TX_CTRL1_TX_READY_POS)) /**< TX_CTRL1_TX_READY Mask */ + + #define MXC_F_I2C_TX_CTRL1_TX_LAST_POS 1 /**< TX_CTRL1_TX_LAST Position */ + #define MXC_F_I2C_TX_CTRL1_TX_LAST ((uint32_t)(0x1UL << MXC_F_I2C_TX_CTRL1_TX_LAST_POS)) /**< TX_CTRL1_TX_LAST Mask */ + #define MXC_V_I2C_TX_CTRL1_TX_LAST_HOLD_SCL_LOW ((uint32_t)0x0UL) /**< TX_CTRL1_TX_LAST_HOLD_SCL_LOW Value */ + #define MXC_S_I2C_TX_CTRL1_TX_LAST_HOLD_SCL_LOW (MXC_V_I2C_TX_CTRL1_TX_LAST_HOLD_SCL_LOW << MXC_F_I2C_TX_CTRL1_TX_LAST_POS) /**< TX_CTRL1_TX_LAST_HOLD_SCL_LOW Setting */ + #define MXC_V_I2C_TX_CTRL1_TX_LAST_END_TRANSACTION ((uint32_t)0x1UL) /**< TX_CTRL1_TX_LAST_END_TRANSACTION Value */ + #define MXC_S_I2C_TX_CTRL1_TX_LAST_END_TRANSACTION (MXC_V_I2C_TX_CTRL1_TX_LAST_END_TRANSACTION << MXC_F_I2C_TX_CTRL1_TX_LAST_POS) /**< TX_CTRL1_TX_LAST_END_TRANSACTION Setting */ + + #define MXC_F_I2C_TX_CTRL1_TX_FIFO_POS 8 /**< TX_CTRL1_TX_FIFO Position */ + #define MXC_F_I2C_TX_CTRL1_TX_FIFO ((uint32_t)(0xFUL << MXC_F_I2C_TX_CTRL1_TX_FIFO_POS)) /**< TX_CTRL1_TX_FIFO Mask */ + +/**@} end of group I2C_TX_CTRL1_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_FIFO I2C_FIFO + * @brief Data Register. + * @{ + */ + #define MXC_F_I2C_FIFO_DATA_POS 0 /**< FIFO_DATA Position */ + #define MXC_F_I2C_FIFO_DATA ((uint32_t)(0xFFUL << MXC_F_I2C_FIFO_DATA_POS)) /**< FIFO_DATA Mask */ + +/**@} end of group I2C_FIFO_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_MASTER_CTRL I2C_MASTER_CTRL + * @brief Master Control Register. + * @{ + */ + #define MXC_F_I2C_MASTER_CTRL_START_POS 0 /**< MASTER_CTRL_START Position */ + #define MXC_F_I2C_MASTER_CTRL_START ((uint32_t)(0x1UL << MXC_F_I2C_MASTER_CTRL_START_POS)) /**< MASTER_CTRL_START Mask */ + + #define MXC_F_I2C_MASTER_CTRL_RESTART_POS 1 /**< MASTER_CTRL_RESTART Position */ + #define MXC_F_I2C_MASTER_CTRL_RESTART ((uint32_t)(0x1UL << MXC_F_I2C_MASTER_CTRL_RESTART_POS)) /**< MASTER_CTRL_RESTART Mask */ + + #define MXC_F_I2C_MASTER_CTRL_STOP_POS 2 /**< MASTER_CTRL_STOP Position */ + #define MXC_F_I2C_MASTER_CTRL_STOP ((uint32_t)(0x1UL << MXC_F_I2C_MASTER_CTRL_STOP_POS)) /**< MASTER_CTRL_STOP Mask */ + + #define MXC_F_I2C_MASTER_CTRL_SL_EX_ADDR_POS 7 /**< MASTER_CTRL_SL_EX_ADDR Position */ + #define MXC_F_I2C_MASTER_CTRL_SL_EX_ADDR ((uint32_t)(0x1UL << MXC_F_I2C_MASTER_CTRL_SL_EX_ADDR_POS)) /**< MASTER_CTRL_SL_EX_ADDR Mask */ + #define MXC_V_I2C_MASTER_CTRL_SL_EX_ADDR_7_BITS_ADDRESS ((uint32_t)0x0UL) /**< MASTER_CTRL_SL_EX_ADDR_7_BITS_ADDRESS Value */ + #define MXC_S_I2C_MASTER_CTRL_SL_EX_ADDR_7_BITS_ADDRESS (MXC_V_I2C_MASTER_CTRL_SL_EX_ADDR_7_BITS_ADDRESS << MXC_F_I2C_MASTER_CTRL_SL_EX_ADDR_POS) /**< MASTER_CTRL_SL_EX_ADDR_7_BITS_ADDRESS Setting */ + #define MXC_V_I2C_MASTER_CTRL_SL_EX_ADDR_10_BITS_ADDRESS ((uint32_t)0x1UL) /**< MASTER_CTRL_SL_EX_ADDR_10_BITS_ADDRESS Value */ + #define MXC_S_I2C_MASTER_CTRL_SL_EX_ADDR_10_BITS_ADDRESS (MXC_V_I2C_MASTER_CTRL_SL_EX_ADDR_10_BITS_ADDRESS << MXC_F_I2C_MASTER_CTRL_SL_EX_ADDR_POS) /**< MASTER_CTRL_SL_EX_ADDR_10_BITS_ADDRESS Setting */ + + #define MXC_F_I2C_MASTER_CTRL_MASTER_CODE_POS 8 /**< MASTER_CTRL_MASTER_CODE Position */ + #define MXC_F_I2C_MASTER_CTRL_MASTER_CODE ((uint32_t)(0x7UL << MXC_F_I2C_MASTER_CTRL_MASTER_CODE_POS)) /**< MASTER_CTRL_MASTER_CODE Mask */ + + #define MXC_F_I2C_MASTER_CTRL_SCL_SPEED_UP_POS 11 /**< MASTER_CTRL_SCL_SPEED_UP Position */ + #define MXC_F_I2C_MASTER_CTRL_SCL_SPEED_UP ((uint32_t)(0x1UL << MXC_F_I2C_MASTER_CTRL_SCL_SPEED_UP_POS)) /**< MASTER_CTRL_SCL_SPEED_UP Mask */ + #define MXC_V_I2C_MASTER_CTRL_SCL_SPEED_UP_EN ((uint32_t)0x0UL) /**< MASTER_CTRL_SCL_SPEED_UP_EN Value */ + #define MXC_S_I2C_MASTER_CTRL_SCL_SPEED_UP_EN (MXC_V_I2C_MASTER_CTRL_SCL_SPEED_UP_EN << MXC_F_I2C_MASTER_CTRL_SCL_SPEED_UP_POS) /**< MASTER_CTRL_SCL_SPEED_UP_EN Setting */ + #define MXC_V_I2C_MASTER_CTRL_SCL_SPEED_UP_DIS ((uint32_t)0x1UL) /**< MASTER_CTRL_SCL_SPEED_UP_DIS Value */ + #define MXC_S_I2C_MASTER_CTRL_SCL_SPEED_UP_DIS (MXC_V_I2C_MASTER_CTRL_SCL_SPEED_UP_DIS << MXC_F_I2C_MASTER_CTRL_SCL_SPEED_UP_POS) /**< MASTER_CTRL_SCL_SPEED_UP_DIS Setting */ + +/**@} end of group I2C_MASTER_CTRL_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_CLK_LO I2C_CLK_LO + * @brief Clock Low Register. + * @{ + */ + #define MXC_F_I2C_CLK_LO_CLK_LO_POS 0 /**< CLK_LO_CLK_LO Position */ + #define MXC_F_I2C_CLK_LO_CLK_LO ((uint32_t)(0x1FFUL << MXC_F_I2C_CLK_LO_CLK_LO_POS)) /**< CLK_LO_CLK_LO Mask */ + +/**@} end of group I2C_CLK_LO_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_CLK_HI I2C_CLK_HI + * @brief Clock high Register. + * @{ + */ + #define MXC_F_I2C_CLK_HI_CKH_POS 0 /**< CLK_HI_CKH Position */ + #define MXC_F_I2C_CLK_HI_CKH ((uint32_t)(0x1FFUL << MXC_F_I2C_CLK_HI_CKH_POS)) /**< CLK_HI_CKH Mask */ + +/**@} end of group I2C_CLK_HI_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_HS_CLK I2C_HS_CLK + * @brief HS-Mode Clock Control Register + * @{ + */ + #define MXC_F_I2C_HS_CLK_HS_CLK_LO_POS 0 /**< HS_CLK_HS_CLK_LO Position */ + #define MXC_F_I2C_HS_CLK_HS_CLK_LO ((uint32_t)(0xFFUL << MXC_F_I2C_HS_CLK_HS_CLK_LO_POS)) /**< HS_CLK_HS_CLK_LO Mask */ + + #define MXC_F_I2C_HS_CLK_HS_CLK_HI_POS 8 /**< HS_CLK_HS_CLK_HI Position */ + #define MXC_F_I2C_HS_CLK_HS_CLK_HI ((uint32_t)(0xFFUL << MXC_F_I2C_HS_CLK_HS_CLK_HI_POS)) /**< HS_CLK_HS_CLK_HI Mask */ + +/**@} end of group I2C_HS_CLK_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_TIMEOUT I2C_TIMEOUT + * @brief Timeout Register + * @{ + */ + #define MXC_F_I2C_TIMEOUT_TO_POS 0 /**< TIMEOUT_TO Position */ + #define MXC_F_I2C_TIMEOUT_TO ((uint32_t)(0xFFFFUL << MXC_F_I2C_TIMEOUT_TO_POS)) /**< TIMEOUT_TO Mask */ + +/**@} end of group I2C_TIMEOUT_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_SLAVE_ADDR I2C_SLAVE_ADDR + * @brief Slave Address Register. + * @{ + */ + #define MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_POS 0 /**< SLAVE_ADDR_SLAVE_ADDR Position */ + #define MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR ((uint32_t)(0x3FFUL << MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_POS)) /**< SLAVE_ADDR_SLAVE_ADDR Mask */ + + #define MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_DIS_POS 10 /**< SLAVE_ADDR_SLAVE_ADDR_DIS Position */ + #define MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_DIS ((uint32_t)(0x1UL << MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_DIS_POS)) /**< SLAVE_ADDR_SLAVE_ADDR_DIS Mask */ + + #define MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_IDX_POS 11 /**< SLAVE_ADDR_SLAVE_ADDR_IDX Position */ + #define MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_IDX ((uint32_t)(0xFUL << MXC_F_I2C_SLAVE_ADDR_SLAVE_ADDR_IDX_POS)) /**< SLAVE_ADDR_SLAVE_ADDR_IDX Mask */ + + #define MXC_F_I2C_SLAVE_ADDR_EX_ADDR_POS 15 /**< SLAVE_ADDR_EX_ADDR Position */ + #define MXC_F_I2C_SLAVE_ADDR_EX_ADDR ((uint32_t)(0x1UL << MXC_F_I2C_SLAVE_ADDR_EX_ADDR_POS)) /**< SLAVE_ADDR_EX_ADDR Mask */ + #define MXC_V_I2C_SLAVE_ADDR_EX_ADDR_7_BITS_ADDRESS ((uint32_t)0x0UL) /**< SLAVE_ADDR_EX_ADDR_7_BITS_ADDRESS Value */ + #define MXC_S_I2C_SLAVE_ADDR_EX_ADDR_7_BITS_ADDRESS (MXC_V_I2C_SLAVE_ADDR_EX_ADDR_7_BITS_ADDRESS << MXC_F_I2C_SLAVE_ADDR_EX_ADDR_POS) /**< SLAVE_ADDR_EX_ADDR_7_BITS_ADDRESS Setting */ + #define MXC_V_I2C_SLAVE_ADDR_EX_ADDR_10_BITS_ADDRESS ((uint32_t)0x1UL) /**< SLAVE_ADDR_EX_ADDR_10_BITS_ADDRESS Value */ + #define MXC_S_I2C_SLAVE_ADDR_EX_ADDR_10_BITS_ADDRESS (MXC_V_I2C_SLAVE_ADDR_EX_ADDR_10_BITS_ADDRESS << MXC_F_I2C_SLAVE_ADDR_EX_ADDR_POS) /**< SLAVE_ADDR_EX_ADDR_10_BITS_ADDRESS Setting */ + +/**@} end of group I2C_SLAVE_ADDR_Register */ + +/** + * @ingroup i2c_registers + * @defgroup I2C_DMA I2C_DMA + * @brief DMA Register. + * @{ + */ + #define MXC_F_I2C_DMA_TX_EN_POS 0 /**< DMA_TX_EN Position */ + #define MXC_F_I2C_DMA_TX_EN ((uint32_t)(0x1UL << MXC_F_I2C_DMA_TX_EN_POS)) /**< DMA_TX_EN Mask */ + #define MXC_V_I2C_DMA_TX_EN_DIS ((uint32_t)0x0UL) /**< DMA_TX_EN_DIS Value */ + #define MXC_S_I2C_DMA_TX_EN_DIS (MXC_V_I2C_DMA_TX_EN_DIS << MXC_F_I2C_DMA_TX_EN_POS) /**< DMA_TX_EN_DIS Setting */ + #define MXC_V_I2C_DMA_TX_EN_EN ((uint32_t)0x1UL) /**< DMA_TX_EN_EN Value */ + #define MXC_S_I2C_DMA_TX_EN_EN (MXC_V_I2C_DMA_TX_EN_EN << MXC_F_I2C_DMA_TX_EN_POS) /**< DMA_TX_EN_EN Setting */ + + #define MXC_F_I2C_DMA_RX_EN_POS 1 /**< DMA_RX_EN Position */ + #define MXC_F_I2C_DMA_RX_EN ((uint32_t)(0x1UL << MXC_F_I2C_DMA_RX_EN_POS)) /**< DMA_RX_EN Mask */ + #define MXC_V_I2C_DMA_RX_EN_DIS ((uint32_t)0x0UL) /**< DMA_RX_EN_DIS Value */ + #define MXC_S_I2C_DMA_RX_EN_DIS (MXC_V_I2C_DMA_RX_EN_DIS << MXC_F_I2C_DMA_RX_EN_POS) /**< DMA_RX_EN_DIS Setting */ + #define MXC_V_I2C_DMA_RX_EN_EN ((uint32_t)0x1UL) /**< DMA_RX_EN_EN Value */ + #define MXC_S_I2C_DMA_RX_EN_EN (MXC_V_I2C_DMA_RX_EN_EN << MXC_F_I2C_DMA_RX_EN_POS) /**< DMA_RX_EN_EN Setting */ + +/**@} end of group I2C_DMA_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _I2C_REGS_H_ */ diff --git a/Firmware/SDK/Device/icc_regs.h b/Firmware/SDK/Device/icc_regs.h new file mode 100644 index 0000000..abfb9d1 --- /dev/null +++ b/Firmware/SDK/Device/icc_regs.h @@ -0,0 +1,167 @@ +/** + * @file icc_regs.h + * @brief Registers, Bit Masks and Bit Positions for the ICC Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _ICC_REGS_H_ +#define _ICC_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup icc + * @defgroup icc_registers ICC_Registers + * @brief Registers, Bit Masks and Bit Positions for the ICC Peripheral Module. + * @details Instruction Cache Controller Registers + */ + +/** + * @ingroup icc_registers + * Structure type to access the ICC Registers. + */ +typedef struct { + __I uint32_t cache_id; /**< \b 0x0000: ICC CACHE_ID Register */ + __I uint32_t memcfg; /**< \b 0x0004: ICC MEMCFG Register */ + __R uint32_t rsv_0x8_0xff[62]; + __IO uint32_t cache_ctrl; /**< \b 0x0100: ICC CACHE_CTRL Register */ + __R uint32_t rsv_0x104_0x6ff[383]; + __IO uint32_t invalidate; /**< \b 0x0700: ICC INVALIDATE Register */ +} mxc_icc_regs_t; + +/* Register offsets for module ICC */ +/** + * @ingroup icc_registers + * @defgroup ICC_Register_Offsets Register Offsets + * @brief ICC Peripheral Register Offsets from the ICC Base Peripheral Address. + * @{ + */ + #define MXC_R_ICC_CACHE_ID ((uint32_t)0x00000000UL) /**< Offset from ICC Base Address: 0x0000 */ + #define MXC_R_ICC_MEMCFG ((uint32_t)0x00000004UL) /**< Offset from ICC Base Address: 0x0004 */ + #define MXC_R_ICC_CACHE_CTRL ((uint32_t)0x00000100UL) /**< Offset from ICC Base Address: 0x0100 */ + #define MXC_R_ICC_INVALIDATE ((uint32_t)0x00000700UL) /**< Offset from ICC Base Address: 0x0700 */ +/**@} end of group icc_registers */ + +/** + * @ingroup icc_registers + * @defgroup ICC_CACHE_ID ICC_CACHE_ID + * @brief Cache ID Register. + * @{ + */ + #define MXC_F_ICC_CACHE_ID_RELNUM_POS 0 /**< CACHE_ID_RELNUM Position */ + #define MXC_F_ICC_CACHE_ID_RELNUM ((uint32_t)(0x3FUL << MXC_F_ICC_CACHE_ID_RELNUM_POS)) /**< CACHE_ID_RELNUM Mask */ + + #define MXC_F_ICC_CACHE_ID_PARTNUM_POS 6 /**< CACHE_ID_PARTNUM Position */ + #define MXC_F_ICC_CACHE_ID_PARTNUM ((uint32_t)(0xFUL << MXC_F_ICC_CACHE_ID_PARTNUM_POS)) /**< CACHE_ID_PARTNUM Mask */ + + #define MXC_F_ICC_CACHE_ID_CCHID_POS 10 /**< CACHE_ID_CCHID Position */ + #define MXC_F_ICC_CACHE_ID_CCHID ((uint32_t)(0x3FUL << MXC_F_ICC_CACHE_ID_CCHID_POS)) /**< CACHE_ID_CCHID Mask */ + +/**@} end of group ICC_CACHE_ID_Register */ + +/** + * @ingroup icc_registers + * @defgroup ICC_MEMCFG ICC_MEMCFG + * @brief Memory Configuration Register. + * @{ + */ + #define MXC_F_ICC_MEMCFG_CCHSZ_POS 0 /**< MEMCFG_CCHSZ Position */ + #define MXC_F_ICC_MEMCFG_CCHSZ ((uint32_t)(0xFFFFUL << MXC_F_ICC_MEMCFG_CCHSZ_POS)) /**< MEMCFG_CCHSZ Mask */ + + #define MXC_F_ICC_MEMCFG_MEMSZ_POS 16 /**< MEMCFG_MEMSZ Position */ + #define MXC_F_ICC_MEMCFG_MEMSZ ((uint32_t)(0xFFFFUL << MXC_F_ICC_MEMCFG_MEMSZ_POS)) /**< MEMCFG_MEMSZ Mask */ + +/**@} end of group ICC_MEMCFG_Register */ + +/** + * @ingroup icc_registers + * @defgroup ICC_CACHE_CTRL ICC_CACHE_CTRL + * @brief Cache Control and Status Register. + * @{ + */ + #define MXC_F_ICC_CACHE_CTRL_CACHE_EN_POS 0 /**< CACHE_CTRL_CACHE_EN Position */ + #define MXC_F_ICC_CACHE_CTRL_CACHE_EN ((uint32_t)(0x1UL << MXC_F_ICC_CACHE_CTRL_CACHE_EN_POS)) /**< CACHE_CTRL_CACHE_EN Mask */ + #define MXC_V_ICC_CACHE_CTRL_CACHE_EN_DIS ((uint32_t)0x0UL) /**< CACHE_CTRL_CACHE_EN_DIS Value */ + #define MXC_S_ICC_CACHE_CTRL_CACHE_EN_DIS (MXC_V_ICC_CACHE_CTRL_CACHE_EN_DIS << MXC_F_ICC_CACHE_CTRL_CACHE_EN_POS) /**< CACHE_CTRL_CACHE_EN_DIS Setting */ + #define MXC_V_ICC_CACHE_CTRL_CACHE_EN_EN ((uint32_t)0x1UL) /**< CACHE_CTRL_CACHE_EN_EN Value */ + #define MXC_S_ICC_CACHE_CTRL_CACHE_EN_EN (MXC_V_ICC_CACHE_CTRL_CACHE_EN_EN << MXC_F_ICC_CACHE_CTRL_CACHE_EN_POS) /**< CACHE_CTRL_CACHE_EN_EN Setting */ + + #define MXC_F_ICC_CACHE_CTRL_CACHE_RDY_POS 16 /**< CACHE_CTRL_CACHE_RDY Position */ + #define MXC_F_ICC_CACHE_CTRL_CACHE_RDY ((uint32_t)(0x1UL << MXC_F_ICC_CACHE_CTRL_CACHE_RDY_POS)) /**< CACHE_CTRL_CACHE_RDY Mask */ + #define MXC_V_ICC_CACHE_CTRL_CACHE_RDY_NOTREADY ((uint32_t)0x0UL) /**< CACHE_CTRL_CACHE_RDY_NOTREADY Value */ + #define MXC_S_ICC_CACHE_CTRL_CACHE_RDY_NOTREADY (MXC_V_ICC_CACHE_CTRL_CACHE_RDY_NOTREADY << MXC_F_ICC_CACHE_CTRL_CACHE_RDY_POS) /**< CACHE_CTRL_CACHE_RDY_NOTREADY Setting */ + #define MXC_V_ICC_CACHE_CTRL_CACHE_RDY_READY ((uint32_t)0x1UL) /**< CACHE_CTRL_CACHE_RDY_READY Value */ + #define MXC_S_ICC_CACHE_CTRL_CACHE_RDY_READY (MXC_V_ICC_CACHE_CTRL_CACHE_RDY_READY << MXC_F_ICC_CACHE_CTRL_CACHE_RDY_POS) /**< CACHE_CTRL_CACHE_RDY_READY Setting */ + +/**@} end of group ICC_CACHE_CTRL_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _ICC_REGS_H_ */ diff --git a/Firmware/SDK/Device/max32660.h b/Firmware/SDK/Device/max32660.h new file mode 100644 index 0000000..6df6839 --- /dev/null +++ b/Firmware/SDK/Device/max32660.h @@ -0,0 +1,403 @@ +/** + * @file max32660.h + * @brief Device-specific perhiperal header file + */ + +/******************************************************************************* + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + ******************************************************************************/ + +#ifndef _MAX32660_REGS_H_ +#define _MAX32660_REGS_H_ + +#ifndef TARGET_NUM +#define TARGET_NUM 32660 +#endif + +#include + +#ifndef FALSE +#define FALSE (0) +#endif + +#ifndef TRUE +#define TRUE (1) +#endif + +#if !defined (__GNUC__) +#define CMSIS_VECTAB_VIRTUAL +#define CMSIS_VECTAB_VIRTUAL_HEADER_FILE "nvic_table.h" +#endif /* !__GNUC__ */ + +/* COMPILER SPECIFIC DEFINES (IAR, ARMCC and GNUC) */ +#if defined ( __GNUC__ ) /* GCC */ +#define __weak __attribute__((weak)) + +#elif defined ( __CC_ARM) /* Keil */ + +#define inline __inline +#pragma anon_unions + +#endif + +typedef enum { + NonMaskableInt_IRQn = -14, + HardFault_IRQn = -13, + MemoryManagement_IRQn = -12, + BusFault_IRQn = -11, + UsageFault_IRQn = -10, + SVCall_IRQn = -5, + DebugMonitor_IRQn = -4, + PendSV_IRQn = -2, + SysTick_IRQn = -1, + + /* Device-specific interrupt sources (external to ARM core) */ + /* table entry number */ + /* |||| */ + /* |||| table offset address */ + /* vvvv vvvvvv */ + + PF_IRQn = 0, /* 0x10 0x0040 16: Power Fail */ + WDT0_IRQn, /* 0x11 0x0044 17: Watchdog 0 */ + RSV00_IRQn, /* 0x12 0x0048 18: RSV00 */ + RTC_IRQn, /* 0x13 0x004C 19: RTC */ + RSV1_IRQn, /* 0x14 0x0050 20: RSV1 */ + TMR0_IRQn, /* 0x15 0x0054 21: Timer 0 */ + TMR1_IRQn, /* 0x16 0x0058 22: Timer 1 */ + TMR2_IRQn, /* 0x17 0x005C 23: Timer 2 */ + RSV02_IRQn, /* 0x18 0x0060 24: RSV02 */ + RSV03_IRQn, /* 0x19 0x0064 25: RSV03 */ + RSV04_IRQn, /* 0x1A 0x0068 26: RSV04 */ + RSV05_IRQn, /* 0x1B 0x006C 27: RSV05 */ + RSV06_IRQn, /* 0x1C 0x0070 28: RSV06 */ + I2C0_IRQn, /* 0x1D 0x0074 29: I2C0 */ + UART0_IRQn, /* 0x1E 0x0078 30: UART 0 */ + UART1_IRQn, /* 0x1F 0x007C 31: UART 1 */ + SPI17Y_IRQn, /* 0x20 0x0080 32: SPI17Y */ + SPIMSS_IRQn, /* 0x21 0x0084 33: SPIMSS */ + RSV07_IRQn, /* 0x22 0x0088 34: RSV07 */ + RSV08_IRQn, /* 0x23 0x008C 35: RSV08 */ + RSV09_IRQn, /* 0x24 0x0090 36: RSV09 */ + RSV10_IRQn, /* 0x25 0x0094 37: RSV10 */ + RSV11_IRQn, /* 0x26 0x0098 38: RSV11 */ + FLC_IRQn, /* 0x27 0x009C 39: FLC */ + GPIO0_IRQn, /* 0x28 0x00A0 40: GPIO0 */ + RSV12_IRQn, /* 0x29 0x00A4 41: RSV12 */ + RSV13_IRQn, /* 0x2A 0x00A8 42: RSV13 */ + RSV14_IRQn, /* 0x2B 0x00AC 43: RSV14 */ + DMA0_IRQn, /* 0x2C 0x00B0 44: DMA0 */ + DMA1_IRQn, /* 0x2D 0x00B4 45: DMA1 */ + DMA2_IRQn, /* 0x2E 0x00B8 46: DMA2 */ + DMA3_IRQn, /* 0x2F 0x00BC 47: DMA3 */ + RSV15_IRQn, /* 0x30 0x00C0 48: RSV15 */ + RSV16_IRQn, /* 0x31 0x00C4 49: RSV16 */ + RSV17_IRQn, /* 0x32 0x00C8 50: RSV17 */ + RSV18_IRQn, /* 0x33 0x00CC 51: RSV18 */ + I2C1_IRQn, /* 0x34 0x00D0 52: I2C1 */ + RSV19_IRQn, /* 0x35 0x00D4 53: RSV19 */ + RSV20_IRQn, /* 0x36 0x00D8 54: RSV20 */ + RSV21_IRQn, /* 0x37 0x00DC 55: RSV21 */ + RSV22_IRQn, /* 0x38 0x00E0 56: RSV22 */ + RSV23_IRQn, /* 0x39 0x00E4 57: RSV23 */ + RSV24_IRQn, /* 0x3A 0x00E8 58: RSV24 */ + RSV25_IRQn, /* 0x3B 0x00EC 59: RSV25 */ + RSV26_IRQn, /* 0x3C 0x00F0 60: RSV26 */ + RSV27_IRQn, /* 0x3D 0x00F4 61: RSV27 */ + RSV28_IRQn, /* 0x3E 0x00F8 62: RSV28 */ + RSV29_IRQn, /* 0x3F 0x00FC 63: RSV29 */ + RSV30_IRQn, /* 0x40 0x0100 64: RSV30 */ + RSV31_IRQn, /* 0x41 0x0104 65: RSV31 */ + RSV32_IRQn, /* 0x42 0x0108 66: RSV32 */ + RSV33_IRQn, /* 0x43 0x010C 67: RSV33 */ + RSV34_IRQn, /* 0x44 0x0110 68: RSV34 */ + RSV35_IRQn, /* 0x45 0x0114 69: RSV35 */ + GPIOWAKE_IRQn, /* 0x46 0x0118 70: GPIO Wakeup */ + MXC_IRQ_EXT_COUNT, +} IRQn_Type; + +#define MXC_IRQ_COUNT (MXC_IRQ_EXT_COUNT + 16) + + +/* ================================================================================ */ +/* ================ Processor and Core Peripheral Section ================ */ +/* ================================================================================ */ + +/* ---------------------- Configuration of the Cortex-M Processor and Core Peripherals ---------------------- */ +#define __CM4_REV 0x0100 /*!< Cortex-M4 Core Revision */ +#define __MPU_PRESENT 1 /*!< MPU present or not */ +#define __NVIC_PRIO_BITS 3 /*!< Number of Bits used for Priority Levels */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ +#define __FPU_PRESENT 1 /*!< FPU present or not */ + +#include /*!< Cortex-M4 processor and core peripherals */ +#include "system_max32660.h" /*!< System Header */ + + +/* ================================================================================ */ +/* ================== Device Specific Memory Section ================== */ +/* ================================================================================ */ + +#define MXC_FLASH_MEM_BASE 0x00000000UL +#define MXC_FLASH_PAGE_SIZE 0x00002000UL +#define MXC_FLASH_MEM_SIZE 0x00040000UL +#define MXC_INFO_MEM_BASE 0x00040000UL +#define MXC_INFO_MEM_SIZE 0x00001000UL +#define MXC_SRAM_MEM_BASE 0x20000000UL +#define MXC_SRAM_MEM_SIZE 0x00018000UL + +/* ================================================================================ */ +/* ================ Device Specific Peripheral Section ================ */ +/* ================================================================================ */ + +/* + Base addresses and configuration settings for all MAX32660 peripheral modules. +*/ + +/******************************************************************************/ +/* Global control */ +#define MXC_BASE_GCR ((uint32_t)0x40000000UL) +#define MXC_GCR ((mxc_gcr_regs_t*)MXC_BASE_GCR) + +/******************************************************************************/ +/* Non-battery backed SI Registers */ +#define MXC_BASE_SIR ((uint32_t)0x40000400UL) +#define MXC_SIR ((mxc_sir_regs_t*)MXC_BASE_SIR) + +/******************************************************************************/ +/* Watchdog */ +#define MXC_BASE_WDT0 ((uint32_t)0x40003000UL) +#define MXC_WDT0 ((mxc_wdt_regs_t*)MXC_BASE_WDT0) + +/******************************************************************************/ +/* Real Time Clock */ +#define MXC_BASE_RTC ((uint32_t)0x40006000UL) +#define MXC_RTC ((mxc_rtc_regs_t*)MXC_BASE_RTC) + +/******************************************************************************/ +/* Power Sequencer */ +#define MXC_BASE_PWRSEQ ((uint32_t)0x40006800UL) +#define MXC_PWRSEQ ((mxc_pwrseq_regs_t*)MXC_BASE_PWRSEQ) + + +/******************************************************************************/ +/* GPIO */ +#define MXC_CFG_GPIO_INSTANCES (1) +#define MXC_CFG_GPIO_PINS_PORT (14) + +#define MXC_BASE_GPIO0 ((uint32_t)0x40008000UL) +#define MXC_GPIO0 ((mxc_gpio_regs_t*)MXC_BASE_GPIO0) + +#define MXC_GPIO_GET_IDX(p) ((p) == MXC_GPIO0 ? 0 :-1) + +#define MXC_GPIO_GET_GPIO(i) ((i) == 0 ? MXC_GPIO0 : 0) + +#define MXC_GPIO_GET_IRQ(i) ((i) == 0 ? GPIO0_IRQn : 0) + +/******************************************************************************/ +/* Timer */ +#define MXC_CFG_TMR_INSTANCES (3) + +#define MXC_BASE_TMR0 ((uint32_t)0x40010000UL) +#define MXC_TMR0 ((mxc_tmr_regs_t*)MXC_BASE_TMR0) +#define MXC_BASE_TMR1 ((uint32_t)0x40011000UL) +#define MXC_TMR1 ((mxc_tmr_regs_t*)MXC_BASE_TMR1) +#define MXC_BASE_TMR2 ((uint32_t)0x40012000UL) +#define MXC_TMR2 ((mxc_tmr_regs_t*)MXC_BASE_TMR2) + +#define MXC_TMR_GET_IRQ(i) (IRQn_Type)((i) == 0 ? TMR0_IRQn : \ + (i) == 1 ? TMR1_IRQn : \ + (i) == 2 ? TMR2_IRQn : 0) + +#define MXC_TMR_GET_BASE(i) ((i) == 0 ? MXC_BASE_TMR0 : \ + (i) == 1 ? MXC_BASE_TMR1 : \ + (i) == 2 ? MXC_BASE_TMR2 : 0) + +#define MXC_TMR_GET_TMR(i) ((i) == 0 ? MXC_TMR0 : \ + (i) == 1 ? MXC_TMR1 : \ + (i) == 2 ? MXC_TMR2 : 0) + +#define MXC_TMR_GET_IDX(p) ((p) == MXC_TMR0 ? 0 : \ + (p) == MXC_TMR1 ? 1 : \ + (p) == MXC_TMR2 ? 2 : -1) + +/******************************************************************************/ +/* SPIMSS */ + +#define MXC_SPIMSS_INSTANCES (1) +#define MXC_SPIMSS_FIFO_DEPTH (8) + +#define MXC_BASE_SPIMSS ((uint32_t)0x40019000UL) +#define MXC_SPIMSS ((mxc_spimss_regs_t*)MXC_BASE_SPIMSS) + +#define MXC_SPIMSS_GET_IDX(p) ((p) == MXC_SPIMSS ? 0 : -1) +#define MXC_SPIMSS_GET_SPI(i) ((i) == 0 ? MXC_SPIMSS : 0) + +/******************************************************************************/ +/* I2C */ +#define MXC_I2C_INSTANCES (2) +#define MXC_I2C_FIFO_DEPTH (8) + +#define MXC_BASE_I2C0 ((uint32_t)0x4001D000UL) +#define MXC_I2C0 ((mxc_i2c_regs_t*)MXC_BASE_I2C0) +#define MXC_BASE_I2C1 ((uint32_t)0x4001E000UL) +#define MXC_I2C1 ((mxc_i2c_regs_t*)MXC_BASE_I2C1) + +#define MXC_I2C_GET_IRQ(i) (IRQn_Type)((i) == 0 ? I2C0_IRQn : \ + (i) == 1 ? I2C1_IRQn : 0) + +#define MXC_I2C_GET_BASE(i) ((i) == 0 ? MXC_BASE_I2C0 : \ + (i) == 1 ? MXC_BASE_I2C1 : 0) + +#define MXC_I2C_GET_I2C(i) ((i) == 0 ? MXC_I2C0 : \ + (i) == 1 ? MXC_I2C1 : 0) + +#define MXC_I2C_GET_IDX(p) ((p) == MXC_I2C0 ? 0 : \ + (p) == MXC_I2C1 ? 1 : -1) + +/******************************************************************************/ +/* DMA */ +#define MXC_DMA_CHANNELS (4) + +#define MXC_BASE_DMA ((uint32_t)0x40028000UL) +#define MXC_DMA ((mxc_dma_regs_t*)MXC_BASE_DMA) + +/******************************************************************************/ +/* FLC */ +#define MXC_BASE_FLC ((uint32_t)0x40029000UL) +#define MXC_FLC ((mxc_flc_regs_t*)MXC_BASE_FLC) + +/******************************************************************************/ +/* Instruction Cache */ +#define MXC_BASE_ICC ((uint32_t)0x4002A000UL) +#define MXC_ICC ((mxc_icc_regs_t*)MXC_BASE_ICC) + +/******************************************************************************/ +/* UART / Serial Port Interface */ + +#define MXC_UART_INSTANCES (2) +#define MXC_UART_FIFO_DEPTH (8) + +#define MXC_BASE_UART0 ((uint32_t)0x40042000UL) +#define MXC_UART0 ((mxc_uart_regs_t*)MXC_BASE_UART0) +#define MXC_BASE_UART1 ((uint32_t)0x40043000UL) +#define MXC_UART1 ((mxc_uart_regs_t*)MXC_BASE_UART1) + +#define MXC_UART_GET_IRQ(i) (IRQn_Type)((i) == 0 ? UART0_IRQn : \ + (i) == 1 ? UART1_IRQn : 0) + +#define MXC_UART_GET_BASE(i) ((i) == 0 ? MXC_BASE_UART0 : \ + (i) == 1 ? MXC_BASE_UART1 : 0) + +#define MXC_UART_GET_UART(i) ((i) == 0 ? MXC_UART0 : \ + (i) == 1 ? MXC_UART1 : 0) + +#define MXC_UART_GET_IDX(p) ((p) == MXC_UART0 ? 0 : \ + (p) == MXC_UART1 ? 1 : -1) + +/******************************************************************************/ +/* SPI */ + + +#define MXC_SPI17Y_INSTANCES (4) +#define MXC_SPI17Y_SS_INSTANCES (1) +#define MXC_SPI17Y_FIFO_DEPTH (32) + +#define MXC_BASE_SPI17Y ((uint32_t)0x40046000UL) +#define MXC_SPI17Y ((mxc_spi17y_regs_t*)MXC_BASE_SPI17Y) + +#define MXC_SPI17Y_GET_IDX(p) ((p) == MXC_SPI17Y ? 0 : -1) + +#define MXC_SPI17Y_GET_BASE(i) ((i) == 0 ? MXC_BASE_SPI17Y : 0) + +#define MXC_SPI17Y_GET_SPI17Y(i) ((i) == 0 ? MXC_SPI17Y : 0) + +/******************************************************************************/ +/* Bit Shifting */ + +#define MXC_F_BIT_0 (1 << 0) +#define MXC_F_BIT_1 (1 << 1) +#define MXC_F_BIT_2 (1 << 2) +#define MXC_F_BIT_3 (1 << 3) +#define MXC_F_BIT_4 (1 << 4) +#define MXC_F_BIT_5 (1 << 5) +#define MXC_F_BIT_6 (1 << 6) +#define MXC_F_BIT_7 (1 << 7) +#define MXC_F_BIT_8 (1 << 8) +#define MXC_F_BIT_9 (1 << 9) +#define MXC_F_BIT_10 (1 << 10) +#define MXC_F_BIT_11 (1 << 11) +#define MXC_F_BIT_12 (1 << 12) +#define MXC_F_BIT_13 (1 << 13) +#define MXC_F_BIT_14 (1 << 14) +#define MXC_F_BIT_15 (1 << 15) +#define MXC_F_BIT_16 (1 << 16) +#define MXC_F_BIT_17 (1 << 17) +#define MXC_F_BIT_18 (1 << 18) +#define MXC_F_BIT_19 (1 << 19) +#define MXC_F_BIT_20 (1 << 20) +#define MXC_F_BIT_21 (1 << 21) +#define MXC_F_BIT_22 (1 << 22) +#define MXC_F_BIT_23 (1 << 23) +#define MXC_F_BIT_24 (1 << 24) +#define MXC_F_BIT_25 (1 << 25) +#define MXC_F_BIT_26 (1 << 26) +#define MXC_F_BIT_27 (1 << 27) +#define MXC_F_BIT_28 (1 << 28) +#define MXC_F_BIT_29 (1 << 29) +#define MXC_F_BIT_30 (1 << 30) +#define MXC_F_BIT_31 (1 << 31) + +/******************************************************************************/ +/* Bit Banding */ + +#define BITBAND(reg, bit) ((0xf0000000 & (uint32_t)(reg)) + 0x2000000 + \ + (((uint32_t)(reg) & 0x0fffffff) << 5) + ((bit) << 2)) + +#define MXC_CLRBIT(reg, bit) (*(volatile uint32_t *)BITBAND(reg, bit) = 0) +#define MXC_SETBIT(reg, bit) (*(volatile uint32_t *)BITBAND(reg, bit) = 1) +#define MXC_GETBIT(reg, bit) (*(volatile uint32_t *)BITBAND(reg, bit)) + +#define MXC_SETFIELD(reg, mask, value) (reg = (reg & ~mask) | (value & mask)) + +/******************************************************************************/ +/* SCB CPACR */ + +/* Note: Added by Maxim Integrated, as these are missing from CMSIS/Core/Include/core_cm4.h */ +#define SCB_CPACR_CP10_Pos 20 /*!< SCB CPACR: Coprocessor 10 Position */ +#define SCB_CPACR_CP10_Msk (0x3UL << SCB_CPACR_CP10_Pos) /*!< SCB CPACR: Coprocessor 10 Mask */ +#define SCB_CPACR_CP11_Pos 22 /*!< SCB CPACR: Coprocessor 11 Position */ +#define SCB_CPACR_CP11_Msk (0x3UL << SCB_CPACR_CP11_Pos) /*!< SCB CPACR: Coprocessor 11 Mask */ + +#endif /* _MAX32660_REGS_H_ */ diff --git a/Firmware/SDK/Device/max32660.svd b/Firmware/SDK/Device/max32660.svd new file mode 100644 index 0000000..564a9c8 --- /dev/null +++ b/Firmware/SDK/Device/max32660.svd @@ -0,0 +1,10650 @@ + + + Maxim Integrated + Maxim + max32660 + ARMCM4 + 1.0 + MAX32660 32-bit ARM Cortex-M4 microcontroller with 96KB of system RAM and 256KB of flash memory. + + CM4 + r2p1 + little + true + true + 3 + false + + 8 + 32 + 0x20 + read-write + 0x00000000 + 0xFFFFFFFF + + + BBFC + Battery-Backed Function Control. + 0x40005800 + + 0x00 + 0x400 + registers + + + + BBFCR0 + Function Control Register 0. + 0x00 + read-write + + + CKPDRV + Hyperbus CK Pad Driver Control. + 0 + 4 + + + CKNPDRV + Hyperbus CKN Pad Driver Control. + 4 + 4 + + + RDSDLLEN + Hyperbus RDS DLL Power Up Control. + 8 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + + + + + + BBSIR + Battery-Backed Registers. + 0x40005400 + + 0x00 + 0x400 + registers + + + + rsv0 + RFU + 0x00 + + + BB_SIR2 + System Init. Configuration Register 2. + 0x08 + read-only + + + BB_SIR3 + System Init. Configuration Register 3. + 0x0C + read-only + + + + + + DMA + DMA Controller Fully programmable, chaining capable DMA channels. + 0x40028000 + 32 + + 0x00 + 0x1000 + registers + + + DMA0 + 28 + + + DMA1 + 29 + + + DMA2 + 30 + + + DMA3 + 31 + + + DMA4 + 68 + + + DMA5 + 69 + + + DMA6 + 70 + + + DMA7 + 71 + + + DMA8 + 72 + + + DMA9 + 73 + + + DMA10 + 74 + + + DMA11 + 75 + + + DMA12 + 76 + + + DMA13 + 77 + + + DMA14 + 78 + + + DMA15 + 79 + + + + CN + DMA Control Register. + 0x000 + + + CH0_IEN + Channel 0 Interrupt Enable. + 0 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + CH1_IEN + Channel 1 Interrupt Enable. + 1 + 1 + + + CH2_IEN + Channel 2 Interrupt Enable. + 2 + 1 + + + CH3_IEN + Channel 3 Interrupt Enable. + 3 + 1 + + + + + INTR + DMA Interrupt Register. + 0x004 + read-only + + + CH0_IPEND + Channel Interrupt. To clear an interrupt, all active interrupt bits of the DMA_ST must be cleared. The interrupt bits are set only if their corresponding interrupt enable bits are set in DMA_CN. + 0 + 1 + + ch_ipend_enum + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + CH1_IPEND + 1 + 1 + + + CH2_IPEND + 2 + 1 + + + CH3_IPEND + 3 + 1 + + + + + 4 + 4 + CH[%s] + DMA Channel registers. + dma_ch + 0x100 + read-write + + CFG + DMA Channel Configuration Register. + 0x100 + + + CHEN + Channel Enable. This bit is automatically cleared when DMA_ST.CH_ST changes from 1 to 0. + 0 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + RLDEN + Reload Enable. Setting this bit to 1 enables DMA_SRC, DMA_DST and DMA_CNT to be reloaded with their corresponding reload registers upon count-to-zero. This bit is also writeable in the Count Reload Register. Refer to the description on Buffer Chaining for use of this bit. If buffer chaining is not used this bit must be written with a 0. This bit should be set after the reload registers have been programmed. + 1 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + PRI + DMA Priority. + 2 + 2 + + + high + Highest Priority. + 0 + + + medHigh + Medium High Priority. + 1 + + + medLow + Medium Low Priority. + 2 + + + low + Lowest Priority. + 3 + + + + + REQSEL + Request Select. Select DMA request line for this channel. If memory-to-memory is selected, the channel operates as if the request is always active. + 4 + 6 + + + MEMTOMEM + Memory To Memory + 0x00 + + + SPI0RX + SPI0 RX + 0x01 + + + SPI1RX + SPI1 RX + 0x02 + + + UART0RX + UART0 RX + 0x04 + + + UART1RX + UART1 RX + 0x05 + + + I2C0RX + I2C0 RX + 0x07 + + + I2C1RX + I2C1 RX + 0x08 + + + SPI0TX + SPI0 TX + 0x21 + + + SPI1TX + SPI1 TX + 0x22 + + + UART0TX + UART0 TX + 0x24 + + + UART1TX + UART1 TX + 0x25 + + + I2C0TX + I2C0 TX + 0x27 + + + I2C1TX + I2C1 TX + 0x28 + + + + + REQWAIT + Request Wait Enable. When enabled, delay timer start until DMA request transitions from active to inactive. + 10 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + TOSEL + Time-Out Select. Selects the number of prescale clocks seen by the channel timer before a time-out conditions is generated for this channel. Important note: since the prescaler runs independent of the individual channel timers, the actual number of Pre-Scale clock edges seen has a margin of error equal to a single Pre-Scale clock. + 11 + 3 + + + to4 + Timeout of 3 to 4 prescale clocks. + 0 + + + to8 + Timeout of 7 to 8 prescale clocks. + 1 + + + to16 + Timeout of 15 to 16 prescale clocks. + 2 + + + to32 + Timeout of 31 to 32 prescale clocks. + 3 + + + to64 + Timeout of 63 to 64 prescale clocks. + 4 + + + to128 + Timeout of 127 to 128 prescale clocks. + 5 + + + to256 + Timeout of 255 to 256 prescale clocks. + 6 + + + to512 + Timeout of 511 to 512 prescale clocks. + 7 + + + + + PSSEL + Pre-Scale Select. Selects the Pre-Scale divider for timer clock input. + 14 + 2 + + + dis + Disable timer. + 0 + + + div256 + hclk / 256. + 1 + + + div64k + hclk / 64k. + 2 + + + div16M + hclk / 16M. + 3 + + + + + SRCWD + Source Width. In most cases, this will be the data width of each AHB transactions. However, the width will be reduced in the cases where DMA_CNT indicates a smaller value. + 16 + 2 + + + byte + Byte. + 0 + + + halfWord + Halfword. + 1 + + + word + Word. + 2 + + + + + SRCINC + Source Increment Enable. This bit enables DMA_SRC increment upon every AHB transaction. This bit is forced to 0 for DMA receive from peripherals. + 18 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + DSTWD + Destination Width. Indicates the width of the each AHB transactions to the destination peripheral or memory. (The actual width may be less than this if there are insufficient bytes in the DMA FIFO for the full width). + 20 + 2 + + + byte + Byte. + 0 + + + halfWord + Halfword. + 1 + + + word + Word. + 2 + + + + + DSTINC + Destination Increment Enable. This bit enables DMA_DST increment upon every AHB transaction. This bit is forced to 0 for DMA transmit to peripherals. + 22 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + BRST + Burst Size. The number of bytes to be transferred into and out of the DMA FIFO in a single burst. Burst size equals 1 + value stored in this field. + 24 + 5 + + + CHDIEN + Channel Disable Interrupt Enable. When enabled, the IPEND will be set to 1 whenever CH_ST changes from 1 to 0. + 30 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + CTZIEN + Count-to-zero Interrupts Enable. When enabled, the IPEND will be set to 1 whenever a count-to-zero event occurs. + 31 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + + + ST + DMA Channel Status Register. + 0x104 + + + CH_ST + Channel Status. This bit is used to indicate to the programmer when it is safe to change the configuration, address, and count registers for the channel. Whenever this bit is cleared by hardware, the DMA_CFG.CHEN bit is also cleared (if not cleared already). + 0 + 1 + read-only + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + IPEND + Channel Interrupt. + 1 + 1 + read-only + + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + CTZ_ST + Count-to-Zero (CTZ) Status + 2 + 1 + oneToClear + + ctz_st_enum_rd + read + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + ctz_st_enum_wr + write + + Clear + Clears the interrupt flag + 1 + + + + + RLD_ST + Reload Status. + 3 + 1 + oneToClear + + read + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + write + + Clear + Clears the interrupt flag + 1 + + + + + BUS_ERR + Bus Error. Indicates that an AHB abort was received and the channel has been disabled. + 4 + 1 + oneToClear + + read + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + write + + Clear + Clears the interrupt flag + 1 + + + + + TO_ST + Time-Out Status. + 6 + 1 + oneToClear + + read + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + write + + Clear + Clears the interrupt flag + 1 + + + + + + + SRC + Source Device Address. If SRCINC=1, the counter bits are incremented by 1,2, or 4, depending on the data width of each AHB cycle. For peripheral transfers, some or all of the actual address bits are fixed. If SRCINC=0, this register remains constant. In the case where a count-to-zero condition occurs while RLDEN=1, the register is reloaded with the contents of DMA_SRC_RLD. + 0x108 + + + ADDR + 0 + 32 + + + + + DST + Destination Device Address. For peripheral transfers, some or all of the actual address bits are fixed. If DSTINC=1, this register is incremented on every AHB write out of the DMA FIFO. They are incremented by 1, 2, or 4, depending on the data width of each AHB cycle. In the case where a count-to-zero condition occurs while RLDEN=1, the register is reloaded with DMA_DST_RLD. + 0x10C + + + ADDR + 0 + 32 + + + + + CNT + DMA Counter. The user loads this register with the number of bytes to transfer. This counter decreases on every AHB cycle into the DMA FIFO. The decrement will be 1, 2, or 4 depending on the data width of each AHB cycle. When the counter reaches 0, a count-to-zero condition is triggered. + 0x110 + + + CNT + DMA Counter. + 0 + 24 + + + + + SRC_RLD + Source Address Reload Value. The value of this register is loaded into DMA0_SRC upon a count-to-zero condition. + 0x114 + + + SRC_RLD + Source Address Reload Value. + 0 + 31 + + + + + DST_RLD + Destination Address Reload Value. The value of this register is loaded into DMA0_DST upon a count-to-zero condition. + 0x118 + + + DST_RLD + Destination Address Reload Value. + 0 + 31 + + + + + CNT_RLD + DMA Channel Count Reload Register. + 0x11C + + + CNT_RLD + Count Reload Value. The value of this register is loaded into DMA0_CNT upon a count-to-zero condition. + 0 + 24 + + + RLDEN + Reload Enable. This bit should be set after the address reload registers have been programmed. This bit is automatically cleared to 0 when reload occurs. + 31 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + + + + + + + FLC + Flash Memory Control. + FLSH_ + 0x40029000 + + 0x00 + 0x1000 + registers + + + Flash_Controller + Flash Controller interrupt. + 23 + + + + ADDR + Flash Write Address. + 0x00 + + + ADDR + Address for next operation. + 0 + 32 + + + + + CLKDIV + Flash Clock Divide. The clock (PLL0) is divided by this value to generate a 1 MHz clock for Flash controller. + 0x04 + 0x00000064 + + + CLKDIV + Flash Clock Divide. The clock is divided by this value to generate a 1MHz clock for flash controller. + 0 + 8 + + + + + CN + Flash Control Register. + 0x08 + + + WR + Write. This bit is automatically cleared after the operation. + 0 + 1 + + + complete + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + ME + Mass Erase. This bit is automatically cleared after the operation. + 1 + 1 + + + PGE + Page Erase. This bit is automatically cleared after the operation. + 2 + 1 + + + WDTH + Data Width. This bits selects write data width. + 4 + 1 + + + size128 + 128-bit. + 0 + + + size32 + 32-bit. + 1 + + + + + ERASE_CODE + Erase Code. The ERASE_CODE must be set up property before erase operation can be initiated. These bits are automatically cleared after the operation is complete. + 8 + 8 + + + nop + No operation. + 0 + + + erasePage + Enable Page Erase. + 0x55 + + + eraseAll + Enable Mass Erase. The debug port must be enabled. + 0xAA + + + + + PEND + Flash Pending. When Flash operation is in progress (busy), Flash reads and writes will fail. When PEND is set, write to all Flash registers, with exception of the Flash interrupt register, are ignored. + 24 + 1 + read-only + + + idle + Idle. + 0 + + + busy + Busy. + 1 + + + + + LVE + Low Voltage Read Enable + 25 + 1 + read-only + + lve_read + read + + dis + Disabled + 0 + + + en + Enabled + 1 + + + + + BRST + Burst Mode Enable. + 27 + 1 + + + disable + Disable + 0 + + + enable + Enable + 1 + + + + + UNLOCK + Flash Unlock. The correct unlock code must be written to these four bits before any Flash write or erase operation is allowed. + 28 + 4 + + + unlocked + Flash Unlocked + 2 + + + locked + Flash Locked + 3 + + + + + + + INTR + Flash Interrupt Register. + 0x024 + + + DONE + Flash Done Interrupt. This bit is set to 1 upon Flash write or erase completion. + 0 + 1 + + + inactive + No interrupt is pending + 0 + + + pending + An interrupt is pending + 1 + + + + + AF + Flash Access Fail. This bit is set when an attempt is made to write the flash while the flash is busy or the flash is locked. This bit can only be set to 1 by hardware. + 1 + 1 + + + noError + No Failure. + 0 + + + error + Failure occurs. + 1 + + + + + DONEIE + Flash Done Interrupt Enable. + 8 + 1 + + + disable + Disable. + 0 + + + enable + Enable. + 1 + + + + + AFIE + 9 + 1 + + + + + 4 + 4 + DATA[%s] + Flash Write Data. + 0x30 + + + DATA + Data next operation. + 0 + 32 + + + + + ACNTL + Access Control Register. Writing the ACNTL register with the following values in the order shown, allows read and write access to the system and user Information block: pflc-acntl = 0x3a7f5ca3; pflc-acntl = 0xa1e34f20; pflc-acntl = 0x9608b2c1. When unlocked, a write of any word will disable access to system and user information block. Readback of this register is always zero. + 0x40 + write-only + + + ACNTL + Access control. + 0 + 32 + + + + + + + + GCR + Global Control Registers. + 0x40000000 + + 0 + 0x400 + registers + + + + SCON + System Control. + 0x00 + 0xFFFFFFFE + + + SBUSARB + System bus abritration scheme. These bits are used to select between Fixed-burst abritration and Round-Robin scheme. The Round-Robin scheme is selected by default. These bits are reset by the system reset. + 1 + 2 + + + fix + Fixed Burst abritration. + 0 + + + round + Round-robin scheme. + 1 + + + + + FLASH_PAGE_FLIP + Flips the Flash bottom and top halves. (Depending on the total flash size, each half is either 256K or 512K). Initiating a flash page flip will cause a flush of both the data buffer on the DCODE bus and the internal instruction buffer. + 4 + 1 + + + normal + Physical layout matches logical layout. + 0 + + + swapped + Bottom half mapped to logical top half and vice versa. + 1 + + + + + FPU_DIS + Floating Point Unit Disable + 5 + 1 + + + enable + enable Floating point unit + 0 + + + disable + disable floating point unit + 1 + + + + + CCACHE_FLUSH + Code Cache Flush. This bit is used to flush the code caches and the instruction buffer of the Cortex-M4. + 6 + 1 + + + normal + Normal Code Cache Operation + 0 + + + flush + Code Caches and CPU instruction buffer are flushed + 1 + + + + + SWD_DIS + Serial Wire Debug Disable + 14 + 1 + + + enable + Enable JTAG SWD + 0 + + + disable + Disable JTAG SWD + 1 + + + + + + + RSTR0 + Reset. + 0x04 + + + DMA + DMA Reset. + 0 + 1 + + dma_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + dma_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + WDT + Watchdog Timer Reset. + 1 + 1 + + wdt_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + wdt_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + GPIO0 + GPIO0 Reset. Setting this bit to 1 resets GPIO0 pins to their default states. + 2 + 1 + + gpio0_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + gpio0_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + TIMER0 + Timer0 Reset. Setting this bit to 1 resets Timer 0 blocks. + 5 + 1 + + timer0_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + timer0_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + TIMER1 + Timer1 Reset. Setting this bit to 1 resets Timer 1 blocks. + 6 + 1 + + timer1_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + timer1_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + TIMER2 + Timer2 Reset. Setting this bit to 1 resets Timer 2 blocks. + 7 + 1 + + timer2_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + timer2_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + UART0 + UART0 Reset. Setting this bit to 1 resets all UART 0 blocks. + 11 + 1 + + uart0_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + uart0_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + UART1 + UART1 Reset. Setting this bit to 1 resets all UART 1 blocks. + 12 + 1 + + uart1_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + uart1_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + SPI0 + SPI0 Reset. Setting this bit to 1 resets all SPI 0 blocks. + 13 + 1 + + spi0_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + spi0_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + SPI1 + SPI1 Reset. Setting this bit to 1 resets all SPI 1 blocks. + 14 + 1 + + spi1_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + xpi1_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + I2C0 + I2C0 Reset. + 16 + 1 + + i2c0_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + i2c0_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + RTC + Real Time Clock Reset. + 17 + 1 + + rtc_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + rtc_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + SRST + Soft Reset.Write 1 to perform a Soft Reset. A soft reset performs a Peripheral Reset and also resets the GPIO peripheral but does not reset the CPU or Watchdog Timer. + 29 + 1 + + srst_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + srst_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + PRST + Peripheral Reset. Setting this bit to 1 resets all peripherals. The CPU core, the watchdog timer, and all GPIO pins are unaffected by this reset. + 30 + 1 + + prst_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + prst_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + SYSTEM + System Reset. Setting this bit to 1 resets the CPU core and all peripherals, including the watchdog timer. + 31 + 1 + + system_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + system_read + read + + Reset_Done + Reset Complete + 0 + + + Busy + Reset Busy + 1 + + + + + + + CLKCN + Clock Control. + 0x08 + 0x00000008 + + + PSC + Prescaler Select. This 3 bit field sets the system operating frequency by controlling the prescaler that divides the output of the PLL0. + 6 + 3 + + + div1 + Divide by 1. + 0 + + + div2 + Divide by 2. + 1 + + + div4 + Divide by 4. + 2 + + + div8 + Divide by 8. + 3 + + + div16 + Divide by 16. + 4 + + + div32 + Divide by 32. + 5 + + + div64 + Divide by 64. + 6 + + + div128 + Divide by 128. + 7 + + + + + CLKSEL + Clock Source Select. This 3 bit field selects the source for the system clock. + 9 + 3 + + + HIRC + The internal 96 MHz oscillator is used for the system clock. + 0 + + + nanoRing + The nano-ring output is used for the system clock. + 3 + + + hfxIn + HFXIN is used for the system clock. + 6 + + + + + CKRDY + Clock Ready. This read only bit reflects whether the currently selected system clock source is running. + 13 + 1 + read-only + + + busy + Switchover to the new clock source (as selected by CLKSEL) has not yet occurred. + 0 + + + ready + System clock running from CLKSEL clock source. + 1 + + + + + X32K_EN + 32kHz Crystal Oscillator Enable. + 17 + 1 + + + dis + Is Disabled. + 0 + + + en + Is Enabled. + 1 + + + + + HIRC_EN + 60MHz High Frequency Internal Reference Clock Enable. + 18 + 1 + + + dis + Is Disabled. + 0 + + + en + Is Enabled. + 1 + + + + + X32K_RDY + 32kHz Crystal Oscillator Ready + 25 + 1 + read-only + + + not + Not Ready + 0 + + + Ready + X32K Ready + 1 + + + + + HIRC_RDY + 60MHz HIRC Ready. + 26 + 1 + + + not + Not Ready + 0 + + + ready + HIRC Ready + 1 + + + + + LIRC8K_RDY + 8kHz Low Frequency Reference Clock Ready. + 29 + 1 + + + not + Not Ready + 0 + + + ready + Clock Ready + 1 + + + + + + + PM + Power Management. + 0x0C + + + MODE + Operating Mode. This two bit field selects the current operating mode for the device. Note that code execution only occurs during ACTIVE mode. + 0 + 3 + + + active + Active Mode. + 0 + + + shutdown + Shutdown Mode. + 3 + + + backup + Backup Mode. + 4 + + + + + GPIOWKEN + GPIO Wake Up Enable. This bit enables all GPIO pins as potential wakeup sources. Any GPIO configured for wakeup is capable of causing an exit from IDLE or STANDBY modes when this bit is set. + 4 + 1 + + + dis + Wake Up Disable. + 0 + + + en + Wake Up Enable. + 1 + + + + + RTCWKEN + RTC Alarm Wake Up Enable. This bit enables RTC alarm as wakeup source. If enabled, the desired RTC alarm must be configured via the RTC control registers. + 5 + 1 + + + dis + Wake Up Disable. + 0 + + + en + Wake Up Enable. + 1 + + + + + HIRCPD + HIRC Power Down. This bit selects HIRC power state in DEEPSLEEP mode. + 15 + 1 + + + active + Mode is Active. + 0 + + + deepsleep + Powered down in DEEPSLEEP. + 1 + + + + + + + PCKDIV + Peripheral Clock Divider. + 0x18 + 0x00000001 + + + AONCD + Always-ON(AON) domain CLock Divider. These bits define the AON domain clock divider. + 0 + 2 + + + div_4 + PCLK divide by 4. + 0 + + + div_8 + PCLK divide by 8. + 1 + + + div_16 + PCLK divide by 16. + 2 + + + div_32 + PCLK divide by 32. + 3 + + + + + + + PERCKCN0 + Peripheral Clock Disable. + 0x24 + + + GPIO0D + GPIO0 Disable. + 0 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + DMAD + DMA Disable. + 5 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + SPI0D + SPI 0 Disable. + 6 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + SPI1D + SPI 1 Disable. + 7 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + UART0D + UART 0 Disable. + 9 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + UART1D + UART 1 Disable. + 10 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + I2C0D + I2C 0 Disable. + 13 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + T0D + Timer 0 Disable. + 15 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + T1D + Timer 1 Disable. + 16 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + T2D + Timer 2 Disable. + 17 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + I2C1D + I2C 1 Disable. + 28 + 1 + + GPIODisable + + en + enable it. + 0 + + + dis + disable it. + 1 + + + + + + + MEMCKCN + Memory Clock Control Register. + 0x28 + + + FWS + Flash Wait State. These bits define the number of wait-state cycles per Flash data read access. Minimum wait state is 2. + 0 + 3 + + + SYSRAM0LS + System RAM 0 Light Sleep Mode. + 8 + 1 + + + active + Memory is active. + 0 + + + light_sleep + Memory is in Light Sleep mode. + 1 + + + + + SYSRAM1LS + System RAM 1 Light Sleep Mode. + 9 + 1 + + + active + Memory is active. + 0 + + + light_sleep + Memory is in Light Sleep mode. + 1 + + + + + SYSRAM2LS + System RAM 2 Light Sleep Mode. + 10 + 1 + + + active + Memory is active. + 0 + + + light_sleep + Memory is in Light Sleep mode. + 1 + + + + + SYSRAM3LS + System RAM 3 Light Sleep Mode. + 11 + 1 + + + active + Memory is active. + 0 + + + light_sleep + Memory is in Light Sleep mode. + 1 + + + + + ICACHELS + ICache RAM Light Sleep Mode. + 12 + 1 + + + active + Memory is active. + 0 + + + light_sleep + Memory is in Light Sleep mode. + 1 + + + + + + + MEMZCN + Memory Zeroize Control. + 0x2C + + + SRAM0Z + System RAM Block 0. + 0 + 1 + + + nop + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + ICACHEZ + Instruction Cache. + 1 + 1 + + + nop + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + + + SCCK + Smart Card Clock Control. + 0x34 + 0x00001414 + + + MPRI0 + Master Priority Control Register 0. + 0x38 + 0x00001414 + + + MPRI1 + Mater Priority Control Register 1. + 0x3C + 0x00001414 + + + SYSST + System Status Register. + 0x40 + + + ICECLOCK + ARM ICE Lock Status. + 0 + 1 + + + unlocked + ICE is unlocked. + 0 + + + locked + ICE is locked. + 1 + + + + + CODEINTERR + Code Integrity Error Flag. This bit indicates a code integrity error has occured in XiP interface. + 1 + 1 + + + norm + Normal Operating Condition. + 0 + + + code + Code Integrity Error. + 1 + + + + + SCMEMF + System Cache Memory Fault Flag. This bit indicates a memory fault has occured in the System Cache while receiving data from the Hyperbus Interface. + 5 + 1 + + + norm + Normal Operating Condition. + 0 + + + memory + Memory Fault. + 1 + + + + + + + RSTR1 + Reset 1. + 0x44 + + + I2C1 + I2C1 Reset. + 0 + 1 + + reset_write + write + + RFU + Reserved. Do not use. + 0 + + + reset + Starts reset operation. + 1 + + + + reset_read + read + + reset_done + Reset complete. + 0 + + + busy + Reset in progress. + 1 + + + + + + + PERCKCN1 + Peripheral Clock Disable. + 0x48 + + + FLCD + Secure Flash Controller Disable. + 3 + 1 + + + en + Enable. + 0 + + + dis + Disable. + 1 + + + + + ICACHED + ICache Clock Disable. + 11 + 1 + + + en + Enable. + 0 + + + dis + Disable. + 1 + + + + + + + EVTEN + Event Enable Register. + 0x4C + + + DMAEVENT + Enable DMA event. When this bit is set, a DMA event will cause an RXEV event to wake the CPU from WFE sleep mode. + 0 + 1 + + + RXEVENT + Enable RXEV pin event. When this bit is set, a logic high of GPIO0[24] will cause an RXEV event to wake the CPU from WFE sleep mode. + 1 + 1 + + + + + REVISION + Revision Register. + 0x50 + read-only + + + REVISION + Manufacturer Chip Revision. + 0 + 16 + + + + + SYSSIE + System Status Interrupt Enable Register. + 0x54 + + + ICEULIE + ARM ICE Unlock Interrupt Enable. + 0 + 1 + + + dis + disabled. + 0 + + + en + enabled. + 1 + + + + + CIEIE + Code Integrity Error Interrupt Enable. + 1 + 1 + + + dis + disabled. + 0 + + + en + enabled. + 1 + + + + + SCMFIE + System Cache Memory Fault Interrupt Enable. + 5 + 1 + + + dis + disabled. + 0 + + + en + enabled. + 1 + + + + + + + + + + GPIO0 + Individual I/O for each GPIO + GPIO + 0x40008000 + + 0x00 + 0x1000 + registers + + + GPIO0 + GPIO0 interrupt. + 24 + + + + EN + GPIO Function Enable Register. Each bit controls the GPIO_EN setting for one GPIO pin on the associated port. + 0x00 + + + GPIO_EN + Mask of all of the pins on the port. + 0 + 32 + + + alternate + Alternate function enabled. + 0 + + + GPIO + GPIO function is enabled. + 1 + + + + + + + EN_SET + GPIO Set Function Enable Register. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_EN to 1, without affecting other bits in that register. + 0x04 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + EN_CLR + GPIO Clear Function Enable Register. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_EN to 0, without affecting other bits in that register. + 0x08 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + OUT_EN + GPIO Output Enable Register. Each bit controls the GPIO_OUT_EN setting for one GPIO pin in the associated port. + 0x0C + + + GPIO_OUT_EN + Mask of all of the pins on the port. + 0 + 32 + + + dis + GPIO Output Disable + 0 + + + en + GPIO Output Enable + 1 + + + + + + + OUT_EN_SET + GPIO Output Enable Set Function Enable Register. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_OUT_EN to 1, without affecting other bits in that register. + 0x10 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + OUT_EN_CLR + GPIO Output Enable Clear Function Enable Register. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_OUT_EN to 0, without affecting other bits in that register. + 0x14 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + OUT + GPIO Output Register. Each bit controls the GPIO_OUT setting for one pin in the associated port. This register can be written either directly, or by using the GPIO_OUT_SET and GPIO_OUT_CLR registers. + 0x18 + + + GPIO_OUT + Mask of all of the pins on the port. + 0 + 32 + + + low + Drive Logic 0 (low) on GPIO output. + 0 + + + high + Drive logic 1 (high) on GPIO output. + 1 + + + + + + + OUT_SET + GPIO Output Set. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_OUT to 1, without affecting other bits in that register. + 0x1C + write-only + + + GPIO_OUT_SET + Mask of all of the pins on the port. + 0 + 32 + + + no + No Effect. + 0 + + + set + Set GPIO_OUT bit in this position to '1' + 1 + + + + + + + OUT_CLR + GPIO Output Clear. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_OUT to 0, without affecting other bits in that register. + 0x20 + write-only + + + GPIO_OUT_CLR + Mask of all of the pins on the port. + 0 + 32 + + + + + IN + GPIO Input Register. Read-only register to read from the logic states of the GPIO pins on this port. + 0x24 + read-only + + + GPIO_IN + Mask of all of the pins on the port. + 0 + 32 + + + + + INT_MOD + GPIO Interrupt Mode Register. Each bit in this register controls the interrupt mode setting for the associated GPIO pin on this port. + 0x28 + + + GPIO_INT_MOD + Mask of all of the pins on the port. + 0 + 32 + + + level + Interrupts for this pin are level triggered. + 0 + + + edge + Interrupts for this pin are edge triggered. + 1 + + + + + + + INT_POL + GPIO Interrupt Polarity Register. Each bit in this register controls the interrupt polarity setting for one GPIO pin in the associated port. + 0x2C + + + GPIO_INT_POL + Mask of all of the pins on the port. + 0 + 32 + + + falling + Interrupts are latched on a falling edge or low level condition for this pin. + 0 + + + rising + Interrupts are latched on a rising edge or high condition for this pin. + 1 + + + + + + + INT_EN + GPIO Interrupt Enable Register. Each bit in this register controls the GPIO interrupt enable for the associated pin on the GPIO port. + 0x34 + + + GPIO_INT_EN + Mask of all of the pins on the port. + 0 + 32 + + + dis + Interrupts are disabled for this GPIO pin. + 0 + + + en + Interrupts are enabled for this GPIO pin. + 1 + + + + + + + INT_EN_SET + GPIO Interrupt Enable Set. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_INT_EN to 1, without affecting other bits in that register. + 0x38 + + + GPIO_INT_EN_SET + Mask of all of the pins on the port. + 0 + 32 + + + no + No effect. + 0 + + + set + Set GPIO_INT_EN bit in this position to '1' + 1 + + + + + + + INT_EN_CLR + GPIO Interrupt Enable Clear. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_INT_EN to 0, without affecting other bits in that register. + 0x3C + + + GPIO_INT_EN_CLR + Mask of all of the pins on the port. + 0 + 32 + + + no + No Effect. + 0 + + + clear + Clear GPIO_INT_EN bit in this position to '0' + 1 + + + + + + + INT_STAT + GPIO Interrupt Status Register. Each bit in this register contains the pending interrupt status for the associated GPIO pin in this port. + 0x40 + read-only + + + GPIO_INT_STAT + Mask of all of the pins on the port. + 0 + 32 + + + no + No Interrupt is pending on this GPIO pin. + 0 + + + pending + An Interrupt is pending on this GPIO pin. + 1 + + + + + + + INT_CLR + GPIO Status Clear. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_INT_STAT to 0, without affecting other bits in that register. + 0x48 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + WAKE_EN + GPIO Wake Enable Register. Each bit in this register controls the PMU wakeup enable for the associated GPIO pin in this port. + 0x4C + + + GPIO_WAKE_EN + Mask of all of the pins on the port. + 0 + 32 + + + dis + PMU wakeup for this GPIO is disabled. + 0 + + + en + PMU wakeup for this GPIO is enabled. + 1 + + + + + + + WAKE_EN_SET + GPIO Wake Enable Set. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_WAKE_EN to 1, without affecting other bits in that register. + 0x50 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + WAKE_EN_CLR + GPIO Wake Enable Clear. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_WAKE_EN to 0, without affecting other bits in that register. + 0x54 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + INT_DUAL_EDGE + GPIO Interrupt Dual Edge Mode Register. Each bit in this register selects dual edge mode for the associated GPIO pin in this port. + 0x5C + + + GPIO_INT_DUAL_EDGE + Mask of all of the pins on the port. + 0 + 32 + + + no + No Effect. + 0 + + + en + Dual Edge mode is enabled. If edge-triggered interrupts are enabled on this GPIO pin, then both rising and falling edges will trigger interrupts regardless of the GPIO_INT_POL setting. + 1 + + + + + + + PAD_CFG1 + GPIO Input Mode Config 1. Each bit in this register enables the weak pull-up for the associated GPIO pin in this port. + 0x60 + + + GPIO_PAD_CFG1 + The two bits in GPIO_PAD_CFG1 and GPIO_PAD_CFG2 for each GPIO pin work together to determine the pad mode when the GPIO is set to input mode. + 0 + 32 + + + impedance + High Impedance. + 0 + + + pu + Weak pull-up mode. + 1 + + + pd + weak pull-down mode. + 2 + + + + + + + PAD_CFG2 + GPIO Input Mode Config 2. Each bit in this register enables the weak pull-up for the associated GPIO pin in this port. + 0x64 + + + GPIO_PAD_CFG2 + The two bits in GPIO_PAD_CFG1 and GPIO_PAD_CFG2 for each GPIO pin work together to determine the pad mode when the GPIO is set to input mode. + 0 + 32 + + + impedance + High Impedance. + 0 + + + pu + Weak pull-up mode. + 1 + + + pd + weak pull-down mode. + 2 + + + + + + + EN1 + GPIO Alternate Function Enable Register. Each bit in this register selects between primary/secondary functions for the associated GPIO pin in this port. + 0x68 + + + GPIO_EN1 + Mask of all of the pins on the port. + 0 + 32 + + + primary + Primary function selected. + 0 + + + secondary + Secondary function selected. + 1 + + + + + + + EN1_SET + GPIO Alternate Function Set. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_EN1 to 1, without affecting other bits in that register. + 0x6C + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + EN1_CLR + GPIO Alternate Function Clear. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_EN1 to 0, without affecting other bits in that register. + 0x70 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + EN2 + GPIO Alternate Function Enable Register. Each bit in this register selects between primary/secondary functions for the associated GPIO pin in this port. + 0x74 + + + GPIO_EN2 + Mask of all of the pins on the port. + 0 + 32 + + + primary + Primary function selected. + 0 + + + secondary + Secondary function selected. + 1 + + + + + + + EN2_SET + GPIO Alternate Function 2 Set. Writing a 1 to one or more bits in this register sets the bits in the same positions in GPIO_EN2 to 1, without affecting other bits in that register. + 0x78 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + EN2_CLR + GPIO Wake Alternate Function Clear. Writing a 1 to one or more bits in this register clears the bits in the same positions in GPIO_EN2 to 0, without affecting other bits in that register. + 0x7C + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + IS + Input Hysteresis Enable Register + 0xA8 + + + SR + Slew Rate Select Register. + 0xAC + + + DS + GPIO Drive Strength Register. Each bit in this register selects the drive strength for the associated GPIO pin in this port. Refer to the Datasheet for sink/source current of GPIO pins in each mode. + 0xB0 + + + DS + Mask of all of the pins on the port. + 0 + 32 + + + ld + GPIO port pin is in low-drive mode. + 0 + + + hd + GPIO port pin is in high-drive mode. + 1 + + + + + + + DS1 + GPIO Drive Strength 1 Register. Each bit in this register selects the drive strength for the associated GPIO pin in this port. Refer to the Datasheet for sink/source current of GPIO pins in each mode. + 0xB4 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + PS + GPIO Pull Select Mode. + 0xB8 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + VSSEL + GPIO Voltage Select. + 0xC0 + + + ALL + Mask of all of the pins on the port. + 0 + 32 + + + + + + + + I2C0 + Inter-Integrated Circuit. + I2C + 0x4001D000 + 32 + + 0x00 + 0x1000 + registers + + + I2C0 + I2C0 IRQ + 13 + + + + CTRL + Control Register0. + 0x00 + + + I2C_EN + I2C Enable. + [0:0] + read-write + + + dis + Disable I2C. + 0 + + + en + enable I2C. + 1 + + + + + MST + Master Mode Enable. + [1:1] + read-write + + + slave_mode + Slave Mode. + 0 + + + master_mode + Master Mode. + 1 + + + + + GEN_CALL_ADDR + General Call Address Enable. + [2:2] + read-write + + + dis + Ignore Gneral Call Address. + 0 + + + en + Acknowledge general call address. + 1 + + + + + RX_MODE + Interactive Receive Mode. + [3:3] + read-write + + + dis + Disable Interactive Receive Mode. + 0 + + + en + Enable Interactive Receive Mode. + 1 + + + + + RX_MODE_ACK + Data Acknowledge. This bit defines the acknowledge bit returned by the I2C receiver while IRXM = 1 HW forces ACK to 0 when IRXM = 0. + [4:4] + read-write + + + ack + return ACK (pulling SDA LOW). + 0 + + + nack + return NACK (leaving SDA HIGH). + 1 + + + + + SCL_OUT + SCL Output. This bits control SCL output when SWOE =1. + [6:6] + read-write + + + drive_scl_low + Drive SCL low. + 0 + + + release_scl + Release SCL. + 1 + + + + + SDA_OUT + SDA Output. This bits control SDA output when SWOE = 1. + [7:7] + read-write + + + drive_sda_low + Drive SDA low. + 0 + + + release_sda + Release SDA. + 1 + + + + + SCL + SCL status. This bit reflects the logic gate of SCL signal. + [8:8] + read-only + + + SDA + SDA status. THis bit reflects the logic gate of SDA signal. + [9:9] + read-only + + + SW_OUT_EN + Software Output Enable. + [10:10] + read-write + + + outputs_disable + I2C Outputs SCLO and SDAO disabled. + 0 + + + outputs_enable + I2C Outputs SCLO and SDAO enabled. + 1 + + + + + READ + Read. This bit reflects the R/W bit of an address match (AMI = 1) or general call match(GCI = 1). This bit is valid 3 cycles after the relevant interrupt bit is set. + [11:11] + read-only + + + write + Write. + 0 + + + read + Read. + 1 + + + + + SCL_CLK_STRECH_DIS + This bit will disable slave clock stretching when set. + [12:12] + read-write + + + en + Slave clock stretching enabled. + 0 + + + dis + Slave clock stretching disabled. + 1 + + + + + SCL_PP_MODE + SCL Push-Pull Mode. This bit controls whether SCL is operated in a the I2C standard open-drain mode, or in a non-standard push-pull mode where the Hi-Z output isreplaced with Drive-1. The non-standard mode should only be used when operating as a master and communicating with slaves that are guaranteed to never drive SCL low. + [13:13] + read-write + + + dis + Standard open-drain operation: drive low for 0, Hi-Z for 1 + 0 + + + en + Non-standard push-pull operation: drive low for 0, drive high for 1 + 1 + + + + + HS_MODE + Hs-mode Enable. + 15 + 1 + + + dis + Hs-mode disabled. + 0 + + + en + Hs-mode enabled. + 1 + + + + + + + STATUS + Status Register. + 0x04 + + + BUS + Bus Status. + [0:0] + read-only + + + idle + I2C Bus Idle. + 0 + + + busy + I2C Bus Busy. + 1 + + + + + RX_EMPTY + RX empty. + [1:1] + read-only + + + not_empty + Not Empty. + 0 + + + empty + Empty. + 1 + + + + + RX_FULL + RX Full. + [2:2] + read-only + + + not_full + Not Full. + 0 + + + full + Full. + 1 + + + + + TX_EMPTY + TX Empty. + [3:3] + + + not_empty + Not Empty. + 0 + + + empty + Empty. + 1 + + + + + TX_FULL + TX Full. + [4:4] + + + not_empty + Not Empty. + 0 + + + empty + Empty. + 1 + + + + + CLK_MODE + Clock Mode. + [5:5] + read-only + + + not_actively_driving_scl_clock + Device not actively driving SCL clock cycles. + 0 + + + actively_driving_scl_clock + Device operating as master and actively driving SCL clock cycles. + 1 + + + + + STATUS + Controller Status. + [11:8] + + + idle + Controller Idle. + 0 + + + mtx_addr + master Transmit address. + 1 + + + mrx_addr_ack + Master Receive address ACK. + 2 + + + mtx_ex_addr + Master Transmit extended address. + 3 + + + mrx_ex_addr + Master Receive extended address ACK. + 4 + + + srx_addr + Slave Receive address. + 5 + + + stx_addr_ack + Slave Transmit address ACK. + 6 + + + srx_ex_addr + Slave Receive extended address. + 7 + + + stx_ex_addr_ack + Slave Transmit extended address ACK. + 8 + + + tx + Transmit data (master or slave). + 9 + + + rx_ack + Receive data ACK (master or slave). + 10 + + + rx + Receive data (master or slave). + 11 + + + tx_ack + Transmit data ACK (master or slave). + 12 + + + nack + NACK stage (master or slave). + 13 + + + by_st + Bystander state (ongoing transaction but not participant- another master addressing another slave). + 15 + + + + + + + INT_FL0 + Interrupt Status Register. + 0x08 + + + DONE + Transfer Done Interrupt. + [0:0] + + INT_FL0_Done + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + RX_MODE + Interactive Receive Interrupt. + [1:1] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + GEN_CALL_ADDR + Slave General Call Address Match Interrupt. + [2:2] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + ADDR_MATCH + Slave Address Match Interrupt. + [3:3] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + RX_THRESH + Receive Threshold Interrupt. This bit is automaticcaly cleared when RX_FIFO is below the threshold level. + [4:4] + + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. RX_FIFO equal or more bytes than the threshold. + 1 + + + + + TX_THRESH + Transmit Threshold Interrupt. This bit is automaticcaly cleared when TX_FIFO is above the threshold level. + [5:5] + + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. TX_FIFO has equal or less bytes than the threshold. + 1 + + + + + STOP + STOP Interrupt. + [6:6] + + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. TX_FIFO has equal or less bytes than the threshold. + 1 + + + + + ADDR_ACK + Address Acknowledge Interrupt. + [7:7] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + ARB_ER + Arbritation error Interrupt. + [8:8] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + TO_ER + timeout Error Interrupt. + [9:9] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + ADDR_NACK_ER + Address NACK Error Interrupt. + [10:10] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + DATA_ER + Data NACK Error Interrupt. + [11:11] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + DO_NOT_RESP_ER + Do Not Respond Error Interrupt. + [12:12] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + START_ER + Start Error Interrupt. + [13:13] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + STOP_ER + Stop Error Interrupt. + [14:14] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + TX_LOCK_OUT + Transmit Lock Out Interrupt. + [15:15] + + + + + INT_EN0 + Interrupt Enable Register. + 0x0C + read-write + + + DONE + Transfer Done Interrupt Enable. + [0:0] + read-write + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled when DONE = 1. + 1 + + + + + RX_MODE + Description not available. + [1:1] + read-write + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled when RX_MODE = 1. + 1 + + + + + GEN_CTRL_ADDR + Slave mode general call address match received input enable. + [2:2] + read-write + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled when GEN_CTRL_ADDR = 1. + 1 + + + + + ADDR_MATCH + Slave mode incoming address match interrupt. + [3:3] + read-write + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled when ADDR_MATCH = 1. + 1 + + + + + RX_THRESH + RX FIFO Above Treshold Level Interrupt Enable. + [4:4] + read-write + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + TX_THRESH + TX FIFO Below Treshold Level Interrupt Enable. + [5:5] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + STOP + Stop Interrupt Enable + [6:6] + read-write + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled when STOP = 1. + 1 + + + + + ADDR_ACK + Received Address ACK from Slave Interrupt. + [7:7] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + ARB_ER + Master Mode Arbitration Lost Interrupt. + [8:8] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + TO_ER + Timeout Error Interrupt Enable. + [9:9] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + ADDR_ER + Master Mode Address NACK Received Interrupt. + [10:10] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + DATA_ER + Master Mode Data NACK Received Interrupt. + [11:11] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + DO_NOT_RESP_ER + Slave Mode Do Not Respond Interrupt. + [12:12] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + START_ER + Out of Sequence START condition detected interrupt. + [13:13] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + STOP_ER + Out of Sequence STOP condition detected interrupt. + [14:14] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled. + 1 + + + + + TX_LOCK_OUT + TX FIFO Locked Out Interrupt. + [15:15] + + + dis + Interrupt disabled. + 0 + + + en + Interrupt enabled when TXLOIE = 1. + 1 + + + + + + + INT_FL1 + Interrupt Status Register 1. + 0x10 + + + RX_OVERFLOW + Receiver Overflow Interrupt. When operating as a slave receiver, this bit is set when you reach the first data bit and the RX FIFO and shift register are both full. + [0:0] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + TX_UNDERFLOW + Transmit Underflow Interrupt. When operating as a slave transmitter, this bit is set when you reach the first data bit and the TX FIFO is empty and the master is still asking for more data (i.e the master hasn't sent a NACK yet). + [1:1] + + + inactive + No Interrupt is Pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + + + INT_EN1 + Interrupt Staus Register 1. + 0x14 + read-write + + + RX_OVERFLOW + Receiver Overflow Interrupt Enable. + [0:0] + + + dis + No Interrupt is Pending. + 0 + + + en + An interrupt is pending. + 1 + + + + + TX_UNDERFLOW + Transmit Underflow Interrupt Enable. + [1:1] + + + dis + No Interrupt is Pending. + 0 + + + en + An interrupt is pending. + 1 + + + + + + + FIFO_LEN + FIFO Configuration Register. + 0x18 + + + RX_LEN + Receive FIFO Length. + [7:0] + read-only + + + TX_LEN + Transmit FIFO Length. + [15:8] + read-only + + + + + RX_CTRL0 + Receive Control Register 0. + 0x1C + + + DNR + Do Not Respond. + [0:0] + + + respond + Always respond to address match. + 0 + + + not_respond_rx_fifo_empty + Do not respond to address match when RX_FIFO is not empty. + 1 + + + + + RX_FLUSH + Receive FIFO Flush. This bit is automatically cleared to 0 after the operation. Setting this bit to 1 will affect RX_FIFO status. + [7:7] + + + not_flushed + FIFO not flushed. + 0 + + + flush + Flush RX_FIFO. + 1 + + + + + RX_THRESH + Receive FIFO Threshold. These bits define the RX_FIFO interrupt threshold. + [11:8] + + + + + RX_CTRL1 + Receive Control Register 1. + 0x20 + + + RX_CNT + Receive Count Bits. These bits define the number of bytes to be received in a transaction, except for the case RXCNT = 0. RXCNT = 0 means 256 bytes to be received in a transaction. + [7:0] + + + RX_FIFO + Receive FIFO Count. These bits reflect the number of byte in the RX_FIFO. These bits are flushed when I2CEN = 0. + [11:8] + read-only + + + + + TX_CTRL0 + Transmit Control Register 0. + 0x24 + + + TX_PRELOAD + Transmit FIFO Preaload Mode. Setting this bit will allow for high speed application to preload the transmit FIFO prior to Slave Address Match. + [0:0] + + + TX_READY_MODE + Transmit FIFO Ready Manual Mode. + [1:1] + + + en + HW control of I2CTXRDY enabled. + 0 + + + dis + HW control of I2CTXRDY disabled. + 1 + + + + + TX_FLUSH + Transmit FIFO Flush. This bit is automatically cleared to 0 after the operation. + [7:7] + + + not_flushed + FIFO not flushed. + 0 + + + flush + Flush TX_FIFO. + 1 + + + + + TX_THRESH + Transmit FIFO Threshold. These bits define the TX_FIFO interrupt threshold. + [11:8] + + + + + TX_CTRL1 + Transmit Control Register 1. + 0x28 + + + TX_READY + Transmit FIFO Preload Ready. + [0:0] + + + TX_LAST + Transmit Last. This bit is used in slave mod only. Do not use when preloading (cleared by hardware). + [1:1] + + + hold_scl_low + Hold SCL low on TX_FIFO empty. + 0 + + + end_transaction + End transaction on TX_FIFO empty. + 1 + + + + + TX_FIFO + Transmit FIFO Count. These bits reflect the number of bytes in the TX_FIFO. + [11:8] + read-only + + + + + FIFO + Data Register. + 0x2C + + + DATA + Data is read from or written to this location. Transmit and receive FIFO are separate but both are addressed at this location. + 0 + 8 + + + + + MASTER_CTRL + Master Control Register. + 0x30 + + + START + Setting this bit to 1 will start a master transfer. + [0:0] + + + RESTART + Setting this bit to 1 will generate a repeated START. + [1:1] + + + STOP + Setting this bit to 1 will generate a STOP condition. + [2:2] + + + SL_EX_ADDR + Slave Extend Address Select. + [7:7] + + + 7_bits_address + 7-bit address. + 0 + + + 10_bits_address + 10-bit address. + 1 + + + + + MASTER_CODE + Master Code. These bits set the Master Code used in Hs-mode operation. + [10:8] + + + SCL_SPEED_UP + Serial Clock speed Up. Setting this bit disables the master's monitoring of SCL state for other external masters or slaves. + [11:11] + + + en + Master monitors SCL state. + 0 + + + dis + SCL state monitoring disabled. + 1 + + + + + + + CLK_LO + Clock Low Register. + 0x34 + + + CLK_LO + Clock low. In master mode, these bits define the SCL low period. In slave mode, these bits define the time SCL will be held low after data is outputted. + [8:0] + + + + + CLK_HI + Clock high Register. + 0x38 + + + CKH + Clock High. In master mode, these bits define the SCL high period. + [8:0] + + + + + HS_CLK + HS-Mode Clock Control Register + 0x3C + + + HS_CLK_LO + Slave Address. + [7:0] + + + HS_CLK_HI + Slave Address. + [15:8] + + + + + TIMEOUT + Timeout Register + 0x40 + + + TO + Timeout + [15:0] + + + + + SLAVE_ADDR + Slave Address Register. + 0x44 + + + SLAVE_ADDR + Slave Address. + [9:0] + + + SLAVE_ADDR_DIS + Slave Address DIS. + [10:10] + + + SLAVE_ADDR_IDX + Slave Address Index. + [14:11] + + + EX_ADDR + Extended Address Select. + [15:15] + + + 7_bits_address + 7-bit address. + 0 + + + 10_bits_address + 10-bit address. + 1 + + + + + + + DMA + DMA Register. + 0x48 + + + TX_EN + TX channel enable. + [0:0] + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + RX_EN + RX channel enable. + [1:1] + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + + + + + + I2C1 + Inter-Integrated Circuit. 1 + 0x4001E000 + + I2C1 + I2C1 IRQ + 36 + + + + + ICC0 + Instruction Cache Controller Registers + 0x4002A000 + + 0x00 + 0x1000 + registers + + + + CACHE_ID + Cache ID Register. + 0x0000 + read-only + + + RELNUM + Release Number. Identifies the RTL release version. + 0 + 6 + + + PARTNUM + Part Number. This field reflects the value of C_ID_PART_NUMBER configuration parameter. + 6 + 4 + + + CCHID + Cache ID. This field reflects the value of the C_ID_CACHEID configuration parameter. + 10 + 6 + + + + + MEMCFG + Memory Configuration Register. + 0x0004 + read-only + 0x00080008 + + + CCHSZ + Cache Size. Indicates total size in Kbytes of cache. + 0 + 16 + + + MEMSZ + Main Memory Size. Indicates the total size, in units of 128 Kbytes, of code memory accessible to the cache controller. + 16 + 16 + + + + + CACHE_CTRL + Cache Control and Status Register. + 0x0100 + + + CACHE_EN + Cache Enable. Controls whether the cache is bypassed or is in use. Changing the state of this bit will cause the instruction cache to be flushed and its contents invalidated. + 0 + 1 + + + dis + Cache Bypassed. Instruction data is stored in the line fill buffer but is not written to main cache memory array. + 0 + + + en + Cache Enabled. + 1 + + + + + CACHE_RDY + Cache Ready flag. Cleared by hardware when at any time the cache as a whole is invalidated (including a system reset). When this bit is 0, the cache is effectively in bypass mode (instruction fetches will come from main memory or from the line fill buffer). Set by hardware when the invalidate operation is complete and the cache is ready. + 16 + 1 + read-only + + + notReady + Not Ready. + 0 + + + ready + Ready. + 1 + + + + + + + INVALIDATE + Invalidate All Registers. + 0x0700 + read-write + + + + + + ICC1 + Instruction Cache Controller Registers 1 + 0x4002F000 + + + + PWRSEQ + Power Sequencer / Low Power Control Register. + 0x40006800 + + 0x00 + 0x800 + registers + + + + LP_CTRL + Low Power Control Register. + 0x00 + + + RAMRET_SEL0 + System RAM 0 Data retention in BACKUP mode. + 0 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + RAMRET_SEL1 + System RAM 1 Data retention in BACKUP mode. + 1 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + RAMRET_SEL2 + System RAM 2 Data retention in BACKUP mode. + 2 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + RAMRET_SEL3 + System RAM 3 Data retention in BACKUP mode. + 3 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + OVR + Operating Voltage Range + 4 + 2 + + + 0_9V + 0.9V 24MHz + 0 + + + 1_0V + 1.0V 48MHz + 1 + + + 1_1V + 1.1V 96MHz + 2 + + + + + VCORE_DET_BYPASS + Bypass V CORE External Supply Detection + 6 + 1 + + + enabled + enable + 0 + + + Disable + disable + 1 + + + + + RETREG_EN + Retention Regulator Enable. This bit controls the retention regulator in BACKUP mode. + 8 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + FAST_WK_EN + Fast Wake-Up Mode. This bit enables fast wake-up from DeepSleep mode. + 10 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + BG_OFF + Band Gap Disable for DEEPSLEEP and BACKUP Mode + 11 + 1 + + + on + Bandgap is always ON. + 0 + + + off + Bandgap is OFF in DeepSleep mode(default). + 1 + + + + + VCORE_POR_DIS + V CORE POR Disable for DEEPSLEEP and BACKUP Mode + 12 + 1 + + + dis + Disabled. + 0 + + + en + Enabled. + 1 + + + + + LDO_DIS + LDO Disable + 16 + 1 + + + en + Enable if Bandgap is ON(default) + 0 + + + dis + Disabled. + 1 + + + + + VCORE_SVM_DIS + V CORE Supply Voltage Monitor Disable + 20 + 1 + + + en + Enable if Bandgap is ON(default) + 0 + + + dis + Disabled. + 1 + + + + + VDDIO_POR_DIS + VDDIO Power-On Reset Monitor Disable. This bit controls the Power-On Reset monitor on VDDIO supply in all operating mods. + 25 + 1 + + + en + Enabled. + 0 + + + dis + Disabled. + 1 + + + + + + + LP_WAKEFL + Low Power Mode Wakeup Flags for GPIO0 + 0x04 + + + WAKEST + Wakeup IRQ flags (write ones to clear). One or more of these bits will be set when the corresponding dedicated GPIO pin(s) transition(s) from low to high or high to low. If GPIO wakeup source is selected, using PM.GPIOWKEN register, and the corresponding bit is also selected in LPWKEN register, an interrupt will be gnerated to wake up the CPU from a low power mode. + 0 + 14 + + + + + LPWK_EN + Low Power I/O Wakeup Enable Register 0. This register enables low power wakeup functionality for GPIO0. + 0x08 + + + WAKEEN + Enable wakeup. These bits allow wakeup from the corresponding GPIO pin(s) on transition(s) from low to high or high to low when PM.GPIOWKEN is set. Wakeup status is indicated in PPWKST register. + 0 + 14 + + + + + LPMEMSD + Low Power Memory Shutdown Control. + 0x40 + + + SRAM0_OFF + System RAM block 0 Shut Down. + 0 + 1 + + + normal + Normal Operating Mode. + 0 + + + shutdown + Shutdown Mode. + 1 + + + + + SRAM1_OFF + System RAM block 1 Shut Down. + 1 + 1 + + + normal + Normal Operating Mode. + 0 + + + shutdown + Shutdown Mode. + 1 + + + + + SRAM2_OFF + System RAM block 2 Shut Down. + 2 + 1 + + + normal + Normal Operating Mode. + 0 + + + shutdown + Shutdown Mode. + 1 + + + + + SRAM3_OFF + System RAM block 3 Shut Down. + 3 + 1 + + + normal + Normal Operating Mode. + 0 + + + shutdown + Shutdown Mode. + 1 + + + + + + + + + + RTC + Real Time Clock and Alarm. + 0x40006000 + + 0x00 + 0x400 + registers + + + RTC + RTC interrupt. + 3 + + + + SEC + RTC Second Counter. This register contains the 32-bit second counter. + 0x00 + 0x00000000 + + + SSEC + RTC Sub-second Counter. This counter increments at 256Hz. RTC_SEC is incremented when this register rolls over from 0xFF to 0x00. + 0x04 + 0x00000000 + + + RTSS + RTC Sub-second Counter. + 0 + 8 + + + + + RAS + Time-of-day Alarm. + 0x08 + 0x00000000 + + + RAS + Time-of-day Alarm. + 0 + 20 + + + + + RSSA + RTC sub-second alarm. This register contains the reload value for the sub-second alarm. + 0x0C + 0x00000000 + + + RSSA + This register contains the reload value for the sub-second alarm. + 0 + 32 + + + + + CTRL + RTC Control Register. + 0x10 + 0x00000008 + 0xFFFFFF38 + + + RTCE + Real Time Clock Enable. This bit enables the Real Time Clock. This bit can only be written when WE=1 and BUSY =0. Change to this bit is effective only after BUSY is cleared from 1 to 0. + 0 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + ADE + Alarm Time-of-Day Interrupt Enable. Change to this bit is effective only after BUSY is cleared from 1 to 0. + 1 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + ASE + Alarm Sub-second Interrupt Enable. Change to this bit is effective only after BUSY is cleared from 1 to 0. + 2 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + BUSY + RTC Busy. This bit is set to 1 by hardware when changes to RTC registers required a synchronized version of the register to be in place. This bit is automatically cleared by hardware. + 3 + 1 + read-only + + + idle + Idle. + 0 + + + busy + Busy. + 1 + + + + + RDY + RTC Ready. This bit is set to 1 by hardware when the RTC count registers update. It can be cleared to 0 by software at any time. It will also be cleared to 0 by hardware just prior to an update of the RTC count register. + 4 + 1 + + + busy + Register has not updated. + 0 + + + ready + Ready. + 1 + + + + + RDYE + RTC Ready Interrupt Enable. + 5 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + ALDF + Time-of-Day Alarm Interrupt Flag. This alarm is qualified as wake-up source to the processor. + 6 + 1 + read-only + + + inactive + Not active + 0 + + + Pending + Active + 1 + + + + + ALSF + Sub-second Alarm Interrupt Flag. This alarm is qualified as wake-up source to the processor. + 7 + 1 + read-only + + + inactive + Not active + 0 + + + Pending + Active + 1 + + + + + SQE + Square Wave Output Enable. + 8 + 1 + + + inactive + Not active + 0 + + + Pending + Active + 1 + + + + + FT + Frequency Output Selection. When SQE=1, these bits specify the output frequency on the SQW pin. + 9 + 2 + + + freq1Hz + 1 Hz (Compensated). + 0 + + + freq512Hz + 512 Hz (Compensated). + 1 + + + freq4KHz + 4 KHz. + 2 + + + clkDiv8 + RTC Input Clock / 8. + 3 + + + + + X32KMD + 32KHz Oscillator Mode. + 11 + 2 + + + noiseImmuneMode + Always operate in Noise Immune Mode. Oscillator warm-up required. + 0 + + + quietMode + Always operate in Quiet Mode. No oscillator warm-up required. + 1 + + + quietInStopWithWarmup + Operate in Noise Immune Mode normally, switch to Quiet Mode on Stop Mode entry. Will wait for 32K oscillator warm-up before code execution on Stop Mode exit. + 2 + + + quietInStopNoWarmup + Operate in Noise Immune Mode normally, switch to Quiet Mode on Stop Mode entry. Will not wait for 32K oscillator warm-up before code execution on Stop Mode exit. + 3 + + + + + WE + Write Enable. This register bit serves as a protection mechanism against unintentional writes to critical RTC bits. + 15 + 1 + + + inactive + Not active + 0 + + + Pending + Active + 1 + + + + + + + TRIM + RTC Trim Register. + 0x14 + 0x00000000 + + + TRIM + RTC Trim. This register contains the 2's complement value that specifies the trim resolution. Each increment or decrement of the bit adds or subtracts 1ppm at each 4KHz clock value, with a maximum correction of +/- 127ppm. + 0 + 8 + + + VBATTMR + VBAT Timer Value. When RTC is running off of VBAT, this field is incremented every 32 seconds. + 8 + 24 + + + + + OSCCTRL + RTC Oscillator Control Register. + 0x18 + 0x00000000 + + + FLITER_EN + RTC Oscillator Filter Enable + 0 + 1 + + + IBIAS_SEL + RTC Oscillator 4X Bias Current Select + 1 + 1 + + + 2X + Selects 2X bias current for RTC oscillator + 0 + + + 4X + Selects 4X bias current for RTC oscillator + 1 + + + + + HYST_EN + RTC Oscillator Hysteresis Buffer Enable + 2 + 1 + + + IBIAS_EN + RTC Oscillator Bias Current Enable + 3 + 1 + + + BYPASS + RTC Crystal Bypass + 4 + 1 + + + OUT32K + RTC 32kHz Square Wave Output + 5 + 1 + + + + + + + + SIR + System Initialization Registers. + 0x40000400 + read-only + + 0x00 + 0x400 + registers + + + + SISTAT + System Initialization Status Register. + 0x00 + read-only + + + MAGIC + Magic Word Validation. This bit is set by the system initialization block following power-up. + 0 + 1 + read-only + + read + + magicNotSet + Magic word was not set (OTP has not been initialized properly). + 0 + + + magicSet + Magic word was set (OTP contains valid settings). + 1 + + + + + CRCERR + CRC Error Status. This bit is set by the system initialization block following power-up. + 1 + 1 + read-only + + read + + noError + No CRC errors occurred during the read of the OTP memory block. + 0 + + + error + A CRC error occurred while reading the OTP. The address of the failure location in the OTP memory is stored in the ERRADDR register. + 1 + + + + + + + ERRADDR + Read-only field set by the SIB block if a CRC error occurs during the read of the OTP memory. Contains the failing address in OTP memory (when CRCERR equals 1). + 0x04 + read-only + + + ERRADDR + 0 + 32 + + + + + FSTAT + funcstat register. + 0x100 + read-only + + + FPU + FPU Function. + 0 + 1 + + + no + 0 + + + yes + 1 + + + + + USB + USB Device. + 1 + 1 + + + no + 0 + + + yes + 1 + + + + + ADC + 10-bit Sigma Delta ADC. + 2 + 1 + + + no + 0 + + + yes + 1 + + + + + XIP + XiP function. + 3 + 1 + + + no + 0 + + + yes + 1 + + + + + PBM + PBM function. + 4 + 1 + + + no + 0 + + + yes + 1 + + + + + HBC + HBC function. + 5 + 1 + + + no + 0 + + + yes + 1 + + + + + SDHC + SDHC function. + 6 + 1 + + + no + 0 + + + yes + 1 + + + + + SMPHR + SMPHR function. + 7 + 1 + + + no + 0 + + + yes + 1 + + + + + SCACHE + System Cache function. + 8 + 1 + + + no + 0 + + + yes + 1 + + + + + + + SFSTAT + secfuncstat register. + 0x104 + read-only + + + TRNG + TRNG function. + 2 + 1 + + + no + 0 + + + yes + 1 + + + + + AES + AES function. + 3 + 1 + + + no + 0 + + + yes + 1 + + + + + SHA + SHA function. + 4 + 1 + + + no + 0 + + + yes + 1 + + + + + MAA + MAA function. + 5 + 1 + + + no + 0 + + + yes + 1 + + + + + + + + + + SMON + The Security Monitor block used to monitor system threat conditions. + 0x40004000 + + 0x00 + 0x1000 + registers + + + + EXTSCN + External Sensor Control Register. + 0x00 + 0x3800FFC0 + + + EXTS_EN0 + External Sensor Enable for input/output pair 0. + 0 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + EXTS_EN1 + External Sensor Enable for input/output pair 1. + 1 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + EXTS_EN2 + External Sensor Enable for input/output pair 2. + 2 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + EXTS_EN3 + External Sensor Enable for input/output pair 3. + 3 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + EXTS_EN4 + External Sensor Enable for input/output pair 4. + 4 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + EXTS_EN5 + External Sensor Enable for input/output pair 5. + 5 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + EXTCNT + External Sensor Error Counter. These bits set the number of external sensor accepted mismatches that have to occur within a single bit period before an external sensor alarm is triggered. + 16 + 5 + + + EXTFRQ + External Sensor Frequency. These bits define the frequency at which the external sensors are clocked to/from the EXTS_IN and EXTS_OUT pair. + 21 + 3 + + + freq2000Hz + Div 4 (2000Hz). + 0 + + + freq1000Hz + Div 8 (1000Hz). + 1 + + + freq500Hz + Div 16 (500Hz). + 2 + + + freq250Hz + Div 32 (250Hz). + 3 + + + freq125Hz + Div 64 (125Hz). + 4 + + + freq63Hz + Div 128 (63Hz). + 5 + + + freq31Hz + Div 256 (31Hz). + 6 + + + RFU + Reserved. Do not use. + 7 + + + + + DIVCLK + Clock Divide. These bits are used to divide the 8KHz input clock. The resulting divided clock is used for all logic within the Security Monitor Block. Note: If the input clock is divided with these bits, the error count threshold table and output frequency will be affected accordingly with the same divide factor. + 24 + 3 + + + div1 + Divide by 1 (8000 Hz). + 0 + + + div2 + Divide by 2 (4000 Hz). + 1 + + + div4 + Divide by 4 (2000 Hz). + 2 + + + div8 + Divide by 8 (1000 Hz). + 3 + + + div16 + Divide by 16 (500 Hz). + 4 + + + div32 + Divide by 32 (250 Hz). + 5 + + + div64 + Divide by 64 (125 Hz). + 6 + + + + + BUSY + Busy. This bit is set to 1 by hardware after EXTSCN register is written to. This bit is automatically cleared to 0 after this register information has been transferred to the security monitor domain. + 30 + 1 + read-only + + + idle + Idle. + 0 + + + busy + Update in Progress. + 1 + + + + + LOCK + Lock Register. Once locked, the EXTSCN register can no longer be modified. Only a battery disconnect will clear this bit. VBAT powers this register. + 31 + 1 + + + unlocked + Unlocked. + 0 + + + locked + Locked. + 1 + + + + + + + INTSCN + Internal Sensor Control Register. + 0x04 + 0x7F00FFF7 + + + SHIELD_EN + Die Shield Enable. + 0 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + TEMP_EN + Temperature Sensor Enable. + 1 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + VBAT_EN + Battery Monitor Enable. + 2 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + LOTEMP_SEL + Low Temperature Detection Select. + 16 + 1 + + + neg50C + -50 degrees C. + 0 + + + neg30C + -30 degrees C. + 1 + + + + + VCORELOEN + VCORE Undervoltage Detect Enable. + 18 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + VCOREHIEN + VCORE Overvoltage Detect Enable. + 19 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + VDDLOEN + VDD Undervoltage Detect Enable. + 20 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + VDDHIEN + VDD Overvoltage Detect Enable. + 21 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + VGLEN + Voltage Glitch Detection Enable. + 22 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + LOCK + Lock Register. Once locked, the INTSCN register can no longer be modified. Only a battery disconnect will clear this bit. VBAT powers this register. + 31 + 1 + + + unlocked + Unlocked. + 0 + + + locked + Locked. + 1 + + + + + + + SECALM + Security Alarm Register. + 0x08 + 0x00000000 + 0x00000000 + + + DRS + Destructive Reset Trigger. Setting this bit will generate a DRS. This bit is self-cleared by hardware. + 0 + 1 + + + complete + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + KEYWIPE + Key Wipe Trigger. Set to 1 to initiate a wipe of the AES key register. It does not reset the part, or log a timestamp. AES and DES registers are not affected by this bit. This bit is automatically cleared to 0 after the keys have been wiped. + 1 + 1 + + + complete + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + SHIELDF + Die Shield Flag. + 2 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + LOTEMP + Low Temperature Detect. + 3 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + HITEMP + High Temperature Detect. + 4 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + BATLO + Battery Undervoltage Detect. + 5 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + BATHI + Battery Overvoltage Detect. + 6 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTF + External Sensor Flag. This bit is set to 1 when any of the EXTSTAT bits are set. + 7 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + VDDLO + VDD Undervoltage Detect Flag. + 8 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + VCORELO + VCORE Undervoltage Detect Flag. + 9 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + VCOREHI + VCORE Overvoltage Detect Flag. + 10 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + VDDHI + VDD Overvoltage Flag. + 11 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + VGL + Voltage Glitch Detection Flag. + 12 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT0 + External Sensor 0 Detect. The tamper detect is only active when it is enabled. This bits needs to be cleared in software after a tamper event to re-arm the sensor. + 16 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT1 + External Sensor 1 Detect. The tamper detect is only active when it is enabled. This bits needs to be cleared in software after a tamper event to re-arm the sensor. + 17 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT2 + External Sensor 2 Detect. The tamper detect is only active when it is enabled. This bits needs to be cleared in software after a tamper event to re-arm the sensor. + 18 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT3 + External Sensor 3 Detect. The tamper detect is only active when it is enabled. This bits needs to be cleared in software after a tamper event to re-arm the sensor. + 19 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT4 + External Sensor 4 Detect. The tamper detect is only active when it is enabled. This bits needs to be cleared in software after a tamper event to re-arm the sensor. + 20 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT5 + External Sensor 5 Detect. The tamper detect is only active when it is enabled. This bits needs to be cleared in software after a tamper event to re-arm the sensor. + 21 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSWARN0 + External Sensor 0 Warning Ready flag. The tamper detect warning flags are set, regardless of whether the external sensors are enabled. + 24 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSWARN1 + External Sensor 1 Warning Ready flag. The tamper detect warning flags are set, regardless of whether the external sensors are enabled. + 25 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSWARN2 + External Sensor 2 Warning Ready flag. The tamper detect warning flags are set, regardless of whether the external sensors are enabled. + 26 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSWARN3 + External Sensor 3 Warning Ready flag. The tamper detect warning flags are set, regardless of whether the external sensors are enabled. + 27 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSWARN4 + External Sensor 4 Warning Ready flag. The tamper detect warning flags are set, regardless of whether the external sensors are enabled. + 28 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSWARN5 + External Sensor 5 Warning Ready flag. The tamper detect warning flags are set, regardless of whether the external sensors are enabled. + 29 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + + + SECDIAG + Security Diagnostic Register. + 0x0C + read-only + 0x00000001 + 0xFFC0FE02 + + + BORF + Battery-On-Reset Flag. This bit is set once the back up battery is conneted. + 0 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + SHIELDF + Die Shield Flag. + 2 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + LOTEMP + Low Temperature Detect. + 3 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + HITEMP + High Temperature Detect. + 4 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + BATLO + Battery Undervoltage Detect. + 5 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + BATHI + Battery Overvoltage Detect. + 6 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + DYNF + Dynamic Sensor Flag. This bit is set to 1 when any of the EXTSTAT bits are set. + 7 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + AESKT + AES Key Transfer. This bit is set to 1 when AES Key has been transferred from the TRNG to the battery backed AES key register. This bit can only be reset by a BOR. + 8 + 1 + + + incomplete + Key has not been transferred. + 0 + + + complete + Key has been transferred. + 1 + + + + + EXTSTAT0 + External Sensor 0 Detect. + 16 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT1 + External Sensor 1 Detect. + 17 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT2 + External Sensor 2 Detect. + 18 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT3 + External Sensor 3 Detect. + 19 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT4 + External Sensor 4 Detect. + 20 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + EXTSTAT5 + External Sensor 5 Detect. + 21 + 1 + + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + + + DLRTC + DRS Log RTC Value. This register contains the 32 bit value in the RTC second register when the last DRS event occurred. + 0x10 + read-only + 0x00000000 + + + DLRTC + DRS Log RTC Value. This register contains the 32 bit value in the RTC second register when the last DRS event occured. + 0 + 32 + + + + + SECST + Security Monitor Status Register. + 0x34 + read-only + + + EXTSRS + External Sensor Control Register Status. + 0 + 1 + + + allowed + Access authorized. + 0 + + + notAllowed + Access not authorized. + 1 + + + + + INTSRS + Internal Sensor Control Register Status. + 1 + 1 + + + allowed + Access authorized. + 0 + + + notAllowed + Access not authorized. + 1 + + + + + SECALRS + Security Alarm Register Status. + 2 + 1 + + + allowed + Access authorized. + 0 + + + notAllowed + Access not authorized. + 1 + + + + + + + + + + SPI17Y + SPI peripheral. + 0x40046000 + + 0x00 + 0x1000 + registers + + + SPI0 + 16 + + + + DATA32 + Register for reading and writing the FIFO. + 0x00 + 32 + read-write + + + DATA + Read to pull from RX FIFO, write to put into TX FIFO. + 0 + 32 + + + + + 2 + 2 + DATA16[%s] + Register for reading and writing the FIFO. + DATA32 + 0x00 + 16 + read-write + + + DATA + Read to pull from RX FIFO, write to put into TX FIFO. + 0 + 16 + + + + + 4 + 1 + DATA8[%s] + Register for reading and writing the FIFO. + DATA32 + 0x00 + 8 + read-write + + + DATA + Read to pull from RX FIFO, write to put into TX FIFO. + 0 + 8 + + + + + CTRL0 + Register for controlling SPI peripheral. + 0x04 + read-write + + + EN + SPI Enable. + 0 + 1 + + + dis + SPI is disabled. + 0 + + + en + SPI is enabled. + 1 + + + + + MASTER + Master Mode Enable. + 1 + 1 + + + dis + SPI is Slave mode. + 0 + + + en + SPI is Master mode. + 1 + + + + + SS_IO + Slave Select 0, IO direction, to support Multi-Master mode,Slave Select 0 can be input in Master mode. This bit has no effect in slave mode. + 4 + 1 + + + output + Slave select 0 is output. + 0 + + + input + Slave Select 0 is input, only valid if MMEN=1. + 1 + + + + + START + Start Transmit. + 5 + 1 + + + start + Master Initiates a transaction, this bit is self clearing when transactions are done. If a transaction cimpletes, and the TX FIFO is empty, the Master halts, if a transaction completes, and the TX FIFO is not empty, the Master initiates another transaction. + 1 + + + + + SS_CTRL + Start Select Control. Used in Master mode to control the behavior of the Slave Select signal at the end of a transaction. + 8 + 1 + + + DEASSERT + SPI De-asserts Slave Select at the end of a transaction. + 0 + + + ASSERT + SPI leaves Slave Select asserted at the end of a transaction. + 1 + + + + + SS + Slave Select, when in Master mode selects which Slave devices are selected. More than one Slave device can be selected. + 16 + 4 + + + SS0 + SS0 is selected. + 0x1 + + + SS1 + SS1 is selected. + 0x2 + + + SS2 + SS2 is selected. + 0x4 + + + SS3 + SS3 is selected. + 0x8 + + + + + + + CTRL1 + Register for controlling SPI peripheral. + 0x08 + read-write + + + TX_NUM_CHAR + Nubmer of Characters to transmit. + 0 + 16 + + + RX_NUM_CHAR + Nubmer of Characters to receive. + 16 + 16 + + + + + CTRL2 + Register for controlling SPI peripheral. + 0x0C + read-write + + + CPHA + Clock Phase. + 0 + 1 + + + Rising_Edge + Data Sampled on clock rising edge. Use when in SPI Mode 0 and Mode 2 + 0 + + + Falling_Edge + Data Sampled on clock falling edge. Use when in SPI Mode 1 and Mode 3 + 1 + + + + + CPOL + Clock Polarity. + 1 + 1 + + + Normal + Normal Clock. Use when in SPI Mode 0 and Mode 1 + 0 + + + Inverted + Inverted Clock. Use when in SPI Mode 2 and Mode 3 + 1 + + + + + SCLK_INV + Reserved - Must Always Be Cleared to 0. + 4 + 1 + + + NUMBITS + Number of Bits per character. + 8 + 4 + + + 0 + 16 bits per character. + 0 + + + + + DATA_WIDTH + SPI Data width. + 12 + 2 + + + Mono + 1 data pin. + 0 + + + Dual + 2 data pins. + 1 + + + Quad + 4 data pins. + 2 + + + + + THREE_WIRE + Three Wire mode. MOSI/MISO pin(s) shared. Only Mono mode suports Four-Wire. + 15 + 1 + + + dis + Use four wire mode (Mono only). + 0 + + + en + Use three wire mode. + 1 + + + + + SS_POL + Slave Select Polarity, each Slave Select can have unique polarity. + 16 + 8 + + + SS0_high + SS0 active high. + 0x1 + + + SS1_high + SS1 active high. + 0x2 + + + SS2_high + SS2 active high. + 0x4 + + + SS3_high + SS3 active high. + 0x8 + + + + + SRPOL + Slave Ready Polarity, each Slave Ready can have unique polarity. + 24 + 8 + + + SR0_high + SR0 active high. + 0x1 + + + SR1_high + SR1 active high. + 0x2 + + + SR2_high + SR2 active high. + 0x4 + + + SR3_high + SR3 active high. + 0x8 + + + SR4_high + SR4 active high. + 0x10 + + + SR5_high + SR5 active high. + 0x20 + + + SR6_high + SR6 active high. + 0x40 + + + SR7_high + SR7 active high. + 0x80 + + + + + + + SS_TIME + Register for controlling SPI peripheral/Slave Select Timing. + 0x10 + read-write + + + PRE + Slave Select Pre delay 1. + 0 + 8 + + + 256 + 256 system clocks between SS active and first serial clock edge. + 0 + + + + + POST + Slave Select Post delay 2. + 8 + 8 + + + 256 + 256 system clocks between last serial clock edge and SS inactive. + 0 + + + + + INACT + Slave Select Inactive delay. + 16 + 8 + + + 256 + 256 system clocks between transactions. + 0 + + + + + + + CLK_CFG + Register for controlling SPI clock rate. + 0x14 + read-write + + + LO + Low duty cycle control. In timer mode, reload[7:0]. + 0 + 8 + + + Dis + Duty cycle control of serial clock generation is disabled. + 0 + + + + + HI + High duty cycle control. In timer mode, reload[15:8]. + 8 + 8 + + + Dis + Duty cycle control of serial clock generation is disabled. + 0 + + + + + SCALE + System Clock scale factor. Scales the AMBA clock by 2^SCALE before generating serial clock. + 16 + 4 + + + + + DMA + Register for controlling DMA. + 0x1C + read-write + + + TX_FIFO_LEVEL + Transmit FIFO level that will trigger a DMA request, also level for threshold status. When TX FIFO has fewer than this many bytes, the associated events and conditions are triggered. + 0 + 5 + + + TX_FIFO_EN + Transmit FIFO enabled for SPI transactions. + 6 + 1 + + + dis + Transmit FIFO is not enabled. + 0 + + + en + Transmit FIFO is enabled. + 1 + + + + + TX_FIFO_CLEAR + Clear TX FIFO, clear is accomplished by resetting the read and write + pointers. This should be done when FIFO is not being accessed on the SPI side. + . + 7 + 1 + + + CLEAR + Clear the Transmit FIFO, clears any pending TX FIFO status. + 1 + + + + + TX_FIFO_CNT + Count of entries in TX FIFO. + 8 + 6 + read-only + + + TX_DMA_EN + TX DMA Enable. + 15 + 1 + + + DIS + TX DMA requests are disabled, andy pending DMA requests are cleared. + 0 + + + en + TX DMA requests are enabled. + 1 + + + + + RX_FIFO_LEVEL + Receive FIFO level that will trigger a DMA request, also level for threshold status. When RX FIFO has more than this many bytes, the associated events and conditions are triggered. + 16 + 5 + + + RX_FIFO_EN + Receive FIFO enabled for SPI transactions. + 22 + 1 + + + DIS + Receive FIFO is not enabled. + 0 + + + en + Receive FIFO is enabled. + 1 + + + + + RX_FIFO_CLEAR + Clear RX FIFO, clear is accomplished by resetting the read and write pointers. This should be done when FIFO is not being accessed on the SPI side. + 23 + 1 + + + CLEAR + Clear the Receive FIFO, clears any pending RX FIFO status. + 1 + + + + + RX_FIFO_CNT + Count of entries in RX FIFO. + 24 + 6 + read-only + + + RX_DMA_EN + RX DMA Enable. + 31 + 1 + + + dis + RX DMA requests are disabled, any pending DMA requests are cleared. + 0 + + + en + RX DMA requests are enabled. + 1 + + + + + + + INT_FL + Register for reading and clearing interrupt flags. All bits are write 1 to clear. + 0x20 + read-write + + + TX_THRESH + TX FIFO Threshold Crossed. + 0 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + TX_EMPTY + TX FIFO Empty. + 1 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + RX_THRESH + RX FIFO Threshold Crossed. + 2 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + RX_FULL + RX FIFO FULL. + 3 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + SSA + Slave Select Asserted. + 4 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + SSD + Slave Select Deasserted. + 5 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + FAULT + Multi-Master Mode Fault. + 8 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + ABORT + Slave Abort Detected. + 9 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + M_DONE + Master Done, set when SPI Master has completed any transactions. + 11 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + TX_OVR + Transmit FIFO Overrun, set when the AMBA side attempts to write data to a full transmit FIFO. + 12 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + TX_UND + Transmit FIFO Underrun, set when the SPI side attempts to read data from an empty transmit FIFO. + 13 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + RX_OVR + Receive FIFO Overrun, set when the SPI side attempts to write to a full receive FIFO. + 14 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + RX_UND + Receive FIFO Underrun, set when the AMBA side attempts to read data from an empty receive FIFO. + 15 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + + + INT_EN + Register for enabling interrupts. + 0x24 + read-write + + + TX_THRESH + TX FIFO Threshold interrupt enable. + 0 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + TX_EMPTY + TX FIFO Empty interrupt enable. + 1 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + RX_THRESH + RX FIFO Threshold Crossed interrupt enable. + 2 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + RX_FULL + RX FIFO FULL interrupt enable. + 3 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + SSA + Slave Select Asserted interrupt enable. + 4 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + SSD + Slave Select Deasserted interrupt enable. + 5 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + FAULT + Multi-Master Mode Fault interrupt enable. + 8 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + ABORT + Slave Abort Detected interrupt enable. + 9 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + M_DONE + Master Done interrupt enable. + 11 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + TX_OVR + Transmit FIFO Overrun interrupt enable. + 12 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + TX_UND + Transmit FIFO Underrun interrupt enable. + 13 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + RX_OVR + Receive FIFO Overrun interrupt enable. + 14 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + RX_UND + Receive FIFO Underrun interrupt enable. + 15 + 1 + + + dis + Interrupt is disabled. + 0 + + + en + Interrupt is enabled. + 1 + + + + + + + WAKE_FL + Register for wake up flags. All bits in this register are write 1 to clear. + 0x28 + read-write + + + TX_THRESH + Wake on TX FIFO Threshold Crossed. + 0 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + TX_EMPTY + Wake on TX FIFO Empty. + 1 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + RX_THRESH + Wake on RX FIFO Threshold Crossed. + 2 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + RX_FULL + Wake on RX FIFO Full. + 3 + 1 + + + clear + Flag is set when value read is 1. Write 1 to clear this flag. + 1 + + + + + + + WAKE_EN + Register for wake up enable. + 0x2C + read-write + + + TX_THRESH + Wake on TX FIFO Threshold Crossed Enable. + 0 + 1 + + + dis + Wakeup source disabled. + 0 + + + en + Wakeup source enabled. + 1 + + + + + TX_EMPTY + Wake on TX FIFO Empty Enable. + 1 + 1 + + + dis + Wakeup source disabled. + 0 + + + en + Wakeup source enabled. + 1 + + + + + RX_THRESH + Wake on RX FIFO Threshold Crossed Enable. + 2 + 1 + + + dis + Wakeup source disabled. + 0 + + + en + Wakeup source enabled. + 1 + + + + + RX_FULL + Wake on RX FIFO Full Enable. + 3 + 1 + + + dis + Wakeup source disabled. + 0 + + + en + Wakeup source enabled. + 1 + + + + + + + STAT + SPI Status register. + 0x30 + read-only + + + BUSY + SPI active status. In Master mode, set when transaction starts, cleared when last bit of last character is acted upon and Slave Select de-assertion would occur. In Slave mode, set when Slave Select is asserted, cleared when Slave Select is de-asserted. Not used in Timer mode. + 0 + 1 + + + not + SPI not active. + 0 + + + active + SPI active. + 1 + + + + + + + + + + SPIMSS + Serial Peripheral Interface. + SPIMSS0_ + 0x40018000 + + 0x00 + 0x1000 + registers + + + + DATA16 + SPI 16-bit Data Access + 0x00 + 16 + read-write + + + DATA + SPI data. + 0 + 16 + + + + + 2 + 1 + DATA8[%s] + SPI Data 8-bit access + DATA16 + 0x00 + 8 + read-write + + + DATA + SPI data. + 0 + 8 + + + + + CTRL + SPI Control Register. + 0x04 + + + SPIEN + SPI Enable. + 0 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + MMEN + SPI Master Mode Enable. + 1 + 1 + + slv_mst_enum + + slave + 0 + + + master + 1 + + + + + WOR + Wired OR (open drain) Enable. + 2 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + CLKPOL + Clock Polarity. + 3 + 1 + + spi_pol_enum + + idleLo + SCLK idles Low (0) after character transmission/reception. + 0 + + + idleHi + SCLK idles High (1) after character transmission/reception. + 1 + + + + + PHASE + Phase Select. + 4 + 1 + + spi_phase_enum + + activeEdge + Transmit on active edge of SCLK. + 0 + + + inactiveEdge + Transmit on inactive edge of SCLK. + 1 + + + + + BIRQ + Baud Rate Generator Timer Interrupt Request. + 5 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + STR + Start SPI Interrupt. + 6 + 1 + + start_op_enum + + complete + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + IRQE + Interrupt Request Enable. + 7 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + + + STATUS + SPI Status Register. + 0x08 + 0x00000001 + + + SLAS + Slave Select. If the SPI is in slave mode, this bit indicates if the SPI is selected. If the SPI is in master mode this bit has no meaning. + 0 + 1 + read-only + + sel_enum + + selected + 0 + + + notSelected + 1 + + + + + TXST + Transmit Status. + 1 + 1 + read-only + + busy_enum + + idle + 0 + + + busy + 1 + + + + + TUND + Transmit Underrun. + 2 + 1 + oneToClear + + event_flag_enum + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + ROVR + Receive Overrun. + 3 + 1 + + event_flag_enum + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + ABT + Slave Mode Transaction Abort. + 4 + 1 + + event_flag_enum + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + COL + Collision. + 5 + 1 + + event_flag_enum + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + TOVR + Transmit Overrun. + 6 + 1 + + event_flag_enum + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + IRQ + SPI Interrupt Request. + 7 + 1 + oneToClear + + flag_enum + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + + + MOD + SPI Mode Register. + 0x0C + + + SSV + Slave Select Value. + 0 + 1 + + lo_hi_enum + + lo + The SSEL pin will be driven low. + 0 + + + hi + The SSEL pin will be driven high. + 1 + + + + + SSIO + Slave Select I/O. + 1 + 1 + + input_output_enum + + input + 0 + + + output + 1 + + + + + NUMBITS + 2 + 4 + + spi_bits_enum + + bits16 + 0 + + + bits1 + 1 + + + bits2 + 2 + + + bits3 + 3 + + + bits4 + 4 + + + bits5 + 5 + + + bits6 + 6 + + + bits7 + 7 + + + bits8 + 8 + + + bits9 + 9 + + + bits10 + 10 + + + bits11 + 11 + + + bits12 + 12 + + + bits13 + 13 + + + bits14 + 14 + + + bits15 + 15 + + + + + TX_LJ + Transmit Left Justify. + 7 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + SSL1 + Slave Select 1. If SPI is enabled and in master mode, the SSEL_1 is driven according to this bit. + 8 + 1 + + hi_lo_enum + + hi + High. + 0 + + + lo + Low. + 1 + + + + + SSL2 + Slave Select 2. If SPI is enabled and in master mode, the SSEL_2 is driven according to this bit. + 9 + 1 + + hi_lo_enum + + hi + High. + 0 + + + lo + Low. + 1 + + + + + SSL3 + Slave Select 3. If SPI is enabled and in master mode, the SSEL_3 is driven according to this bit. + 10 + 1 + + hi_lo_enum + + hi + High. + 0 + + + lo + Low. + 1 + + + + + + + BRG + Baud Rate Reload Value. The SPI Baud Rate register is a 16-bit reload value for the SPI Baud Rate Generator. The reload value must be greater than or equal to 0002H for proper SPI operation (maximum baud rate is PCLK frequency divided by 4). + 0x14 + 0x0000FFFF + + + BRG + Baud Rate Reload Value. + 0 + 16 + + + + + DMA + SPI DMA Register. + 0x18 + 0x00070007 + + + TX_FIFO_LEVEL + Transmit FIFO Level. Set the number of free entries in the TxFIFO when a TxDMA request occurs. + 0 + 3 + + fifo_level_enum + + entry1 + 0 + + + entries2 + 1 + + + entries3 + 2 + + + entries4 + 3 + + + entries5 + 4 + + + entries6 + 5 + + + entries7 + 6 + + + entries8 + 7 + + + + + TX_FIFO_CLEAR + Transmit FIFO Clear. + 4 + 1 + write-only + + start_op_enum + + complete + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + TX_FIFO_CNT + Transmit FIFO Count. + 8 + 4 + read-only + + + TX_DMA_EN + Transmit DMA Enable. + 15 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + RX_FIFO_LEVEL + Receive FIFO Level. Sets the RX FIFO DMA request threshold. This configures the number of filled RxFIFO entries before activating an RxDMA request. + 16 + 3 + + fifo_level_enum + + entry1 + 0 + + + entries2 + 1 + + + entries3 + 2 + + + entries4 + 3 + + + entries5 + 4 + + + entries6 + 5 + + + entries7 + 6 + + + entries8 + 7 + + + + + RX_FIFO_CLEAR + Receive FIFO Clear. + 20 + 1 + + start_op_enum + + complete + No operation/complete. + 0 + + + start + Start operation. + 1 + + + + + RX_FIFO_CNT + Receive FIFO Count. + 24 + 4 + read-only + + + RX_DMA_EN + Receive DMA Enable. + 31 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + + + I2S_CTRL + I2S Control Register. + 0x1C + + + I2S_EN + I2S Mode Enable. + 0 + 1 + + dis_en_enum + + disable + 0 + + + enable + 1 + + + + + I2S_MUTE + I2S Mute transmit. + 1 + 1 + + + normal + Normal Transmit. + 0 + + + replaced + Transmit data is replaced with 0. + 1 + + + + + I2S_PAUSE + I2S Pause transmit/receive. + 2 + 1 + + + normal + Normal Transmit. + 0 + + + halt + Halt transmit and receive FIFO and DMA access, transmit 0's. + 1 + + + + + I2S_MONO + I2S Monophonic Audio Mode. + 3 + 1 + + + stereophonic + Stereophonic audio. + 0 + + + monophonic + Monophonic audio format.Each transmit data word is replicated on both left/right channels. Receive data is taken from left channel, right channel receive data is ignored. + 1 + + + + + I2S_LJ + I2S Left Justify. + 4 + 1 + + + normal + Normal I2S audio protocol. + 0 + + + replaced + Audio data is synchronized with SSEL. + 1 + + + + + + + + + + TMR0 + 32-bit reloadable timer that can be used for timing and event counting. + Timers + 0x40010000 + + 0x00 + 0x1000 + registers + + + TMR0 + TMR0 IRQ + 5 + + + + CNT + Count. This register stores the current timer count. + 0x00 + 0x00000001 + + + CMP + Compare. This register stores the compare value, which is used to set the maximum count value to initiate a reload of the timer to 0x0001. + 0x04 + 0x0000FFFF + + + PWM + PWM. This register stores the value that is compared to the current timer count. + 0x08 + + + INTR + Clear Interrupt. Writing a value (0 or 1) to a bit in this register clears the associated interrupt. + 0x0C + oneToClear + + + IRQ_CLR + Clear Interrupt. + 0 + 1 + + + + + CN + Timer Control Register. + 0x10 + + + TMODE + Timer Mode. + 0 + 3 + + + oneShot + One Shot Mode. + 0 + + + continuous + Continuous Mode. + 1 + + + counter + Counter Mode. + 2 + + + pwm + PWM Mode. + 3 + + + capture + Capture Mode. + 4 + + + compare + Compare Mode. + 5 + + + gated + Gated Mode. + 6 + + + captureCompare + Capture/Compare Mode. + 7 + + + + + PRES + Prescaler. Set the Timer's prescaler value. The prescaler divides the PCLK input to the timer and sets the Timer's Count Clock, F_CNT_CLK = PCLK(HZ)/prescaler. The Timer's prescaler setting is a 4-bit value with pres3:pres[2:0]. + 3 + 3 + + + div1 + Divide by 1. + 0 + + + div2 + Divide by 2. + 1 + + + div4 + Divide by 4. + 2 + + + div8 + Divide by 8. + 3 + + + div16 + Divide by 16. + 4 + + + div32 + Divide by 32. + 5 + + + div64 + Divide by 64. + 6 + + + div128 + Divide by 128. + 7 + + + + + TPOL + Timer input/output polarity bit. + 6 + 1 + + + activeHi + Active High. + 0 + + + activeLo + Active Low. + 1 + + + + + TEN + Timer Enable. + 7 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + PRES3 + MSB of prescaler value. + 8 + 1 + + + PWMSYNC + Timer PWM Synchronization Mode Enable. + 9 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + NOLHPOL + Timer PWM output 0A polarity bit. + 10 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + NOLLPOL + Timer PWM output 0A' polarity bit. + 11 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + PWMCKBD + Timer PWM output 0A Mode Disable. + 12 + 1 + + + dis + Disable. + 1 + + + en + Enable. + 0 + + + + + + + NOLCMP + Timer Non-Overlapping Compare Register. + 0x14 + + + NOLLCMP + Non-overlapping Low Compare. The 8-bit timer count value of non-overlapping time between falling edge of PWM output 0A and next rising edge of PWM output 0A'. + 0 + 8 + + + NOLHCMP + Non-overlapping High Compare. The 8-bit timer count value of non-overlapping time between falling edge of PWM output 0A' and next rising edge of PWM output 0A. + 8 + 8 + + + + + + + + TMR1 + 32-bit reloadable timer that can be used for timing and event counting. 1 + 0x40011000 + + TMR1 + TMR1 IRQ + 6 + + + + + TMR2 + 32-bit reloadable timer that can be used for timing and event counting. 2 + 0x40012000 + + TMR2 + TMR2 IRQ + 7 + + + + + UART0 + UART + 0x40042000 + + 0 + 0x1000 + registers + + + UART0 + UART0 IRQ + 14 + + + + CTRL + Control Register. + 0x00 + 32 + + + ENABLE + UART enabled, to enable UART block, it is used to drive a gated clock in order to save power consumption when UART is not used. FIFOs are flushed when UART is disabled. + 0 + 1 + + + dis + UART disabled. FIFOs are flushed. Clock is gated off for power savings. + 0 + + + en + UART enabled. + 1 + + + + + PARITY_EN + Enable/disable Parity bit (9th character). + 1 + 1 + + + dis + No Parity + 0 + + + en + Parity enabled as 9th bit + 1 + + + + + PARITY + When PARITY_EN=1, selects odd, even, Mark or Space parity. + Mark parity = always 1; Space parity = always 0. + 2 + 2 + + + Even + Even parity selected. + 0 + + + ODD + Odd parity selected. + 1 + + + MARK + Mark parity selected. + 2 + + + SPACE + Space parity selected. + 3 + + + + + PARMD + Selects parity based on 1s or 0s count (when PARITY_EN=1). + 4 + 1 + + + 1 + Parity calculation is based on number of 1s in frame. + 0 + + + 0 + Parity calculation is based on number of 0s in frame. + 1 + + + + + TX_FLUSH + Flushes the TX FIFO buffer. + 5 + 1 + + + RX_FLUSH + Flushes the RX FIFO buffer. + 6 + 1 + + + BITACC + If set, bit accuracy is selected, in this case the bit duration is the same for all the bits with the optimal accuracy. But the frame duration can have a significant deviation from the expected baudrate.If clear, frame accuracy is selected, therefore bits can have different duration in order to guarantee the minimum frame deviation. + 7 + 1 + + + FRAME + Frame accuracy. + 0 + + + BIT + Bit accuracy. + 1 + + + + + CHAR_SIZE + Selects UART character size. + 8 + 2 + + + 5 + 5 bits. + 0 + + + 6 + 6 bits. + 1 + + + 7 + 7 bits. + 2 + + + 8 + 8 bits. + 3 + + + + + STOPBITS + Selects the number of stop bits that will be generated. + 10 + 1 + + + 1 + 1 stop bit. + 0 + + + 1_5 + 1.5 stop bits. + 1 + + + + + FLOW_CTRL + Enables/disables hardware flow control. + 11 + 1 + + + en + HW Flow Control with RTS/CTS enabled + 1 + + + dis + HW Flow Control disabled + 0 + + + + + FLOW_POL + RTS/CTS polarity. + 12 + 1 + + + 0 + RTS/CTS asserted is logic 0. + 0 + + + 1 + RTS/CTS asserted is logic 1. + 1 + + + + + NULL_MODEM + NULL Modem Support (RTS/CTS and TXD/RXD swap). + 13 + 1 + + + DIS + Direct convention. + 0 + + + EN + Null Modem Mode. + 1 + + + + + BREAK + Break control bit. It causes a break condition to be transmitted to receiving UART. + 14 + 1 + + + DIS + Break characters are not generated. + 0 + + + EN + Break characters are sent(all the bits are at '0' including start/parity/stop). + 1 + + + + + CLKSEL + Baud Rate Clock Source Select. Selects the baud rate clock. + 15 + 1 + + + SYSTEM + System clock. + 0 + + + ALTERNATE + Alternate 7.3727MHz internal clock. Useful in low power modes when the system clock is slow. + 1 + + + + + RX_TO + RX Time Out. RX time out interrupt will occur after RXTO Uart + characters if RX-FIFO is not empty and RX FIFO has not been read. + 16 + 8 + + + + + THRESH_CTRL + Threshold Control register. + 0x04 + 32 + + + RX_FIFO_THRESH + RX FIFO Threshold Level.When the RX FIFO reaches this many bytes or higher, UARTn_INFTL.rx_fifo_level is set. + 0 + 6 + + + TX_FIFO_THRESH + TX FIFO Threshold Level. When the TX FIFO reaches this many bytes or higher, UARTn_INTFL.tx_fifo_level is set. + 8 + 6 + + + RTS_FIFO_THRESH + RTS threshold control. When the RX FIFO reaches this many bytes or higher, the RTS output signal is deasserted, informing the transmitting UART to stop sending data to this UART. + 16 + 6 + + + + + STATUS + Status Register. + 0x08 + 32 + read-only + + + TX_BUSY + Read-only flag indicating the UART transmit status. + 0 + 1 + read-only + + + RX_BUSY + Read-only flag indicating the UARTreceiver status. + 1 + 1 + read-only + + + PARITY + 9th Received bit state. This bit identifies the state of the 9th bit of received data. Only available for UART_CTRL.SIZE[1:0]=3. + 2 + 1 + read-only + + + BREAK + Received BREAK status. BREAKS is cleared when UART_STAT register is read. Received data input is held in spacing (logic 0) state for longer than a full word transmission time (that is, the total time of Start bit + data bits + Parity + Stop bits). + 3 + 1 + read-only + + + RX_EMPTY + Read-only flag indicating the RX FIFO state. + 4 + 1 + read-only + + + RX_FULL + Read-only flag indicating the RX FIFO state. + 5 + 1 + read-only + + + TX_EMPTY + Read-only flag indicating the TX FIFO state. + 6 + 1 + read-only + + + TX_FULL + Read-only flag indicating the TX FIFO state. + 7 + 1 + read-only + + + RX_FIFO_CNT + Indicates the number of bytes currently in the RX FIFO. + 8 + 6 + read-only + + + TX_FIFO_CNT + Indicates the number of bytes currently in the TX FIFO. + 16 + 6 + read-only + + + RX_TO + RX Timeout status. + 24 + 1 + read-only + + + + + INT_EN + Interrupt Enable Register. + 0x0C + 32 + + + RX_FRAME_ERROR + Enable for RX Frame Error Interrupt. + 0 + 1 + + + RX_PARITY_ERROR + Enable for RX Parity Error interrupt. + 1 + 1 + + + CTS_CHANGE + Enable for CTS signal change interrupt. + 2 + 1 + + + RX_OVERRUN + Enable for RX FIFO OVerrun interrupt. + 3 + 1 + + + RX_FIFO_THRESH + Enable for interrupt when RX FIFO reaches the number of bytes configured by the RXTHD field. + 4 + 1 + + + TX_FIFO_ALMOST_EMPTY + Enable for interrupt when TX FIFO has only one byte remaining. + 5 + 1 + + + TX_FIFO_THRESH + Enable for interrupt when TX FIFO reaches the number of bytes configured by the TXTHD field. + 6 + 1 + + + BREAK + Enable for received BREAK character interrupt. + 7 + 1 + + + RX_TIMEOUT + Enable for RX Timeout Interrupt. Trigger if there is no RX communication during n UART characters (n=UART_CN.RXTO). + 8 + 1 + + + LAST_BREAK + Enable for Last break character interrupt. + 9 + 1 + + + + + INT_FL + Interrupt Status Flags. + 0x10 + 32 + oneToClear + + + RX_FRAME_ERROR + FLAG for RX Frame Error Interrupt. + 0 + 1 + + + RX_PARITY_ERROR + FLAG for RX Parity Error interrupt. + 1 + 1 + + + CTS_CHANGE + FLAG for CTS signal change interrupt. + 2 + 1 + + + RX_OVERRUN + FLAG for RX FIFO Overrun interrupt. + 3 + 1 + + + RX_FIFO_THRESH + FLAG for interrupt when RX FIFO reaches the number of bytes configured by the RXTHD field. + 4 + 1 + + + TX_FIFO_ALMOST_EMPTY + FLAG for interrupt when TX FIFO has only one byte remaining. + 5 + 1 + + + TX_FIFO_THRESH + FLAG for interrupt when TX FIFO reaches the number of bytes configured by the TXTHD field. + 6 + 1 + + + BREAK + FLAG for received BREAK character interrupt. + 7 + 1 + + + RX_TIMEOUT + FLAG for RX Timeout Interrupt. Trigger if there is no RX communication during n UART characters (n=UART_CN.RXTO). + 8 + 1 + + + LAST_BREAK + FLAG for Last break character interrupt. + 9 + 1 + + + + + BAUD0 + Baud rate register. Integer portion. + 0x14 + 32 + + + IBAUD + Integer portion of baud rate divisor value. IBAUD = InputClock / (factor * Baud Rate Frequency). + 0 + 12 + + + FACTOR + FACTOR must be chosen to have IDIV>0. factor used in calculation = 128 >> FACTOR. + 16 + 2 + + + 128 + Baud Factor 128 + 0 + + + 64 + Baud Factor 64 + 1 + + + 32 + Baud Factor 32 + 2 + + + 16 + Baud Factor 16 + 3 + + + + + + + BAUD1 + Baud rate register. Decimal Setting. + 0x18 + 32 + + + DBAUD + Decimal portion of baud rate divisor value. DIV = InputClock/(factor*Baud Rate Frequency). DDIV=(DIV-IDIV)*128. + 0 + 12 + + + + + FIFO + FIFO Data buffer. + 0x1C + 32 + + + FIFO + Load/unload location for TX and RX FIFO buffers. + 0 + 8 + + + + + DMA + DMA Configuration. + 0x20 + 32 + + + TDMA_EN + TX DMA channel enable. + 0 + 1 + + + dis + DMA is disabled + 0 + + + en + DMA is enabled + 1 + + + + + RXDMA_EN + RX DMA channel enable. + 1 + 1 + + + dis + DMA is disabled + 0 + + + en + DMA is enabled + 1 + + + + + TXDMA_LEVEL + TX threshold for DMA transmission. + 8 + 6 + + + RXDMA_LEVEL + RX threshold for DMA transmission. + 16 + 6 + + + + + TX_FIFO + Transmit FIFO Status register. + 0x24 + 32 + + + DATA + Reading from this field returns the next character available at the + output of the TX FIFO (if one is available, otherwise 00h is returned). + 0 + 7 + + + + + + + + UART1 + UART 1 + 0x40043000 + + UART1 + UART1 IRQ + 15 + + + + + WDT0 + Watchdog Timer 0 + 0x40003000 + + 0x00 + 0x0400 + registers + + + WDT0 + 1 + + + + CTRL + Watchdog Timer Control Register. + 0x00 + 0x7FFFF000 + + + INT_PERIOD + Watchdog Interrupt Period. The watchdog timer will assert an interrupt, if enabled, if the CPU does not write the watchdog reset sequence to the WDT_RST register before the watchdog timer has counted this time period since the last timer reset. + 0 + 4 + + + wdt2pow31 + 2**31 clock cycles. + 0 + + + wdt2pow30 + 2**30 clock cycles. + 1 + + + wdt2pow29 + 2**29 clock cycles. + 2 + + + wdt2pow28 + 2**28 clock cycles. + 3 + + + wdt2pow27 + 2^27 clock cycles. + 4 + + + wdt2pow26 + 2**26 clock cycles. + 5 + + + wdt2pow25 + 2**25 clock cycles. + 6 + + + wdt2pow24 + 2**24 clock cycles. + 7 + + + wdt2pow23 + 2**23 clock cycles. + 8 + + + wdt2pow22 + 2**22 clock cycles. + 9 + + + wdt2pow21 + 2**21 clock cycles. + 10 + + + wdt2pow20 + 2**20 clock cycles. + 11 + + + wdt2pow19 + 2**19 clock cycles. + 12 + + + wdt2pow18 + 2**18 clock cycles. + 13 + + + wdt2pow17 + 2**17 clock cycles. + 14 + + + wdt2pow16 + 2**16 clock cycles. + 15 + + + + + RST_PERIOD + Watchdog Reset Period. The watchdog timer will assert a reset, if enabled, if the CPU does not write the watchdog reset sequence to the WDT_RST register before the watchdog timer has counted this time period since the last timer reset. + 4 + 4 + + + wdt2pow31 + 2**31 clock cycles. + 0 + + + wdt2pow30 + 2**30 clock cycles. + 1 + + + wdt2pow29 + 2**29 clock cycles. + 2 + + + wdt2pow28 + 2**28 clock cycles. + 3 + + + wdt2pow27 + 2^27 clock cycles. + 4 + + + wdt2pow26 + 2**26 clock cycles. + 5 + + + wdt2pow25 + 2**25 clock cycles. + 6 + + + wdt2pow24 + 2**24 clock cycles. + 7 + + + wdt2pow23 + 2**23 clock cycles. + 8 + + + wdt2pow22 + 2**22 clock cycles. + 9 + + + wdt2pow21 + 2**21 clock cycles. + 10 + + + wdt2pow20 + 2**20 clock cycles. + 11 + + + wdt2pow19 + 2**19 clock cycles. + 12 + + + wdt2pow18 + 2**18 clock cycles. + 13 + + + wdt2pow17 + 2**17 clock cycles. + 14 + + + wdt2pow16 + 2**16 clock cycles. + 15 + + + + + WDT_EN + Watchdog Timer Enable. + 8 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + INT_FLAG + Watchdog Timer Interrupt Flag. + 9 + 1 + oneToClear + + + inactive + No interrupt is pending. + 0 + + + pending + An interrupt is pending. + 1 + + + + + INT_EN + Watchdog Timer Interrupt Enable. + 10 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + RST_EN + Watchdog Timer Reset Enable. + 11 + 1 + + + dis + Disable. + 0 + + + en + Enable. + 1 + + + + + RST_FLAG + Watchdog Timer Reset Flag. + 31 + 1 + + read-write + + noEvent + The event has not occurred. + 0 + + + occurred + The event has occurred. + 1 + + + + + + + RST + Watchdog Timer Reset Register. + 0x04 + write-only + + + WDT_RST + Writing the watchdog counter 'reset sequence' to this register resets the watchdog counter. If the watchdog count exceeds INT_PERIOD then a watchdog interrupt will occur, if enabled. If the watchdog count exceeds RST_PERIOD then a watchdog reset will occur, if enabled. + 0 + 8 + + + seq0 + The first value to be written to reset the WDT. + 0x000000A5 + + + seq1 + The second value to be written to reset the WDT. + 0x0000005A + + + + + + + + + + diff --git a/Firmware/SDK/Device/mxc_device.h b/Firmware/SDK/Device/mxc_device.h new file mode 100644 index 0000000..26fd450 --- /dev/null +++ b/Firmware/SDK/Device/mxc_device.h @@ -0,0 +1,72 @@ +/******************************************************************************* + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + ******************************************************************************/ + +/** + * @file mxc_device.h + * @brief contains device and revision specific definitions + */ + +#ifndef _MXC_DEVICE_H_ +#define _MXC_DEVICE_H_ + +#include "max32660.h" + +#ifndef TARGET + #error TARGET NOT DEFINED +#endif + +// Create a string definition for the TARGET +#define STRING_ARG(arg) #arg +#define STRING_NAME(name) STRING_ARG(name) +#define TARGET_NAME STRING_NAME(TARGET) + +// Define which revisions of the IP we are using +#ifndef TARGET_REV + #error TARGET_REV NOT DEFINED +#endif + +#if(TARGET_REV == 0x4131) + // A1 + #define MXC_PBM_REV 0 + #define MXC_TMR_REV 0 + #define MXC_UART_REV 1 +#else + +#error TARGET_REV NOT SUPPORTED + +#endif // if(TARGET_REV == ...) + +#endif /* _MXC_DEVICE_H_ */ diff --git a/Firmware/SDK/Device/pwrseq_regs.h b/Firmware/SDK/Device/pwrseq_regs.h new file mode 100644 index 0000000..38c2638 --- /dev/null +++ b/Firmware/SDK/Device/pwrseq_regs.h @@ -0,0 +1,273 @@ +/** + * @file pwrseq_regs.h + * @brief Registers, Bit Masks and Bit Positions for the PWRSEQ Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _PWRSEQ_REGS_H_ +#define _PWRSEQ_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup pwrseq + * @defgroup pwrseq_registers PWRSEQ_Registers + * @brief Registers, Bit Masks and Bit Positions for the PWRSEQ Peripheral Module. + * @details Power Sequencer / Low Power Control Register. + */ + +/** + * @ingroup pwrseq_registers + * Structure type to access the PWRSEQ Registers. + */ +typedef struct { + __IO uint32_t lp_ctrl; /**< \b 0x00: PWRSEQ LP_CTRL Register */ + __IO uint32_t lp_wakefl; /**< \b 0x04: PWRSEQ LP_WAKEFL Register */ + __IO uint32_t lpwk_en; /**< \b 0x08: PWRSEQ LPWK_EN Register */ + __R uint32_t rsv_0xc_0x3f[13]; + __IO uint32_t lpmemsd; /**< \b 0x40: PWRSEQ LPMEMSD Register */ +} mxc_pwrseq_regs_t; + +/* Register offsets for module PWRSEQ */ +/** + * @ingroup pwrseq_registers + * @defgroup PWRSEQ_Register_Offsets Register Offsets + * @brief PWRSEQ Peripheral Register Offsets from the PWRSEQ Base Peripheral Address. + * @{ + */ + #define MXC_R_PWRSEQ_LP_CTRL ((uint32_t)0x00000000UL) /**< Offset from PWRSEQ Base Address: 0x0000 */ + #define MXC_R_PWRSEQ_LP_WAKEFL ((uint32_t)0x00000004UL) /**< Offset from PWRSEQ Base Address: 0x0004 */ + #define MXC_R_PWRSEQ_LPWK_EN ((uint32_t)0x00000008UL) /**< Offset from PWRSEQ Base Address: 0x0008 */ + #define MXC_R_PWRSEQ_LPMEMSD ((uint32_t)0x00000040UL) /**< Offset from PWRSEQ Base Address: 0x0040 */ +/**@} end of group pwrseq_registers */ + +/** + * @ingroup pwrseq_registers + * @defgroup PWRSEQ_LP_CTRL PWRSEQ_LP_CTRL + * @brief Low Power Control Register. + * @{ + */ + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0_POS 0 /**< LP_CTRL_RAMRET_SEL0 Position */ + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0 ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0_POS)) /**< LP_CTRL_RAMRET_SEL0 Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL0_DIS ((uint32_t)0x0UL) /**< LP_CTRL_RAMRET_SEL0_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL0_DIS (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL0_DIS << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0_POS) /**< LP_CTRL_RAMRET_SEL0_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL0_EN ((uint32_t)0x1UL) /**< LP_CTRL_RAMRET_SEL0_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL0_EN (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL0_EN << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0_POS) /**< LP_CTRL_RAMRET_SEL0_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1_POS 1 /**< LP_CTRL_RAMRET_SEL1 Position */ + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1 ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1_POS)) /**< LP_CTRL_RAMRET_SEL1 Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL1_DIS ((uint32_t)0x0UL) /**< LP_CTRL_RAMRET_SEL1_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL1_DIS (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL1_DIS << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1_POS) /**< LP_CTRL_RAMRET_SEL1_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL1_EN ((uint32_t)0x1UL) /**< LP_CTRL_RAMRET_SEL1_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL1_EN (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL1_EN << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1_POS) /**< LP_CTRL_RAMRET_SEL1_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2_POS 2 /**< LP_CTRL_RAMRET_SEL2 Position */ + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2 ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2_POS)) /**< LP_CTRL_RAMRET_SEL2 Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL2_DIS ((uint32_t)0x0UL) /**< LP_CTRL_RAMRET_SEL2_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL2_DIS (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL2_DIS << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2_POS) /**< LP_CTRL_RAMRET_SEL2_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL2_EN ((uint32_t)0x1UL) /**< LP_CTRL_RAMRET_SEL2_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL2_EN (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL2_EN << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2_POS) /**< LP_CTRL_RAMRET_SEL2_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3_POS 3 /**< LP_CTRL_RAMRET_SEL3 Position */ + #define MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3 ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3_POS)) /**< LP_CTRL_RAMRET_SEL3 Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL3_DIS ((uint32_t)0x0UL) /**< LP_CTRL_RAMRET_SEL3_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL3_DIS (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL3_DIS << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3_POS) /**< LP_CTRL_RAMRET_SEL3_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL3_EN ((uint32_t)0x1UL) /**< LP_CTRL_RAMRET_SEL3_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RAMRET_SEL3_EN (MXC_V_PWRSEQ_LP_CTRL_RAMRET_SEL3_EN << MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3_POS) /**< LP_CTRL_RAMRET_SEL3_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_OVR_POS 4 /**< LP_CTRL_OVR Position */ + #define MXC_F_PWRSEQ_LP_CTRL_OVR ((uint32_t)(0x3UL << MXC_F_PWRSEQ_LP_CTRL_OVR_POS)) /**< LP_CTRL_OVR Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_OVR_0_9V ((uint32_t)0x0UL) /**< LP_CTRL_OVR_0_9V Value */ + #define MXC_S_PWRSEQ_LP_CTRL_OVR_0_9V (MXC_V_PWRSEQ_LP_CTRL_OVR_0_9V << MXC_F_PWRSEQ_LP_CTRL_OVR_POS) /**< LP_CTRL_OVR_0_9V Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_OVR_1_0V ((uint32_t)0x1UL) /**< LP_CTRL_OVR_1_0V Value */ + #define MXC_S_PWRSEQ_LP_CTRL_OVR_1_0V (MXC_V_PWRSEQ_LP_CTRL_OVR_1_0V << MXC_F_PWRSEQ_LP_CTRL_OVR_POS) /**< LP_CTRL_OVR_1_0V Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_OVR_1_1V ((uint32_t)0x2UL) /**< LP_CTRL_OVR_1_1V Value */ + #define MXC_S_PWRSEQ_LP_CTRL_OVR_1_1V (MXC_V_PWRSEQ_LP_CTRL_OVR_1_1V << MXC_F_PWRSEQ_LP_CTRL_OVR_POS) /**< LP_CTRL_OVR_1_1V Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_POS 6 /**< LP_CTRL_VCORE_DET_BYPASS Position */ + #define MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_POS)) /**< LP_CTRL_VCORE_DET_BYPASS Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_ENABLED ((uint32_t)0x0UL) /**< LP_CTRL_VCORE_DET_BYPASS_ENABLED Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_ENABLED (MXC_V_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_ENABLED << MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_POS) /**< LP_CTRL_VCORE_DET_BYPASS_ENABLED Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_DISABLE ((uint32_t)0x1UL) /**< LP_CTRL_VCORE_DET_BYPASS_DISABLE Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_DISABLE (MXC_V_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_DISABLE << MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS_POS) /**< LP_CTRL_VCORE_DET_BYPASS_DISABLE Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_RETREG_EN_POS 8 /**< LP_CTRL_RETREG_EN Position */ + #define MXC_F_PWRSEQ_LP_CTRL_RETREG_EN ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_RETREG_EN_POS)) /**< LP_CTRL_RETREG_EN Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_RETREG_EN_DIS ((uint32_t)0x0UL) /**< LP_CTRL_RETREG_EN_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RETREG_EN_DIS (MXC_V_PWRSEQ_LP_CTRL_RETREG_EN_DIS << MXC_F_PWRSEQ_LP_CTRL_RETREG_EN_POS) /**< LP_CTRL_RETREG_EN_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_RETREG_EN_EN ((uint32_t)0x1UL) /**< LP_CTRL_RETREG_EN_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_RETREG_EN_EN (MXC_V_PWRSEQ_LP_CTRL_RETREG_EN_EN << MXC_F_PWRSEQ_LP_CTRL_RETREG_EN_POS) /**< LP_CTRL_RETREG_EN_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN_POS 10 /**< LP_CTRL_FAST_WK_EN Position */ + #define MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN_POS)) /**< LP_CTRL_FAST_WK_EN Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_FAST_WK_EN_DIS ((uint32_t)0x0UL) /**< LP_CTRL_FAST_WK_EN_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_FAST_WK_EN_DIS (MXC_V_PWRSEQ_LP_CTRL_FAST_WK_EN_DIS << MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN_POS) /**< LP_CTRL_FAST_WK_EN_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_FAST_WK_EN_EN ((uint32_t)0x1UL) /**< LP_CTRL_FAST_WK_EN_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_FAST_WK_EN_EN (MXC_V_PWRSEQ_LP_CTRL_FAST_WK_EN_EN << MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN_POS) /**< LP_CTRL_FAST_WK_EN_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_BG_OFF_POS 11 /**< LP_CTRL_BG_OFF Position */ + #define MXC_F_PWRSEQ_LP_CTRL_BG_OFF ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_BG_OFF_POS)) /**< LP_CTRL_BG_OFF Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_BG_OFF_ON ((uint32_t)0x0UL) /**< LP_CTRL_BG_OFF_ON Value */ + #define MXC_S_PWRSEQ_LP_CTRL_BG_OFF_ON (MXC_V_PWRSEQ_LP_CTRL_BG_OFF_ON << MXC_F_PWRSEQ_LP_CTRL_BG_OFF_POS) /**< LP_CTRL_BG_OFF_ON Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_BG_OFF_OFF ((uint32_t)0x1UL) /**< LP_CTRL_BG_OFF_OFF Value */ + #define MXC_S_PWRSEQ_LP_CTRL_BG_OFF_OFF (MXC_V_PWRSEQ_LP_CTRL_BG_OFF_OFF << MXC_F_PWRSEQ_LP_CTRL_BG_OFF_POS) /**< LP_CTRL_BG_OFF_OFF Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS_POS 12 /**< LP_CTRL_VCORE_POR_DIS Position */ + #define MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS_POS)) /**< LP_CTRL_VCORE_POR_DIS Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_VCORE_POR_DIS_DIS ((uint32_t)0x0UL) /**< LP_CTRL_VCORE_POR_DIS_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VCORE_POR_DIS_DIS (MXC_V_PWRSEQ_LP_CTRL_VCORE_POR_DIS_DIS << MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS_POS) /**< LP_CTRL_VCORE_POR_DIS_DIS Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_VCORE_POR_DIS_EN ((uint32_t)0x1UL) /**< LP_CTRL_VCORE_POR_DIS_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VCORE_POR_DIS_EN (MXC_V_PWRSEQ_LP_CTRL_VCORE_POR_DIS_EN << MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS_POS) /**< LP_CTRL_VCORE_POR_DIS_EN Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_LDO_DIS_POS 16 /**< LP_CTRL_LDO_DIS Position */ + #define MXC_F_PWRSEQ_LP_CTRL_LDO_DIS ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_LDO_DIS_POS)) /**< LP_CTRL_LDO_DIS Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_LDO_DIS_EN ((uint32_t)0x0UL) /**< LP_CTRL_LDO_DIS_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_LDO_DIS_EN (MXC_V_PWRSEQ_LP_CTRL_LDO_DIS_EN << MXC_F_PWRSEQ_LP_CTRL_LDO_DIS_POS) /**< LP_CTRL_LDO_DIS_EN Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_LDO_DIS_DIS ((uint32_t)0x1UL) /**< LP_CTRL_LDO_DIS_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_LDO_DIS_DIS (MXC_V_PWRSEQ_LP_CTRL_LDO_DIS_DIS << MXC_F_PWRSEQ_LP_CTRL_LDO_DIS_POS) /**< LP_CTRL_LDO_DIS_DIS Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_POS 20 /**< LP_CTRL_VCORE_SVM_DIS Position */ + #define MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_POS)) /**< LP_CTRL_VCORE_SVM_DIS Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_EN ((uint32_t)0x0UL) /**< LP_CTRL_VCORE_SVM_DIS_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_EN (MXC_V_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_EN << MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_POS) /**< LP_CTRL_VCORE_SVM_DIS_EN Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_DIS ((uint32_t)0x1UL) /**< LP_CTRL_VCORE_SVM_DIS_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_DIS (MXC_V_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_DIS << MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS_POS) /**< LP_CTRL_VCORE_SVM_DIS_DIS Setting */ + + #define MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_POS 25 /**< LP_CTRL_VDDIO_POR_DIS Position */ + #define MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_POS)) /**< LP_CTRL_VDDIO_POR_DIS Mask */ + #define MXC_V_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_EN ((uint32_t)0x0UL) /**< LP_CTRL_VDDIO_POR_DIS_EN Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_EN (MXC_V_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_EN << MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_POS) /**< LP_CTRL_VDDIO_POR_DIS_EN Setting */ + #define MXC_V_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_DIS ((uint32_t)0x1UL) /**< LP_CTRL_VDDIO_POR_DIS_DIS Value */ + #define MXC_S_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_DIS (MXC_V_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_DIS << MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS_POS) /**< LP_CTRL_VDDIO_POR_DIS_DIS Setting */ + +/**@} end of group PWRSEQ_LP_CTRL_Register */ + +/** + * @ingroup pwrseq_registers + * @defgroup PWRSEQ_LP_WAKEFL PWRSEQ_LP_WAKEFL + * @brief Low Power Mode Wakeup Flags for GPIO0 + * @{ + */ + #define MXC_F_PWRSEQ_LP_WAKEFL_WAKEST_POS 0 /**< LP_WAKEFL_WAKEST Position */ + #define MXC_F_PWRSEQ_LP_WAKEFL_WAKEST ((uint32_t)(0x3FFFUL << MXC_F_PWRSEQ_LP_WAKEFL_WAKEST_POS)) /**< LP_WAKEFL_WAKEST Mask */ + +/**@} end of group PWRSEQ_LP_WAKEFL_Register */ + +/** + * @ingroup pwrseq_registers + * @defgroup PWRSEQ_LPWK_EN PWRSEQ_LPWK_EN + * @brief Low Power I/O Wakeup Enable Register 0. This register enables low power wakeup + * functionality for GPIO0. + * @{ + */ + #define MXC_F_PWRSEQ_LPWK_EN_WAKEEN_POS 0 /**< LPWK_EN_WAKEEN Position */ + #define MXC_F_PWRSEQ_LPWK_EN_WAKEEN ((uint32_t)(0x3FFFUL << MXC_F_PWRSEQ_LPWK_EN_WAKEEN_POS)) /**< LPWK_EN_WAKEEN Mask */ + +/**@} end of group PWRSEQ_LPWK_EN_Register */ + +/** + * @ingroup pwrseq_registers + * @defgroup PWRSEQ_LPMEMSD PWRSEQ_LPMEMSD + * @brief Low Power Memory Shutdown Control. + * @{ + */ + #define MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF_POS 0 /**< LPMEMSD_SRAM0_OFF Position */ + #define MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF_POS)) /**< LPMEMSD_SRAM0_OFF Mask */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM0_OFF_NORMAL ((uint32_t)0x0UL) /**< LPMEMSD_SRAM0_OFF_NORMAL Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM0_OFF_NORMAL (MXC_V_PWRSEQ_LPMEMSD_SRAM0_OFF_NORMAL << MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF_POS) /**< LPMEMSD_SRAM0_OFF_NORMAL Setting */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM0_OFF_SHUTDOWN ((uint32_t)0x1UL) /**< LPMEMSD_SRAM0_OFF_SHUTDOWN Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM0_OFF_SHUTDOWN (MXC_V_PWRSEQ_LPMEMSD_SRAM0_OFF_SHUTDOWN << MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF_POS) /**< LPMEMSD_SRAM0_OFF_SHUTDOWN Setting */ + + #define MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF_POS 1 /**< LPMEMSD_SRAM1_OFF Position */ + #define MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF_POS)) /**< LPMEMSD_SRAM1_OFF Mask */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM1_OFF_NORMAL ((uint32_t)0x0UL) /**< LPMEMSD_SRAM1_OFF_NORMAL Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM1_OFF_NORMAL (MXC_V_PWRSEQ_LPMEMSD_SRAM1_OFF_NORMAL << MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF_POS) /**< LPMEMSD_SRAM1_OFF_NORMAL Setting */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM1_OFF_SHUTDOWN ((uint32_t)0x1UL) /**< LPMEMSD_SRAM1_OFF_SHUTDOWN Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM1_OFF_SHUTDOWN (MXC_V_PWRSEQ_LPMEMSD_SRAM1_OFF_SHUTDOWN << MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF_POS) /**< LPMEMSD_SRAM1_OFF_SHUTDOWN Setting */ + + #define MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF_POS 2 /**< LPMEMSD_SRAM2_OFF Position */ + #define MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF_POS)) /**< LPMEMSD_SRAM2_OFF Mask */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM2_OFF_NORMAL ((uint32_t)0x0UL) /**< LPMEMSD_SRAM2_OFF_NORMAL Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM2_OFF_NORMAL (MXC_V_PWRSEQ_LPMEMSD_SRAM2_OFF_NORMAL << MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF_POS) /**< LPMEMSD_SRAM2_OFF_NORMAL Setting */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM2_OFF_SHUTDOWN ((uint32_t)0x1UL) /**< LPMEMSD_SRAM2_OFF_SHUTDOWN Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM2_OFF_SHUTDOWN (MXC_V_PWRSEQ_LPMEMSD_SRAM2_OFF_SHUTDOWN << MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF_POS) /**< LPMEMSD_SRAM2_OFF_SHUTDOWN Setting */ + + #define MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF_POS 3 /**< LPMEMSD_SRAM3_OFF Position */ + #define MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF ((uint32_t)(0x1UL << MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF_POS)) /**< LPMEMSD_SRAM3_OFF Mask */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM3_OFF_NORMAL ((uint32_t)0x0UL) /**< LPMEMSD_SRAM3_OFF_NORMAL Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM3_OFF_NORMAL (MXC_V_PWRSEQ_LPMEMSD_SRAM3_OFF_NORMAL << MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF_POS) /**< LPMEMSD_SRAM3_OFF_NORMAL Setting */ + #define MXC_V_PWRSEQ_LPMEMSD_SRAM3_OFF_SHUTDOWN ((uint32_t)0x1UL) /**< LPMEMSD_SRAM3_OFF_SHUTDOWN Value */ + #define MXC_S_PWRSEQ_LPMEMSD_SRAM3_OFF_SHUTDOWN (MXC_V_PWRSEQ_LPMEMSD_SRAM3_OFF_SHUTDOWN << MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF_POS) /**< LPMEMSD_SRAM3_OFF_SHUTDOWN Setting */ + +/**@} end of group PWRSEQ_LPMEMSD_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _PWRSEQ_REGS_H_ */ diff --git a/Firmware/SDK/Device/rtc_regs.h b/Firmware/SDK/Device/rtc_regs.h new file mode 100644 index 0000000..678ed2a --- /dev/null +++ b/Firmware/SDK/Device/rtc_regs.h @@ -0,0 +1,297 @@ +/** + * @file rtc_regs.h + * @brief Registers, Bit Masks and Bit Positions for the RTC Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _RTC_REGS_H_ +#define _RTC_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup rtc + * @defgroup rtc_registers RTC_Registers + * @brief Registers, Bit Masks and Bit Positions for the RTC Peripheral Module. + * @details Real Time Clock and Alarm. + */ + +/** + * @ingroup rtc_registers + * Structure type to access the RTC Registers. + */ +typedef struct { + __IO uint32_t sec; /**< \b 0x00: RTC SEC Register */ + __IO uint32_t ssec; /**< \b 0x04: RTC SSEC Register */ + __IO uint32_t ras; /**< \b 0x08: RTC RAS Register */ + __IO uint32_t rssa; /**< \b 0x0C: RTC RSSA Register */ + __IO uint32_t ctrl; /**< \b 0x10: RTC CTRL Register */ + __IO uint32_t trim; /**< \b 0x14: RTC TRIM Register */ + __IO uint32_t oscctrl; /**< \b 0x18: RTC OSCCTRL Register */ +} mxc_rtc_regs_t; + +/* Register offsets for module RTC */ +/** + * @ingroup rtc_registers + * @defgroup RTC_Register_Offsets Register Offsets + * @brief RTC Peripheral Register Offsets from the RTC Base Peripheral Address. + * @{ + */ + #define MXC_R_RTC_SEC ((uint32_t)0x00000000UL) /**< Offset from RTC Base Address: 0x0000 */ + #define MXC_R_RTC_SSEC ((uint32_t)0x00000004UL) /**< Offset from RTC Base Address: 0x0004 */ + #define MXC_R_RTC_RAS ((uint32_t)0x00000008UL) /**< Offset from RTC Base Address: 0x0008 */ + #define MXC_R_RTC_RSSA ((uint32_t)0x0000000CUL) /**< Offset from RTC Base Address: 0x000C */ + #define MXC_R_RTC_CTRL ((uint32_t)0x00000010UL) /**< Offset from RTC Base Address: 0x0010 */ + #define MXC_R_RTC_TRIM ((uint32_t)0x00000014UL) /**< Offset from RTC Base Address: 0x0014 */ + #define MXC_R_RTC_OSCCTRL ((uint32_t)0x00000018UL) /**< Offset from RTC Base Address: 0x0018 */ +/**@} end of group rtc_registers */ + +/** + * @ingroup rtc_registers + * @defgroup RTC_SSEC RTC_SSEC + * @brief RTC Sub-second Counter. This counter increments at 256Hz. RTC_SEC is incremented + * when this register rolls over from 0xFF to 0x00. + * @{ + */ + #define MXC_F_RTC_SSEC_RTSS_POS 0 /**< SSEC_RTSS Position */ + #define MXC_F_RTC_SSEC_RTSS ((uint32_t)(0xFFUL << MXC_F_RTC_SSEC_RTSS_POS)) /**< SSEC_RTSS Mask */ + +/**@} end of group RTC_SSEC_Register */ + +/** + * @ingroup rtc_registers + * @defgroup RTC_RAS RTC_RAS + * @brief Time-of-day Alarm. + * @{ + */ + #define MXC_F_RTC_RAS_RAS_POS 0 /**< RAS_RAS Position */ + #define MXC_F_RTC_RAS_RAS ((uint32_t)(0xFFFFFUL << MXC_F_RTC_RAS_RAS_POS)) /**< RAS_RAS Mask */ + +/**@} end of group RTC_RAS_Register */ + +/** + * @ingroup rtc_registers + * @defgroup RTC_RSSA RTC_RSSA + * @brief RTC sub-second alarm. This register contains the reload value for the sub- + * second alarm. + * @{ + */ + #define MXC_F_RTC_RSSA_RSSA_POS 0 /**< RSSA_RSSA Position */ + #define MXC_F_RTC_RSSA_RSSA ((uint32_t)(0xFFFFFFFFUL << MXC_F_RTC_RSSA_RSSA_POS)) /**< RSSA_RSSA Mask */ + +/**@} end of group RTC_RSSA_Register */ + +/** + * @ingroup rtc_registers + * @defgroup RTC_CTRL RTC_CTRL + * @brief RTC Control Register. + * @{ + */ + #define MXC_F_RTC_CTRL_RTCE_POS 0 /**< CTRL_RTCE Position */ + #define MXC_F_RTC_CTRL_RTCE ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_RTCE_POS)) /**< CTRL_RTCE Mask */ + #define MXC_V_RTC_CTRL_RTCE_DIS ((uint32_t)0x0UL) /**< CTRL_RTCE_DIS Value */ + #define MXC_S_RTC_CTRL_RTCE_DIS (MXC_V_RTC_CTRL_RTCE_DIS << MXC_F_RTC_CTRL_RTCE_POS) /**< CTRL_RTCE_DIS Setting */ + #define MXC_V_RTC_CTRL_RTCE_EN ((uint32_t)0x1UL) /**< CTRL_RTCE_EN Value */ + #define MXC_S_RTC_CTRL_RTCE_EN (MXC_V_RTC_CTRL_RTCE_EN << MXC_F_RTC_CTRL_RTCE_POS) /**< CTRL_RTCE_EN Setting */ + + #define MXC_F_RTC_CTRL_ADE_POS 1 /**< CTRL_ADE Position */ + #define MXC_F_RTC_CTRL_ADE ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_ADE_POS)) /**< CTRL_ADE Mask */ + #define MXC_V_RTC_CTRL_ADE_DIS ((uint32_t)0x0UL) /**< CTRL_ADE_DIS Value */ + #define MXC_S_RTC_CTRL_ADE_DIS (MXC_V_RTC_CTRL_ADE_DIS << MXC_F_RTC_CTRL_ADE_POS) /**< CTRL_ADE_DIS Setting */ + #define MXC_V_RTC_CTRL_ADE_EN ((uint32_t)0x1UL) /**< CTRL_ADE_EN Value */ + #define MXC_S_RTC_CTRL_ADE_EN (MXC_V_RTC_CTRL_ADE_EN << MXC_F_RTC_CTRL_ADE_POS) /**< CTRL_ADE_EN Setting */ + + #define MXC_F_RTC_CTRL_ASE_POS 2 /**< CTRL_ASE Position */ + #define MXC_F_RTC_CTRL_ASE ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_ASE_POS)) /**< CTRL_ASE Mask */ + #define MXC_V_RTC_CTRL_ASE_DIS ((uint32_t)0x0UL) /**< CTRL_ASE_DIS Value */ + #define MXC_S_RTC_CTRL_ASE_DIS (MXC_V_RTC_CTRL_ASE_DIS << MXC_F_RTC_CTRL_ASE_POS) /**< CTRL_ASE_DIS Setting */ + #define MXC_V_RTC_CTRL_ASE_EN ((uint32_t)0x1UL) /**< CTRL_ASE_EN Value */ + #define MXC_S_RTC_CTRL_ASE_EN (MXC_V_RTC_CTRL_ASE_EN << MXC_F_RTC_CTRL_ASE_POS) /**< CTRL_ASE_EN Setting */ + + #define MXC_F_RTC_CTRL_BUSY_POS 3 /**< CTRL_BUSY Position */ + #define MXC_F_RTC_CTRL_BUSY ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_BUSY_POS)) /**< CTRL_BUSY Mask */ + #define MXC_V_RTC_CTRL_BUSY_IDLE ((uint32_t)0x0UL) /**< CTRL_BUSY_IDLE Value */ + #define MXC_S_RTC_CTRL_BUSY_IDLE (MXC_V_RTC_CTRL_BUSY_IDLE << MXC_F_RTC_CTRL_BUSY_POS) /**< CTRL_BUSY_IDLE Setting */ + #define MXC_V_RTC_CTRL_BUSY_BUSY ((uint32_t)0x1UL) /**< CTRL_BUSY_BUSY Value */ + #define MXC_S_RTC_CTRL_BUSY_BUSY (MXC_V_RTC_CTRL_BUSY_BUSY << MXC_F_RTC_CTRL_BUSY_POS) /**< CTRL_BUSY_BUSY Setting */ + + #define MXC_F_RTC_CTRL_RDY_POS 4 /**< CTRL_RDY Position */ + #define MXC_F_RTC_CTRL_RDY ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_RDY_POS)) /**< CTRL_RDY Mask */ + #define MXC_V_RTC_CTRL_RDY_BUSY ((uint32_t)0x0UL) /**< CTRL_RDY_BUSY Value */ + #define MXC_S_RTC_CTRL_RDY_BUSY (MXC_V_RTC_CTRL_RDY_BUSY << MXC_F_RTC_CTRL_RDY_POS) /**< CTRL_RDY_BUSY Setting */ + #define MXC_V_RTC_CTRL_RDY_READY ((uint32_t)0x1UL) /**< CTRL_RDY_READY Value */ + #define MXC_S_RTC_CTRL_RDY_READY (MXC_V_RTC_CTRL_RDY_READY << MXC_F_RTC_CTRL_RDY_POS) /**< CTRL_RDY_READY Setting */ + + #define MXC_F_RTC_CTRL_RDYE_POS 5 /**< CTRL_RDYE Position */ + #define MXC_F_RTC_CTRL_RDYE ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_RDYE_POS)) /**< CTRL_RDYE Mask */ + #define MXC_V_RTC_CTRL_RDYE_DIS ((uint32_t)0x0UL) /**< CTRL_RDYE_DIS Value */ + #define MXC_S_RTC_CTRL_RDYE_DIS (MXC_V_RTC_CTRL_RDYE_DIS << MXC_F_RTC_CTRL_RDYE_POS) /**< CTRL_RDYE_DIS Setting */ + #define MXC_V_RTC_CTRL_RDYE_EN ((uint32_t)0x1UL) /**< CTRL_RDYE_EN Value */ + #define MXC_S_RTC_CTRL_RDYE_EN (MXC_V_RTC_CTRL_RDYE_EN << MXC_F_RTC_CTRL_RDYE_POS) /**< CTRL_RDYE_EN Setting */ + + #define MXC_F_RTC_CTRL_ALDF_POS 6 /**< CTRL_ALDF Position */ + #define MXC_F_RTC_CTRL_ALDF ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_ALDF_POS)) /**< CTRL_ALDF Mask */ + #define MXC_V_RTC_CTRL_ALDF_INACTIVE ((uint32_t)0x0UL) /**< CTRL_ALDF_INACTIVE Value */ + #define MXC_S_RTC_CTRL_ALDF_INACTIVE (MXC_V_RTC_CTRL_ALDF_INACTIVE << MXC_F_RTC_CTRL_ALDF_POS) /**< CTRL_ALDF_INACTIVE Setting */ + #define MXC_V_RTC_CTRL_ALDF_PENDING ((uint32_t)0x1UL) /**< CTRL_ALDF_PENDING Value */ + #define MXC_S_RTC_CTRL_ALDF_PENDING (MXC_V_RTC_CTRL_ALDF_PENDING << MXC_F_RTC_CTRL_ALDF_POS) /**< CTRL_ALDF_PENDING Setting */ + + #define MXC_F_RTC_CTRL_ALSF_POS 7 /**< CTRL_ALSF Position */ + #define MXC_F_RTC_CTRL_ALSF ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_ALSF_POS)) /**< CTRL_ALSF Mask */ + #define MXC_V_RTC_CTRL_ALSF_INACTIVE ((uint32_t)0x0UL) /**< CTRL_ALSF_INACTIVE Value */ + #define MXC_S_RTC_CTRL_ALSF_INACTIVE (MXC_V_RTC_CTRL_ALSF_INACTIVE << MXC_F_RTC_CTRL_ALSF_POS) /**< CTRL_ALSF_INACTIVE Setting */ + #define MXC_V_RTC_CTRL_ALSF_PENDING ((uint32_t)0x1UL) /**< CTRL_ALSF_PENDING Value */ + #define MXC_S_RTC_CTRL_ALSF_PENDING (MXC_V_RTC_CTRL_ALSF_PENDING << MXC_F_RTC_CTRL_ALSF_POS) /**< CTRL_ALSF_PENDING Setting */ + + #define MXC_F_RTC_CTRL_SQE_POS 8 /**< CTRL_SQE Position */ + #define MXC_F_RTC_CTRL_SQE ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_SQE_POS)) /**< CTRL_SQE Mask */ + #define MXC_V_RTC_CTRL_SQE_INACTIVE ((uint32_t)0x0UL) /**< CTRL_SQE_INACTIVE Value */ + #define MXC_S_RTC_CTRL_SQE_INACTIVE (MXC_V_RTC_CTRL_SQE_INACTIVE << MXC_F_RTC_CTRL_SQE_POS) /**< CTRL_SQE_INACTIVE Setting */ + #define MXC_V_RTC_CTRL_SQE_PENDING ((uint32_t)0x1UL) /**< CTRL_SQE_PENDING Value */ + #define MXC_S_RTC_CTRL_SQE_PENDING (MXC_V_RTC_CTRL_SQE_PENDING << MXC_F_RTC_CTRL_SQE_POS) /**< CTRL_SQE_PENDING Setting */ + + #define MXC_F_RTC_CTRL_FT_POS 9 /**< CTRL_FT Position */ + #define MXC_F_RTC_CTRL_FT ((uint32_t)(0x3UL << MXC_F_RTC_CTRL_FT_POS)) /**< CTRL_FT Mask */ + #define MXC_V_RTC_CTRL_FT_FREQ1HZ ((uint32_t)0x0UL) /**< CTRL_FT_FREQ1HZ Value */ + #define MXC_S_RTC_CTRL_FT_FREQ1HZ (MXC_V_RTC_CTRL_FT_FREQ1HZ << MXC_F_RTC_CTRL_FT_POS) /**< CTRL_FT_FREQ1HZ Setting */ + #define MXC_V_RTC_CTRL_FT_FREQ512HZ ((uint32_t)0x1UL) /**< CTRL_FT_FREQ512HZ Value */ + #define MXC_S_RTC_CTRL_FT_FREQ512HZ (MXC_V_RTC_CTRL_FT_FREQ512HZ << MXC_F_RTC_CTRL_FT_POS) /**< CTRL_FT_FREQ512HZ Setting */ + #define MXC_V_RTC_CTRL_FT_FREQ4KHZ ((uint32_t)0x2UL) /**< CTRL_FT_FREQ4KHZ Value */ + #define MXC_S_RTC_CTRL_FT_FREQ4KHZ (MXC_V_RTC_CTRL_FT_FREQ4KHZ << MXC_F_RTC_CTRL_FT_POS) /**< CTRL_FT_FREQ4KHZ Setting */ + #define MXC_V_RTC_CTRL_FT_CLKDIV8 ((uint32_t)0x3UL) /**< CTRL_FT_CLKDIV8 Value */ + #define MXC_S_RTC_CTRL_FT_CLKDIV8 (MXC_V_RTC_CTRL_FT_CLKDIV8 << MXC_F_RTC_CTRL_FT_POS) /**< CTRL_FT_CLKDIV8 Setting */ + + #define MXC_F_RTC_CTRL_X32KMD_POS 11 /**< CTRL_X32KMD Position */ + #define MXC_F_RTC_CTRL_X32KMD ((uint32_t)(0x3UL << MXC_F_RTC_CTRL_X32KMD_POS)) /**< CTRL_X32KMD Mask */ + #define MXC_V_RTC_CTRL_X32KMD_NOISEIMMUNEMODE ((uint32_t)0x0UL) /**< CTRL_X32KMD_NOISEIMMUNEMODE Value */ + #define MXC_S_RTC_CTRL_X32KMD_NOISEIMMUNEMODE (MXC_V_RTC_CTRL_X32KMD_NOISEIMMUNEMODE << MXC_F_RTC_CTRL_X32KMD_POS) /**< CTRL_X32KMD_NOISEIMMUNEMODE Setting */ + #define MXC_V_RTC_CTRL_X32KMD_QUIETMODE ((uint32_t)0x1UL) /**< CTRL_X32KMD_QUIETMODE Value */ + #define MXC_S_RTC_CTRL_X32KMD_QUIETMODE (MXC_V_RTC_CTRL_X32KMD_QUIETMODE << MXC_F_RTC_CTRL_X32KMD_POS) /**< CTRL_X32KMD_QUIETMODE Setting */ + #define MXC_V_RTC_CTRL_X32KMD_QUIETINSTOPWITHWARMUP ((uint32_t)0x2UL) /**< CTRL_X32KMD_QUIETINSTOPWITHWARMUP Value */ + #define MXC_S_RTC_CTRL_X32KMD_QUIETINSTOPWITHWARMUP (MXC_V_RTC_CTRL_X32KMD_QUIETINSTOPWITHWARMUP << MXC_F_RTC_CTRL_X32KMD_POS) /**< CTRL_X32KMD_QUIETINSTOPWITHWARMUP Setting */ + #define MXC_V_RTC_CTRL_X32KMD_QUIETINSTOPNOWARMUP ((uint32_t)0x3UL) /**< CTRL_X32KMD_QUIETINSTOPNOWARMUP Value */ + #define MXC_S_RTC_CTRL_X32KMD_QUIETINSTOPNOWARMUP (MXC_V_RTC_CTRL_X32KMD_QUIETINSTOPNOWARMUP << MXC_F_RTC_CTRL_X32KMD_POS) /**< CTRL_X32KMD_QUIETINSTOPNOWARMUP Setting */ + + #define MXC_F_RTC_CTRL_WE_POS 15 /**< CTRL_WE Position */ + #define MXC_F_RTC_CTRL_WE ((uint32_t)(0x1UL << MXC_F_RTC_CTRL_WE_POS)) /**< CTRL_WE Mask */ + #define MXC_V_RTC_CTRL_WE_INACTIVE ((uint32_t)0x0UL) /**< CTRL_WE_INACTIVE Value */ + #define MXC_S_RTC_CTRL_WE_INACTIVE (MXC_V_RTC_CTRL_WE_INACTIVE << MXC_F_RTC_CTRL_WE_POS) /**< CTRL_WE_INACTIVE Setting */ + #define MXC_V_RTC_CTRL_WE_PENDING ((uint32_t)0x1UL) /**< CTRL_WE_PENDING Value */ + #define MXC_S_RTC_CTRL_WE_PENDING (MXC_V_RTC_CTRL_WE_PENDING << MXC_F_RTC_CTRL_WE_POS) /**< CTRL_WE_PENDING Setting */ + +/**@} end of group RTC_CTRL_Register */ + +/** + * @ingroup rtc_registers + * @defgroup RTC_TRIM RTC_TRIM + * @brief RTC Trim Register. + * @{ + */ + #define MXC_F_RTC_TRIM_TRIM_POS 0 /**< TRIM_TRIM Position */ + #define MXC_F_RTC_TRIM_TRIM ((uint32_t)(0xFFUL << MXC_F_RTC_TRIM_TRIM_POS)) /**< TRIM_TRIM Mask */ + + #define MXC_F_RTC_TRIM_VBATTMR_POS 8 /**< TRIM_VBATTMR Position */ + #define MXC_F_RTC_TRIM_VBATTMR ((uint32_t)(0xFFFFFFUL << MXC_F_RTC_TRIM_VBATTMR_POS)) /**< TRIM_VBATTMR Mask */ + +/**@} end of group RTC_TRIM_Register */ + +/** + * @ingroup rtc_registers + * @defgroup RTC_OSCCTRL RTC_OSCCTRL + * @brief RTC Oscillator Control Register. + * @{ + */ + #define MXC_F_RTC_OSCCTRL_FLITER_EN_POS 0 /**< OSCCTRL_FLITER_EN Position */ + #define MXC_F_RTC_OSCCTRL_FLITER_EN ((uint32_t)(0x1UL << MXC_F_RTC_OSCCTRL_FLITER_EN_POS)) /**< OSCCTRL_FLITER_EN Mask */ + + #define MXC_F_RTC_OSCCTRL_IBIAS_SEL_POS 1 /**< OSCCTRL_IBIAS_SEL Position */ + #define MXC_F_RTC_OSCCTRL_IBIAS_SEL ((uint32_t)(0x1UL << MXC_F_RTC_OSCCTRL_IBIAS_SEL_POS)) /**< OSCCTRL_IBIAS_SEL Mask */ + #define MXC_V_RTC_OSCCTRL_IBIAS_SEL_2X ((uint32_t)0x0UL) /**< OSCCTRL_IBIAS_SEL_2X Value */ + #define MXC_S_RTC_OSCCTRL_IBIAS_SEL_2X (MXC_V_RTC_OSCCTRL_IBIAS_SEL_2X << MXC_F_RTC_OSCCTRL_IBIAS_SEL_POS) /**< OSCCTRL_IBIAS_SEL_2X Setting */ + #define MXC_V_RTC_OSCCTRL_IBIAS_SEL_4X ((uint32_t)0x1UL) /**< OSCCTRL_IBIAS_SEL_4X Value */ + #define MXC_S_RTC_OSCCTRL_IBIAS_SEL_4X (MXC_V_RTC_OSCCTRL_IBIAS_SEL_4X << MXC_F_RTC_OSCCTRL_IBIAS_SEL_POS) /**< OSCCTRL_IBIAS_SEL_4X Setting */ + + #define MXC_F_RTC_OSCCTRL_HYST_EN_POS 2 /**< OSCCTRL_HYST_EN Position */ + #define MXC_F_RTC_OSCCTRL_HYST_EN ((uint32_t)(0x1UL << MXC_F_RTC_OSCCTRL_HYST_EN_POS)) /**< OSCCTRL_HYST_EN Mask */ + + #define MXC_F_RTC_OSCCTRL_IBIAS_EN_POS 3 /**< OSCCTRL_IBIAS_EN Position */ + #define MXC_F_RTC_OSCCTRL_IBIAS_EN ((uint32_t)(0x1UL << MXC_F_RTC_OSCCTRL_IBIAS_EN_POS)) /**< OSCCTRL_IBIAS_EN Mask */ + + #define MXC_F_RTC_OSCCTRL_BYPASS_POS 4 /**< OSCCTRL_BYPASS Position */ + #define MXC_F_RTC_OSCCTRL_BYPASS ((uint32_t)(0x1UL << MXC_F_RTC_OSCCTRL_BYPASS_POS)) /**< OSCCTRL_BYPASS Mask */ + + #define MXC_F_RTC_OSCCTRL_OUT32K_POS 5 /**< OSCCTRL_OUT32K Position */ + #define MXC_F_RTC_OSCCTRL_OUT32K ((uint32_t)(0x1UL << MXC_F_RTC_OSCCTRL_OUT32K_POS)) /**< OSCCTRL_OUT32K Mask */ + +/**@} end of group RTC_OSCCTRL_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _RTC_REGS_H_ */ diff --git a/Firmware/SDK/Device/sir_regs.h b/Firmware/SDK/Device/sir_regs.h new file mode 100644 index 0000000..a48d7dc --- /dev/null +++ b/Firmware/SDK/Device/sir_regs.h @@ -0,0 +1,255 @@ +/** + * @file sir_regs.h + * @brief Registers, Bit Masks and Bit Positions for the SIR Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _SIR_REGS_H_ +#define _SIR_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup sir + * @defgroup sir_registers SIR_Registers + * @brief Registers, Bit Masks and Bit Positions for the SIR Peripheral Module. + * @details System Initialization Registers. + */ + +/** + * @ingroup sir_registers + * Structure type to access the SIR Registers. + */ +typedef struct { + __I uint32_t sistat; /**< \b 0x00: SIR SISTAT Register */ + __I uint32_t erraddr; /**< \b 0x04: SIR ERRADDR Register */ + __R uint32_t rsv_0x8_0xff[62]; + __I uint32_t fstat; /**< \b 0x100: SIR FSTAT Register */ + __I uint32_t sfstat; /**< \b 0x104: SIR SFSTAT Register */ +} mxc_sir_regs_t; + +/* Register offsets for module SIR */ +/** + * @ingroup sir_registers + * @defgroup SIR_Register_Offsets Register Offsets + * @brief SIR Peripheral Register Offsets from the SIR Base Peripheral Address. + * @{ + */ + #define MXC_R_SIR_SISTAT ((uint32_t)0x00000000UL) /**< Offset from SIR Base Address: 0x0000 */ + #define MXC_R_SIR_ERRADDR ((uint32_t)0x00000004UL) /**< Offset from SIR Base Address: 0x0004 */ + #define MXC_R_SIR_FSTAT ((uint32_t)0x00000100UL) /**< Offset from SIR Base Address: 0x0100 */ + #define MXC_R_SIR_SFSTAT ((uint32_t)0x00000104UL) /**< Offset from SIR Base Address: 0x0104 */ +/**@} end of group sir_registers */ + +/** + * @ingroup sir_registers + * @defgroup SIR_SISTAT SIR_SISTAT + * @brief System Initialization Status Register. + * @{ + */ + #define MXC_F_SIR_SISTAT_MAGIC_POS 0 /**< SISTAT_MAGIC Position */ + #define MXC_F_SIR_SISTAT_MAGIC ((uint32_t)(0x1UL << MXC_F_SIR_SISTAT_MAGIC_POS)) /**< SISTAT_MAGIC Mask */ + #define MXC_V_SIR_SISTAT_MAGIC_MAGICNOTSET ((uint32_t)0x0UL) /**< SISTAT_MAGIC_MAGICNOTSET Value */ + #define MXC_S_SIR_SISTAT_MAGIC_MAGICNOTSET (MXC_V_SIR_SISTAT_MAGIC_MAGICNOTSET << MXC_F_SIR_SISTAT_MAGIC_POS) /**< SISTAT_MAGIC_MAGICNOTSET Setting */ + #define MXC_V_SIR_SISTAT_MAGIC_MAGICSET ((uint32_t)0x1UL) /**< SISTAT_MAGIC_MAGICSET Value */ + #define MXC_S_SIR_SISTAT_MAGIC_MAGICSET (MXC_V_SIR_SISTAT_MAGIC_MAGICSET << MXC_F_SIR_SISTAT_MAGIC_POS) /**< SISTAT_MAGIC_MAGICSET Setting */ + + #define MXC_F_SIR_SISTAT_CRCERR_POS 1 /**< SISTAT_CRCERR Position */ + #define MXC_F_SIR_SISTAT_CRCERR ((uint32_t)(0x1UL << MXC_F_SIR_SISTAT_CRCERR_POS)) /**< SISTAT_CRCERR Mask */ + #define MXC_V_SIR_SISTAT_CRCERR_NOERROR ((uint32_t)0x0UL) /**< SISTAT_CRCERR_NOERROR Value */ + #define MXC_S_SIR_SISTAT_CRCERR_NOERROR (MXC_V_SIR_SISTAT_CRCERR_NOERROR << MXC_F_SIR_SISTAT_CRCERR_POS) /**< SISTAT_CRCERR_NOERROR Setting */ + #define MXC_V_SIR_SISTAT_CRCERR_ERROR ((uint32_t)0x1UL) /**< SISTAT_CRCERR_ERROR Value */ + #define MXC_S_SIR_SISTAT_CRCERR_ERROR (MXC_V_SIR_SISTAT_CRCERR_ERROR << MXC_F_SIR_SISTAT_CRCERR_POS) /**< SISTAT_CRCERR_ERROR Setting */ + +/**@} end of group SIR_SISTAT_Register */ + +/** + * @ingroup sir_registers + * @defgroup SIR_ERRADDR SIR_ERRADDR + * @brief Read-only field set by the SIB block if a CRC error occurs during the read of + * the OTP memory. Contains the failing address in OTP memory (when CRCERR equals + * 1). + * @{ + */ + #define MXC_F_SIR_ERRADDR_ERRADDR_POS 0 /**< ERRADDR_ERRADDR Position */ + #define MXC_F_SIR_ERRADDR_ERRADDR ((uint32_t)(0xFFFFFFFFUL << MXC_F_SIR_ERRADDR_ERRADDR_POS)) /**< ERRADDR_ERRADDR Mask */ + +/**@} end of group SIR_ERRADDR_Register */ + +/** + * @ingroup sir_registers + * @defgroup SIR_FSTAT SIR_FSTAT + * @brief funcstat register. + * @{ + */ + #define MXC_F_SIR_FSTAT_FPU_POS 0 /**< FSTAT_FPU Position */ + #define MXC_F_SIR_FSTAT_FPU ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_FPU_POS)) /**< FSTAT_FPU Mask */ + #define MXC_V_SIR_FSTAT_FPU_NO ((uint32_t)0x0UL) /**< FSTAT_FPU_NO Value */ + #define MXC_S_SIR_FSTAT_FPU_NO (MXC_V_SIR_FSTAT_FPU_NO << MXC_F_SIR_FSTAT_FPU_POS) /**< FSTAT_FPU_NO Setting */ + #define MXC_V_SIR_FSTAT_FPU_YES ((uint32_t)0x1UL) /**< FSTAT_FPU_YES Value */ + #define MXC_S_SIR_FSTAT_FPU_YES (MXC_V_SIR_FSTAT_FPU_YES << MXC_F_SIR_FSTAT_FPU_POS) /**< FSTAT_FPU_YES Setting */ + + #define MXC_F_SIR_FSTAT_USB_POS 1 /**< FSTAT_USB Position */ + #define MXC_F_SIR_FSTAT_USB ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_USB_POS)) /**< FSTAT_USB Mask */ + #define MXC_V_SIR_FSTAT_USB_NO ((uint32_t)0x0UL) /**< FSTAT_USB_NO Value */ + #define MXC_S_SIR_FSTAT_USB_NO (MXC_V_SIR_FSTAT_USB_NO << MXC_F_SIR_FSTAT_USB_POS) /**< FSTAT_USB_NO Setting */ + #define MXC_V_SIR_FSTAT_USB_YES ((uint32_t)0x1UL) /**< FSTAT_USB_YES Value */ + #define MXC_S_SIR_FSTAT_USB_YES (MXC_V_SIR_FSTAT_USB_YES << MXC_F_SIR_FSTAT_USB_POS) /**< FSTAT_USB_YES Setting */ + + #define MXC_F_SIR_FSTAT_ADC_POS 2 /**< FSTAT_ADC Position */ + #define MXC_F_SIR_FSTAT_ADC ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_ADC_POS)) /**< FSTAT_ADC Mask */ + #define MXC_V_SIR_FSTAT_ADC_NO ((uint32_t)0x0UL) /**< FSTAT_ADC_NO Value */ + #define MXC_S_SIR_FSTAT_ADC_NO (MXC_V_SIR_FSTAT_ADC_NO << MXC_F_SIR_FSTAT_ADC_POS) /**< FSTAT_ADC_NO Setting */ + #define MXC_V_SIR_FSTAT_ADC_YES ((uint32_t)0x1UL) /**< FSTAT_ADC_YES Value */ + #define MXC_S_SIR_FSTAT_ADC_YES (MXC_V_SIR_FSTAT_ADC_YES << MXC_F_SIR_FSTAT_ADC_POS) /**< FSTAT_ADC_YES Setting */ + + #define MXC_F_SIR_FSTAT_XIP_POS 3 /**< FSTAT_XIP Position */ + #define MXC_F_SIR_FSTAT_XIP ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_XIP_POS)) /**< FSTAT_XIP Mask */ + #define MXC_V_SIR_FSTAT_XIP_NO ((uint32_t)0x0UL) /**< FSTAT_XIP_NO Value */ + #define MXC_S_SIR_FSTAT_XIP_NO (MXC_V_SIR_FSTAT_XIP_NO << MXC_F_SIR_FSTAT_XIP_POS) /**< FSTAT_XIP_NO Setting */ + #define MXC_V_SIR_FSTAT_XIP_YES ((uint32_t)0x1UL) /**< FSTAT_XIP_YES Value */ + #define MXC_S_SIR_FSTAT_XIP_YES (MXC_V_SIR_FSTAT_XIP_YES << MXC_F_SIR_FSTAT_XIP_POS) /**< FSTAT_XIP_YES Setting */ + + #define MXC_F_SIR_FSTAT_PBM_POS 4 /**< FSTAT_PBM Position */ + #define MXC_F_SIR_FSTAT_PBM ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_PBM_POS)) /**< FSTAT_PBM Mask */ + #define MXC_V_SIR_FSTAT_PBM_NO ((uint32_t)0x0UL) /**< FSTAT_PBM_NO Value */ + #define MXC_S_SIR_FSTAT_PBM_NO (MXC_V_SIR_FSTAT_PBM_NO << MXC_F_SIR_FSTAT_PBM_POS) /**< FSTAT_PBM_NO Setting */ + #define MXC_V_SIR_FSTAT_PBM_YES ((uint32_t)0x1UL) /**< FSTAT_PBM_YES Value */ + #define MXC_S_SIR_FSTAT_PBM_YES (MXC_V_SIR_FSTAT_PBM_YES << MXC_F_SIR_FSTAT_PBM_POS) /**< FSTAT_PBM_YES Setting */ + + #define MXC_F_SIR_FSTAT_HBC_POS 5 /**< FSTAT_HBC Position */ + #define MXC_F_SIR_FSTAT_HBC ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_HBC_POS)) /**< FSTAT_HBC Mask */ + #define MXC_V_SIR_FSTAT_HBC_NO ((uint32_t)0x0UL) /**< FSTAT_HBC_NO Value */ + #define MXC_S_SIR_FSTAT_HBC_NO (MXC_V_SIR_FSTAT_HBC_NO << MXC_F_SIR_FSTAT_HBC_POS) /**< FSTAT_HBC_NO Setting */ + #define MXC_V_SIR_FSTAT_HBC_YES ((uint32_t)0x1UL) /**< FSTAT_HBC_YES Value */ + #define MXC_S_SIR_FSTAT_HBC_YES (MXC_V_SIR_FSTAT_HBC_YES << MXC_F_SIR_FSTAT_HBC_POS) /**< FSTAT_HBC_YES Setting */ + + #define MXC_F_SIR_FSTAT_SDHC_POS 6 /**< FSTAT_SDHC Position */ + #define MXC_F_SIR_FSTAT_SDHC ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_SDHC_POS)) /**< FSTAT_SDHC Mask */ + #define MXC_V_SIR_FSTAT_SDHC_NO ((uint32_t)0x0UL) /**< FSTAT_SDHC_NO Value */ + #define MXC_S_SIR_FSTAT_SDHC_NO (MXC_V_SIR_FSTAT_SDHC_NO << MXC_F_SIR_FSTAT_SDHC_POS) /**< FSTAT_SDHC_NO Setting */ + #define MXC_V_SIR_FSTAT_SDHC_YES ((uint32_t)0x1UL) /**< FSTAT_SDHC_YES Value */ + #define MXC_S_SIR_FSTAT_SDHC_YES (MXC_V_SIR_FSTAT_SDHC_YES << MXC_F_SIR_FSTAT_SDHC_POS) /**< FSTAT_SDHC_YES Setting */ + + #define MXC_F_SIR_FSTAT_SMPHR_POS 7 /**< FSTAT_SMPHR Position */ + #define MXC_F_SIR_FSTAT_SMPHR ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_SMPHR_POS)) /**< FSTAT_SMPHR Mask */ + #define MXC_V_SIR_FSTAT_SMPHR_NO ((uint32_t)0x0UL) /**< FSTAT_SMPHR_NO Value */ + #define MXC_S_SIR_FSTAT_SMPHR_NO (MXC_V_SIR_FSTAT_SMPHR_NO << MXC_F_SIR_FSTAT_SMPHR_POS) /**< FSTAT_SMPHR_NO Setting */ + #define MXC_V_SIR_FSTAT_SMPHR_YES ((uint32_t)0x1UL) /**< FSTAT_SMPHR_YES Value */ + #define MXC_S_SIR_FSTAT_SMPHR_YES (MXC_V_SIR_FSTAT_SMPHR_YES << MXC_F_SIR_FSTAT_SMPHR_POS) /**< FSTAT_SMPHR_YES Setting */ + + #define MXC_F_SIR_FSTAT_SCACHE_POS 8 /**< FSTAT_SCACHE Position */ + #define MXC_F_SIR_FSTAT_SCACHE ((uint32_t)(0x1UL << MXC_F_SIR_FSTAT_SCACHE_POS)) /**< FSTAT_SCACHE Mask */ + #define MXC_V_SIR_FSTAT_SCACHE_NO ((uint32_t)0x0UL) /**< FSTAT_SCACHE_NO Value */ + #define MXC_S_SIR_FSTAT_SCACHE_NO (MXC_V_SIR_FSTAT_SCACHE_NO << MXC_F_SIR_FSTAT_SCACHE_POS) /**< FSTAT_SCACHE_NO Setting */ + #define MXC_V_SIR_FSTAT_SCACHE_YES ((uint32_t)0x1UL) /**< FSTAT_SCACHE_YES Value */ + #define MXC_S_SIR_FSTAT_SCACHE_YES (MXC_V_SIR_FSTAT_SCACHE_YES << MXC_F_SIR_FSTAT_SCACHE_POS) /**< FSTAT_SCACHE_YES Setting */ + +/**@} end of group SIR_FSTAT_Register */ + +/** + * @ingroup sir_registers + * @defgroup SIR_SFSTAT SIR_SFSTAT + * @brief secfuncstat register. + * @{ + */ + #define MXC_F_SIR_SFSTAT_TRNG_POS 2 /**< SFSTAT_TRNG Position */ + #define MXC_F_SIR_SFSTAT_TRNG ((uint32_t)(0x1UL << MXC_F_SIR_SFSTAT_TRNG_POS)) /**< SFSTAT_TRNG Mask */ + #define MXC_V_SIR_SFSTAT_TRNG_NO ((uint32_t)0x0UL) /**< SFSTAT_TRNG_NO Value */ + #define MXC_S_SIR_SFSTAT_TRNG_NO (MXC_V_SIR_SFSTAT_TRNG_NO << MXC_F_SIR_SFSTAT_TRNG_POS) /**< SFSTAT_TRNG_NO Setting */ + #define MXC_V_SIR_SFSTAT_TRNG_YES ((uint32_t)0x1UL) /**< SFSTAT_TRNG_YES Value */ + #define MXC_S_SIR_SFSTAT_TRNG_YES (MXC_V_SIR_SFSTAT_TRNG_YES << MXC_F_SIR_SFSTAT_TRNG_POS) /**< SFSTAT_TRNG_YES Setting */ + + #define MXC_F_SIR_SFSTAT_AES_POS 3 /**< SFSTAT_AES Position */ + #define MXC_F_SIR_SFSTAT_AES ((uint32_t)(0x1UL << MXC_F_SIR_SFSTAT_AES_POS)) /**< SFSTAT_AES Mask */ + #define MXC_V_SIR_SFSTAT_AES_NO ((uint32_t)0x0UL) /**< SFSTAT_AES_NO Value */ + #define MXC_S_SIR_SFSTAT_AES_NO (MXC_V_SIR_SFSTAT_AES_NO << MXC_F_SIR_SFSTAT_AES_POS) /**< SFSTAT_AES_NO Setting */ + #define MXC_V_SIR_SFSTAT_AES_YES ((uint32_t)0x1UL) /**< SFSTAT_AES_YES Value */ + #define MXC_S_SIR_SFSTAT_AES_YES (MXC_V_SIR_SFSTAT_AES_YES << MXC_F_SIR_SFSTAT_AES_POS) /**< SFSTAT_AES_YES Setting */ + + #define MXC_F_SIR_SFSTAT_SHA_POS 4 /**< SFSTAT_SHA Position */ + #define MXC_F_SIR_SFSTAT_SHA ((uint32_t)(0x1UL << MXC_F_SIR_SFSTAT_SHA_POS)) /**< SFSTAT_SHA Mask */ + #define MXC_V_SIR_SFSTAT_SHA_NO ((uint32_t)0x0UL) /**< SFSTAT_SHA_NO Value */ + #define MXC_S_SIR_SFSTAT_SHA_NO (MXC_V_SIR_SFSTAT_SHA_NO << MXC_F_SIR_SFSTAT_SHA_POS) /**< SFSTAT_SHA_NO Setting */ + #define MXC_V_SIR_SFSTAT_SHA_YES ((uint32_t)0x1UL) /**< SFSTAT_SHA_YES Value */ + #define MXC_S_SIR_SFSTAT_SHA_YES (MXC_V_SIR_SFSTAT_SHA_YES << MXC_F_SIR_SFSTAT_SHA_POS) /**< SFSTAT_SHA_YES Setting */ + + #define MXC_F_SIR_SFSTAT_MAA_POS 5 /**< SFSTAT_MAA Position */ + #define MXC_F_SIR_SFSTAT_MAA ((uint32_t)(0x1UL << MXC_F_SIR_SFSTAT_MAA_POS)) /**< SFSTAT_MAA Mask */ + #define MXC_V_SIR_SFSTAT_MAA_NO ((uint32_t)0x0UL) /**< SFSTAT_MAA_NO Value */ + #define MXC_S_SIR_SFSTAT_MAA_NO (MXC_V_SIR_SFSTAT_MAA_NO << MXC_F_SIR_SFSTAT_MAA_POS) /**< SFSTAT_MAA_NO Setting */ + #define MXC_V_SIR_SFSTAT_MAA_YES ((uint32_t)0x1UL) /**< SFSTAT_MAA_YES Value */ + #define MXC_S_SIR_SFSTAT_MAA_YES (MXC_V_SIR_SFSTAT_MAA_YES << MXC_F_SIR_SFSTAT_MAA_POS) /**< SFSTAT_MAA_YES Setting */ + +/**@} end of group SIR_SFSTAT_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SIR_REGS_H_ */ diff --git a/Firmware/SDK/Device/smon_regs.h b/Firmware/SDK/Device/smon_regs.h new file mode 100644 index 0000000..82508d5 --- /dev/null +++ b/Firmware/SDK/Device/smon_regs.h @@ -0,0 +1,628 @@ +/** + * @file smon_regs.h + * @brief Registers, Bit Masks and Bit Positions for the SMON Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _SMON_REGS_H_ +#define _SMON_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup smon + * @defgroup smon_registers SMON_Registers + * @brief Registers, Bit Masks and Bit Positions for the SMON Peripheral Module. + * @details The Security Monitor block used to monitor system threat conditions. + */ + +/** + * @ingroup smon_registers + * Structure type to access the SMON Registers. + */ +typedef struct { + __IO uint32_t extscn; /**< \b 0x00: SMON EXTSCN Register */ + __IO uint32_t intscn; /**< \b 0x04: SMON INTSCN Register */ + __IO uint32_t secalm; /**< \b 0x08: SMON SECALM Register */ + __I uint32_t secdiag; /**< \b 0x0C: SMON SECDIAG Register */ + __I uint32_t dlrtc; /**< \b 0x10: SMON DLRTC Register */ + __R uint32_t rsv_0x14_0x33[8]; + __I uint32_t secst; /**< \b 0x34: SMON SECST Register */ +} mxc_smon_regs_t; + +/* Register offsets for module SMON */ +/** + * @ingroup smon_registers + * @defgroup SMON_Register_Offsets Register Offsets + * @brief SMON Peripheral Register Offsets from the SMON Base Peripheral Address. + * @{ + */ + #define MXC_R_SMON_EXTSCN ((uint32_t)0x00000000UL) /**< Offset from SMON Base Address: 0x0000 */ + #define MXC_R_SMON_INTSCN ((uint32_t)0x00000004UL) /**< Offset from SMON Base Address: 0x0004 */ + #define MXC_R_SMON_SECALM ((uint32_t)0x00000008UL) /**< Offset from SMON Base Address: 0x0008 */ + #define MXC_R_SMON_SECDIAG ((uint32_t)0x0000000CUL) /**< Offset from SMON Base Address: 0x000C */ + #define MXC_R_SMON_DLRTC ((uint32_t)0x00000010UL) /**< Offset from SMON Base Address: 0x0010 */ + #define MXC_R_SMON_SECST ((uint32_t)0x00000034UL) /**< Offset from SMON Base Address: 0x0034 */ +/**@} end of group smon_registers */ + +/** + * @ingroup smon_registers + * @defgroup SMON_EXTSCN SMON_EXTSCN + * @brief External Sensor Control Register. + * @{ + */ + #define MXC_F_SMON_EXTSCN_EXTS_EN0_POS 0 /**< EXTSCN_EXTS_EN0 Position */ + #define MXC_F_SMON_EXTSCN_EXTS_EN0 ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_EXTS_EN0_POS)) /**< EXTSCN_EXTS_EN0 Mask */ + #define MXC_V_SMON_EXTSCN_EXTS_EN0_DIS ((uint32_t)0x0UL) /**< EXTSCN_EXTS_EN0_DIS Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN0_DIS (MXC_V_SMON_EXTSCN_EXTS_EN0_DIS << MXC_F_SMON_EXTSCN_EXTS_EN0_POS) /**< EXTSCN_EXTS_EN0_DIS Setting */ + #define MXC_V_SMON_EXTSCN_EXTS_EN0_EN ((uint32_t)0x1UL) /**< EXTSCN_EXTS_EN0_EN Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN0_EN (MXC_V_SMON_EXTSCN_EXTS_EN0_EN << MXC_F_SMON_EXTSCN_EXTS_EN0_POS) /**< EXTSCN_EXTS_EN0_EN Setting */ + + #define MXC_F_SMON_EXTSCN_EXTS_EN1_POS 1 /**< EXTSCN_EXTS_EN1 Position */ + #define MXC_F_SMON_EXTSCN_EXTS_EN1 ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_EXTS_EN1_POS)) /**< EXTSCN_EXTS_EN1 Mask */ + #define MXC_V_SMON_EXTSCN_EXTS_EN1_DIS ((uint32_t)0x0UL) /**< EXTSCN_EXTS_EN1_DIS Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN1_DIS (MXC_V_SMON_EXTSCN_EXTS_EN1_DIS << MXC_F_SMON_EXTSCN_EXTS_EN1_POS) /**< EXTSCN_EXTS_EN1_DIS Setting */ + #define MXC_V_SMON_EXTSCN_EXTS_EN1_EN ((uint32_t)0x1UL) /**< EXTSCN_EXTS_EN1_EN Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN1_EN (MXC_V_SMON_EXTSCN_EXTS_EN1_EN << MXC_F_SMON_EXTSCN_EXTS_EN1_POS) /**< EXTSCN_EXTS_EN1_EN Setting */ + + #define MXC_F_SMON_EXTSCN_EXTS_EN2_POS 2 /**< EXTSCN_EXTS_EN2 Position */ + #define MXC_F_SMON_EXTSCN_EXTS_EN2 ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_EXTS_EN2_POS)) /**< EXTSCN_EXTS_EN2 Mask */ + #define MXC_V_SMON_EXTSCN_EXTS_EN2_DIS ((uint32_t)0x0UL) /**< EXTSCN_EXTS_EN2_DIS Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN2_DIS (MXC_V_SMON_EXTSCN_EXTS_EN2_DIS << MXC_F_SMON_EXTSCN_EXTS_EN2_POS) /**< EXTSCN_EXTS_EN2_DIS Setting */ + #define MXC_V_SMON_EXTSCN_EXTS_EN2_EN ((uint32_t)0x1UL) /**< EXTSCN_EXTS_EN2_EN Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN2_EN (MXC_V_SMON_EXTSCN_EXTS_EN2_EN << MXC_F_SMON_EXTSCN_EXTS_EN2_POS) /**< EXTSCN_EXTS_EN2_EN Setting */ + + #define MXC_F_SMON_EXTSCN_EXTS_EN3_POS 3 /**< EXTSCN_EXTS_EN3 Position */ + #define MXC_F_SMON_EXTSCN_EXTS_EN3 ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_EXTS_EN3_POS)) /**< EXTSCN_EXTS_EN3 Mask */ + #define MXC_V_SMON_EXTSCN_EXTS_EN3_DIS ((uint32_t)0x0UL) /**< EXTSCN_EXTS_EN3_DIS Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN3_DIS (MXC_V_SMON_EXTSCN_EXTS_EN3_DIS << MXC_F_SMON_EXTSCN_EXTS_EN3_POS) /**< EXTSCN_EXTS_EN3_DIS Setting */ + #define MXC_V_SMON_EXTSCN_EXTS_EN3_EN ((uint32_t)0x1UL) /**< EXTSCN_EXTS_EN3_EN Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN3_EN (MXC_V_SMON_EXTSCN_EXTS_EN3_EN << MXC_F_SMON_EXTSCN_EXTS_EN3_POS) /**< EXTSCN_EXTS_EN3_EN Setting */ + + #define MXC_F_SMON_EXTSCN_EXTS_EN4_POS 4 /**< EXTSCN_EXTS_EN4 Position */ + #define MXC_F_SMON_EXTSCN_EXTS_EN4 ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_EXTS_EN4_POS)) /**< EXTSCN_EXTS_EN4 Mask */ + #define MXC_V_SMON_EXTSCN_EXTS_EN4_DIS ((uint32_t)0x0UL) /**< EXTSCN_EXTS_EN4_DIS Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN4_DIS (MXC_V_SMON_EXTSCN_EXTS_EN4_DIS << MXC_F_SMON_EXTSCN_EXTS_EN4_POS) /**< EXTSCN_EXTS_EN4_DIS Setting */ + #define MXC_V_SMON_EXTSCN_EXTS_EN4_EN ((uint32_t)0x1UL) /**< EXTSCN_EXTS_EN4_EN Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN4_EN (MXC_V_SMON_EXTSCN_EXTS_EN4_EN << MXC_F_SMON_EXTSCN_EXTS_EN4_POS) /**< EXTSCN_EXTS_EN4_EN Setting */ + + #define MXC_F_SMON_EXTSCN_EXTS_EN5_POS 5 /**< EXTSCN_EXTS_EN5 Position */ + #define MXC_F_SMON_EXTSCN_EXTS_EN5 ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_EXTS_EN5_POS)) /**< EXTSCN_EXTS_EN5 Mask */ + #define MXC_V_SMON_EXTSCN_EXTS_EN5_DIS ((uint32_t)0x0UL) /**< EXTSCN_EXTS_EN5_DIS Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN5_DIS (MXC_V_SMON_EXTSCN_EXTS_EN5_DIS << MXC_F_SMON_EXTSCN_EXTS_EN5_POS) /**< EXTSCN_EXTS_EN5_DIS Setting */ + #define MXC_V_SMON_EXTSCN_EXTS_EN5_EN ((uint32_t)0x1UL) /**< EXTSCN_EXTS_EN5_EN Value */ + #define MXC_S_SMON_EXTSCN_EXTS_EN5_EN (MXC_V_SMON_EXTSCN_EXTS_EN5_EN << MXC_F_SMON_EXTSCN_EXTS_EN5_POS) /**< EXTSCN_EXTS_EN5_EN Setting */ + + #define MXC_F_SMON_EXTSCN_EXTCNT_POS 16 /**< EXTSCN_EXTCNT Position */ + #define MXC_F_SMON_EXTSCN_EXTCNT ((uint32_t)(0x1FUL << MXC_F_SMON_EXTSCN_EXTCNT_POS)) /**< EXTSCN_EXTCNT Mask */ + + #define MXC_F_SMON_EXTSCN_EXTFRQ_POS 21 /**< EXTSCN_EXTFRQ Position */ + #define MXC_F_SMON_EXTSCN_EXTFRQ ((uint32_t)(0x7UL << MXC_F_SMON_EXTSCN_EXTFRQ_POS)) /**< EXTSCN_EXTFRQ Mask */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ2000HZ ((uint32_t)0x0UL) /**< EXTSCN_EXTFRQ_FREQ2000HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ2000HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ2000HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ2000HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ1000HZ ((uint32_t)0x1UL) /**< EXTSCN_EXTFRQ_FREQ1000HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ1000HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ1000HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ1000HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ500HZ ((uint32_t)0x2UL) /**< EXTSCN_EXTFRQ_FREQ500HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ500HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ500HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ500HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ250HZ ((uint32_t)0x3UL) /**< EXTSCN_EXTFRQ_FREQ250HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ250HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ250HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ250HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ125HZ ((uint32_t)0x4UL) /**< EXTSCN_EXTFRQ_FREQ125HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ125HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ125HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ125HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ63HZ ((uint32_t)0x5UL) /**< EXTSCN_EXTFRQ_FREQ63HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ63HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ63HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ63HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_FREQ31HZ ((uint32_t)0x6UL) /**< EXTSCN_EXTFRQ_FREQ31HZ Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_FREQ31HZ (MXC_V_SMON_EXTSCN_EXTFRQ_FREQ31HZ << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_FREQ31HZ Setting */ + #define MXC_V_SMON_EXTSCN_EXTFRQ_RFU ((uint32_t)0x7UL) /**< EXTSCN_EXTFRQ_RFU Value */ + #define MXC_S_SMON_EXTSCN_EXTFRQ_RFU (MXC_V_SMON_EXTSCN_EXTFRQ_RFU << MXC_F_SMON_EXTSCN_EXTFRQ_POS) /**< EXTSCN_EXTFRQ_RFU Setting */ + + #define MXC_F_SMON_EXTSCN_DIVCLK_POS 24 /**< EXTSCN_DIVCLK Position */ + #define MXC_F_SMON_EXTSCN_DIVCLK ((uint32_t)(0x7UL << MXC_F_SMON_EXTSCN_DIVCLK_POS)) /**< EXTSCN_DIVCLK Mask */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV1 ((uint32_t)0x0UL) /**< EXTSCN_DIVCLK_DIV1 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV1 (MXC_V_SMON_EXTSCN_DIVCLK_DIV1 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV1 Setting */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV2 ((uint32_t)0x1UL) /**< EXTSCN_DIVCLK_DIV2 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV2 (MXC_V_SMON_EXTSCN_DIVCLK_DIV2 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV2 Setting */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV4 ((uint32_t)0x2UL) /**< EXTSCN_DIVCLK_DIV4 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV4 (MXC_V_SMON_EXTSCN_DIVCLK_DIV4 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV4 Setting */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV8 ((uint32_t)0x3UL) /**< EXTSCN_DIVCLK_DIV8 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV8 (MXC_V_SMON_EXTSCN_DIVCLK_DIV8 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV8 Setting */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV16 ((uint32_t)0x4UL) /**< EXTSCN_DIVCLK_DIV16 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV16 (MXC_V_SMON_EXTSCN_DIVCLK_DIV16 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV16 Setting */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV32 ((uint32_t)0x5UL) /**< EXTSCN_DIVCLK_DIV32 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV32 (MXC_V_SMON_EXTSCN_DIVCLK_DIV32 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV32 Setting */ + #define MXC_V_SMON_EXTSCN_DIVCLK_DIV64 ((uint32_t)0x6UL) /**< EXTSCN_DIVCLK_DIV64 Value */ + #define MXC_S_SMON_EXTSCN_DIVCLK_DIV64 (MXC_V_SMON_EXTSCN_DIVCLK_DIV64 << MXC_F_SMON_EXTSCN_DIVCLK_POS) /**< EXTSCN_DIVCLK_DIV64 Setting */ + + #define MXC_F_SMON_EXTSCN_BUSY_POS 30 /**< EXTSCN_BUSY Position */ + #define MXC_F_SMON_EXTSCN_BUSY ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_BUSY_POS)) /**< EXTSCN_BUSY Mask */ + #define MXC_V_SMON_EXTSCN_BUSY_IDLE ((uint32_t)0x0UL) /**< EXTSCN_BUSY_IDLE Value */ + #define MXC_S_SMON_EXTSCN_BUSY_IDLE (MXC_V_SMON_EXTSCN_BUSY_IDLE << MXC_F_SMON_EXTSCN_BUSY_POS) /**< EXTSCN_BUSY_IDLE Setting */ + #define MXC_V_SMON_EXTSCN_BUSY_BUSY ((uint32_t)0x1UL) /**< EXTSCN_BUSY_BUSY Value */ + #define MXC_S_SMON_EXTSCN_BUSY_BUSY (MXC_V_SMON_EXTSCN_BUSY_BUSY << MXC_F_SMON_EXTSCN_BUSY_POS) /**< EXTSCN_BUSY_BUSY Setting */ + + #define MXC_F_SMON_EXTSCN_LOCK_POS 31 /**< EXTSCN_LOCK Position */ + #define MXC_F_SMON_EXTSCN_LOCK ((uint32_t)(0x1UL << MXC_F_SMON_EXTSCN_LOCK_POS)) /**< EXTSCN_LOCK Mask */ + #define MXC_V_SMON_EXTSCN_LOCK_UNLOCKED ((uint32_t)0x0UL) /**< EXTSCN_LOCK_UNLOCKED Value */ + #define MXC_S_SMON_EXTSCN_LOCK_UNLOCKED (MXC_V_SMON_EXTSCN_LOCK_UNLOCKED << MXC_F_SMON_EXTSCN_LOCK_POS) /**< EXTSCN_LOCK_UNLOCKED Setting */ + #define MXC_V_SMON_EXTSCN_LOCK_LOCKED ((uint32_t)0x1UL) /**< EXTSCN_LOCK_LOCKED Value */ + #define MXC_S_SMON_EXTSCN_LOCK_LOCKED (MXC_V_SMON_EXTSCN_LOCK_LOCKED << MXC_F_SMON_EXTSCN_LOCK_POS) /**< EXTSCN_LOCK_LOCKED Setting */ + +/**@} end of group SMON_EXTSCN_Register */ + +/** + * @ingroup smon_registers + * @defgroup SMON_INTSCN SMON_INTSCN + * @brief Internal Sensor Control Register. + * @{ + */ + #define MXC_F_SMON_INTSCN_SHIELD_EN_POS 0 /**< INTSCN_SHIELD_EN Position */ + #define MXC_F_SMON_INTSCN_SHIELD_EN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_SHIELD_EN_POS)) /**< INTSCN_SHIELD_EN Mask */ + #define MXC_V_SMON_INTSCN_SHIELD_EN_DIS ((uint32_t)0x0UL) /**< INTSCN_SHIELD_EN_DIS Value */ + #define MXC_S_SMON_INTSCN_SHIELD_EN_DIS (MXC_V_SMON_INTSCN_SHIELD_EN_DIS << MXC_F_SMON_INTSCN_SHIELD_EN_POS) /**< INTSCN_SHIELD_EN_DIS Setting */ + #define MXC_V_SMON_INTSCN_SHIELD_EN_EN ((uint32_t)0x1UL) /**< INTSCN_SHIELD_EN_EN Value */ + #define MXC_S_SMON_INTSCN_SHIELD_EN_EN (MXC_V_SMON_INTSCN_SHIELD_EN_EN << MXC_F_SMON_INTSCN_SHIELD_EN_POS) /**< INTSCN_SHIELD_EN_EN Setting */ + + #define MXC_F_SMON_INTSCN_TEMP_EN_POS 1 /**< INTSCN_TEMP_EN Position */ + #define MXC_F_SMON_INTSCN_TEMP_EN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_TEMP_EN_POS)) /**< INTSCN_TEMP_EN Mask */ + #define MXC_V_SMON_INTSCN_TEMP_EN_DIS ((uint32_t)0x0UL) /**< INTSCN_TEMP_EN_DIS Value */ + #define MXC_S_SMON_INTSCN_TEMP_EN_DIS (MXC_V_SMON_INTSCN_TEMP_EN_DIS << MXC_F_SMON_INTSCN_TEMP_EN_POS) /**< INTSCN_TEMP_EN_DIS Setting */ + #define MXC_V_SMON_INTSCN_TEMP_EN_EN ((uint32_t)0x1UL) /**< INTSCN_TEMP_EN_EN Value */ + #define MXC_S_SMON_INTSCN_TEMP_EN_EN (MXC_V_SMON_INTSCN_TEMP_EN_EN << MXC_F_SMON_INTSCN_TEMP_EN_POS) /**< INTSCN_TEMP_EN_EN Setting */ + + #define MXC_F_SMON_INTSCN_VBAT_EN_POS 2 /**< INTSCN_VBAT_EN Position */ + #define MXC_F_SMON_INTSCN_VBAT_EN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_VBAT_EN_POS)) /**< INTSCN_VBAT_EN Mask */ + #define MXC_V_SMON_INTSCN_VBAT_EN_DIS ((uint32_t)0x0UL) /**< INTSCN_VBAT_EN_DIS Value */ + #define MXC_S_SMON_INTSCN_VBAT_EN_DIS (MXC_V_SMON_INTSCN_VBAT_EN_DIS << MXC_F_SMON_INTSCN_VBAT_EN_POS) /**< INTSCN_VBAT_EN_DIS Setting */ + #define MXC_V_SMON_INTSCN_VBAT_EN_EN ((uint32_t)0x1UL) /**< INTSCN_VBAT_EN_EN Value */ + #define MXC_S_SMON_INTSCN_VBAT_EN_EN (MXC_V_SMON_INTSCN_VBAT_EN_EN << MXC_F_SMON_INTSCN_VBAT_EN_POS) /**< INTSCN_VBAT_EN_EN Setting */ + + #define MXC_F_SMON_INTSCN_LOTEMP_SEL_POS 16 /**< INTSCN_LOTEMP_SEL Position */ + #define MXC_F_SMON_INTSCN_LOTEMP_SEL ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_LOTEMP_SEL_POS)) /**< INTSCN_LOTEMP_SEL Mask */ + #define MXC_V_SMON_INTSCN_LOTEMP_SEL_NEG50C ((uint32_t)0x0UL) /**< INTSCN_LOTEMP_SEL_NEG50C Value */ + #define MXC_S_SMON_INTSCN_LOTEMP_SEL_NEG50C (MXC_V_SMON_INTSCN_LOTEMP_SEL_NEG50C << MXC_F_SMON_INTSCN_LOTEMP_SEL_POS) /**< INTSCN_LOTEMP_SEL_NEG50C Setting */ + #define MXC_V_SMON_INTSCN_LOTEMP_SEL_NEG30C ((uint32_t)0x1UL) /**< INTSCN_LOTEMP_SEL_NEG30C Value */ + #define MXC_S_SMON_INTSCN_LOTEMP_SEL_NEG30C (MXC_V_SMON_INTSCN_LOTEMP_SEL_NEG30C << MXC_F_SMON_INTSCN_LOTEMP_SEL_POS) /**< INTSCN_LOTEMP_SEL_NEG30C Setting */ + + #define MXC_F_SMON_INTSCN_VCORELOEN_POS 18 /**< INTSCN_VCORELOEN Position */ + #define MXC_F_SMON_INTSCN_VCORELOEN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_VCORELOEN_POS)) /**< INTSCN_VCORELOEN Mask */ + #define MXC_V_SMON_INTSCN_VCORELOEN_DIS ((uint32_t)0x0UL) /**< INTSCN_VCORELOEN_DIS Value */ + #define MXC_S_SMON_INTSCN_VCORELOEN_DIS (MXC_V_SMON_INTSCN_VCORELOEN_DIS << MXC_F_SMON_INTSCN_VCORELOEN_POS) /**< INTSCN_VCORELOEN_DIS Setting */ + #define MXC_V_SMON_INTSCN_VCORELOEN_EN ((uint32_t)0x1UL) /**< INTSCN_VCORELOEN_EN Value */ + #define MXC_S_SMON_INTSCN_VCORELOEN_EN (MXC_V_SMON_INTSCN_VCORELOEN_EN << MXC_F_SMON_INTSCN_VCORELOEN_POS) /**< INTSCN_VCORELOEN_EN Setting */ + + #define MXC_F_SMON_INTSCN_VCOREHIEN_POS 19 /**< INTSCN_VCOREHIEN Position */ + #define MXC_F_SMON_INTSCN_VCOREHIEN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_VCOREHIEN_POS)) /**< INTSCN_VCOREHIEN Mask */ + #define MXC_V_SMON_INTSCN_VCOREHIEN_DIS ((uint32_t)0x0UL) /**< INTSCN_VCOREHIEN_DIS Value */ + #define MXC_S_SMON_INTSCN_VCOREHIEN_DIS (MXC_V_SMON_INTSCN_VCOREHIEN_DIS << MXC_F_SMON_INTSCN_VCOREHIEN_POS) /**< INTSCN_VCOREHIEN_DIS Setting */ + #define MXC_V_SMON_INTSCN_VCOREHIEN_EN ((uint32_t)0x1UL) /**< INTSCN_VCOREHIEN_EN Value */ + #define MXC_S_SMON_INTSCN_VCOREHIEN_EN (MXC_V_SMON_INTSCN_VCOREHIEN_EN << MXC_F_SMON_INTSCN_VCOREHIEN_POS) /**< INTSCN_VCOREHIEN_EN Setting */ + + #define MXC_F_SMON_INTSCN_VDDLOEN_POS 20 /**< INTSCN_VDDLOEN Position */ + #define MXC_F_SMON_INTSCN_VDDLOEN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_VDDLOEN_POS)) /**< INTSCN_VDDLOEN Mask */ + #define MXC_V_SMON_INTSCN_VDDLOEN_DIS ((uint32_t)0x0UL) /**< INTSCN_VDDLOEN_DIS Value */ + #define MXC_S_SMON_INTSCN_VDDLOEN_DIS (MXC_V_SMON_INTSCN_VDDLOEN_DIS << MXC_F_SMON_INTSCN_VDDLOEN_POS) /**< INTSCN_VDDLOEN_DIS Setting */ + #define MXC_V_SMON_INTSCN_VDDLOEN_EN ((uint32_t)0x1UL) /**< INTSCN_VDDLOEN_EN Value */ + #define MXC_S_SMON_INTSCN_VDDLOEN_EN (MXC_V_SMON_INTSCN_VDDLOEN_EN << MXC_F_SMON_INTSCN_VDDLOEN_POS) /**< INTSCN_VDDLOEN_EN Setting */ + + #define MXC_F_SMON_INTSCN_VDDHIEN_POS 21 /**< INTSCN_VDDHIEN Position */ + #define MXC_F_SMON_INTSCN_VDDHIEN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_VDDHIEN_POS)) /**< INTSCN_VDDHIEN Mask */ + #define MXC_V_SMON_INTSCN_VDDHIEN_DIS ((uint32_t)0x0UL) /**< INTSCN_VDDHIEN_DIS Value */ + #define MXC_S_SMON_INTSCN_VDDHIEN_DIS (MXC_V_SMON_INTSCN_VDDHIEN_DIS << MXC_F_SMON_INTSCN_VDDHIEN_POS) /**< INTSCN_VDDHIEN_DIS Setting */ + #define MXC_V_SMON_INTSCN_VDDHIEN_EN ((uint32_t)0x1UL) /**< INTSCN_VDDHIEN_EN Value */ + #define MXC_S_SMON_INTSCN_VDDHIEN_EN (MXC_V_SMON_INTSCN_VDDHIEN_EN << MXC_F_SMON_INTSCN_VDDHIEN_POS) /**< INTSCN_VDDHIEN_EN Setting */ + + #define MXC_F_SMON_INTSCN_VGLEN_POS 22 /**< INTSCN_VGLEN Position */ + #define MXC_F_SMON_INTSCN_VGLEN ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_VGLEN_POS)) /**< INTSCN_VGLEN Mask */ + #define MXC_V_SMON_INTSCN_VGLEN_DIS ((uint32_t)0x0UL) /**< INTSCN_VGLEN_DIS Value */ + #define MXC_S_SMON_INTSCN_VGLEN_DIS (MXC_V_SMON_INTSCN_VGLEN_DIS << MXC_F_SMON_INTSCN_VGLEN_POS) /**< INTSCN_VGLEN_DIS Setting */ + #define MXC_V_SMON_INTSCN_VGLEN_EN ((uint32_t)0x1UL) /**< INTSCN_VGLEN_EN Value */ + #define MXC_S_SMON_INTSCN_VGLEN_EN (MXC_V_SMON_INTSCN_VGLEN_EN << MXC_F_SMON_INTSCN_VGLEN_POS) /**< INTSCN_VGLEN_EN Setting */ + + #define MXC_F_SMON_INTSCN_LOCK_POS 31 /**< INTSCN_LOCK Position */ + #define MXC_F_SMON_INTSCN_LOCK ((uint32_t)(0x1UL << MXC_F_SMON_INTSCN_LOCK_POS)) /**< INTSCN_LOCK Mask */ + #define MXC_V_SMON_INTSCN_LOCK_UNLOCKED ((uint32_t)0x0UL) /**< INTSCN_LOCK_UNLOCKED Value */ + #define MXC_S_SMON_INTSCN_LOCK_UNLOCKED (MXC_V_SMON_INTSCN_LOCK_UNLOCKED << MXC_F_SMON_INTSCN_LOCK_POS) /**< INTSCN_LOCK_UNLOCKED Setting */ + #define MXC_V_SMON_INTSCN_LOCK_LOCKED ((uint32_t)0x1UL) /**< INTSCN_LOCK_LOCKED Value */ + #define MXC_S_SMON_INTSCN_LOCK_LOCKED (MXC_V_SMON_INTSCN_LOCK_LOCKED << MXC_F_SMON_INTSCN_LOCK_POS) /**< INTSCN_LOCK_LOCKED Setting */ + +/**@} end of group SMON_INTSCN_Register */ + +/** + * @ingroup smon_registers + * @defgroup SMON_SECALM SMON_SECALM + * @brief Security Alarm Register. + * @{ + */ + #define MXC_F_SMON_SECALM_DRS_POS 0 /**< SECALM_DRS Position */ + #define MXC_F_SMON_SECALM_DRS ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_DRS_POS)) /**< SECALM_DRS Mask */ + #define MXC_V_SMON_SECALM_DRS_COMPLETE ((uint32_t)0x0UL) /**< SECALM_DRS_COMPLETE Value */ + #define MXC_S_SMON_SECALM_DRS_COMPLETE (MXC_V_SMON_SECALM_DRS_COMPLETE << MXC_F_SMON_SECALM_DRS_POS) /**< SECALM_DRS_COMPLETE Setting */ + #define MXC_V_SMON_SECALM_DRS_START ((uint32_t)0x1UL) /**< SECALM_DRS_START Value */ + #define MXC_S_SMON_SECALM_DRS_START (MXC_V_SMON_SECALM_DRS_START << MXC_F_SMON_SECALM_DRS_POS) /**< SECALM_DRS_START Setting */ + + #define MXC_F_SMON_SECALM_KEYWIPE_POS 1 /**< SECALM_KEYWIPE Position */ + #define MXC_F_SMON_SECALM_KEYWIPE ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_KEYWIPE_POS)) /**< SECALM_KEYWIPE Mask */ + #define MXC_V_SMON_SECALM_KEYWIPE_COMPLETE ((uint32_t)0x0UL) /**< SECALM_KEYWIPE_COMPLETE Value */ + #define MXC_S_SMON_SECALM_KEYWIPE_COMPLETE (MXC_V_SMON_SECALM_KEYWIPE_COMPLETE << MXC_F_SMON_SECALM_KEYWIPE_POS) /**< SECALM_KEYWIPE_COMPLETE Setting */ + #define MXC_V_SMON_SECALM_KEYWIPE_START ((uint32_t)0x1UL) /**< SECALM_KEYWIPE_START Value */ + #define MXC_S_SMON_SECALM_KEYWIPE_START (MXC_V_SMON_SECALM_KEYWIPE_START << MXC_F_SMON_SECALM_KEYWIPE_POS) /**< SECALM_KEYWIPE_START Setting */ + + #define MXC_F_SMON_SECALM_SHIELDF_POS 2 /**< SECALM_SHIELDF Position */ + #define MXC_F_SMON_SECALM_SHIELDF ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_SHIELDF_POS)) /**< SECALM_SHIELDF Mask */ + #define MXC_V_SMON_SECALM_SHIELDF_NOEVENT ((uint32_t)0x0UL) /**< SECALM_SHIELDF_NOEVENT Value */ + #define MXC_S_SMON_SECALM_SHIELDF_NOEVENT (MXC_V_SMON_SECALM_SHIELDF_NOEVENT << MXC_F_SMON_SECALM_SHIELDF_POS) /**< SECALM_SHIELDF_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_SHIELDF_OCCURRED ((uint32_t)0x1UL) /**< SECALM_SHIELDF_OCCURRED Value */ + #define MXC_S_SMON_SECALM_SHIELDF_OCCURRED (MXC_V_SMON_SECALM_SHIELDF_OCCURRED << MXC_F_SMON_SECALM_SHIELDF_POS) /**< SECALM_SHIELDF_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_LOTEMP_POS 3 /**< SECALM_LOTEMP Position */ + #define MXC_F_SMON_SECALM_LOTEMP ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_LOTEMP_POS)) /**< SECALM_LOTEMP Mask */ + #define MXC_V_SMON_SECALM_LOTEMP_NOEVENT ((uint32_t)0x0UL) /**< SECALM_LOTEMP_NOEVENT Value */ + #define MXC_S_SMON_SECALM_LOTEMP_NOEVENT (MXC_V_SMON_SECALM_LOTEMP_NOEVENT << MXC_F_SMON_SECALM_LOTEMP_POS) /**< SECALM_LOTEMP_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_LOTEMP_OCCURRED ((uint32_t)0x1UL) /**< SECALM_LOTEMP_OCCURRED Value */ + #define MXC_S_SMON_SECALM_LOTEMP_OCCURRED (MXC_V_SMON_SECALM_LOTEMP_OCCURRED << MXC_F_SMON_SECALM_LOTEMP_POS) /**< SECALM_LOTEMP_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_HITEMP_POS 4 /**< SECALM_HITEMP Position */ + #define MXC_F_SMON_SECALM_HITEMP ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_HITEMP_POS)) /**< SECALM_HITEMP Mask */ + #define MXC_V_SMON_SECALM_HITEMP_NOEVENT ((uint32_t)0x0UL) /**< SECALM_HITEMP_NOEVENT Value */ + #define MXC_S_SMON_SECALM_HITEMP_NOEVENT (MXC_V_SMON_SECALM_HITEMP_NOEVENT << MXC_F_SMON_SECALM_HITEMP_POS) /**< SECALM_HITEMP_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_HITEMP_OCCURRED ((uint32_t)0x1UL) /**< SECALM_HITEMP_OCCURRED Value */ + #define MXC_S_SMON_SECALM_HITEMP_OCCURRED (MXC_V_SMON_SECALM_HITEMP_OCCURRED << MXC_F_SMON_SECALM_HITEMP_POS) /**< SECALM_HITEMP_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_BATLO_POS 5 /**< SECALM_BATLO Position */ + #define MXC_F_SMON_SECALM_BATLO ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_BATLO_POS)) /**< SECALM_BATLO Mask */ + #define MXC_V_SMON_SECALM_BATLO_NOEVENT ((uint32_t)0x0UL) /**< SECALM_BATLO_NOEVENT Value */ + #define MXC_S_SMON_SECALM_BATLO_NOEVENT (MXC_V_SMON_SECALM_BATLO_NOEVENT << MXC_F_SMON_SECALM_BATLO_POS) /**< SECALM_BATLO_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_BATLO_OCCURRED ((uint32_t)0x1UL) /**< SECALM_BATLO_OCCURRED Value */ + #define MXC_S_SMON_SECALM_BATLO_OCCURRED (MXC_V_SMON_SECALM_BATLO_OCCURRED << MXC_F_SMON_SECALM_BATLO_POS) /**< SECALM_BATLO_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_BATHI_POS 6 /**< SECALM_BATHI Position */ + #define MXC_F_SMON_SECALM_BATHI ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_BATHI_POS)) /**< SECALM_BATHI Mask */ + #define MXC_V_SMON_SECALM_BATHI_NOEVENT ((uint32_t)0x0UL) /**< SECALM_BATHI_NOEVENT Value */ + #define MXC_S_SMON_SECALM_BATHI_NOEVENT (MXC_V_SMON_SECALM_BATHI_NOEVENT << MXC_F_SMON_SECALM_BATHI_POS) /**< SECALM_BATHI_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_BATHI_OCCURRED ((uint32_t)0x1UL) /**< SECALM_BATHI_OCCURRED Value */ + #define MXC_S_SMON_SECALM_BATHI_OCCURRED (MXC_V_SMON_SECALM_BATHI_OCCURRED << MXC_F_SMON_SECALM_BATHI_POS) /**< SECALM_BATHI_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTF_POS 7 /**< SECALM_EXTF Position */ + #define MXC_F_SMON_SECALM_EXTF ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTF_POS)) /**< SECALM_EXTF Mask */ + #define MXC_V_SMON_SECALM_EXTF_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTF_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTF_NOEVENT (MXC_V_SMON_SECALM_EXTF_NOEVENT << MXC_F_SMON_SECALM_EXTF_POS) /**< SECALM_EXTF_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTF_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTF_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTF_OCCURRED (MXC_V_SMON_SECALM_EXTF_OCCURRED << MXC_F_SMON_SECALM_EXTF_POS) /**< SECALM_EXTF_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_VDDLO_POS 8 /**< SECALM_VDDLO Position */ + #define MXC_F_SMON_SECALM_VDDLO ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_VDDLO_POS)) /**< SECALM_VDDLO Mask */ + #define MXC_V_SMON_SECALM_VDDLO_NOEVENT ((uint32_t)0x0UL) /**< SECALM_VDDLO_NOEVENT Value */ + #define MXC_S_SMON_SECALM_VDDLO_NOEVENT (MXC_V_SMON_SECALM_VDDLO_NOEVENT << MXC_F_SMON_SECALM_VDDLO_POS) /**< SECALM_VDDLO_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_VDDLO_OCCURRED ((uint32_t)0x1UL) /**< SECALM_VDDLO_OCCURRED Value */ + #define MXC_S_SMON_SECALM_VDDLO_OCCURRED (MXC_V_SMON_SECALM_VDDLO_OCCURRED << MXC_F_SMON_SECALM_VDDLO_POS) /**< SECALM_VDDLO_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_VCORELO_POS 9 /**< SECALM_VCORELO Position */ + #define MXC_F_SMON_SECALM_VCORELO ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_VCORELO_POS)) /**< SECALM_VCORELO Mask */ + #define MXC_V_SMON_SECALM_VCORELO_NOEVENT ((uint32_t)0x0UL) /**< SECALM_VCORELO_NOEVENT Value */ + #define MXC_S_SMON_SECALM_VCORELO_NOEVENT (MXC_V_SMON_SECALM_VCORELO_NOEVENT << MXC_F_SMON_SECALM_VCORELO_POS) /**< SECALM_VCORELO_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_VCORELO_OCCURRED ((uint32_t)0x1UL) /**< SECALM_VCORELO_OCCURRED Value */ + #define MXC_S_SMON_SECALM_VCORELO_OCCURRED (MXC_V_SMON_SECALM_VCORELO_OCCURRED << MXC_F_SMON_SECALM_VCORELO_POS) /**< SECALM_VCORELO_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_VCOREHI_POS 10 /**< SECALM_VCOREHI Position */ + #define MXC_F_SMON_SECALM_VCOREHI ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_VCOREHI_POS)) /**< SECALM_VCOREHI Mask */ + #define MXC_V_SMON_SECALM_VCOREHI_NOEVENT ((uint32_t)0x0UL) /**< SECALM_VCOREHI_NOEVENT Value */ + #define MXC_S_SMON_SECALM_VCOREHI_NOEVENT (MXC_V_SMON_SECALM_VCOREHI_NOEVENT << MXC_F_SMON_SECALM_VCOREHI_POS) /**< SECALM_VCOREHI_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_VCOREHI_OCCURRED ((uint32_t)0x1UL) /**< SECALM_VCOREHI_OCCURRED Value */ + #define MXC_S_SMON_SECALM_VCOREHI_OCCURRED (MXC_V_SMON_SECALM_VCOREHI_OCCURRED << MXC_F_SMON_SECALM_VCOREHI_POS) /**< SECALM_VCOREHI_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_VDDHI_POS 11 /**< SECALM_VDDHI Position */ + #define MXC_F_SMON_SECALM_VDDHI ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_VDDHI_POS)) /**< SECALM_VDDHI Mask */ + #define MXC_V_SMON_SECALM_VDDHI_NOEVENT ((uint32_t)0x0UL) /**< SECALM_VDDHI_NOEVENT Value */ + #define MXC_S_SMON_SECALM_VDDHI_NOEVENT (MXC_V_SMON_SECALM_VDDHI_NOEVENT << MXC_F_SMON_SECALM_VDDHI_POS) /**< SECALM_VDDHI_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_VDDHI_OCCURRED ((uint32_t)0x1UL) /**< SECALM_VDDHI_OCCURRED Value */ + #define MXC_S_SMON_SECALM_VDDHI_OCCURRED (MXC_V_SMON_SECALM_VDDHI_OCCURRED << MXC_F_SMON_SECALM_VDDHI_POS) /**< SECALM_VDDHI_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_VGL_POS 12 /**< SECALM_VGL Position */ + #define MXC_F_SMON_SECALM_VGL ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_VGL_POS)) /**< SECALM_VGL Mask */ + #define MXC_V_SMON_SECALM_VGL_NOEVENT ((uint32_t)0x0UL) /**< SECALM_VGL_NOEVENT Value */ + #define MXC_S_SMON_SECALM_VGL_NOEVENT (MXC_V_SMON_SECALM_VGL_NOEVENT << MXC_F_SMON_SECALM_VGL_POS) /**< SECALM_VGL_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_VGL_OCCURRED ((uint32_t)0x1UL) /**< SECALM_VGL_OCCURRED Value */ + #define MXC_S_SMON_SECALM_VGL_OCCURRED (MXC_V_SMON_SECALM_VGL_OCCURRED << MXC_F_SMON_SECALM_VGL_POS) /**< SECALM_VGL_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSTAT0_POS 16 /**< SECALM_EXTSTAT0 Position */ + #define MXC_F_SMON_SECALM_EXTSTAT0 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSTAT0_POS)) /**< SECALM_EXTSTAT0 Mask */ + #define MXC_V_SMON_SECALM_EXTSTAT0_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSTAT0_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSTAT0_NOEVENT (MXC_V_SMON_SECALM_EXTSTAT0_NOEVENT << MXC_F_SMON_SECALM_EXTSTAT0_POS) /**< SECALM_EXTSTAT0_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSTAT0_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSTAT0_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSTAT0_OCCURRED (MXC_V_SMON_SECALM_EXTSTAT0_OCCURRED << MXC_F_SMON_SECALM_EXTSTAT0_POS) /**< SECALM_EXTSTAT0_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSTAT1_POS 17 /**< SECALM_EXTSTAT1 Position */ + #define MXC_F_SMON_SECALM_EXTSTAT1 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSTAT1_POS)) /**< SECALM_EXTSTAT1 Mask */ + #define MXC_V_SMON_SECALM_EXTSTAT1_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSTAT1_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSTAT1_NOEVENT (MXC_V_SMON_SECALM_EXTSTAT1_NOEVENT << MXC_F_SMON_SECALM_EXTSTAT1_POS) /**< SECALM_EXTSTAT1_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSTAT1_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSTAT1_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSTAT1_OCCURRED (MXC_V_SMON_SECALM_EXTSTAT1_OCCURRED << MXC_F_SMON_SECALM_EXTSTAT1_POS) /**< SECALM_EXTSTAT1_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSTAT2_POS 18 /**< SECALM_EXTSTAT2 Position */ + #define MXC_F_SMON_SECALM_EXTSTAT2 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSTAT2_POS)) /**< SECALM_EXTSTAT2 Mask */ + #define MXC_V_SMON_SECALM_EXTSTAT2_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSTAT2_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSTAT2_NOEVENT (MXC_V_SMON_SECALM_EXTSTAT2_NOEVENT << MXC_F_SMON_SECALM_EXTSTAT2_POS) /**< SECALM_EXTSTAT2_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSTAT2_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSTAT2_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSTAT2_OCCURRED (MXC_V_SMON_SECALM_EXTSTAT2_OCCURRED << MXC_F_SMON_SECALM_EXTSTAT2_POS) /**< SECALM_EXTSTAT2_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSTAT3_POS 19 /**< SECALM_EXTSTAT3 Position */ + #define MXC_F_SMON_SECALM_EXTSTAT3 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSTAT3_POS)) /**< SECALM_EXTSTAT3 Mask */ + #define MXC_V_SMON_SECALM_EXTSTAT3_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSTAT3_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSTAT3_NOEVENT (MXC_V_SMON_SECALM_EXTSTAT3_NOEVENT << MXC_F_SMON_SECALM_EXTSTAT3_POS) /**< SECALM_EXTSTAT3_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSTAT3_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSTAT3_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSTAT3_OCCURRED (MXC_V_SMON_SECALM_EXTSTAT3_OCCURRED << MXC_F_SMON_SECALM_EXTSTAT3_POS) /**< SECALM_EXTSTAT3_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSTAT4_POS 20 /**< SECALM_EXTSTAT4 Position */ + #define MXC_F_SMON_SECALM_EXTSTAT4 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSTAT4_POS)) /**< SECALM_EXTSTAT4 Mask */ + #define MXC_V_SMON_SECALM_EXTSTAT4_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSTAT4_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSTAT4_NOEVENT (MXC_V_SMON_SECALM_EXTSTAT4_NOEVENT << MXC_F_SMON_SECALM_EXTSTAT4_POS) /**< SECALM_EXTSTAT4_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSTAT4_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSTAT4_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSTAT4_OCCURRED (MXC_V_SMON_SECALM_EXTSTAT4_OCCURRED << MXC_F_SMON_SECALM_EXTSTAT4_POS) /**< SECALM_EXTSTAT4_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSTAT5_POS 21 /**< SECALM_EXTSTAT5 Position */ + #define MXC_F_SMON_SECALM_EXTSTAT5 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSTAT5_POS)) /**< SECALM_EXTSTAT5 Mask */ + #define MXC_V_SMON_SECALM_EXTSTAT5_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSTAT5_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSTAT5_NOEVENT (MXC_V_SMON_SECALM_EXTSTAT5_NOEVENT << MXC_F_SMON_SECALM_EXTSTAT5_POS) /**< SECALM_EXTSTAT5_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSTAT5_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSTAT5_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSTAT5_OCCURRED (MXC_V_SMON_SECALM_EXTSTAT5_OCCURRED << MXC_F_SMON_SECALM_EXTSTAT5_POS) /**< SECALM_EXTSTAT5_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSWARN0_POS 24 /**< SECALM_EXTSWARN0 Position */ + #define MXC_F_SMON_SECALM_EXTSWARN0 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSWARN0_POS)) /**< SECALM_EXTSWARN0 Mask */ + #define MXC_V_SMON_SECALM_EXTSWARN0_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSWARN0_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSWARN0_NOEVENT (MXC_V_SMON_SECALM_EXTSWARN0_NOEVENT << MXC_F_SMON_SECALM_EXTSWARN0_POS) /**< SECALM_EXTSWARN0_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSWARN0_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSWARN0_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSWARN0_OCCURRED (MXC_V_SMON_SECALM_EXTSWARN0_OCCURRED << MXC_F_SMON_SECALM_EXTSWARN0_POS) /**< SECALM_EXTSWARN0_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSWARN1_POS 25 /**< SECALM_EXTSWARN1 Position */ + #define MXC_F_SMON_SECALM_EXTSWARN1 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSWARN1_POS)) /**< SECALM_EXTSWARN1 Mask */ + #define MXC_V_SMON_SECALM_EXTSWARN1_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSWARN1_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSWARN1_NOEVENT (MXC_V_SMON_SECALM_EXTSWARN1_NOEVENT << MXC_F_SMON_SECALM_EXTSWARN1_POS) /**< SECALM_EXTSWARN1_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSWARN1_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSWARN1_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSWARN1_OCCURRED (MXC_V_SMON_SECALM_EXTSWARN1_OCCURRED << MXC_F_SMON_SECALM_EXTSWARN1_POS) /**< SECALM_EXTSWARN1_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSWARN2_POS 26 /**< SECALM_EXTSWARN2 Position */ + #define MXC_F_SMON_SECALM_EXTSWARN2 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSWARN2_POS)) /**< SECALM_EXTSWARN2 Mask */ + #define MXC_V_SMON_SECALM_EXTSWARN2_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSWARN2_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSWARN2_NOEVENT (MXC_V_SMON_SECALM_EXTSWARN2_NOEVENT << MXC_F_SMON_SECALM_EXTSWARN2_POS) /**< SECALM_EXTSWARN2_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSWARN2_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSWARN2_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSWARN2_OCCURRED (MXC_V_SMON_SECALM_EXTSWARN2_OCCURRED << MXC_F_SMON_SECALM_EXTSWARN2_POS) /**< SECALM_EXTSWARN2_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSWARN3_POS 27 /**< SECALM_EXTSWARN3 Position */ + #define MXC_F_SMON_SECALM_EXTSWARN3 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSWARN3_POS)) /**< SECALM_EXTSWARN3 Mask */ + #define MXC_V_SMON_SECALM_EXTSWARN3_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSWARN3_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSWARN3_NOEVENT (MXC_V_SMON_SECALM_EXTSWARN3_NOEVENT << MXC_F_SMON_SECALM_EXTSWARN3_POS) /**< SECALM_EXTSWARN3_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSWARN3_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSWARN3_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSWARN3_OCCURRED (MXC_V_SMON_SECALM_EXTSWARN3_OCCURRED << MXC_F_SMON_SECALM_EXTSWARN3_POS) /**< SECALM_EXTSWARN3_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSWARN4_POS 28 /**< SECALM_EXTSWARN4 Position */ + #define MXC_F_SMON_SECALM_EXTSWARN4 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSWARN4_POS)) /**< SECALM_EXTSWARN4 Mask */ + #define MXC_V_SMON_SECALM_EXTSWARN4_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSWARN4_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSWARN4_NOEVENT (MXC_V_SMON_SECALM_EXTSWARN4_NOEVENT << MXC_F_SMON_SECALM_EXTSWARN4_POS) /**< SECALM_EXTSWARN4_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSWARN4_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSWARN4_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSWARN4_OCCURRED (MXC_V_SMON_SECALM_EXTSWARN4_OCCURRED << MXC_F_SMON_SECALM_EXTSWARN4_POS) /**< SECALM_EXTSWARN4_OCCURRED Setting */ + + #define MXC_F_SMON_SECALM_EXTSWARN5_POS 29 /**< SECALM_EXTSWARN5 Position */ + #define MXC_F_SMON_SECALM_EXTSWARN5 ((uint32_t)(0x1UL << MXC_F_SMON_SECALM_EXTSWARN5_POS)) /**< SECALM_EXTSWARN5 Mask */ + #define MXC_V_SMON_SECALM_EXTSWARN5_NOEVENT ((uint32_t)0x0UL) /**< SECALM_EXTSWARN5_NOEVENT Value */ + #define MXC_S_SMON_SECALM_EXTSWARN5_NOEVENT (MXC_V_SMON_SECALM_EXTSWARN5_NOEVENT << MXC_F_SMON_SECALM_EXTSWARN5_POS) /**< SECALM_EXTSWARN5_NOEVENT Setting */ + #define MXC_V_SMON_SECALM_EXTSWARN5_OCCURRED ((uint32_t)0x1UL) /**< SECALM_EXTSWARN5_OCCURRED Value */ + #define MXC_S_SMON_SECALM_EXTSWARN5_OCCURRED (MXC_V_SMON_SECALM_EXTSWARN5_OCCURRED << MXC_F_SMON_SECALM_EXTSWARN5_POS) /**< SECALM_EXTSWARN5_OCCURRED Setting */ + +/**@} end of group SMON_SECALM_Register */ + +/** + * @ingroup smon_registers + * @defgroup SMON_SECDIAG SMON_SECDIAG + * @brief Security Diagnostic Register. + * @{ + */ + #define MXC_F_SMON_SECDIAG_BORF_POS 0 /**< SECDIAG_BORF Position */ + #define MXC_F_SMON_SECDIAG_BORF ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_BORF_POS)) /**< SECDIAG_BORF Mask */ + #define MXC_V_SMON_SECDIAG_BORF_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_BORF_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_BORF_NOEVENT (MXC_V_SMON_SECDIAG_BORF_NOEVENT << MXC_F_SMON_SECDIAG_BORF_POS) /**< SECDIAG_BORF_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_BORF_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_BORF_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_BORF_OCCURRED (MXC_V_SMON_SECDIAG_BORF_OCCURRED << MXC_F_SMON_SECDIAG_BORF_POS) /**< SECDIAG_BORF_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_SHIELDF_POS 2 /**< SECDIAG_SHIELDF Position */ + #define MXC_F_SMON_SECDIAG_SHIELDF ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_SHIELDF_POS)) /**< SECDIAG_SHIELDF Mask */ + #define MXC_V_SMON_SECDIAG_SHIELDF_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_SHIELDF_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_SHIELDF_NOEVENT (MXC_V_SMON_SECDIAG_SHIELDF_NOEVENT << MXC_F_SMON_SECDIAG_SHIELDF_POS) /**< SECDIAG_SHIELDF_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_SHIELDF_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_SHIELDF_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_SHIELDF_OCCURRED (MXC_V_SMON_SECDIAG_SHIELDF_OCCURRED << MXC_F_SMON_SECDIAG_SHIELDF_POS) /**< SECDIAG_SHIELDF_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_LOTEMP_POS 3 /**< SECDIAG_LOTEMP Position */ + #define MXC_F_SMON_SECDIAG_LOTEMP ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_LOTEMP_POS)) /**< SECDIAG_LOTEMP Mask */ + #define MXC_V_SMON_SECDIAG_LOTEMP_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_LOTEMP_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_LOTEMP_NOEVENT (MXC_V_SMON_SECDIAG_LOTEMP_NOEVENT << MXC_F_SMON_SECDIAG_LOTEMP_POS) /**< SECDIAG_LOTEMP_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_LOTEMP_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_LOTEMP_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_LOTEMP_OCCURRED (MXC_V_SMON_SECDIAG_LOTEMP_OCCURRED << MXC_F_SMON_SECDIAG_LOTEMP_POS) /**< SECDIAG_LOTEMP_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_HITEMP_POS 4 /**< SECDIAG_HITEMP Position */ + #define MXC_F_SMON_SECDIAG_HITEMP ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_HITEMP_POS)) /**< SECDIAG_HITEMP Mask */ + #define MXC_V_SMON_SECDIAG_HITEMP_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_HITEMP_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_HITEMP_NOEVENT (MXC_V_SMON_SECDIAG_HITEMP_NOEVENT << MXC_F_SMON_SECDIAG_HITEMP_POS) /**< SECDIAG_HITEMP_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_HITEMP_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_HITEMP_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_HITEMP_OCCURRED (MXC_V_SMON_SECDIAG_HITEMP_OCCURRED << MXC_F_SMON_SECDIAG_HITEMP_POS) /**< SECDIAG_HITEMP_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_BATLO_POS 5 /**< SECDIAG_BATLO Position */ + #define MXC_F_SMON_SECDIAG_BATLO ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_BATLO_POS)) /**< SECDIAG_BATLO Mask */ + #define MXC_V_SMON_SECDIAG_BATLO_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_BATLO_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_BATLO_NOEVENT (MXC_V_SMON_SECDIAG_BATLO_NOEVENT << MXC_F_SMON_SECDIAG_BATLO_POS) /**< SECDIAG_BATLO_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_BATLO_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_BATLO_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_BATLO_OCCURRED (MXC_V_SMON_SECDIAG_BATLO_OCCURRED << MXC_F_SMON_SECDIAG_BATLO_POS) /**< SECDIAG_BATLO_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_BATHI_POS 6 /**< SECDIAG_BATHI Position */ + #define MXC_F_SMON_SECDIAG_BATHI ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_BATHI_POS)) /**< SECDIAG_BATHI Mask */ + #define MXC_V_SMON_SECDIAG_BATHI_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_BATHI_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_BATHI_NOEVENT (MXC_V_SMON_SECDIAG_BATHI_NOEVENT << MXC_F_SMON_SECDIAG_BATHI_POS) /**< SECDIAG_BATHI_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_BATHI_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_BATHI_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_BATHI_OCCURRED (MXC_V_SMON_SECDIAG_BATHI_OCCURRED << MXC_F_SMON_SECDIAG_BATHI_POS) /**< SECDIAG_BATHI_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_DYNF_POS 7 /**< SECDIAG_DYNF Position */ + #define MXC_F_SMON_SECDIAG_DYNF ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_DYNF_POS)) /**< SECDIAG_DYNF Mask */ + #define MXC_V_SMON_SECDIAG_DYNF_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_DYNF_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_DYNF_NOEVENT (MXC_V_SMON_SECDIAG_DYNF_NOEVENT << MXC_F_SMON_SECDIAG_DYNF_POS) /**< SECDIAG_DYNF_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_DYNF_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_DYNF_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_DYNF_OCCURRED (MXC_V_SMON_SECDIAG_DYNF_OCCURRED << MXC_F_SMON_SECDIAG_DYNF_POS) /**< SECDIAG_DYNF_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_AESKT_POS 8 /**< SECDIAG_AESKT Position */ + #define MXC_F_SMON_SECDIAG_AESKT ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_AESKT_POS)) /**< SECDIAG_AESKT Mask */ + #define MXC_V_SMON_SECDIAG_AESKT_INCOMPLETE ((uint32_t)0x0UL) /**< SECDIAG_AESKT_INCOMPLETE Value */ + #define MXC_S_SMON_SECDIAG_AESKT_INCOMPLETE (MXC_V_SMON_SECDIAG_AESKT_INCOMPLETE << MXC_F_SMON_SECDIAG_AESKT_POS) /**< SECDIAG_AESKT_INCOMPLETE Setting */ + #define MXC_V_SMON_SECDIAG_AESKT_COMPLETE ((uint32_t)0x1UL) /**< SECDIAG_AESKT_COMPLETE Value */ + #define MXC_S_SMON_SECDIAG_AESKT_COMPLETE (MXC_V_SMON_SECDIAG_AESKT_COMPLETE << MXC_F_SMON_SECDIAG_AESKT_POS) /**< SECDIAG_AESKT_COMPLETE Setting */ + + #define MXC_F_SMON_SECDIAG_EXTSTAT0_POS 16 /**< SECDIAG_EXTSTAT0 Position */ + #define MXC_F_SMON_SECDIAG_EXTSTAT0 ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_EXTSTAT0_POS)) /**< SECDIAG_EXTSTAT0 Mask */ + #define MXC_V_SMON_SECDIAG_EXTSTAT0_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_EXTSTAT0_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT0_NOEVENT (MXC_V_SMON_SECDIAG_EXTSTAT0_NOEVENT << MXC_F_SMON_SECDIAG_EXTSTAT0_POS) /**< SECDIAG_EXTSTAT0_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_EXTSTAT0_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_EXTSTAT0_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT0_OCCURRED (MXC_V_SMON_SECDIAG_EXTSTAT0_OCCURRED << MXC_F_SMON_SECDIAG_EXTSTAT0_POS) /**< SECDIAG_EXTSTAT0_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_EXTSTAT1_POS 17 /**< SECDIAG_EXTSTAT1 Position */ + #define MXC_F_SMON_SECDIAG_EXTSTAT1 ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_EXTSTAT1_POS)) /**< SECDIAG_EXTSTAT1 Mask */ + #define MXC_V_SMON_SECDIAG_EXTSTAT1_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_EXTSTAT1_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT1_NOEVENT (MXC_V_SMON_SECDIAG_EXTSTAT1_NOEVENT << MXC_F_SMON_SECDIAG_EXTSTAT1_POS) /**< SECDIAG_EXTSTAT1_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_EXTSTAT1_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_EXTSTAT1_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT1_OCCURRED (MXC_V_SMON_SECDIAG_EXTSTAT1_OCCURRED << MXC_F_SMON_SECDIAG_EXTSTAT1_POS) /**< SECDIAG_EXTSTAT1_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_EXTSTAT2_POS 18 /**< SECDIAG_EXTSTAT2 Position */ + #define MXC_F_SMON_SECDIAG_EXTSTAT2 ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_EXTSTAT2_POS)) /**< SECDIAG_EXTSTAT2 Mask */ + #define MXC_V_SMON_SECDIAG_EXTSTAT2_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_EXTSTAT2_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT2_NOEVENT (MXC_V_SMON_SECDIAG_EXTSTAT2_NOEVENT << MXC_F_SMON_SECDIAG_EXTSTAT2_POS) /**< SECDIAG_EXTSTAT2_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_EXTSTAT2_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_EXTSTAT2_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT2_OCCURRED (MXC_V_SMON_SECDIAG_EXTSTAT2_OCCURRED << MXC_F_SMON_SECDIAG_EXTSTAT2_POS) /**< SECDIAG_EXTSTAT2_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_EXTSTAT3_POS 19 /**< SECDIAG_EXTSTAT3 Position */ + #define MXC_F_SMON_SECDIAG_EXTSTAT3 ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_EXTSTAT3_POS)) /**< SECDIAG_EXTSTAT3 Mask */ + #define MXC_V_SMON_SECDIAG_EXTSTAT3_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_EXTSTAT3_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT3_NOEVENT (MXC_V_SMON_SECDIAG_EXTSTAT3_NOEVENT << MXC_F_SMON_SECDIAG_EXTSTAT3_POS) /**< SECDIAG_EXTSTAT3_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_EXTSTAT3_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_EXTSTAT3_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT3_OCCURRED (MXC_V_SMON_SECDIAG_EXTSTAT3_OCCURRED << MXC_F_SMON_SECDIAG_EXTSTAT3_POS) /**< SECDIAG_EXTSTAT3_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_EXTSTAT4_POS 20 /**< SECDIAG_EXTSTAT4 Position */ + #define MXC_F_SMON_SECDIAG_EXTSTAT4 ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_EXTSTAT4_POS)) /**< SECDIAG_EXTSTAT4 Mask */ + #define MXC_V_SMON_SECDIAG_EXTSTAT4_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_EXTSTAT4_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT4_NOEVENT (MXC_V_SMON_SECDIAG_EXTSTAT4_NOEVENT << MXC_F_SMON_SECDIAG_EXTSTAT4_POS) /**< SECDIAG_EXTSTAT4_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_EXTSTAT4_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_EXTSTAT4_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT4_OCCURRED (MXC_V_SMON_SECDIAG_EXTSTAT4_OCCURRED << MXC_F_SMON_SECDIAG_EXTSTAT4_POS) /**< SECDIAG_EXTSTAT4_OCCURRED Setting */ + + #define MXC_F_SMON_SECDIAG_EXTSTAT5_POS 21 /**< SECDIAG_EXTSTAT5 Position */ + #define MXC_F_SMON_SECDIAG_EXTSTAT5 ((uint32_t)(0x1UL << MXC_F_SMON_SECDIAG_EXTSTAT5_POS)) /**< SECDIAG_EXTSTAT5 Mask */ + #define MXC_V_SMON_SECDIAG_EXTSTAT5_NOEVENT ((uint32_t)0x0UL) /**< SECDIAG_EXTSTAT5_NOEVENT Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT5_NOEVENT (MXC_V_SMON_SECDIAG_EXTSTAT5_NOEVENT << MXC_F_SMON_SECDIAG_EXTSTAT5_POS) /**< SECDIAG_EXTSTAT5_NOEVENT Setting */ + #define MXC_V_SMON_SECDIAG_EXTSTAT5_OCCURRED ((uint32_t)0x1UL) /**< SECDIAG_EXTSTAT5_OCCURRED Value */ + #define MXC_S_SMON_SECDIAG_EXTSTAT5_OCCURRED (MXC_V_SMON_SECDIAG_EXTSTAT5_OCCURRED << MXC_F_SMON_SECDIAG_EXTSTAT5_POS) /**< SECDIAG_EXTSTAT5_OCCURRED Setting */ + +/**@} end of group SMON_SECDIAG_Register */ + +/** + * @ingroup smon_registers + * @defgroup SMON_DLRTC SMON_DLRTC + * @brief DRS Log RTC Value. This register contains the 32 bit value in the RTC second + * register when the last DRS event occurred. + * @{ + */ + #define MXC_F_SMON_DLRTC_DLRTC_POS 0 /**< DLRTC_DLRTC Position */ + #define MXC_F_SMON_DLRTC_DLRTC ((uint32_t)(0xFFFFFFFFUL << MXC_F_SMON_DLRTC_DLRTC_POS)) /**< DLRTC_DLRTC Mask */ + +/**@} end of group SMON_DLRTC_Register */ + +/** + * @ingroup smon_registers + * @defgroup SMON_SECST SMON_SECST + * @brief Security Monitor Status Register. + * @{ + */ + #define MXC_F_SMON_SECST_EXTSRS_POS 0 /**< SECST_EXTSRS Position */ + #define MXC_F_SMON_SECST_EXTSRS ((uint32_t)(0x1UL << MXC_F_SMON_SECST_EXTSRS_POS)) /**< SECST_EXTSRS Mask */ + #define MXC_V_SMON_SECST_EXTSRS_ALLOWED ((uint32_t)0x0UL) /**< SECST_EXTSRS_ALLOWED Value */ + #define MXC_S_SMON_SECST_EXTSRS_ALLOWED (MXC_V_SMON_SECST_EXTSRS_ALLOWED << MXC_F_SMON_SECST_EXTSRS_POS) /**< SECST_EXTSRS_ALLOWED Setting */ + #define MXC_V_SMON_SECST_EXTSRS_NOTALLOWED ((uint32_t)0x1UL) /**< SECST_EXTSRS_NOTALLOWED Value */ + #define MXC_S_SMON_SECST_EXTSRS_NOTALLOWED (MXC_V_SMON_SECST_EXTSRS_NOTALLOWED << MXC_F_SMON_SECST_EXTSRS_POS) /**< SECST_EXTSRS_NOTALLOWED Setting */ + + #define MXC_F_SMON_SECST_INTSRS_POS 1 /**< SECST_INTSRS Position */ + #define MXC_F_SMON_SECST_INTSRS ((uint32_t)(0x1UL << MXC_F_SMON_SECST_INTSRS_POS)) /**< SECST_INTSRS Mask */ + #define MXC_V_SMON_SECST_INTSRS_ALLOWED ((uint32_t)0x0UL) /**< SECST_INTSRS_ALLOWED Value */ + #define MXC_S_SMON_SECST_INTSRS_ALLOWED (MXC_V_SMON_SECST_INTSRS_ALLOWED << MXC_F_SMON_SECST_INTSRS_POS) /**< SECST_INTSRS_ALLOWED Setting */ + #define MXC_V_SMON_SECST_INTSRS_NOTALLOWED ((uint32_t)0x1UL) /**< SECST_INTSRS_NOTALLOWED Value */ + #define MXC_S_SMON_SECST_INTSRS_NOTALLOWED (MXC_V_SMON_SECST_INTSRS_NOTALLOWED << MXC_F_SMON_SECST_INTSRS_POS) /**< SECST_INTSRS_NOTALLOWED Setting */ + + #define MXC_F_SMON_SECST_SECALRS_POS 2 /**< SECST_SECALRS Position */ + #define MXC_F_SMON_SECST_SECALRS ((uint32_t)(0x1UL << MXC_F_SMON_SECST_SECALRS_POS)) /**< SECST_SECALRS Mask */ + #define MXC_V_SMON_SECST_SECALRS_ALLOWED ((uint32_t)0x0UL) /**< SECST_SECALRS_ALLOWED Value */ + #define MXC_S_SMON_SECST_SECALRS_ALLOWED (MXC_V_SMON_SECST_SECALRS_ALLOWED << MXC_F_SMON_SECST_SECALRS_POS) /**< SECST_SECALRS_ALLOWED Setting */ + #define MXC_V_SMON_SECST_SECALRS_NOTALLOWED ((uint32_t)0x1UL) /**< SECST_SECALRS_NOTALLOWED Value */ + #define MXC_S_SMON_SECST_SECALRS_NOTALLOWED (MXC_V_SMON_SECST_SECALRS_NOTALLOWED << MXC_F_SMON_SECST_SECALRS_POS) /**< SECST_SECALRS_NOTALLOWED Setting */ + +/**@} end of group SMON_SECST_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SMON_REGS_H_ */ diff --git a/Firmware/SDK/Device/spi17y_regs.h b/Firmware/SDK/Device/spi17y_regs.h new file mode 100644 index 0000000..9f25e1c --- /dev/null +++ b/Firmware/SDK/Device/spi17y_regs.h @@ -0,0 +1,664 @@ +/** + * @file spi17y_regs.h + * @brief Registers, Bit Masks and Bit Positions for the SPI17Y Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _SPI17Y_REGS_H_ +#define _SPI17Y_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup spi17y + * @defgroup spi17y_registers SPI17Y_Registers + * @brief Registers, Bit Masks and Bit Positions for the SPI17Y Peripheral Module. + * @details SPI peripheral. + */ + +/** + * @ingroup spi17y_registers + * Structure type to access the SPI17Y Registers. + */ +typedef struct { + union{ + __IO uint32_t data32; /**< \b 0x00: SPI17Y DATA32 Register */ + __IO uint16_t data16[2]; /**< \b 0x00: SPI17Y DATA16 Register */ + __IO uint8_t data8[4]; /**< \b 0x00: SPI17Y DATA8 Register */ + }; + __IO uint32_t ctrl0; /**< \b 0x04: SPI17Y CTRL0 Register */ + __IO uint32_t ctrl1; /**< \b 0x08: SPI17Y CTRL1 Register */ + __IO uint32_t ctrl2; /**< \b 0x0C: SPI17Y CTRL2 Register */ + __IO uint32_t ss_time; /**< \b 0x10: SPI17Y SS_TIME Register */ + __IO uint32_t clk_cfg; /**< \b 0x14: SPI17Y CLK_CFG Register */ + __R uint32_t rsv_0x18; + __IO uint32_t dma; /**< \b 0x1C: SPI17Y DMA Register */ + __IO uint32_t int_fl; /**< \b 0x20: SPI17Y INT_FL Register */ + __IO uint32_t int_en; /**< \b 0x24: SPI17Y INT_EN Register */ + __IO uint32_t wake_fl; /**< \b 0x28: SPI17Y WAKE_FL Register */ + __IO uint32_t wake_en; /**< \b 0x2C: SPI17Y WAKE_EN Register */ + __I uint32_t stat; /**< \b 0x30: SPI17Y STAT Register */ +} mxc_spi17y_regs_t; + +/* Register offsets for module SPI17Y */ +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_Register_Offsets Register Offsets + * @brief SPI17Y Peripheral Register Offsets from the SPI17Y Base Peripheral Address. + * @{ + */ + #define MXC_R_SPI17Y_DATA32 ((uint32_t)0x00000000UL) /**< Offset from SPI17Y Base Address: 0x0000 */ + #define MXC_R_SPI17Y_DATA16 ((uint32_t)0x00000000UL) /**< Offset from SPI17Y Base Address: 0x0000 */ + #define MXC_R_SPI17Y_DATA8 ((uint32_t)0x00000000UL) /**< Offset from SPI17Y Base Address: 0x0000 */ + #define MXC_R_SPI17Y_CTRL0 ((uint32_t)0x00000004UL) /**< Offset from SPI17Y Base Address: 0x0004 */ + #define MXC_R_SPI17Y_CTRL1 ((uint32_t)0x00000008UL) /**< Offset from SPI17Y Base Address: 0x0008 */ + #define MXC_R_SPI17Y_CTRL2 ((uint32_t)0x0000000CUL) /**< Offset from SPI17Y Base Address: 0x000C */ + #define MXC_R_SPI17Y_SS_TIME ((uint32_t)0x00000010UL) /**< Offset from SPI17Y Base Address: 0x0010 */ + #define MXC_R_SPI17Y_CLK_CFG ((uint32_t)0x00000014UL) /**< Offset from SPI17Y Base Address: 0x0014 */ + #define MXC_R_SPI17Y_DMA ((uint32_t)0x0000001CUL) /**< Offset from SPI17Y Base Address: 0x001C */ + #define MXC_R_SPI17Y_INT_FL ((uint32_t)0x00000020UL) /**< Offset from SPI17Y Base Address: 0x0020 */ + #define MXC_R_SPI17Y_INT_EN ((uint32_t)0x00000024UL) /**< Offset from SPI17Y Base Address: 0x0024 */ + #define MXC_R_SPI17Y_WAKE_FL ((uint32_t)0x00000028UL) /**< Offset from SPI17Y Base Address: 0x0028 */ + #define MXC_R_SPI17Y_WAKE_EN ((uint32_t)0x0000002CUL) /**< Offset from SPI17Y Base Address: 0x002C */ + #define MXC_R_SPI17Y_STAT ((uint32_t)0x00000030UL) /**< Offset from SPI17Y Base Address: 0x0030 */ +/**@} end of group spi17y_registers */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_DATA32 SPI17Y_DATA32 + * @brief Register for reading and writing the FIFO. + * @{ + */ + #define MXC_F_SPI17Y_DATA32_DATA_POS 0 /**< DATA32_DATA Position */ + #define MXC_F_SPI17Y_DATA32_DATA ((uint32_t)(0xFFFFFFFFUL << MXC_F_SPI17Y_DATA32_DATA_POS)) /**< DATA32_DATA Mask */ + +/**@} end of group SPI17Y_DATA32_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_DATA16 SPI17Y_DATA16 + * @brief Register for reading and writing the FIFO. + * @{ + */ + #define MXC_F_SPI17Y_DATA16_DATA_POS 0 /**< DATA16_DATA Position */ + #define MXC_F_SPI17Y_DATA16_DATA ((uint16_t)(0xFFFFUL << MXC_F_SPI17Y_DATA16_DATA_POS)) /**< DATA16_DATA Mask */ + +/**@} end of group SPI17Y_DATA16_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_DATA8 SPI17Y_DATA8 + * @brief Register for reading and writing the FIFO. + * @{ + */ + #define MXC_F_SPI17Y_DATA8_DATA_POS 0 /**< DATA8_DATA Position */ + #define MXC_F_SPI17Y_DATA8_DATA ((uint8_t)(0xFFUL << MXC_F_SPI17Y_DATA8_DATA_POS)) /**< DATA8_DATA Mask */ + +/**@} end of group SPI17Y_DATA8_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_CTRL0 SPI17Y_CTRL0 + * @brief Register for controlling SPI peripheral. + * @{ + */ + #define MXC_F_SPI17Y_CTRL0_EN_POS 0 /**< CTRL0_EN Position */ + #define MXC_F_SPI17Y_CTRL0_EN ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL0_EN_POS)) /**< CTRL0_EN Mask */ + #define MXC_V_SPI17Y_CTRL0_EN_DIS ((uint32_t)0x0UL) /**< CTRL0_EN_DIS Value */ + #define MXC_S_SPI17Y_CTRL0_EN_DIS (MXC_V_SPI17Y_CTRL0_EN_DIS << MXC_F_SPI17Y_CTRL0_EN_POS) /**< CTRL0_EN_DIS Setting */ + #define MXC_V_SPI17Y_CTRL0_EN_EN ((uint32_t)0x1UL) /**< CTRL0_EN_EN Value */ + #define MXC_S_SPI17Y_CTRL0_EN_EN (MXC_V_SPI17Y_CTRL0_EN_EN << MXC_F_SPI17Y_CTRL0_EN_POS) /**< CTRL0_EN_EN Setting */ + + #define MXC_F_SPI17Y_CTRL0_MASTER_POS 1 /**< CTRL0_MASTER Position */ + #define MXC_F_SPI17Y_CTRL0_MASTER ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL0_MASTER_POS)) /**< CTRL0_MASTER Mask */ + #define MXC_V_SPI17Y_CTRL0_MASTER_DIS ((uint32_t)0x0UL) /**< CTRL0_MASTER_DIS Value */ + #define MXC_S_SPI17Y_CTRL0_MASTER_DIS (MXC_V_SPI17Y_CTRL0_MASTER_DIS << MXC_F_SPI17Y_CTRL0_MASTER_POS) /**< CTRL0_MASTER_DIS Setting */ + #define MXC_V_SPI17Y_CTRL0_MASTER_EN ((uint32_t)0x1UL) /**< CTRL0_MASTER_EN Value */ + #define MXC_S_SPI17Y_CTRL0_MASTER_EN (MXC_V_SPI17Y_CTRL0_MASTER_EN << MXC_F_SPI17Y_CTRL0_MASTER_POS) /**< CTRL0_MASTER_EN Setting */ + + #define MXC_F_SPI17Y_CTRL0_SS_IO_POS 4 /**< CTRL0_SS_IO Position */ + #define MXC_F_SPI17Y_CTRL0_SS_IO ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL0_SS_IO_POS)) /**< CTRL0_SS_IO Mask */ + #define MXC_V_SPI17Y_CTRL0_SS_IO_OUTPUT ((uint32_t)0x0UL) /**< CTRL0_SS_IO_OUTPUT Value */ + #define MXC_S_SPI17Y_CTRL0_SS_IO_OUTPUT (MXC_V_SPI17Y_CTRL0_SS_IO_OUTPUT << MXC_F_SPI17Y_CTRL0_SS_IO_POS) /**< CTRL0_SS_IO_OUTPUT Setting */ + #define MXC_V_SPI17Y_CTRL0_SS_IO_INPUT ((uint32_t)0x1UL) /**< CTRL0_SS_IO_INPUT Value */ + #define MXC_S_SPI17Y_CTRL0_SS_IO_INPUT (MXC_V_SPI17Y_CTRL0_SS_IO_INPUT << MXC_F_SPI17Y_CTRL0_SS_IO_POS) /**< CTRL0_SS_IO_INPUT Setting */ + + #define MXC_F_SPI17Y_CTRL0_START_POS 5 /**< CTRL0_START Position */ + #define MXC_F_SPI17Y_CTRL0_START ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL0_START_POS)) /**< CTRL0_START Mask */ + #define MXC_V_SPI17Y_CTRL0_START_START ((uint32_t)0x1UL) /**< CTRL0_START_START Value */ + #define MXC_S_SPI17Y_CTRL0_START_START (MXC_V_SPI17Y_CTRL0_START_START << MXC_F_SPI17Y_CTRL0_START_POS) /**< CTRL0_START_START Setting */ + + #define MXC_F_SPI17Y_CTRL0_SS_CTRL_POS 8 /**< CTRL0_SS_CTRL Position */ + #define MXC_F_SPI17Y_CTRL0_SS_CTRL ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL0_SS_CTRL_POS)) /**< CTRL0_SS_CTRL Mask */ + #define MXC_V_SPI17Y_CTRL0_SS_CTRL_DEASSERT ((uint32_t)0x0UL) /**< CTRL0_SS_CTRL_DEASSERT Value */ + #define MXC_S_SPI17Y_CTRL0_SS_CTRL_DEASSERT (MXC_V_SPI17Y_CTRL0_SS_CTRL_DEASSERT << MXC_F_SPI17Y_CTRL0_SS_CTRL_POS) /**< CTRL0_SS_CTRL_DEASSERT Setting */ + #define MXC_V_SPI17Y_CTRL0_SS_CTRL_ASSERT ((uint32_t)0x1UL) /**< CTRL0_SS_CTRL_ASSERT Value */ + #define MXC_S_SPI17Y_CTRL0_SS_CTRL_ASSERT (MXC_V_SPI17Y_CTRL0_SS_CTRL_ASSERT << MXC_F_SPI17Y_CTRL0_SS_CTRL_POS) /**< CTRL0_SS_CTRL_ASSERT Setting */ + + #define MXC_F_SPI17Y_CTRL0_SS_POS 16 /**< CTRL0_SS Position */ + #define MXC_F_SPI17Y_CTRL0_SS ((uint32_t)(0xFUL << MXC_F_SPI17Y_CTRL0_SS_POS)) /**< CTRL0_SS Mask */ + #define MXC_V_SPI17Y_CTRL0_SS_SS0 ((uint32_t)0x1UL) /**< CTRL0_SS_SS0 Value */ + #define MXC_S_SPI17Y_CTRL0_SS_SS0 (MXC_V_SPI17Y_CTRL0_SS_SS0 << MXC_F_SPI17Y_CTRL0_SS_POS) /**< CTRL0_SS_SS0 Setting */ + #define MXC_V_SPI17Y_CTRL0_SS_SS1 ((uint32_t)0x2UL) /**< CTRL0_SS_SS1 Value */ + #define MXC_S_SPI17Y_CTRL0_SS_SS1 (MXC_V_SPI17Y_CTRL0_SS_SS1 << MXC_F_SPI17Y_CTRL0_SS_POS) /**< CTRL0_SS_SS1 Setting */ + #define MXC_V_SPI17Y_CTRL0_SS_SS2 ((uint32_t)0x4UL) /**< CTRL0_SS_SS2 Value */ + #define MXC_S_SPI17Y_CTRL0_SS_SS2 (MXC_V_SPI17Y_CTRL0_SS_SS2 << MXC_F_SPI17Y_CTRL0_SS_POS) /**< CTRL0_SS_SS2 Setting */ + #define MXC_V_SPI17Y_CTRL0_SS_SS3 ((uint32_t)0x8UL) /**< CTRL0_SS_SS3 Value */ + #define MXC_S_SPI17Y_CTRL0_SS_SS3 (MXC_V_SPI17Y_CTRL0_SS_SS3 << MXC_F_SPI17Y_CTRL0_SS_POS) /**< CTRL0_SS_SS3 Setting */ + +/**@} end of group SPI17Y_CTRL0_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_CTRL1 SPI17Y_CTRL1 + * @brief Register for controlling SPI peripheral. + * @{ + */ + #define MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR_POS 0 /**< CTRL1_TX_NUM_CHAR Position */ + #define MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR ((uint32_t)(0xFFFFUL << MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR_POS)) /**< CTRL1_TX_NUM_CHAR Mask */ + + #define MXC_F_SPI17Y_CTRL1_RX_NUM_CHAR_POS 16 /**< CTRL1_RX_NUM_CHAR Position */ + #define MXC_F_SPI17Y_CTRL1_RX_NUM_CHAR ((uint32_t)(0xFFFFUL << MXC_F_SPI17Y_CTRL1_RX_NUM_CHAR_POS)) /**< CTRL1_RX_NUM_CHAR Mask */ + +/**@} end of group SPI17Y_CTRL1_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_CTRL2 SPI17Y_CTRL2 + * @brief Register for controlling SPI peripheral. + * @{ + */ + #define MXC_F_SPI17Y_CTRL2_CPHA_POS 0 /**< CTRL2_CPHA Position */ + #define MXC_F_SPI17Y_CTRL2_CPHA ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL2_CPHA_POS)) /**< CTRL2_CPHA Mask */ + #define MXC_V_SPI17Y_CTRL2_CPHA_RISING_EDGE ((uint32_t)0x0UL) /**< CTRL2_CPHA_RISING_EDGE Value */ + #define MXC_S_SPI17Y_CTRL2_CPHA_RISING_EDGE (MXC_V_SPI17Y_CTRL2_CPHA_RISING_EDGE << MXC_F_SPI17Y_CTRL2_CPHA_POS) /**< CTRL2_CPHA_RISING_EDGE Setting */ + #define MXC_V_SPI17Y_CTRL2_CPHA_FALLING_EDGE ((uint32_t)0x1UL) /**< CTRL2_CPHA_FALLING_EDGE Value */ + #define MXC_S_SPI17Y_CTRL2_CPHA_FALLING_EDGE (MXC_V_SPI17Y_CTRL2_CPHA_FALLING_EDGE << MXC_F_SPI17Y_CTRL2_CPHA_POS) /**< CTRL2_CPHA_FALLING_EDGE Setting */ + + #define MXC_F_SPI17Y_CTRL2_CPOL_POS 1 /**< CTRL2_CPOL Position */ + #define MXC_F_SPI17Y_CTRL2_CPOL ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL2_CPOL_POS)) /**< CTRL2_CPOL Mask */ + #define MXC_V_SPI17Y_CTRL2_CPOL_NORMAL ((uint32_t)0x0UL) /**< CTRL2_CPOL_NORMAL Value */ + #define MXC_S_SPI17Y_CTRL2_CPOL_NORMAL (MXC_V_SPI17Y_CTRL2_CPOL_NORMAL << MXC_F_SPI17Y_CTRL2_CPOL_POS) /**< CTRL2_CPOL_NORMAL Setting */ + #define MXC_V_SPI17Y_CTRL2_CPOL_INVERTED ((uint32_t)0x1UL) /**< CTRL2_CPOL_INVERTED Value */ + #define MXC_S_SPI17Y_CTRL2_CPOL_INVERTED (MXC_V_SPI17Y_CTRL2_CPOL_INVERTED << MXC_F_SPI17Y_CTRL2_CPOL_POS) /**< CTRL2_CPOL_INVERTED Setting */ + + #define MXC_F_SPI17Y_CTRL2_SCLK_INV_POS 4 /**< CTRL2_SCLK_INV Position */ + #define MXC_F_SPI17Y_CTRL2_SCLK_INV ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL2_SCLK_INV_POS)) /**< CTRL2_SCLK_INV Mask */ + + #define MXC_F_SPI17Y_CTRL2_NUMBITS_POS 8 /**< CTRL2_NUMBITS Position */ + #define MXC_F_SPI17Y_CTRL2_NUMBITS ((uint32_t)(0xFUL << MXC_F_SPI17Y_CTRL2_NUMBITS_POS)) /**< CTRL2_NUMBITS Mask */ + #define MXC_V_SPI17Y_CTRL2_NUMBITS_0 ((uint32_t)0x0UL) /**< CTRL2_NUMBITS_0 Value */ + #define MXC_S_SPI17Y_CTRL2_NUMBITS_0 (MXC_V_SPI17Y_CTRL2_NUMBITS_0 << MXC_F_SPI17Y_CTRL2_NUMBITS_POS) /**< CTRL2_NUMBITS_0 Setting */ + + #define MXC_F_SPI17Y_CTRL2_DATA_WIDTH_POS 12 /**< CTRL2_DATA_WIDTH Position */ + #define MXC_F_SPI17Y_CTRL2_DATA_WIDTH ((uint32_t)(0x3UL << MXC_F_SPI17Y_CTRL2_DATA_WIDTH_POS)) /**< CTRL2_DATA_WIDTH Mask */ + #define MXC_V_SPI17Y_CTRL2_DATA_WIDTH_MONO ((uint32_t)0x0UL) /**< CTRL2_DATA_WIDTH_MONO Value */ + #define MXC_S_SPI17Y_CTRL2_DATA_WIDTH_MONO (MXC_V_SPI17Y_CTRL2_DATA_WIDTH_MONO << MXC_F_SPI17Y_CTRL2_DATA_WIDTH_POS) /**< CTRL2_DATA_WIDTH_MONO Setting */ + #define MXC_V_SPI17Y_CTRL2_DATA_WIDTH_DUAL ((uint32_t)0x1UL) /**< CTRL2_DATA_WIDTH_DUAL Value */ + #define MXC_S_SPI17Y_CTRL2_DATA_WIDTH_DUAL (MXC_V_SPI17Y_CTRL2_DATA_WIDTH_DUAL << MXC_F_SPI17Y_CTRL2_DATA_WIDTH_POS) /**< CTRL2_DATA_WIDTH_DUAL Setting */ + #define MXC_V_SPI17Y_CTRL2_DATA_WIDTH_QUAD ((uint32_t)0x2UL) /**< CTRL2_DATA_WIDTH_QUAD Value */ + #define MXC_S_SPI17Y_CTRL2_DATA_WIDTH_QUAD (MXC_V_SPI17Y_CTRL2_DATA_WIDTH_QUAD << MXC_F_SPI17Y_CTRL2_DATA_WIDTH_POS) /**< CTRL2_DATA_WIDTH_QUAD Setting */ + + #define MXC_F_SPI17Y_CTRL2_THREE_WIRE_POS 15 /**< CTRL2_THREE_WIRE Position */ + #define MXC_F_SPI17Y_CTRL2_THREE_WIRE ((uint32_t)(0x1UL << MXC_F_SPI17Y_CTRL2_THREE_WIRE_POS)) /**< CTRL2_THREE_WIRE Mask */ + #define MXC_V_SPI17Y_CTRL2_THREE_WIRE_DIS ((uint32_t)0x0UL) /**< CTRL2_THREE_WIRE_DIS Value */ + #define MXC_S_SPI17Y_CTRL2_THREE_WIRE_DIS (MXC_V_SPI17Y_CTRL2_THREE_WIRE_DIS << MXC_F_SPI17Y_CTRL2_THREE_WIRE_POS) /**< CTRL2_THREE_WIRE_DIS Setting */ + #define MXC_V_SPI17Y_CTRL2_THREE_WIRE_EN ((uint32_t)0x1UL) /**< CTRL2_THREE_WIRE_EN Value */ + #define MXC_S_SPI17Y_CTRL2_THREE_WIRE_EN (MXC_V_SPI17Y_CTRL2_THREE_WIRE_EN << MXC_F_SPI17Y_CTRL2_THREE_WIRE_POS) /**< CTRL2_THREE_WIRE_EN Setting */ + + #define MXC_F_SPI17Y_CTRL2_SS_POL_POS 16 /**< CTRL2_SS_POL Position */ + #define MXC_F_SPI17Y_CTRL2_SS_POL ((uint32_t)(0xFFUL << MXC_F_SPI17Y_CTRL2_SS_POL_POS)) /**< CTRL2_SS_POL Mask */ + #define MXC_V_SPI17Y_CTRL2_SS_POL_SS0_HIGH ((uint32_t)0x1UL) /**< CTRL2_SS_POL_SS0_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SS_POL_SS0_HIGH (MXC_V_SPI17Y_CTRL2_SS_POL_SS0_HIGH << MXC_F_SPI17Y_CTRL2_SS_POL_POS) /**< CTRL2_SS_POL_SS0_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SS_POL_SS1_HIGH ((uint32_t)0x2UL) /**< CTRL2_SS_POL_SS1_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SS_POL_SS1_HIGH (MXC_V_SPI17Y_CTRL2_SS_POL_SS1_HIGH << MXC_F_SPI17Y_CTRL2_SS_POL_POS) /**< CTRL2_SS_POL_SS1_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SS_POL_SS2_HIGH ((uint32_t)0x4UL) /**< CTRL2_SS_POL_SS2_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SS_POL_SS2_HIGH (MXC_V_SPI17Y_CTRL2_SS_POL_SS2_HIGH << MXC_F_SPI17Y_CTRL2_SS_POL_POS) /**< CTRL2_SS_POL_SS2_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SS_POL_SS3_HIGH ((uint32_t)0x8UL) /**< CTRL2_SS_POL_SS3_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SS_POL_SS3_HIGH (MXC_V_SPI17Y_CTRL2_SS_POL_SS3_HIGH << MXC_F_SPI17Y_CTRL2_SS_POL_POS) /**< CTRL2_SS_POL_SS3_HIGH Setting */ + + #define MXC_F_SPI17Y_CTRL2_SRPOL_POS 24 /**< CTRL2_SRPOL Position */ + #define MXC_F_SPI17Y_CTRL2_SRPOL ((uint32_t)(0xFFUL << MXC_F_SPI17Y_CTRL2_SRPOL_POS)) /**< CTRL2_SRPOL Mask */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR0_HIGH ((uint32_t)0x1UL) /**< CTRL2_SRPOL_SR0_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR0_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR0_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR0_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR1_HIGH ((uint32_t)0x2UL) /**< CTRL2_SRPOL_SR1_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR1_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR1_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR1_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR2_HIGH ((uint32_t)0x4UL) /**< CTRL2_SRPOL_SR2_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR2_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR2_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR2_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR3_HIGH ((uint32_t)0x8UL) /**< CTRL2_SRPOL_SR3_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR3_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR3_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR3_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR4_HIGH ((uint32_t)0x10UL) /**< CTRL2_SRPOL_SR4_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR4_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR4_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR4_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR5_HIGH ((uint32_t)0x20UL) /**< CTRL2_SRPOL_SR5_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR5_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR5_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR5_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR6_HIGH ((uint32_t)0x40UL) /**< CTRL2_SRPOL_SR6_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR6_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR6_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR6_HIGH Setting */ + #define MXC_V_SPI17Y_CTRL2_SRPOL_SR7_HIGH ((uint32_t)0x80UL) /**< CTRL2_SRPOL_SR7_HIGH Value */ + #define MXC_S_SPI17Y_CTRL2_SRPOL_SR7_HIGH (MXC_V_SPI17Y_CTRL2_SRPOL_SR7_HIGH << MXC_F_SPI17Y_CTRL2_SRPOL_POS) /**< CTRL2_SRPOL_SR7_HIGH Setting */ + +/**@} end of group SPI17Y_CTRL2_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_SS_TIME SPI17Y_SS_TIME + * @brief Register for controlling SPI peripheral/Slave Select Timing. + * @{ + */ + #define MXC_F_SPI17Y_SS_TIME_PRE_POS 0 /**< SS_TIME_PRE Position */ + #define MXC_F_SPI17Y_SS_TIME_PRE ((uint32_t)(0xFFUL << MXC_F_SPI17Y_SS_TIME_PRE_POS)) /**< SS_TIME_PRE Mask */ + #define MXC_V_SPI17Y_SS_TIME_PRE_256 ((uint32_t)0x0UL) /**< SS_TIME_PRE_256 Value */ + #define MXC_S_SPI17Y_SS_TIME_PRE_256 (MXC_V_SPI17Y_SS_TIME_PRE_256 << MXC_F_SPI17Y_SS_TIME_PRE_POS) /**< SS_TIME_PRE_256 Setting */ + + #define MXC_F_SPI17Y_SS_TIME_POST_POS 8 /**< SS_TIME_POST Position */ + #define MXC_F_SPI17Y_SS_TIME_POST ((uint32_t)(0xFFUL << MXC_F_SPI17Y_SS_TIME_POST_POS)) /**< SS_TIME_POST Mask */ + #define MXC_V_SPI17Y_SS_TIME_POST_256 ((uint32_t)0x0UL) /**< SS_TIME_POST_256 Value */ + #define MXC_S_SPI17Y_SS_TIME_POST_256 (MXC_V_SPI17Y_SS_TIME_POST_256 << MXC_F_SPI17Y_SS_TIME_POST_POS) /**< SS_TIME_POST_256 Setting */ + + #define MXC_F_SPI17Y_SS_TIME_INACT_POS 16 /**< SS_TIME_INACT Position */ + #define MXC_F_SPI17Y_SS_TIME_INACT ((uint32_t)(0xFFUL << MXC_F_SPI17Y_SS_TIME_INACT_POS)) /**< SS_TIME_INACT Mask */ + #define MXC_V_SPI17Y_SS_TIME_INACT_256 ((uint32_t)0x0UL) /**< SS_TIME_INACT_256 Value */ + #define MXC_S_SPI17Y_SS_TIME_INACT_256 (MXC_V_SPI17Y_SS_TIME_INACT_256 << MXC_F_SPI17Y_SS_TIME_INACT_POS) /**< SS_TIME_INACT_256 Setting */ + +/**@} end of group SPI17Y_SS_TIME_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_CLK_CFG SPI17Y_CLK_CFG + * @brief Register for controlling SPI clock rate. + * @{ + */ + #define MXC_F_SPI17Y_CLK_CFG_LO_POS 0 /**< CLK_CFG_LO Position */ + #define MXC_F_SPI17Y_CLK_CFG_LO ((uint32_t)(0xFFUL << MXC_F_SPI17Y_CLK_CFG_LO_POS)) /**< CLK_CFG_LO Mask */ + #define MXC_V_SPI17Y_CLK_CFG_LO_DIS ((uint32_t)0x0UL) /**< CLK_CFG_LO_DIS Value */ + #define MXC_S_SPI17Y_CLK_CFG_LO_DIS (MXC_V_SPI17Y_CLK_CFG_LO_DIS << MXC_F_SPI17Y_CLK_CFG_LO_POS) /**< CLK_CFG_LO_DIS Setting */ + + #define MXC_F_SPI17Y_CLK_CFG_HI_POS 8 /**< CLK_CFG_HI Position */ + #define MXC_F_SPI17Y_CLK_CFG_HI ((uint32_t)(0xFFUL << MXC_F_SPI17Y_CLK_CFG_HI_POS)) /**< CLK_CFG_HI Mask */ + #define MXC_V_SPI17Y_CLK_CFG_HI_DIS ((uint32_t)0x0UL) /**< CLK_CFG_HI_DIS Value */ + #define MXC_S_SPI17Y_CLK_CFG_HI_DIS (MXC_V_SPI17Y_CLK_CFG_HI_DIS << MXC_F_SPI17Y_CLK_CFG_HI_POS) /**< CLK_CFG_HI_DIS Setting */ + + #define MXC_F_SPI17Y_CLK_CFG_SCALE_POS 16 /**< CLK_CFG_SCALE Position */ + #define MXC_F_SPI17Y_CLK_CFG_SCALE ((uint32_t)(0xFUL << MXC_F_SPI17Y_CLK_CFG_SCALE_POS)) /**< CLK_CFG_SCALE Mask */ + +/**@} end of group SPI17Y_CLK_CFG_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_DMA SPI17Y_DMA + * @brief Register for controlling DMA. + * @{ + */ + #define MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL_POS 0 /**< DMA_TX_FIFO_LEVEL Position */ + #define MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL ((uint32_t)(0x1FUL << MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL_POS)) /**< DMA_TX_FIFO_LEVEL Mask */ + + #define MXC_F_SPI17Y_DMA_TX_FIFO_EN_POS 6 /**< DMA_TX_FIFO_EN Position */ + #define MXC_F_SPI17Y_DMA_TX_FIFO_EN ((uint32_t)(0x1UL << MXC_F_SPI17Y_DMA_TX_FIFO_EN_POS)) /**< DMA_TX_FIFO_EN Mask */ + #define MXC_V_SPI17Y_DMA_TX_FIFO_EN_DIS ((uint32_t)0x0UL) /**< DMA_TX_FIFO_EN_DIS Value */ + #define MXC_S_SPI17Y_DMA_TX_FIFO_EN_DIS (MXC_V_SPI17Y_DMA_TX_FIFO_EN_DIS << MXC_F_SPI17Y_DMA_TX_FIFO_EN_POS) /**< DMA_TX_FIFO_EN_DIS Setting */ + #define MXC_V_SPI17Y_DMA_TX_FIFO_EN_EN ((uint32_t)0x1UL) /**< DMA_TX_FIFO_EN_EN Value */ + #define MXC_S_SPI17Y_DMA_TX_FIFO_EN_EN (MXC_V_SPI17Y_DMA_TX_FIFO_EN_EN << MXC_F_SPI17Y_DMA_TX_FIFO_EN_POS) /**< DMA_TX_FIFO_EN_EN Setting */ + + #define MXC_F_SPI17Y_DMA_TX_FIFO_CLEAR_POS 7 /**< DMA_TX_FIFO_CLEAR Position */ + #define MXC_F_SPI17Y_DMA_TX_FIFO_CLEAR ((uint32_t)(0x1UL << MXC_F_SPI17Y_DMA_TX_FIFO_CLEAR_POS)) /**< DMA_TX_FIFO_CLEAR Mask */ + #define MXC_V_SPI17Y_DMA_TX_FIFO_CLEAR_CLEAR ((uint32_t)0x1UL) /**< DMA_TX_FIFO_CLEAR_CLEAR Value */ + #define MXC_S_SPI17Y_DMA_TX_FIFO_CLEAR_CLEAR (MXC_V_SPI17Y_DMA_TX_FIFO_CLEAR_CLEAR << MXC_F_SPI17Y_DMA_TX_FIFO_CLEAR_POS) /**< DMA_TX_FIFO_CLEAR_CLEAR Setting */ + + #define MXC_F_SPI17Y_DMA_TX_FIFO_CNT_POS 8 /**< DMA_TX_FIFO_CNT Position */ + #define MXC_F_SPI17Y_DMA_TX_FIFO_CNT ((uint32_t)(0x3FUL << MXC_F_SPI17Y_DMA_TX_FIFO_CNT_POS)) /**< DMA_TX_FIFO_CNT Mask */ + + #define MXC_F_SPI17Y_DMA_TX_DMA_EN_POS 15 /**< DMA_TX_DMA_EN Position */ + #define MXC_F_SPI17Y_DMA_TX_DMA_EN ((uint32_t)(0x1UL << MXC_F_SPI17Y_DMA_TX_DMA_EN_POS)) /**< DMA_TX_DMA_EN Mask */ + #define MXC_V_SPI17Y_DMA_TX_DMA_EN_DIS ((uint32_t)0x0UL) /**< DMA_TX_DMA_EN_DIS Value */ + #define MXC_S_SPI17Y_DMA_TX_DMA_EN_DIS (MXC_V_SPI17Y_DMA_TX_DMA_EN_DIS << MXC_F_SPI17Y_DMA_TX_DMA_EN_POS) /**< DMA_TX_DMA_EN_DIS Setting */ + #define MXC_V_SPI17Y_DMA_TX_DMA_EN_EN ((uint32_t)0x1UL) /**< DMA_TX_DMA_EN_EN Value */ + #define MXC_S_SPI17Y_DMA_TX_DMA_EN_EN (MXC_V_SPI17Y_DMA_TX_DMA_EN_EN << MXC_F_SPI17Y_DMA_TX_DMA_EN_POS) /**< DMA_TX_DMA_EN_EN Setting */ + + #define MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL_POS 16 /**< DMA_RX_FIFO_LEVEL Position */ + #define MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL ((uint32_t)(0x1FUL << MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL_POS)) /**< DMA_RX_FIFO_LEVEL Mask */ + + #define MXC_F_SPI17Y_DMA_RX_FIFO_EN_POS 22 /**< DMA_RX_FIFO_EN Position */ + #define MXC_F_SPI17Y_DMA_RX_FIFO_EN ((uint32_t)(0x1UL << MXC_F_SPI17Y_DMA_RX_FIFO_EN_POS)) /**< DMA_RX_FIFO_EN Mask */ + #define MXC_V_SPI17Y_DMA_RX_FIFO_EN_DIS ((uint32_t)0x0UL) /**< DMA_RX_FIFO_EN_DIS Value */ + #define MXC_S_SPI17Y_DMA_RX_FIFO_EN_DIS (MXC_V_SPI17Y_DMA_RX_FIFO_EN_DIS << MXC_F_SPI17Y_DMA_RX_FIFO_EN_POS) /**< DMA_RX_FIFO_EN_DIS Setting */ + #define MXC_V_SPI17Y_DMA_RX_FIFO_EN_EN ((uint32_t)0x1UL) /**< DMA_RX_FIFO_EN_EN Value */ + #define MXC_S_SPI17Y_DMA_RX_FIFO_EN_EN (MXC_V_SPI17Y_DMA_RX_FIFO_EN_EN << MXC_F_SPI17Y_DMA_RX_FIFO_EN_POS) /**< DMA_RX_FIFO_EN_EN Setting */ + + #define MXC_F_SPI17Y_DMA_RX_FIFO_CLEAR_POS 23 /**< DMA_RX_FIFO_CLEAR Position */ + #define MXC_F_SPI17Y_DMA_RX_FIFO_CLEAR ((uint32_t)(0x1UL << MXC_F_SPI17Y_DMA_RX_FIFO_CLEAR_POS)) /**< DMA_RX_FIFO_CLEAR Mask */ + #define MXC_V_SPI17Y_DMA_RX_FIFO_CLEAR_CLEAR ((uint32_t)0x1UL) /**< DMA_RX_FIFO_CLEAR_CLEAR Value */ + #define MXC_S_SPI17Y_DMA_RX_FIFO_CLEAR_CLEAR (MXC_V_SPI17Y_DMA_RX_FIFO_CLEAR_CLEAR << MXC_F_SPI17Y_DMA_RX_FIFO_CLEAR_POS) /**< DMA_RX_FIFO_CLEAR_CLEAR Setting */ + + #define MXC_F_SPI17Y_DMA_RX_FIFO_CNT_POS 24 /**< DMA_RX_FIFO_CNT Position */ + #define MXC_F_SPI17Y_DMA_RX_FIFO_CNT ((uint32_t)(0x3FUL << MXC_F_SPI17Y_DMA_RX_FIFO_CNT_POS)) /**< DMA_RX_FIFO_CNT Mask */ + + #define MXC_F_SPI17Y_DMA_RX_DMA_EN_POS 31 /**< DMA_RX_DMA_EN Position */ + #define MXC_F_SPI17Y_DMA_RX_DMA_EN ((uint32_t)(0x1UL << MXC_F_SPI17Y_DMA_RX_DMA_EN_POS)) /**< DMA_RX_DMA_EN Mask */ + #define MXC_V_SPI17Y_DMA_RX_DMA_EN_DIS ((uint32_t)0x0UL) /**< DMA_RX_DMA_EN_DIS Value */ + #define MXC_S_SPI17Y_DMA_RX_DMA_EN_DIS (MXC_V_SPI17Y_DMA_RX_DMA_EN_DIS << MXC_F_SPI17Y_DMA_RX_DMA_EN_POS) /**< DMA_RX_DMA_EN_DIS Setting */ + #define MXC_V_SPI17Y_DMA_RX_DMA_EN_EN ((uint32_t)0x1UL) /**< DMA_RX_DMA_EN_EN Value */ + #define MXC_S_SPI17Y_DMA_RX_DMA_EN_EN (MXC_V_SPI17Y_DMA_RX_DMA_EN_EN << MXC_F_SPI17Y_DMA_RX_DMA_EN_POS) /**< DMA_RX_DMA_EN_EN Setting */ + +/**@} end of group SPI17Y_DMA_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_INT_FL SPI17Y_INT_FL + * @brief Register for reading and clearing interrupt flags. All bits are write 1 to + * clear. + * @{ + */ + #define MXC_F_SPI17Y_INT_FL_TX_THRESH_POS 0 /**< INT_FL_TX_THRESH Position */ + #define MXC_F_SPI17Y_INT_FL_TX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_TX_THRESH_POS)) /**< INT_FL_TX_THRESH Mask */ + #define MXC_V_SPI17Y_INT_FL_TX_THRESH_CLEAR ((uint32_t)0x1UL) /**< INT_FL_TX_THRESH_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_TX_THRESH_CLEAR (MXC_V_SPI17Y_INT_FL_TX_THRESH_CLEAR << MXC_F_SPI17Y_INT_FL_TX_THRESH_POS) /**< INT_FL_TX_THRESH_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_TX_EMPTY_POS 1 /**< INT_FL_TX_EMPTY Position */ + #define MXC_F_SPI17Y_INT_FL_TX_EMPTY ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_TX_EMPTY_POS)) /**< INT_FL_TX_EMPTY Mask */ + #define MXC_V_SPI17Y_INT_FL_TX_EMPTY_CLEAR ((uint32_t)0x1UL) /**< INT_FL_TX_EMPTY_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_TX_EMPTY_CLEAR (MXC_V_SPI17Y_INT_FL_TX_EMPTY_CLEAR << MXC_F_SPI17Y_INT_FL_TX_EMPTY_POS) /**< INT_FL_TX_EMPTY_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_RX_THRESH_POS 2 /**< INT_FL_RX_THRESH Position */ + #define MXC_F_SPI17Y_INT_FL_RX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_RX_THRESH_POS)) /**< INT_FL_RX_THRESH Mask */ + #define MXC_V_SPI17Y_INT_FL_RX_THRESH_CLEAR ((uint32_t)0x1UL) /**< INT_FL_RX_THRESH_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_RX_THRESH_CLEAR (MXC_V_SPI17Y_INT_FL_RX_THRESH_CLEAR << MXC_F_SPI17Y_INT_FL_RX_THRESH_POS) /**< INT_FL_RX_THRESH_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_RX_FULL_POS 3 /**< INT_FL_RX_FULL Position */ + #define MXC_F_SPI17Y_INT_FL_RX_FULL ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_RX_FULL_POS)) /**< INT_FL_RX_FULL Mask */ + #define MXC_V_SPI17Y_INT_FL_RX_FULL_CLEAR ((uint32_t)0x1UL) /**< INT_FL_RX_FULL_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_RX_FULL_CLEAR (MXC_V_SPI17Y_INT_FL_RX_FULL_CLEAR << MXC_F_SPI17Y_INT_FL_RX_FULL_POS) /**< INT_FL_RX_FULL_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_SSA_POS 4 /**< INT_FL_SSA Position */ + #define MXC_F_SPI17Y_INT_FL_SSA ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_SSA_POS)) /**< INT_FL_SSA Mask */ + #define MXC_V_SPI17Y_INT_FL_SSA_CLEAR ((uint32_t)0x1UL) /**< INT_FL_SSA_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_SSA_CLEAR (MXC_V_SPI17Y_INT_FL_SSA_CLEAR << MXC_F_SPI17Y_INT_FL_SSA_POS) /**< INT_FL_SSA_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_SSD_POS 5 /**< INT_FL_SSD Position */ + #define MXC_F_SPI17Y_INT_FL_SSD ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_SSD_POS)) /**< INT_FL_SSD Mask */ + #define MXC_V_SPI17Y_INT_FL_SSD_CLEAR ((uint32_t)0x1UL) /**< INT_FL_SSD_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_SSD_CLEAR (MXC_V_SPI17Y_INT_FL_SSD_CLEAR << MXC_F_SPI17Y_INT_FL_SSD_POS) /**< INT_FL_SSD_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_FAULT_POS 8 /**< INT_FL_FAULT Position */ + #define MXC_F_SPI17Y_INT_FL_FAULT ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_FAULT_POS)) /**< INT_FL_FAULT Mask */ + #define MXC_V_SPI17Y_INT_FL_FAULT_CLEAR ((uint32_t)0x1UL) /**< INT_FL_FAULT_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_FAULT_CLEAR (MXC_V_SPI17Y_INT_FL_FAULT_CLEAR << MXC_F_SPI17Y_INT_FL_FAULT_POS) /**< INT_FL_FAULT_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_ABORT_POS 9 /**< INT_FL_ABORT Position */ + #define MXC_F_SPI17Y_INT_FL_ABORT ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_ABORT_POS)) /**< INT_FL_ABORT Mask */ + #define MXC_V_SPI17Y_INT_FL_ABORT_CLEAR ((uint32_t)0x1UL) /**< INT_FL_ABORT_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_ABORT_CLEAR (MXC_V_SPI17Y_INT_FL_ABORT_CLEAR << MXC_F_SPI17Y_INT_FL_ABORT_POS) /**< INT_FL_ABORT_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_M_DONE_POS 11 /**< INT_FL_M_DONE Position */ + #define MXC_F_SPI17Y_INT_FL_M_DONE ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_M_DONE_POS)) /**< INT_FL_M_DONE Mask */ + #define MXC_V_SPI17Y_INT_FL_M_DONE_CLEAR ((uint32_t)0x1UL) /**< INT_FL_M_DONE_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_M_DONE_CLEAR (MXC_V_SPI17Y_INT_FL_M_DONE_CLEAR << MXC_F_SPI17Y_INT_FL_M_DONE_POS) /**< INT_FL_M_DONE_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_TX_OVR_POS 12 /**< INT_FL_TX_OVR Position */ + #define MXC_F_SPI17Y_INT_FL_TX_OVR ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_TX_OVR_POS)) /**< INT_FL_TX_OVR Mask */ + #define MXC_V_SPI17Y_INT_FL_TX_OVR_CLEAR ((uint32_t)0x1UL) /**< INT_FL_TX_OVR_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_TX_OVR_CLEAR (MXC_V_SPI17Y_INT_FL_TX_OVR_CLEAR << MXC_F_SPI17Y_INT_FL_TX_OVR_POS) /**< INT_FL_TX_OVR_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_TX_UND_POS 13 /**< INT_FL_TX_UND Position */ + #define MXC_F_SPI17Y_INT_FL_TX_UND ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_TX_UND_POS)) /**< INT_FL_TX_UND Mask */ + #define MXC_V_SPI17Y_INT_FL_TX_UND_CLEAR ((uint32_t)0x1UL) /**< INT_FL_TX_UND_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_TX_UND_CLEAR (MXC_V_SPI17Y_INT_FL_TX_UND_CLEAR << MXC_F_SPI17Y_INT_FL_TX_UND_POS) /**< INT_FL_TX_UND_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_RX_OVR_POS 14 /**< INT_FL_RX_OVR Position */ + #define MXC_F_SPI17Y_INT_FL_RX_OVR ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_RX_OVR_POS)) /**< INT_FL_RX_OVR Mask */ + #define MXC_V_SPI17Y_INT_FL_RX_OVR_CLEAR ((uint32_t)0x1UL) /**< INT_FL_RX_OVR_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_RX_OVR_CLEAR (MXC_V_SPI17Y_INT_FL_RX_OVR_CLEAR << MXC_F_SPI17Y_INT_FL_RX_OVR_POS) /**< INT_FL_RX_OVR_CLEAR Setting */ + + #define MXC_F_SPI17Y_INT_FL_RX_UND_POS 15 /**< INT_FL_RX_UND Position */ + #define MXC_F_SPI17Y_INT_FL_RX_UND ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_FL_RX_UND_POS)) /**< INT_FL_RX_UND Mask */ + #define MXC_V_SPI17Y_INT_FL_RX_UND_CLEAR ((uint32_t)0x1UL) /**< INT_FL_RX_UND_CLEAR Value */ + #define MXC_S_SPI17Y_INT_FL_RX_UND_CLEAR (MXC_V_SPI17Y_INT_FL_RX_UND_CLEAR << MXC_F_SPI17Y_INT_FL_RX_UND_POS) /**< INT_FL_RX_UND_CLEAR Setting */ + +/**@} end of group SPI17Y_INT_FL_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_INT_EN SPI17Y_INT_EN + * @brief Register for enabling interrupts. + * @{ + */ + #define MXC_F_SPI17Y_INT_EN_TX_THRESH_POS 0 /**< INT_EN_TX_THRESH Position */ + #define MXC_F_SPI17Y_INT_EN_TX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_TX_THRESH_POS)) /**< INT_EN_TX_THRESH Mask */ + #define MXC_V_SPI17Y_INT_EN_TX_THRESH_DIS ((uint32_t)0x0UL) /**< INT_EN_TX_THRESH_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_TX_THRESH_DIS (MXC_V_SPI17Y_INT_EN_TX_THRESH_DIS << MXC_F_SPI17Y_INT_EN_TX_THRESH_POS) /**< INT_EN_TX_THRESH_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_TX_THRESH_EN ((uint32_t)0x1UL) /**< INT_EN_TX_THRESH_EN Value */ + #define MXC_S_SPI17Y_INT_EN_TX_THRESH_EN (MXC_V_SPI17Y_INT_EN_TX_THRESH_EN << MXC_F_SPI17Y_INT_EN_TX_THRESH_POS) /**< INT_EN_TX_THRESH_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_TX_EMPTY_POS 1 /**< INT_EN_TX_EMPTY Position */ + #define MXC_F_SPI17Y_INT_EN_TX_EMPTY ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_TX_EMPTY_POS)) /**< INT_EN_TX_EMPTY Mask */ + #define MXC_V_SPI17Y_INT_EN_TX_EMPTY_DIS ((uint32_t)0x0UL) /**< INT_EN_TX_EMPTY_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_TX_EMPTY_DIS (MXC_V_SPI17Y_INT_EN_TX_EMPTY_DIS << MXC_F_SPI17Y_INT_EN_TX_EMPTY_POS) /**< INT_EN_TX_EMPTY_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_TX_EMPTY_EN ((uint32_t)0x1UL) /**< INT_EN_TX_EMPTY_EN Value */ + #define MXC_S_SPI17Y_INT_EN_TX_EMPTY_EN (MXC_V_SPI17Y_INT_EN_TX_EMPTY_EN << MXC_F_SPI17Y_INT_EN_TX_EMPTY_POS) /**< INT_EN_TX_EMPTY_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_RX_THRESH_POS 2 /**< INT_EN_RX_THRESH Position */ + #define MXC_F_SPI17Y_INT_EN_RX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_RX_THRESH_POS)) /**< INT_EN_RX_THRESH Mask */ + #define MXC_V_SPI17Y_INT_EN_RX_THRESH_DIS ((uint32_t)0x0UL) /**< INT_EN_RX_THRESH_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_RX_THRESH_DIS (MXC_V_SPI17Y_INT_EN_RX_THRESH_DIS << MXC_F_SPI17Y_INT_EN_RX_THRESH_POS) /**< INT_EN_RX_THRESH_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_RX_THRESH_EN ((uint32_t)0x1UL) /**< INT_EN_RX_THRESH_EN Value */ + #define MXC_S_SPI17Y_INT_EN_RX_THRESH_EN (MXC_V_SPI17Y_INT_EN_RX_THRESH_EN << MXC_F_SPI17Y_INT_EN_RX_THRESH_POS) /**< INT_EN_RX_THRESH_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_RX_FULL_POS 3 /**< INT_EN_RX_FULL Position */ + #define MXC_F_SPI17Y_INT_EN_RX_FULL ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_RX_FULL_POS)) /**< INT_EN_RX_FULL Mask */ + #define MXC_V_SPI17Y_INT_EN_RX_FULL_DIS ((uint32_t)0x0UL) /**< INT_EN_RX_FULL_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_RX_FULL_DIS (MXC_V_SPI17Y_INT_EN_RX_FULL_DIS << MXC_F_SPI17Y_INT_EN_RX_FULL_POS) /**< INT_EN_RX_FULL_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_RX_FULL_EN ((uint32_t)0x1UL) /**< INT_EN_RX_FULL_EN Value */ + #define MXC_S_SPI17Y_INT_EN_RX_FULL_EN (MXC_V_SPI17Y_INT_EN_RX_FULL_EN << MXC_F_SPI17Y_INT_EN_RX_FULL_POS) /**< INT_EN_RX_FULL_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_SSA_POS 4 /**< INT_EN_SSA Position */ + #define MXC_F_SPI17Y_INT_EN_SSA ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_SSA_POS)) /**< INT_EN_SSA Mask */ + #define MXC_V_SPI17Y_INT_EN_SSA_DIS ((uint32_t)0x0UL) /**< INT_EN_SSA_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_SSA_DIS (MXC_V_SPI17Y_INT_EN_SSA_DIS << MXC_F_SPI17Y_INT_EN_SSA_POS) /**< INT_EN_SSA_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_SSA_EN ((uint32_t)0x1UL) /**< INT_EN_SSA_EN Value */ + #define MXC_S_SPI17Y_INT_EN_SSA_EN (MXC_V_SPI17Y_INT_EN_SSA_EN << MXC_F_SPI17Y_INT_EN_SSA_POS) /**< INT_EN_SSA_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_SSD_POS 5 /**< INT_EN_SSD Position */ + #define MXC_F_SPI17Y_INT_EN_SSD ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_SSD_POS)) /**< INT_EN_SSD Mask */ + #define MXC_V_SPI17Y_INT_EN_SSD_DIS ((uint32_t)0x0UL) /**< INT_EN_SSD_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_SSD_DIS (MXC_V_SPI17Y_INT_EN_SSD_DIS << MXC_F_SPI17Y_INT_EN_SSD_POS) /**< INT_EN_SSD_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_SSD_EN ((uint32_t)0x1UL) /**< INT_EN_SSD_EN Value */ + #define MXC_S_SPI17Y_INT_EN_SSD_EN (MXC_V_SPI17Y_INT_EN_SSD_EN << MXC_F_SPI17Y_INT_EN_SSD_POS) /**< INT_EN_SSD_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_FAULT_POS 8 /**< INT_EN_FAULT Position */ + #define MXC_F_SPI17Y_INT_EN_FAULT ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_FAULT_POS)) /**< INT_EN_FAULT Mask */ + #define MXC_V_SPI17Y_INT_EN_FAULT_DIS ((uint32_t)0x0UL) /**< INT_EN_FAULT_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_FAULT_DIS (MXC_V_SPI17Y_INT_EN_FAULT_DIS << MXC_F_SPI17Y_INT_EN_FAULT_POS) /**< INT_EN_FAULT_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_FAULT_EN ((uint32_t)0x1UL) /**< INT_EN_FAULT_EN Value */ + #define MXC_S_SPI17Y_INT_EN_FAULT_EN (MXC_V_SPI17Y_INT_EN_FAULT_EN << MXC_F_SPI17Y_INT_EN_FAULT_POS) /**< INT_EN_FAULT_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_ABORT_POS 9 /**< INT_EN_ABORT Position */ + #define MXC_F_SPI17Y_INT_EN_ABORT ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_ABORT_POS)) /**< INT_EN_ABORT Mask */ + #define MXC_V_SPI17Y_INT_EN_ABORT_DIS ((uint32_t)0x0UL) /**< INT_EN_ABORT_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_ABORT_DIS (MXC_V_SPI17Y_INT_EN_ABORT_DIS << MXC_F_SPI17Y_INT_EN_ABORT_POS) /**< INT_EN_ABORT_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_ABORT_EN ((uint32_t)0x1UL) /**< INT_EN_ABORT_EN Value */ + #define MXC_S_SPI17Y_INT_EN_ABORT_EN (MXC_V_SPI17Y_INT_EN_ABORT_EN << MXC_F_SPI17Y_INT_EN_ABORT_POS) /**< INT_EN_ABORT_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_M_DONE_POS 11 /**< INT_EN_M_DONE Position */ + #define MXC_F_SPI17Y_INT_EN_M_DONE ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_M_DONE_POS)) /**< INT_EN_M_DONE Mask */ + #define MXC_V_SPI17Y_INT_EN_M_DONE_DIS ((uint32_t)0x0UL) /**< INT_EN_M_DONE_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_M_DONE_DIS (MXC_V_SPI17Y_INT_EN_M_DONE_DIS << MXC_F_SPI17Y_INT_EN_M_DONE_POS) /**< INT_EN_M_DONE_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_M_DONE_EN ((uint32_t)0x1UL) /**< INT_EN_M_DONE_EN Value */ + #define MXC_S_SPI17Y_INT_EN_M_DONE_EN (MXC_V_SPI17Y_INT_EN_M_DONE_EN << MXC_F_SPI17Y_INT_EN_M_DONE_POS) /**< INT_EN_M_DONE_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_TX_OVR_POS 12 /**< INT_EN_TX_OVR Position */ + #define MXC_F_SPI17Y_INT_EN_TX_OVR ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_TX_OVR_POS)) /**< INT_EN_TX_OVR Mask */ + #define MXC_V_SPI17Y_INT_EN_TX_OVR_DIS ((uint32_t)0x0UL) /**< INT_EN_TX_OVR_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_TX_OVR_DIS (MXC_V_SPI17Y_INT_EN_TX_OVR_DIS << MXC_F_SPI17Y_INT_EN_TX_OVR_POS) /**< INT_EN_TX_OVR_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_TX_OVR_EN ((uint32_t)0x1UL) /**< INT_EN_TX_OVR_EN Value */ + #define MXC_S_SPI17Y_INT_EN_TX_OVR_EN (MXC_V_SPI17Y_INT_EN_TX_OVR_EN << MXC_F_SPI17Y_INT_EN_TX_OVR_POS) /**< INT_EN_TX_OVR_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_TX_UND_POS 13 /**< INT_EN_TX_UND Position */ + #define MXC_F_SPI17Y_INT_EN_TX_UND ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_TX_UND_POS)) /**< INT_EN_TX_UND Mask */ + #define MXC_V_SPI17Y_INT_EN_TX_UND_DIS ((uint32_t)0x0UL) /**< INT_EN_TX_UND_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_TX_UND_DIS (MXC_V_SPI17Y_INT_EN_TX_UND_DIS << MXC_F_SPI17Y_INT_EN_TX_UND_POS) /**< INT_EN_TX_UND_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_TX_UND_EN ((uint32_t)0x1UL) /**< INT_EN_TX_UND_EN Value */ + #define MXC_S_SPI17Y_INT_EN_TX_UND_EN (MXC_V_SPI17Y_INT_EN_TX_UND_EN << MXC_F_SPI17Y_INT_EN_TX_UND_POS) /**< INT_EN_TX_UND_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_RX_OVR_POS 14 /**< INT_EN_RX_OVR Position */ + #define MXC_F_SPI17Y_INT_EN_RX_OVR ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_RX_OVR_POS)) /**< INT_EN_RX_OVR Mask */ + #define MXC_V_SPI17Y_INT_EN_RX_OVR_DIS ((uint32_t)0x0UL) /**< INT_EN_RX_OVR_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_RX_OVR_DIS (MXC_V_SPI17Y_INT_EN_RX_OVR_DIS << MXC_F_SPI17Y_INT_EN_RX_OVR_POS) /**< INT_EN_RX_OVR_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_RX_OVR_EN ((uint32_t)0x1UL) /**< INT_EN_RX_OVR_EN Value */ + #define MXC_S_SPI17Y_INT_EN_RX_OVR_EN (MXC_V_SPI17Y_INT_EN_RX_OVR_EN << MXC_F_SPI17Y_INT_EN_RX_OVR_POS) /**< INT_EN_RX_OVR_EN Setting */ + + #define MXC_F_SPI17Y_INT_EN_RX_UND_POS 15 /**< INT_EN_RX_UND Position */ + #define MXC_F_SPI17Y_INT_EN_RX_UND ((uint32_t)(0x1UL << MXC_F_SPI17Y_INT_EN_RX_UND_POS)) /**< INT_EN_RX_UND Mask */ + #define MXC_V_SPI17Y_INT_EN_RX_UND_DIS ((uint32_t)0x0UL) /**< INT_EN_RX_UND_DIS Value */ + #define MXC_S_SPI17Y_INT_EN_RX_UND_DIS (MXC_V_SPI17Y_INT_EN_RX_UND_DIS << MXC_F_SPI17Y_INT_EN_RX_UND_POS) /**< INT_EN_RX_UND_DIS Setting */ + #define MXC_V_SPI17Y_INT_EN_RX_UND_EN ((uint32_t)0x1UL) /**< INT_EN_RX_UND_EN Value */ + #define MXC_S_SPI17Y_INT_EN_RX_UND_EN (MXC_V_SPI17Y_INT_EN_RX_UND_EN << MXC_F_SPI17Y_INT_EN_RX_UND_POS) /**< INT_EN_RX_UND_EN Setting */ + +/**@} end of group SPI17Y_INT_EN_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_WAKE_FL SPI17Y_WAKE_FL + * @brief Register for wake up flags. All bits in this register are write 1 to clear. + * @{ + */ + #define MXC_F_SPI17Y_WAKE_FL_TX_THRESH_POS 0 /**< WAKE_FL_TX_THRESH Position */ + #define MXC_F_SPI17Y_WAKE_FL_TX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_FL_TX_THRESH_POS)) /**< WAKE_FL_TX_THRESH Mask */ + #define MXC_V_SPI17Y_WAKE_FL_TX_THRESH_CLEAR ((uint32_t)0x1UL) /**< WAKE_FL_TX_THRESH_CLEAR Value */ + #define MXC_S_SPI17Y_WAKE_FL_TX_THRESH_CLEAR (MXC_V_SPI17Y_WAKE_FL_TX_THRESH_CLEAR << MXC_F_SPI17Y_WAKE_FL_TX_THRESH_POS) /**< WAKE_FL_TX_THRESH_CLEAR Setting */ + + #define MXC_F_SPI17Y_WAKE_FL_TX_EMPTY_POS 1 /**< WAKE_FL_TX_EMPTY Position */ + #define MXC_F_SPI17Y_WAKE_FL_TX_EMPTY ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_FL_TX_EMPTY_POS)) /**< WAKE_FL_TX_EMPTY Mask */ + #define MXC_V_SPI17Y_WAKE_FL_TX_EMPTY_CLEAR ((uint32_t)0x1UL) /**< WAKE_FL_TX_EMPTY_CLEAR Value */ + #define MXC_S_SPI17Y_WAKE_FL_TX_EMPTY_CLEAR (MXC_V_SPI17Y_WAKE_FL_TX_EMPTY_CLEAR << MXC_F_SPI17Y_WAKE_FL_TX_EMPTY_POS) /**< WAKE_FL_TX_EMPTY_CLEAR Setting */ + + #define MXC_F_SPI17Y_WAKE_FL_RX_THRESH_POS 2 /**< WAKE_FL_RX_THRESH Position */ + #define MXC_F_SPI17Y_WAKE_FL_RX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_FL_RX_THRESH_POS)) /**< WAKE_FL_RX_THRESH Mask */ + #define MXC_V_SPI17Y_WAKE_FL_RX_THRESH_CLEAR ((uint32_t)0x1UL) /**< WAKE_FL_RX_THRESH_CLEAR Value */ + #define MXC_S_SPI17Y_WAKE_FL_RX_THRESH_CLEAR (MXC_V_SPI17Y_WAKE_FL_RX_THRESH_CLEAR << MXC_F_SPI17Y_WAKE_FL_RX_THRESH_POS) /**< WAKE_FL_RX_THRESH_CLEAR Setting */ + + #define MXC_F_SPI17Y_WAKE_FL_RX_FULL_POS 3 /**< WAKE_FL_RX_FULL Position */ + #define MXC_F_SPI17Y_WAKE_FL_RX_FULL ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_FL_RX_FULL_POS)) /**< WAKE_FL_RX_FULL Mask */ + #define MXC_V_SPI17Y_WAKE_FL_RX_FULL_CLEAR ((uint32_t)0x1UL) /**< WAKE_FL_RX_FULL_CLEAR Value */ + #define MXC_S_SPI17Y_WAKE_FL_RX_FULL_CLEAR (MXC_V_SPI17Y_WAKE_FL_RX_FULL_CLEAR << MXC_F_SPI17Y_WAKE_FL_RX_FULL_POS) /**< WAKE_FL_RX_FULL_CLEAR Setting */ + +/**@} end of group SPI17Y_WAKE_FL_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_WAKE_EN SPI17Y_WAKE_EN + * @brief Register for wake up enable. + * @{ + */ + #define MXC_F_SPI17Y_WAKE_EN_TX_THRESH_POS 0 /**< WAKE_EN_TX_THRESH Position */ + #define MXC_F_SPI17Y_WAKE_EN_TX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_EN_TX_THRESH_POS)) /**< WAKE_EN_TX_THRESH Mask */ + #define MXC_V_SPI17Y_WAKE_EN_TX_THRESH_DIS ((uint32_t)0x0UL) /**< WAKE_EN_TX_THRESH_DIS Value */ + #define MXC_S_SPI17Y_WAKE_EN_TX_THRESH_DIS (MXC_V_SPI17Y_WAKE_EN_TX_THRESH_DIS << MXC_F_SPI17Y_WAKE_EN_TX_THRESH_POS) /**< WAKE_EN_TX_THRESH_DIS Setting */ + #define MXC_V_SPI17Y_WAKE_EN_TX_THRESH_EN ((uint32_t)0x1UL) /**< WAKE_EN_TX_THRESH_EN Value */ + #define MXC_S_SPI17Y_WAKE_EN_TX_THRESH_EN (MXC_V_SPI17Y_WAKE_EN_TX_THRESH_EN << MXC_F_SPI17Y_WAKE_EN_TX_THRESH_POS) /**< WAKE_EN_TX_THRESH_EN Setting */ + + #define MXC_F_SPI17Y_WAKE_EN_TX_EMPTY_POS 1 /**< WAKE_EN_TX_EMPTY Position */ + #define MXC_F_SPI17Y_WAKE_EN_TX_EMPTY ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_EN_TX_EMPTY_POS)) /**< WAKE_EN_TX_EMPTY Mask */ + #define MXC_V_SPI17Y_WAKE_EN_TX_EMPTY_DIS ((uint32_t)0x0UL) /**< WAKE_EN_TX_EMPTY_DIS Value */ + #define MXC_S_SPI17Y_WAKE_EN_TX_EMPTY_DIS (MXC_V_SPI17Y_WAKE_EN_TX_EMPTY_DIS << MXC_F_SPI17Y_WAKE_EN_TX_EMPTY_POS) /**< WAKE_EN_TX_EMPTY_DIS Setting */ + #define MXC_V_SPI17Y_WAKE_EN_TX_EMPTY_EN ((uint32_t)0x1UL) /**< WAKE_EN_TX_EMPTY_EN Value */ + #define MXC_S_SPI17Y_WAKE_EN_TX_EMPTY_EN (MXC_V_SPI17Y_WAKE_EN_TX_EMPTY_EN << MXC_F_SPI17Y_WAKE_EN_TX_EMPTY_POS) /**< WAKE_EN_TX_EMPTY_EN Setting */ + + #define MXC_F_SPI17Y_WAKE_EN_RX_THRESH_POS 2 /**< WAKE_EN_RX_THRESH Position */ + #define MXC_F_SPI17Y_WAKE_EN_RX_THRESH ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_EN_RX_THRESH_POS)) /**< WAKE_EN_RX_THRESH Mask */ + #define MXC_V_SPI17Y_WAKE_EN_RX_THRESH_DIS ((uint32_t)0x0UL) /**< WAKE_EN_RX_THRESH_DIS Value */ + #define MXC_S_SPI17Y_WAKE_EN_RX_THRESH_DIS (MXC_V_SPI17Y_WAKE_EN_RX_THRESH_DIS << MXC_F_SPI17Y_WAKE_EN_RX_THRESH_POS) /**< WAKE_EN_RX_THRESH_DIS Setting */ + #define MXC_V_SPI17Y_WAKE_EN_RX_THRESH_EN ((uint32_t)0x1UL) /**< WAKE_EN_RX_THRESH_EN Value */ + #define MXC_S_SPI17Y_WAKE_EN_RX_THRESH_EN (MXC_V_SPI17Y_WAKE_EN_RX_THRESH_EN << MXC_F_SPI17Y_WAKE_EN_RX_THRESH_POS) /**< WAKE_EN_RX_THRESH_EN Setting */ + + #define MXC_F_SPI17Y_WAKE_EN_RX_FULL_POS 3 /**< WAKE_EN_RX_FULL Position */ + #define MXC_F_SPI17Y_WAKE_EN_RX_FULL ((uint32_t)(0x1UL << MXC_F_SPI17Y_WAKE_EN_RX_FULL_POS)) /**< WAKE_EN_RX_FULL Mask */ + #define MXC_V_SPI17Y_WAKE_EN_RX_FULL_DIS ((uint32_t)0x0UL) /**< WAKE_EN_RX_FULL_DIS Value */ + #define MXC_S_SPI17Y_WAKE_EN_RX_FULL_DIS (MXC_V_SPI17Y_WAKE_EN_RX_FULL_DIS << MXC_F_SPI17Y_WAKE_EN_RX_FULL_POS) /**< WAKE_EN_RX_FULL_DIS Setting */ + #define MXC_V_SPI17Y_WAKE_EN_RX_FULL_EN ((uint32_t)0x1UL) /**< WAKE_EN_RX_FULL_EN Value */ + #define MXC_S_SPI17Y_WAKE_EN_RX_FULL_EN (MXC_V_SPI17Y_WAKE_EN_RX_FULL_EN << MXC_F_SPI17Y_WAKE_EN_RX_FULL_POS) /**< WAKE_EN_RX_FULL_EN Setting */ + +/**@} end of group SPI17Y_WAKE_EN_Register */ + +/** + * @ingroup spi17y_registers + * @defgroup SPI17Y_STAT SPI17Y_STAT + * @brief SPI Status register. + * @{ + */ + #define MXC_F_SPI17Y_STAT_BUSY_POS 0 /**< STAT_BUSY Position */ + #define MXC_F_SPI17Y_STAT_BUSY ((uint32_t)(0x1UL << MXC_F_SPI17Y_STAT_BUSY_POS)) /**< STAT_BUSY Mask */ + #define MXC_V_SPI17Y_STAT_BUSY_NOT ((uint32_t)0x0UL) /**< STAT_BUSY_NOT Value */ + #define MXC_S_SPI17Y_STAT_BUSY_NOT (MXC_V_SPI17Y_STAT_BUSY_NOT << MXC_F_SPI17Y_STAT_BUSY_POS) /**< STAT_BUSY_NOT Setting */ + #define MXC_V_SPI17Y_STAT_BUSY_ACTIVE ((uint32_t)0x1UL) /**< STAT_BUSY_ACTIVE Value */ + #define MXC_S_SPI17Y_STAT_BUSY_ACTIVE (MXC_V_SPI17Y_STAT_BUSY_ACTIVE << MXC_F_SPI17Y_STAT_BUSY_POS) /**< STAT_BUSY_ACTIVE Setting */ + +/**@} end of group SPI17Y_STAT_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SPI17Y_REGS_H_ */ diff --git a/Firmware/SDK/Device/spimss_regs.h b/Firmware/SDK/Device/spimss_regs.h new file mode 100644 index 0000000..44ea80f --- /dev/null +++ b/Firmware/SDK/Device/spimss_regs.h @@ -0,0 +1,496 @@ +/** + * @file spimss_regs.h + * @brief Registers, Bit Masks and Bit Positions for the SPIMSS Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _SPIMSS_REGS_H_ +#define _SPIMSS_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup spimss + * @defgroup spimss_registers SPIMSS_Registers + * @brief Registers, Bit Masks and Bit Positions for the SPIMSS Peripheral Module. + * @details Serial Peripheral Interface. + */ + +/** + * @ingroup spimss_registers + * Structure type to access the SPIMSS Registers. + */ +typedef struct { + union{ + __IO uint16_t data16; /**< \b 0x00: SPIMSS DATA16 Register */ + __IO uint8_t data8[2]; /**< \b 0x00: SPIMSS DATA8 Register */ + }; + __R uint16_t rsv_0x2; + __IO uint32_t ctrl; /**< \b 0x04: SPIMSS CTRL Register */ + __IO uint32_t status; /**< \b 0x08: SPIMSS STATUS Register */ + __IO uint32_t mod; /**< \b 0x0C: SPIMSS MOD Register */ + __R uint32_t rsv_0x10; + __IO uint32_t brg; /**< \b 0x14: SPIMSS BRG Register */ + __IO uint32_t dma; /**< \b 0x18: SPIMSS DMA Register */ + __IO uint32_t i2s_ctrl; /**< \b 0x1C: SPIMSS I2S_CTRL Register */ +} mxc_spimss_regs_t; + +/* Register offsets for module SPIMSS */ +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_Register_Offsets Register Offsets + * @brief SPIMSS Peripheral Register Offsets from the SPIMSS Base Peripheral Address. + * @{ + */ + #define MXC_R_SPIMSS_DATA16 ((uint32_t)0x00000000UL) /**< Offset from SPIMSS Base Address: 0x0000 */ + #define MXC_R_SPIMSS_DATA8 ((uint32_t)0x00000000UL) /**< Offset from SPIMSS Base Address: 0x0000 */ + #define MXC_R_SPIMSS_CTRL ((uint32_t)0x00000004UL) /**< Offset from SPIMSS Base Address: 0x0004 */ + #define MXC_R_SPIMSS_STATUS ((uint32_t)0x00000008UL) /**< Offset from SPIMSS Base Address: 0x0008 */ + #define MXC_R_SPIMSS_MOD ((uint32_t)0x0000000CUL) /**< Offset from SPIMSS Base Address: 0x000C */ + #define MXC_R_SPIMSS_BRG ((uint32_t)0x00000014UL) /**< Offset from SPIMSS Base Address: 0x0014 */ + #define MXC_R_SPIMSS_DMA ((uint32_t)0x00000018UL) /**< Offset from SPIMSS Base Address: 0x0018 */ + #define MXC_R_SPIMSS_I2S_CTRL ((uint32_t)0x0000001CUL) /**< Offset from SPIMSS Base Address: 0x001C */ +/**@} end of group spimss_registers */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_DATA16 SPIMSS_DATA16 + * @brief SPI 16-bit Data Access + * @{ + */ + #define MXC_F_SPIMSS_DATA16_DATA_POS 0 /**< DATA16_DATA Position */ + #define MXC_F_SPIMSS_DATA16_DATA ((uint16_t)(0xFFFFUL << MXC_F_SPIMSS_DATA16_DATA_POS)) /**< DATA16_DATA Mask */ + +/**@} end of group SPIMSS_DATA16_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_DATA8 SPIMSS_DATA8 + * @brief SPI Data 8-bit access + * @{ + */ + #define MXC_F_SPIMSS_DATA8_DATA_POS 0 /**< DATA8_DATA Position */ + #define MXC_F_SPIMSS_DATA8_DATA ((uint8_t)(0xFFUL << MXC_F_SPIMSS_DATA8_DATA_POS)) /**< DATA8_DATA Mask */ + +/**@} end of group SPIMSS_DATA8_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_CTRL SPIMSS_CTRL + * @brief SPI Control Register. + * @{ + */ + #define MXC_F_SPIMSS_CTRL_SPIEN_POS 0 /**< CTRL_SPIEN Position */ + #define MXC_F_SPIMSS_CTRL_SPIEN ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_SPIEN_POS)) /**< CTRL_SPIEN Mask */ + #define MXC_V_SPIMSS_CTRL_SPIEN_DISABLE ((uint32_t)0x0UL) /**< CTRL_SPIEN_DISABLE Value */ + #define MXC_S_SPIMSS_CTRL_SPIEN_DISABLE (MXC_V_SPIMSS_CTRL_SPIEN_DISABLE << MXC_F_SPIMSS_CTRL_SPIEN_POS) /**< CTRL_SPIEN_DISABLE Setting */ + #define MXC_V_SPIMSS_CTRL_SPIEN_ENABLE ((uint32_t)0x1UL) /**< CTRL_SPIEN_ENABLE Value */ + #define MXC_S_SPIMSS_CTRL_SPIEN_ENABLE (MXC_V_SPIMSS_CTRL_SPIEN_ENABLE << MXC_F_SPIMSS_CTRL_SPIEN_POS) /**< CTRL_SPIEN_ENABLE Setting */ + + #define MXC_F_SPIMSS_CTRL_MMEN_POS 1 /**< CTRL_MMEN Position */ + #define MXC_F_SPIMSS_CTRL_MMEN ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_MMEN_POS)) /**< CTRL_MMEN Mask */ + #define MXC_V_SPIMSS_CTRL_MMEN_SLAVE ((uint32_t)0x0UL) /**< CTRL_MMEN_SLAVE Value */ + #define MXC_S_SPIMSS_CTRL_MMEN_SLAVE (MXC_V_SPIMSS_CTRL_MMEN_SLAVE << MXC_F_SPIMSS_CTRL_MMEN_POS) /**< CTRL_MMEN_SLAVE Setting */ + #define MXC_V_SPIMSS_CTRL_MMEN_MASTER ((uint32_t)0x1UL) /**< CTRL_MMEN_MASTER Value */ + #define MXC_S_SPIMSS_CTRL_MMEN_MASTER (MXC_V_SPIMSS_CTRL_MMEN_MASTER << MXC_F_SPIMSS_CTRL_MMEN_POS) /**< CTRL_MMEN_MASTER Setting */ + + #define MXC_F_SPIMSS_CTRL_WOR_POS 2 /**< CTRL_WOR Position */ + #define MXC_F_SPIMSS_CTRL_WOR ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_WOR_POS)) /**< CTRL_WOR Mask */ + #define MXC_V_SPIMSS_CTRL_WOR_DISABLE ((uint32_t)0x0UL) /**< CTRL_WOR_DISABLE Value */ + #define MXC_S_SPIMSS_CTRL_WOR_DISABLE (MXC_V_SPIMSS_CTRL_WOR_DISABLE << MXC_F_SPIMSS_CTRL_WOR_POS) /**< CTRL_WOR_DISABLE Setting */ + #define MXC_V_SPIMSS_CTRL_WOR_ENABLE ((uint32_t)0x1UL) /**< CTRL_WOR_ENABLE Value */ + #define MXC_S_SPIMSS_CTRL_WOR_ENABLE (MXC_V_SPIMSS_CTRL_WOR_ENABLE << MXC_F_SPIMSS_CTRL_WOR_POS) /**< CTRL_WOR_ENABLE Setting */ + + #define MXC_F_SPIMSS_CTRL_CLKPOL_POS 3 /**< CTRL_CLKPOL Position */ + #define MXC_F_SPIMSS_CTRL_CLKPOL ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_CLKPOL_POS)) /**< CTRL_CLKPOL Mask */ + #define MXC_V_SPIMSS_CTRL_CLKPOL_IDLELO ((uint32_t)0x0UL) /**< CTRL_CLKPOL_IDLELO Value */ + #define MXC_S_SPIMSS_CTRL_CLKPOL_IDLELO (MXC_V_SPIMSS_CTRL_CLKPOL_IDLELO << MXC_F_SPIMSS_CTRL_CLKPOL_POS) /**< CTRL_CLKPOL_IDLELO Setting */ + #define MXC_V_SPIMSS_CTRL_CLKPOL_IDLEHI ((uint32_t)0x1UL) /**< CTRL_CLKPOL_IDLEHI Value */ + #define MXC_S_SPIMSS_CTRL_CLKPOL_IDLEHI (MXC_V_SPIMSS_CTRL_CLKPOL_IDLEHI << MXC_F_SPIMSS_CTRL_CLKPOL_POS) /**< CTRL_CLKPOL_IDLEHI Setting */ + + #define MXC_F_SPIMSS_CTRL_PHASE_POS 4 /**< CTRL_PHASE Position */ + #define MXC_F_SPIMSS_CTRL_PHASE ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_PHASE_POS)) /**< CTRL_PHASE Mask */ + #define MXC_V_SPIMSS_CTRL_PHASE_ACTIVEEDGE ((uint32_t)0x0UL) /**< CTRL_PHASE_ACTIVEEDGE Value */ + #define MXC_S_SPIMSS_CTRL_PHASE_ACTIVEEDGE (MXC_V_SPIMSS_CTRL_PHASE_ACTIVEEDGE << MXC_F_SPIMSS_CTRL_PHASE_POS) /**< CTRL_PHASE_ACTIVEEDGE Setting */ + #define MXC_V_SPIMSS_CTRL_PHASE_INACTIVEEDGE ((uint32_t)0x1UL) /**< CTRL_PHASE_INACTIVEEDGE Value */ + #define MXC_S_SPIMSS_CTRL_PHASE_INACTIVEEDGE (MXC_V_SPIMSS_CTRL_PHASE_INACTIVEEDGE << MXC_F_SPIMSS_CTRL_PHASE_POS) /**< CTRL_PHASE_INACTIVEEDGE Setting */ + + #define MXC_F_SPIMSS_CTRL_BIRQ_POS 5 /**< CTRL_BIRQ Position */ + #define MXC_F_SPIMSS_CTRL_BIRQ ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_BIRQ_POS)) /**< CTRL_BIRQ Mask */ + #define MXC_V_SPIMSS_CTRL_BIRQ_DISABLE ((uint32_t)0x0UL) /**< CTRL_BIRQ_DISABLE Value */ + #define MXC_S_SPIMSS_CTRL_BIRQ_DISABLE (MXC_V_SPIMSS_CTRL_BIRQ_DISABLE << MXC_F_SPIMSS_CTRL_BIRQ_POS) /**< CTRL_BIRQ_DISABLE Setting */ + #define MXC_V_SPIMSS_CTRL_BIRQ_ENABLE ((uint32_t)0x1UL) /**< CTRL_BIRQ_ENABLE Value */ + #define MXC_S_SPIMSS_CTRL_BIRQ_ENABLE (MXC_V_SPIMSS_CTRL_BIRQ_ENABLE << MXC_F_SPIMSS_CTRL_BIRQ_POS) /**< CTRL_BIRQ_ENABLE Setting */ + + #define MXC_F_SPIMSS_CTRL_STR_POS 6 /**< CTRL_STR Position */ + #define MXC_F_SPIMSS_CTRL_STR ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_STR_POS)) /**< CTRL_STR Mask */ + #define MXC_V_SPIMSS_CTRL_STR_COMPLETE ((uint32_t)0x0UL) /**< CTRL_STR_COMPLETE Value */ + #define MXC_S_SPIMSS_CTRL_STR_COMPLETE (MXC_V_SPIMSS_CTRL_STR_COMPLETE << MXC_F_SPIMSS_CTRL_STR_POS) /**< CTRL_STR_COMPLETE Setting */ + #define MXC_V_SPIMSS_CTRL_STR_START ((uint32_t)0x1UL) /**< CTRL_STR_START Value */ + #define MXC_S_SPIMSS_CTRL_STR_START (MXC_V_SPIMSS_CTRL_STR_START << MXC_F_SPIMSS_CTRL_STR_POS) /**< CTRL_STR_START Setting */ + + #define MXC_F_SPIMSS_CTRL_IRQE_POS 7 /**< CTRL_IRQE Position */ + #define MXC_F_SPIMSS_CTRL_IRQE ((uint32_t)(0x1UL << MXC_F_SPIMSS_CTRL_IRQE_POS)) /**< CTRL_IRQE Mask */ + #define MXC_V_SPIMSS_CTRL_IRQE_DISABLE ((uint32_t)0x0UL) /**< CTRL_IRQE_DISABLE Value */ + #define MXC_S_SPIMSS_CTRL_IRQE_DISABLE (MXC_V_SPIMSS_CTRL_IRQE_DISABLE << MXC_F_SPIMSS_CTRL_IRQE_POS) /**< CTRL_IRQE_DISABLE Setting */ + #define MXC_V_SPIMSS_CTRL_IRQE_ENABLE ((uint32_t)0x1UL) /**< CTRL_IRQE_ENABLE Value */ + #define MXC_S_SPIMSS_CTRL_IRQE_ENABLE (MXC_V_SPIMSS_CTRL_IRQE_ENABLE << MXC_F_SPIMSS_CTRL_IRQE_POS) /**< CTRL_IRQE_ENABLE Setting */ + +/**@} end of group SPIMSS_CTRL_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_STATUS SPIMSS_STATUS + * @brief SPI Status Register. + * @{ + */ + #define MXC_F_SPIMSS_STATUS_SLAS_POS 0 /**< STATUS_SLAS Position */ + #define MXC_F_SPIMSS_STATUS_SLAS ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_SLAS_POS)) /**< STATUS_SLAS Mask */ + #define MXC_V_SPIMSS_STATUS_SLAS_SELECTED ((uint32_t)0x0UL) /**< STATUS_SLAS_SELECTED Value */ + #define MXC_S_SPIMSS_STATUS_SLAS_SELECTED (MXC_V_SPIMSS_STATUS_SLAS_SELECTED << MXC_F_SPIMSS_STATUS_SLAS_POS) /**< STATUS_SLAS_SELECTED Setting */ + #define MXC_V_SPIMSS_STATUS_SLAS_NOTSELECTED ((uint32_t)0x1UL) /**< STATUS_SLAS_NOTSELECTED Value */ + #define MXC_S_SPIMSS_STATUS_SLAS_NOTSELECTED (MXC_V_SPIMSS_STATUS_SLAS_NOTSELECTED << MXC_F_SPIMSS_STATUS_SLAS_POS) /**< STATUS_SLAS_NOTSELECTED Setting */ + + #define MXC_F_SPIMSS_STATUS_TXST_POS 1 /**< STATUS_TXST Position */ + #define MXC_F_SPIMSS_STATUS_TXST ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_TXST_POS)) /**< STATUS_TXST Mask */ + #define MXC_V_SPIMSS_STATUS_TXST_IDLE ((uint32_t)0x0UL) /**< STATUS_TXST_IDLE Value */ + #define MXC_S_SPIMSS_STATUS_TXST_IDLE (MXC_V_SPIMSS_STATUS_TXST_IDLE << MXC_F_SPIMSS_STATUS_TXST_POS) /**< STATUS_TXST_IDLE Setting */ + #define MXC_V_SPIMSS_STATUS_TXST_BUSY ((uint32_t)0x1UL) /**< STATUS_TXST_BUSY Value */ + #define MXC_S_SPIMSS_STATUS_TXST_BUSY (MXC_V_SPIMSS_STATUS_TXST_BUSY << MXC_F_SPIMSS_STATUS_TXST_POS) /**< STATUS_TXST_BUSY Setting */ + + #define MXC_F_SPIMSS_STATUS_TUND_POS 2 /**< STATUS_TUND Position */ + #define MXC_F_SPIMSS_STATUS_TUND ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_TUND_POS)) /**< STATUS_TUND Mask */ + #define MXC_V_SPIMSS_STATUS_TUND_NOEVENT ((uint32_t)0x0UL) /**< STATUS_TUND_NOEVENT Value */ + #define MXC_S_SPIMSS_STATUS_TUND_NOEVENT (MXC_V_SPIMSS_STATUS_TUND_NOEVENT << MXC_F_SPIMSS_STATUS_TUND_POS) /**< STATUS_TUND_NOEVENT Setting */ + #define MXC_V_SPIMSS_STATUS_TUND_OCCURRED ((uint32_t)0x1UL) /**< STATUS_TUND_OCCURRED Value */ + #define MXC_S_SPIMSS_STATUS_TUND_OCCURRED (MXC_V_SPIMSS_STATUS_TUND_OCCURRED << MXC_F_SPIMSS_STATUS_TUND_POS) /**< STATUS_TUND_OCCURRED Setting */ + + #define MXC_F_SPIMSS_STATUS_ROVR_POS 3 /**< STATUS_ROVR Position */ + #define MXC_F_SPIMSS_STATUS_ROVR ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_ROVR_POS)) /**< STATUS_ROVR Mask */ + #define MXC_V_SPIMSS_STATUS_ROVR_NOEVENT ((uint32_t)0x0UL) /**< STATUS_ROVR_NOEVENT Value */ + #define MXC_S_SPIMSS_STATUS_ROVR_NOEVENT (MXC_V_SPIMSS_STATUS_ROVR_NOEVENT << MXC_F_SPIMSS_STATUS_ROVR_POS) /**< STATUS_ROVR_NOEVENT Setting */ + #define MXC_V_SPIMSS_STATUS_ROVR_OCCURRED ((uint32_t)0x1UL) /**< STATUS_ROVR_OCCURRED Value */ + #define MXC_S_SPIMSS_STATUS_ROVR_OCCURRED (MXC_V_SPIMSS_STATUS_ROVR_OCCURRED << MXC_F_SPIMSS_STATUS_ROVR_POS) /**< STATUS_ROVR_OCCURRED Setting */ + + #define MXC_F_SPIMSS_STATUS_ABT_POS 4 /**< STATUS_ABT Position */ + #define MXC_F_SPIMSS_STATUS_ABT ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_ABT_POS)) /**< STATUS_ABT Mask */ + #define MXC_V_SPIMSS_STATUS_ABT_NOEVENT ((uint32_t)0x0UL) /**< STATUS_ABT_NOEVENT Value */ + #define MXC_S_SPIMSS_STATUS_ABT_NOEVENT (MXC_V_SPIMSS_STATUS_ABT_NOEVENT << MXC_F_SPIMSS_STATUS_ABT_POS) /**< STATUS_ABT_NOEVENT Setting */ + #define MXC_V_SPIMSS_STATUS_ABT_OCCURRED ((uint32_t)0x1UL) /**< STATUS_ABT_OCCURRED Value */ + #define MXC_S_SPIMSS_STATUS_ABT_OCCURRED (MXC_V_SPIMSS_STATUS_ABT_OCCURRED << MXC_F_SPIMSS_STATUS_ABT_POS) /**< STATUS_ABT_OCCURRED Setting */ + + #define MXC_F_SPIMSS_STATUS_COL_POS 5 /**< STATUS_COL Position */ + #define MXC_F_SPIMSS_STATUS_COL ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_COL_POS)) /**< STATUS_COL Mask */ + #define MXC_V_SPIMSS_STATUS_COL_NOEVENT ((uint32_t)0x0UL) /**< STATUS_COL_NOEVENT Value */ + #define MXC_S_SPIMSS_STATUS_COL_NOEVENT (MXC_V_SPIMSS_STATUS_COL_NOEVENT << MXC_F_SPIMSS_STATUS_COL_POS) /**< STATUS_COL_NOEVENT Setting */ + #define MXC_V_SPIMSS_STATUS_COL_OCCURRED ((uint32_t)0x1UL) /**< STATUS_COL_OCCURRED Value */ + #define MXC_S_SPIMSS_STATUS_COL_OCCURRED (MXC_V_SPIMSS_STATUS_COL_OCCURRED << MXC_F_SPIMSS_STATUS_COL_POS) /**< STATUS_COL_OCCURRED Setting */ + + #define MXC_F_SPIMSS_STATUS_TOVR_POS 6 /**< STATUS_TOVR Position */ + #define MXC_F_SPIMSS_STATUS_TOVR ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_TOVR_POS)) /**< STATUS_TOVR Mask */ + #define MXC_V_SPIMSS_STATUS_TOVR_NOEVENT ((uint32_t)0x0UL) /**< STATUS_TOVR_NOEVENT Value */ + #define MXC_S_SPIMSS_STATUS_TOVR_NOEVENT (MXC_V_SPIMSS_STATUS_TOVR_NOEVENT << MXC_F_SPIMSS_STATUS_TOVR_POS) /**< STATUS_TOVR_NOEVENT Setting */ + #define MXC_V_SPIMSS_STATUS_TOVR_OCCURRED ((uint32_t)0x1UL) /**< STATUS_TOVR_OCCURRED Value */ + #define MXC_S_SPIMSS_STATUS_TOVR_OCCURRED (MXC_V_SPIMSS_STATUS_TOVR_OCCURRED << MXC_F_SPIMSS_STATUS_TOVR_POS) /**< STATUS_TOVR_OCCURRED Setting */ + + #define MXC_F_SPIMSS_STATUS_IRQ_POS 7 /**< STATUS_IRQ Position */ + #define MXC_F_SPIMSS_STATUS_IRQ ((uint32_t)(0x1UL << MXC_F_SPIMSS_STATUS_IRQ_POS)) /**< STATUS_IRQ Mask */ + #define MXC_V_SPIMSS_STATUS_IRQ_INACTIVE ((uint32_t)0x0UL) /**< STATUS_IRQ_INACTIVE Value */ + #define MXC_S_SPIMSS_STATUS_IRQ_INACTIVE (MXC_V_SPIMSS_STATUS_IRQ_INACTIVE << MXC_F_SPIMSS_STATUS_IRQ_POS) /**< STATUS_IRQ_INACTIVE Setting */ + #define MXC_V_SPIMSS_STATUS_IRQ_PENDING ((uint32_t)0x1UL) /**< STATUS_IRQ_PENDING Value */ + #define MXC_S_SPIMSS_STATUS_IRQ_PENDING (MXC_V_SPIMSS_STATUS_IRQ_PENDING << MXC_F_SPIMSS_STATUS_IRQ_POS) /**< STATUS_IRQ_PENDING Setting */ + +/**@} end of group SPIMSS_STATUS_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_MOD SPIMSS_MOD + * @brief SPI Mode Register. + * @{ + */ + #define MXC_F_SPIMSS_MOD_SSV_POS 0 /**< MOD_SSV Position */ + #define MXC_F_SPIMSS_MOD_SSV ((uint32_t)(0x1UL << MXC_F_SPIMSS_MOD_SSV_POS)) /**< MOD_SSV Mask */ + #define MXC_V_SPIMSS_MOD_SSV_LO ((uint32_t)0x0UL) /**< MOD_SSV_LO Value */ + #define MXC_S_SPIMSS_MOD_SSV_LO (MXC_V_SPIMSS_MOD_SSV_LO << MXC_F_SPIMSS_MOD_SSV_POS) /**< MOD_SSV_LO Setting */ + #define MXC_V_SPIMSS_MOD_SSV_HI ((uint32_t)0x1UL) /**< MOD_SSV_HI Value */ + #define MXC_S_SPIMSS_MOD_SSV_HI (MXC_V_SPIMSS_MOD_SSV_HI << MXC_F_SPIMSS_MOD_SSV_POS) /**< MOD_SSV_HI Setting */ + + #define MXC_F_SPIMSS_MOD_SSIO_POS 1 /**< MOD_SSIO Position */ + #define MXC_F_SPIMSS_MOD_SSIO ((uint32_t)(0x1UL << MXC_F_SPIMSS_MOD_SSIO_POS)) /**< MOD_SSIO Mask */ + #define MXC_V_SPIMSS_MOD_SSIO_INPUT ((uint32_t)0x0UL) /**< MOD_SSIO_INPUT Value */ + #define MXC_S_SPIMSS_MOD_SSIO_INPUT (MXC_V_SPIMSS_MOD_SSIO_INPUT << MXC_F_SPIMSS_MOD_SSIO_POS) /**< MOD_SSIO_INPUT Setting */ + #define MXC_V_SPIMSS_MOD_SSIO_OUTPUT ((uint32_t)0x1UL) /**< MOD_SSIO_OUTPUT Value */ + #define MXC_S_SPIMSS_MOD_SSIO_OUTPUT (MXC_V_SPIMSS_MOD_SSIO_OUTPUT << MXC_F_SPIMSS_MOD_SSIO_POS) /**< MOD_SSIO_OUTPUT Setting */ + + #define MXC_F_SPIMSS_MOD_NUMBITS_POS 2 /**< MOD_NUMBITS Position */ + #define MXC_F_SPIMSS_MOD_NUMBITS ((uint32_t)(0xFUL << MXC_F_SPIMSS_MOD_NUMBITS_POS)) /**< MOD_NUMBITS Mask */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS16 ((uint32_t)0x0UL) /**< MOD_NUMBITS_BITS16 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS16 (MXC_V_SPIMSS_MOD_NUMBITS_BITS16 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS16 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS1 ((uint32_t)0x1UL) /**< MOD_NUMBITS_BITS1 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS1 (MXC_V_SPIMSS_MOD_NUMBITS_BITS1 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS1 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS2 ((uint32_t)0x2UL) /**< MOD_NUMBITS_BITS2 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS2 (MXC_V_SPIMSS_MOD_NUMBITS_BITS2 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS2 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS3 ((uint32_t)0x3UL) /**< MOD_NUMBITS_BITS3 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS3 (MXC_V_SPIMSS_MOD_NUMBITS_BITS3 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS3 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS4 ((uint32_t)0x4UL) /**< MOD_NUMBITS_BITS4 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS4 (MXC_V_SPIMSS_MOD_NUMBITS_BITS4 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS4 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS5 ((uint32_t)0x5UL) /**< MOD_NUMBITS_BITS5 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS5 (MXC_V_SPIMSS_MOD_NUMBITS_BITS5 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS5 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS6 ((uint32_t)0x6UL) /**< MOD_NUMBITS_BITS6 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS6 (MXC_V_SPIMSS_MOD_NUMBITS_BITS6 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS6 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS7 ((uint32_t)0x7UL) /**< MOD_NUMBITS_BITS7 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS7 (MXC_V_SPIMSS_MOD_NUMBITS_BITS7 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS7 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS8 ((uint32_t)0x8UL) /**< MOD_NUMBITS_BITS8 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS8 (MXC_V_SPIMSS_MOD_NUMBITS_BITS8 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS8 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS9 ((uint32_t)0x9UL) /**< MOD_NUMBITS_BITS9 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS9 (MXC_V_SPIMSS_MOD_NUMBITS_BITS9 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS9 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS10 ((uint32_t)0xAUL) /**< MOD_NUMBITS_BITS10 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS10 (MXC_V_SPIMSS_MOD_NUMBITS_BITS10 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS10 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS11 ((uint32_t)0xBUL) /**< MOD_NUMBITS_BITS11 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS11 (MXC_V_SPIMSS_MOD_NUMBITS_BITS11 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS11 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS12 ((uint32_t)0xCUL) /**< MOD_NUMBITS_BITS12 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS12 (MXC_V_SPIMSS_MOD_NUMBITS_BITS12 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS12 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS13 ((uint32_t)0xDUL) /**< MOD_NUMBITS_BITS13 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS13 (MXC_V_SPIMSS_MOD_NUMBITS_BITS13 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS13 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS14 ((uint32_t)0xEUL) /**< MOD_NUMBITS_BITS14 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS14 (MXC_V_SPIMSS_MOD_NUMBITS_BITS14 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS14 Setting */ + #define MXC_V_SPIMSS_MOD_NUMBITS_BITS15 ((uint32_t)0xFUL) /**< MOD_NUMBITS_BITS15 Value */ + #define MXC_S_SPIMSS_MOD_NUMBITS_BITS15 (MXC_V_SPIMSS_MOD_NUMBITS_BITS15 << MXC_F_SPIMSS_MOD_NUMBITS_POS) /**< MOD_NUMBITS_BITS15 Setting */ + + #define MXC_F_SPIMSS_MOD_TX_LJ_POS 7 /**< MOD_TX_LJ Position */ + #define MXC_F_SPIMSS_MOD_TX_LJ ((uint32_t)(0x1UL << MXC_F_SPIMSS_MOD_TX_LJ_POS)) /**< MOD_TX_LJ Mask */ + #define MXC_V_SPIMSS_MOD_TX_LJ_DISABLE ((uint32_t)0x0UL) /**< MOD_TX_LJ_DISABLE Value */ + #define MXC_S_SPIMSS_MOD_TX_LJ_DISABLE (MXC_V_SPIMSS_MOD_TX_LJ_DISABLE << MXC_F_SPIMSS_MOD_TX_LJ_POS) /**< MOD_TX_LJ_DISABLE Setting */ + #define MXC_V_SPIMSS_MOD_TX_LJ_ENABLE ((uint32_t)0x1UL) /**< MOD_TX_LJ_ENABLE Value */ + #define MXC_S_SPIMSS_MOD_TX_LJ_ENABLE (MXC_V_SPIMSS_MOD_TX_LJ_ENABLE << MXC_F_SPIMSS_MOD_TX_LJ_POS) /**< MOD_TX_LJ_ENABLE Setting */ + + #define MXC_F_SPIMSS_MOD_SSL1_POS 8 /**< MOD_SSL1 Position */ + #define MXC_F_SPIMSS_MOD_SSL1 ((uint32_t)(0x1UL << MXC_F_SPIMSS_MOD_SSL1_POS)) /**< MOD_SSL1 Mask */ + #define MXC_V_SPIMSS_MOD_SSL1_HI ((uint32_t)0x0UL) /**< MOD_SSL1_HI Value */ + #define MXC_S_SPIMSS_MOD_SSL1_HI (MXC_V_SPIMSS_MOD_SSL1_HI << MXC_F_SPIMSS_MOD_SSL1_POS) /**< MOD_SSL1_HI Setting */ + #define MXC_V_SPIMSS_MOD_SSL1_LO ((uint32_t)0x1UL) /**< MOD_SSL1_LO Value */ + #define MXC_S_SPIMSS_MOD_SSL1_LO (MXC_V_SPIMSS_MOD_SSL1_LO << MXC_F_SPIMSS_MOD_SSL1_POS) /**< MOD_SSL1_LO Setting */ + + #define MXC_F_SPIMSS_MOD_SSL2_POS 9 /**< MOD_SSL2 Position */ + #define MXC_F_SPIMSS_MOD_SSL2 ((uint32_t)(0x1UL << MXC_F_SPIMSS_MOD_SSL2_POS)) /**< MOD_SSL2 Mask */ + #define MXC_V_SPIMSS_MOD_SSL2_HI ((uint32_t)0x0UL) /**< MOD_SSL2_HI Value */ + #define MXC_S_SPIMSS_MOD_SSL2_HI (MXC_V_SPIMSS_MOD_SSL2_HI << MXC_F_SPIMSS_MOD_SSL2_POS) /**< MOD_SSL2_HI Setting */ + #define MXC_V_SPIMSS_MOD_SSL2_LO ((uint32_t)0x1UL) /**< MOD_SSL2_LO Value */ + #define MXC_S_SPIMSS_MOD_SSL2_LO (MXC_V_SPIMSS_MOD_SSL2_LO << MXC_F_SPIMSS_MOD_SSL2_POS) /**< MOD_SSL2_LO Setting */ + + #define MXC_F_SPIMSS_MOD_SSL3_POS 10 /**< MOD_SSL3 Position */ + #define MXC_F_SPIMSS_MOD_SSL3 ((uint32_t)(0x1UL << MXC_F_SPIMSS_MOD_SSL3_POS)) /**< MOD_SSL3 Mask */ + #define MXC_V_SPIMSS_MOD_SSL3_HI ((uint32_t)0x0UL) /**< MOD_SSL3_HI Value */ + #define MXC_S_SPIMSS_MOD_SSL3_HI (MXC_V_SPIMSS_MOD_SSL3_HI << MXC_F_SPIMSS_MOD_SSL3_POS) /**< MOD_SSL3_HI Setting */ + #define MXC_V_SPIMSS_MOD_SSL3_LO ((uint32_t)0x1UL) /**< MOD_SSL3_LO Value */ + #define MXC_S_SPIMSS_MOD_SSL3_LO (MXC_V_SPIMSS_MOD_SSL3_LO << MXC_F_SPIMSS_MOD_SSL3_POS) /**< MOD_SSL3_LO Setting */ + +/**@} end of group SPIMSS_MOD_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_BRG SPIMSS_BRG + * @brief Baud Rate Reload Value. The SPI Baud Rate register is a 16-bit reload value for + * the SPI Baud Rate Generator. The reload value must be greater than or equal to + * 0002H for proper SPI operation (maximum baud rate is PCLK frequency divided by + * 4). + * @{ + */ + #define MXC_F_SPIMSS_BRG_BRG_POS 0 /**< BRG_BRG Position */ + #define MXC_F_SPIMSS_BRG_BRG ((uint32_t)(0xFFFFUL << MXC_F_SPIMSS_BRG_BRG_POS)) /**< BRG_BRG Mask */ + +/**@} end of group SPIMSS_BRG_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_DMA SPIMSS_DMA + * @brief SPI DMA Register. + * @{ + */ + #define MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS 0 /**< DMA_TX_FIFO_LEVEL Position */ + #define MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL ((uint32_t)(0x7UL << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS)) /**< DMA_TX_FIFO_LEVEL Mask */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRY1 ((uint32_t)0x0UL) /**< DMA_TX_FIFO_LEVEL_ENTRY1 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRY1 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRY1 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRY1 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES2 ((uint32_t)0x1UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES2 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES2 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES2 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES2 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES3 ((uint32_t)0x2UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES3 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES3 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES3 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES3 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES4 ((uint32_t)0x3UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES4 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES4 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES4 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES4 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES5 ((uint32_t)0x4UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES5 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES5 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES5 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES5 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES6 ((uint32_t)0x5UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES6 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES6 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES6 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES6 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES7 ((uint32_t)0x6UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES7 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES7 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES7 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES7 Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES8 ((uint32_t)0x7UL) /**< DMA_TX_FIFO_LEVEL_ENTRIES8 Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES8 (MXC_V_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES8 << MXC_F_SPIMSS_DMA_TX_FIFO_LEVEL_POS) /**< DMA_TX_FIFO_LEVEL_ENTRIES8 Setting */ + + #define MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR_POS 4 /**< DMA_TX_FIFO_CLEAR Position */ + #define MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR ((uint32_t)(0x1UL << MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR_POS)) /**< DMA_TX_FIFO_CLEAR Mask */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_CLEAR_COMPLETE ((uint32_t)0x0UL) /**< DMA_TX_FIFO_CLEAR_COMPLETE Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_CLEAR_COMPLETE (MXC_V_SPIMSS_DMA_TX_FIFO_CLEAR_COMPLETE << MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR_POS) /**< DMA_TX_FIFO_CLEAR_COMPLETE Setting */ + #define MXC_V_SPIMSS_DMA_TX_FIFO_CLEAR_START ((uint32_t)0x1UL) /**< DMA_TX_FIFO_CLEAR_START Value */ + #define MXC_S_SPIMSS_DMA_TX_FIFO_CLEAR_START (MXC_V_SPIMSS_DMA_TX_FIFO_CLEAR_START << MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR_POS) /**< DMA_TX_FIFO_CLEAR_START Setting */ + + #define MXC_F_SPIMSS_DMA_TX_FIFO_CNT_POS 8 /**< DMA_TX_FIFO_CNT Position */ + #define MXC_F_SPIMSS_DMA_TX_FIFO_CNT ((uint32_t)(0xFUL << MXC_F_SPIMSS_DMA_TX_FIFO_CNT_POS)) /**< DMA_TX_FIFO_CNT Mask */ + + #define MXC_F_SPIMSS_DMA_TX_DMA_EN_POS 15 /**< DMA_TX_DMA_EN Position */ + #define MXC_F_SPIMSS_DMA_TX_DMA_EN ((uint32_t)(0x1UL << MXC_F_SPIMSS_DMA_TX_DMA_EN_POS)) /**< DMA_TX_DMA_EN Mask */ + #define MXC_V_SPIMSS_DMA_TX_DMA_EN_DISABLE ((uint32_t)0x0UL) /**< DMA_TX_DMA_EN_DISABLE Value */ + #define MXC_S_SPIMSS_DMA_TX_DMA_EN_DISABLE (MXC_V_SPIMSS_DMA_TX_DMA_EN_DISABLE << MXC_F_SPIMSS_DMA_TX_DMA_EN_POS) /**< DMA_TX_DMA_EN_DISABLE Setting */ + #define MXC_V_SPIMSS_DMA_TX_DMA_EN_ENABLE ((uint32_t)0x1UL) /**< DMA_TX_DMA_EN_ENABLE Value */ + #define MXC_S_SPIMSS_DMA_TX_DMA_EN_ENABLE (MXC_V_SPIMSS_DMA_TX_DMA_EN_ENABLE << MXC_F_SPIMSS_DMA_TX_DMA_EN_POS) /**< DMA_TX_DMA_EN_ENABLE Setting */ + + #define MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS 16 /**< DMA_RX_FIFO_LEVEL Position */ + #define MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL ((uint32_t)(0x7UL << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS)) /**< DMA_RX_FIFO_LEVEL Mask */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRY1 ((uint32_t)0x0UL) /**< DMA_RX_FIFO_LEVEL_ENTRY1 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRY1 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRY1 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRY1 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES2 ((uint32_t)0x1UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES2 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES2 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES2 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES2 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES3 ((uint32_t)0x2UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES3 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES3 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES3 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES3 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES4 ((uint32_t)0x3UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES4 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES4 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES4 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES4 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES5 ((uint32_t)0x4UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES5 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES5 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES5 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES5 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES6 ((uint32_t)0x5UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES6 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES6 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES6 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES6 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES7 ((uint32_t)0x6UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES7 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES7 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES7 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES7 Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES8 ((uint32_t)0x7UL) /**< DMA_RX_FIFO_LEVEL_ENTRIES8 Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES8 (MXC_V_SPIMSS_DMA_RX_FIFO_LEVEL_ENTRIES8 << MXC_F_SPIMSS_DMA_RX_FIFO_LEVEL_POS) /**< DMA_RX_FIFO_LEVEL_ENTRIES8 Setting */ + + #define MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR_POS 20 /**< DMA_RX_FIFO_CLEAR Position */ + #define MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR ((uint32_t)(0x1UL << MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR_POS)) /**< DMA_RX_FIFO_CLEAR Mask */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_CLEAR_COMPLETE ((uint32_t)0x0UL) /**< DMA_RX_FIFO_CLEAR_COMPLETE Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_CLEAR_COMPLETE (MXC_V_SPIMSS_DMA_RX_FIFO_CLEAR_COMPLETE << MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR_POS) /**< DMA_RX_FIFO_CLEAR_COMPLETE Setting */ + #define MXC_V_SPIMSS_DMA_RX_FIFO_CLEAR_START ((uint32_t)0x1UL) /**< DMA_RX_FIFO_CLEAR_START Value */ + #define MXC_S_SPIMSS_DMA_RX_FIFO_CLEAR_START (MXC_V_SPIMSS_DMA_RX_FIFO_CLEAR_START << MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR_POS) /**< DMA_RX_FIFO_CLEAR_START Setting */ + + #define MXC_F_SPIMSS_DMA_RX_FIFO_CNT_POS 24 /**< DMA_RX_FIFO_CNT Position */ + #define MXC_F_SPIMSS_DMA_RX_FIFO_CNT ((uint32_t)(0xFUL << MXC_F_SPIMSS_DMA_RX_FIFO_CNT_POS)) /**< DMA_RX_FIFO_CNT Mask */ + + #define MXC_F_SPIMSS_DMA_RX_DMA_EN_POS 31 /**< DMA_RX_DMA_EN Position */ + #define MXC_F_SPIMSS_DMA_RX_DMA_EN ((uint32_t)(0x1UL << MXC_F_SPIMSS_DMA_RX_DMA_EN_POS)) /**< DMA_RX_DMA_EN Mask */ + #define MXC_V_SPIMSS_DMA_RX_DMA_EN_DISABLE ((uint32_t)0x0UL) /**< DMA_RX_DMA_EN_DISABLE Value */ + #define MXC_S_SPIMSS_DMA_RX_DMA_EN_DISABLE (MXC_V_SPIMSS_DMA_RX_DMA_EN_DISABLE << MXC_F_SPIMSS_DMA_RX_DMA_EN_POS) /**< DMA_RX_DMA_EN_DISABLE Setting */ + #define MXC_V_SPIMSS_DMA_RX_DMA_EN_ENABLE ((uint32_t)0x1UL) /**< DMA_RX_DMA_EN_ENABLE Value */ + #define MXC_S_SPIMSS_DMA_RX_DMA_EN_ENABLE (MXC_V_SPIMSS_DMA_RX_DMA_EN_ENABLE << MXC_F_SPIMSS_DMA_RX_DMA_EN_POS) /**< DMA_RX_DMA_EN_ENABLE Setting */ + +/**@} end of group SPIMSS_DMA_Register */ + +/** + * @ingroup spimss_registers + * @defgroup SPIMSS_I2S_CTRL SPIMSS_I2S_CTRL + * @brief I2S Control Register. + * @{ + */ + #define MXC_F_SPIMSS_I2S_CTRL_I2S_EN_POS 0 /**< I2S_CTRL_I2S_EN Position */ + #define MXC_F_SPIMSS_I2S_CTRL_I2S_EN ((uint32_t)(0x1UL << MXC_F_SPIMSS_I2S_CTRL_I2S_EN_POS)) /**< I2S_CTRL_I2S_EN Mask */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_EN_DISABLE ((uint32_t)0x0UL) /**< I2S_CTRL_I2S_EN_DISABLE Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_EN_DISABLE (MXC_V_SPIMSS_I2S_CTRL_I2S_EN_DISABLE << MXC_F_SPIMSS_I2S_CTRL_I2S_EN_POS) /**< I2S_CTRL_I2S_EN_DISABLE Setting */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_EN_ENABLE ((uint32_t)0x1UL) /**< I2S_CTRL_I2S_EN_ENABLE Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_EN_ENABLE (MXC_V_SPIMSS_I2S_CTRL_I2S_EN_ENABLE << MXC_F_SPIMSS_I2S_CTRL_I2S_EN_POS) /**< I2S_CTRL_I2S_EN_ENABLE Setting */ + + #define MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE_POS 1 /**< I2S_CTRL_I2S_MUTE Position */ + #define MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE ((uint32_t)(0x1UL << MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE_POS)) /**< I2S_CTRL_I2S_MUTE Mask */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_MUTE_NORMAL ((uint32_t)0x0UL) /**< I2S_CTRL_I2S_MUTE_NORMAL Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_MUTE_NORMAL (MXC_V_SPIMSS_I2S_CTRL_I2S_MUTE_NORMAL << MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE_POS) /**< I2S_CTRL_I2S_MUTE_NORMAL Setting */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_MUTE_REPLACED ((uint32_t)0x1UL) /**< I2S_CTRL_I2S_MUTE_REPLACED Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_MUTE_REPLACED (MXC_V_SPIMSS_I2S_CTRL_I2S_MUTE_REPLACED << MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE_POS) /**< I2S_CTRL_I2S_MUTE_REPLACED Setting */ + + #define MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE_POS 2 /**< I2S_CTRL_I2S_PAUSE Position */ + #define MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE ((uint32_t)(0x1UL << MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE_POS)) /**< I2S_CTRL_I2S_PAUSE Mask */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_PAUSE_NORMAL ((uint32_t)0x0UL) /**< I2S_CTRL_I2S_PAUSE_NORMAL Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_PAUSE_NORMAL (MXC_V_SPIMSS_I2S_CTRL_I2S_PAUSE_NORMAL << MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE_POS) /**< I2S_CTRL_I2S_PAUSE_NORMAL Setting */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_PAUSE_HALT ((uint32_t)0x1UL) /**< I2S_CTRL_I2S_PAUSE_HALT Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_PAUSE_HALT (MXC_V_SPIMSS_I2S_CTRL_I2S_PAUSE_HALT << MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE_POS) /**< I2S_CTRL_I2S_PAUSE_HALT Setting */ + + #define MXC_F_SPIMSS_I2S_CTRL_I2S_MONO_POS 3 /**< I2S_CTRL_I2S_MONO Position */ + #define MXC_F_SPIMSS_I2S_CTRL_I2S_MONO ((uint32_t)(0x1UL << MXC_F_SPIMSS_I2S_CTRL_I2S_MONO_POS)) /**< I2S_CTRL_I2S_MONO Mask */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_MONO_STEREOPHONIC ((uint32_t)0x0UL) /**< I2S_CTRL_I2S_MONO_STEREOPHONIC Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_MONO_STEREOPHONIC (MXC_V_SPIMSS_I2S_CTRL_I2S_MONO_STEREOPHONIC << MXC_F_SPIMSS_I2S_CTRL_I2S_MONO_POS) /**< I2S_CTRL_I2S_MONO_STEREOPHONIC Setting */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_MONO_MONOPHONIC ((uint32_t)0x1UL) /**< I2S_CTRL_I2S_MONO_MONOPHONIC Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_MONO_MONOPHONIC (MXC_V_SPIMSS_I2S_CTRL_I2S_MONO_MONOPHONIC << MXC_F_SPIMSS_I2S_CTRL_I2S_MONO_POS) /**< I2S_CTRL_I2S_MONO_MONOPHONIC Setting */ + + #define MXC_F_SPIMSS_I2S_CTRL_I2S_LJ_POS 4 /**< I2S_CTRL_I2S_LJ Position */ + #define MXC_F_SPIMSS_I2S_CTRL_I2S_LJ ((uint32_t)(0x1UL << MXC_F_SPIMSS_I2S_CTRL_I2S_LJ_POS)) /**< I2S_CTRL_I2S_LJ Mask */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_LJ_NORMAL ((uint32_t)0x0UL) /**< I2S_CTRL_I2S_LJ_NORMAL Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_LJ_NORMAL (MXC_V_SPIMSS_I2S_CTRL_I2S_LJ_NORMAL << MXC_F_SPIMSS_I2S_CTRL_I2S_LJ_POS) /**< I2S_CTRL_I2S_LJ_NORMAL Setting */ + #define MXC_V_SPIMSS_I2S_CTRL_I2S_LJ_REPLACED ((uint32_t)0x1UL) /**< I2S_CTRL_I2S_LJ_REPLACED Value */ + #define MXC_S_SPIMSS_I2S_CTRL_I2S_LJ_REPLACED (MXC_V_SPIMSS_I2S_CTRL_I2S_LJ_REPLACED << MXC_F_SPIMSS_I2S_CTRL_I2S_LJ_POS) /**< I2S_CTRL_I2S_LJ_REPLACED Setting */ + +/**@} end of group SPIMSS_I2S_CTRL_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SPIMSS_REGS_H_ */ diff --git a/Firmware/SDK/Device/system_max32660.h b/Firmware/SDK/Device/system_max32660.h new file mode 100644 index 0000000..1d80f6f --- /dev/null +++ b/Firmware/SDK/Device/system_max32660.h @@ -0,0 +1,93 @@ +/** + * @file system_max32660.h + * @brief System-specific header file + */ + + +/******************************************************************************* + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + ******************************************************************************/ + +#ifndef _SYSTEM_MAX32660_H_ +#define _SYSTEM_MAX32660_H_ + +#ifdef __cplusplus +extern "C" { +#endif + +#include + +/*---------------------------------------------------------------------------- + Define clocks + *----------------------------------------------------------------------------*/ + +#ifndef HFX_FREQ +#define HFX_FREQ 32768 +#endif + +#ifndef NANORING_FREQ +#define NANORING_FREQ 8000 +#endif + +#ifndef HIRC96_FREQ +#define HIRC96_FREQ 96000000 +#endif + +extern uint32_t SystemCoreClock; /*!< System Clock Frequency (Core Clock) */ +#ifndef PeripheralClock +#define PeripheralClock (SystemCoreClock /2) /*!< Peripheral Clock Frequency */ +#endif + +/* + * Initialize the system + * + * @brief Setup the microcontroller system. + * Initialize the System and update the SystemCoreClock variable. + */ +void SystemInit(void); + +/* + * Update SystemCoreClock variable + * + * @brief Updates the SystemCoreClock with current core Clock + * retrieved from cpu registers. + */ +void SystemCoreClockUpdate(void); + +#ifdef __cplusplus +} +#endif + +#endif /* _SYSTEM_MAX32660_H_ */ diff --git a/Firmware/SDK/Device/tmr_regs.h b/Firmware/SDK/Device/tmr_regs.h new file mode 100644 index 0000000..5f74afa --- /dev/null +++ b/Firmware/SDK/Device/tmr_regs.h @@ -0,0 +1,233 @@ +/** + * @file tmr_regs.h + * @brief Registers, Bit Masks and Bit Positions for the TMR Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _TMR_REGS_H_ +#define _TMR_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup tmr + * @defgroup tmr_registers TMR_Registers + * @brief Registers, Bit Masks and Bit Positions for the TMR Peripheral Module. + * @details 32-bit reloadable timer that can be used for timing and event counting. + */ + +/** + * @ingroup tmr_registers + * Structure type to access the TMR Registers. + */ +typedef struct { + __IO uint32_t cnt; /**< \b 0x00: TMR CNT Register */ + __IO uint32_t cmp; /**< \b 0x04: TMR CMP Register */ + __IO uint32_t pwm; /**< \b 0x08: TMR PWM Register */ + __IO uint32_t intr; /**< \b 0x0C: TMR INTR Register */ + __IO uint32_t cn; /**< \b 0x10: TMR CN Register */ + __IO uint32_t nolcmp; /**< \b 0x14: TMR NOLCMP Register */ +} mxc_tmr_regs_t; + +/* Register offsets for module TMR */ +/** + * @ingroup tmr_registers + * @defgroup TMR_Register_Offsets Register Offsets + * @brief TMR Peripheral Register Offsets from the TMR Base Peripheral Address. + * @{ + */ + #define MXC_R_TMR_CNT ((uint32_t)0x00000000UL) /**< Offset from TMR Base Address: 0x0000 */ + #define MXC_R_TMR_CMP ((uint32_t)0x00000004UL) /**< Offset from TMR Base Address: 0x0004 */ + #define MXC_R_TMR_PWM ((uint32_t)0x00000008UL) /**< Offset from TMR Base Address: 0x0008 */ + #define MXC_R_TMR_INTR ((uint32_t)0x0000000CUL) /**< Offset from TMR Base Address: 0x000C */ + #define MXC_R_TMR_CN ((uint32_t)0x00000010UL) /**< Offset from TMR Base Address: 0x0010 */ + #define MXC_R_TMR_NOLCMP ((uint32_t)0x00000014UL) /**< Offset from TMR Base Address: 0x0014 */ +/**@} end of group tmr_registers */ + +/** + * @ingroup tmr_registers + * @defgroup TMR_INTR TMR_INTR + * @brief Clear Interrupt. Writing a value (0 or 1) to a bit in this register clears the + * associated interrupt. + * @{ + */ + #define MXC_F_TMR_INTR_IRQ_CLR_POS 0 /**< INTR_IRQ_CLR Position */ + #define MXC_F_TMR_INTR_IRQ_CLR ((uint32_t)(0x1UL << MXC_F_TMR_INTR_IRQ_CLR_POS)) /**< INTR_IRQ_CLR Mask */ + +/**@} end of group TMR_INTR_Register */ + +/** + * @ingroup tmr_registers + * @defgroup TMR_CN TMR_CN + * @brief Timer Control Register. + * @{ + */ + #define MXC_F_TMR_CN_TMODE_POS 0 /**< CN_TMODE Position */ + #define MXC_F_TMR_CN_TMODE ((uint32_t)(0x7UL << MXC_F_TMR_CN_TMODE_POS)) /**< CN_TMODE Mask */ + #define MXC_V_TMR_CN_TMODE_ONESHOT ((uint32_t)0x0UL) /**< CN_TMODE_ONESHOT Value */ + #define MXC_S_TMR_CN_TMODE_ONESHOT (MXC_V_TMR_CN_TMODE_ONESHOT << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_ONESHOT Setting */ + #define MXC_V_TMR_CN_TMODE_CONTINUOUS ((uint32_t)0x1UL) /**< CN_TMODE_CONTINUOUS Value */ + #define MXC_S_TMR_CN_TMODE_CONTINUOUS (MXC_V_TMR_CN_TMODE_CONTINUOUS << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_CONTINUOUS Setting */ + #define MXC_V_TMR_CN_TMODE_COUNTER ((uint32_t)0x2UL) /**< CN_TMODE_COUNTER Value */ + #define MXC_S_TMR_CN_TMODE_COUNTER (MXC_V_TMR_CN_TMODE_COUNTER << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_COUNTER Setting */ + #define MXC_V_TMR_CN_TMODE_PWM ((uint32_t)0x3UL) /**< CN_TMODE_PWM Value */ + #define MXC_S_TMR_CN_TMODE_PWM (MXC_V_TMR_CN_TMODE_PWM << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_PWM Setting */ + #define MXC_V_TMR_CN_TMODE_CAPTURE ((uint32_t)0x4UL) /**< CN_TMODE_CAPTURE Value */ + #define MXC_S_TMR_CN_TMODE_CAPTURE (MXC_V_TMR_CN_TMODE_CAPTURE << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_CAPTURE Setting */ + #define MXC_V_TMR_CN_TMODE_COMPARE ((uint32_t)0x5UL) /**< CN_TMODE_COMPARE Value */ + #define MXC_S_TMR_CN_TMODE_COMPARE (MXC_V_TMR_CN_TMODE_COMPARE << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_COMPARE Setting */ + #define MXC_V_TMR_CN_TMODE_GATED ((uint32_t)0x6UL) /**< CN_TMODE_GATED Value */ + #define MXC_S_TMR_CN_TMODE_GATED (MXC_V_TMR_CN_TMODE_GATED << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_GATED Setting */ + #define MXC_V_TMR_CN_TMODE_CAPTURECOMPARE ((uint32_t)0x7UL) /**< CN_TMODE_CAPTURECOMPARE Value */ + #define MXC_S_TMR_CN_TMODE_CAPTURECOMPARE (MXC_V_TMR_CN_TMODE_CAPTURECOMPARE << MXC_F_TMR_CN_TMODE_POS) /**< CN_TMODE_CAPTURECOMPARE Setting */ + + #define MXC_F_TMR_CN_PRES_POS 3 /**< CN_PRES Position */ + #define MXC_F_TMR_CN_PRES ((uint32_t)(0x7UL << MXC_F_TMR_CN_PRES_POS)) /**< CN_PRES Mask */ + #define MXC_V_TMR_CN_PRES_DIV1 ((uint32_t)0x0UL) /**< CN_PRES_DIV1 Value */ + #define MXC_S_TMR_CN_PRES_DIV1 (MXC_V_TMR_CN_PRES_DIV1 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV1 Setting */ + #define MXC_V_TMR_CN_PRES_DIV2 ((uint32_t)0x1UL) /**< CN_PRES_DIV2 Value */ + #define MXC_S_TMR_CN_PRES_DIV2 (MXC_V_TMR_CN_PRES_DIV2 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV2 Setting */ + #define MXC_V_TMR_CN_PRES_DIV4 ((uint32_t)0x2UL) /**< CN_PRES_DIV4 Value */ + #define MXC_S_TMR_CN_PRES_DIV4 (MXC_V_TMR_CN_PRES_DIV4 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV4 Setting */ + #define MXC_V_TMR_CN_PRES_DIV8 ((uint32_t)0x3UL) /**< CN_PRES_DIV8 Value */ + #define MXC_S_TMR_CN_PRES_DIV8 (MXC_V_TMR_CN_PRES_DIV8 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV8 Setting */ + #define MXC_V_TMR_CN_PRES_DIV16 ((uint32_t)0x4UL) /**< CN_PRES_DIV16 Value */ + #define MXC_S_TMR_CN_PRES_DIV16 (MXC_V_TMR_CN_PRES_DIV16 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV16 Setting */ + #define MXC_V_TMR_CN_PRES_DIV32 ((uint32_t)0x5UL) /**< CN_PRES_DIV32 Value */ + #define MXC_S_TMR_CN_PRES_DIV32 (MXC_V_TMR_CN_PRES_DIV32 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV32 Setting */ + #define MXC_V_TMR_CN_PRES_DIV64 ((uint32_t)0x6UL) /**< CN_PRES_DIV64 Value */ + #define MXC_S_TMR_CN_PRES_DIV64 (MXC_V_TMR_CN_PRES_DIV64 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV64 Setting */ + #define MXC_V_TMR_CN_PRES_DIV128 ((uint32_t)0x7UL) /**< CN_PRES_DIV128 Value */ + #define MXC_S_TMR_CN_PRES_DIV128 (MXC_V_TMR_CN_PRES_DIV128 << MXC_F_TMR_CN_PRES_POS) /**< CN_PRES_DIV128 Setting */ + + #define MXC_F_TMR_CN_TPOL_POS 6 /**< CN_TPOL Position */ + #define MXC_F_TMR_CN_TPOL ((uint32_t)(0x1UL << MXC_F_TMR_CN_TPOL_POS)) /**< CN_TPOL Mask */ + #define MXC_V_TMR_CN_TPOL_ACTIVEHI ((uint32_t)0x0UL) /**< CN_TPOL_ACTIVEHI Value */ + #define MXC_S_TMR_CN_TPOL_ACTIVEHI (MXC_V_TMR_CN_TPOL_ACTIVEHI << MXC_F_TMR_CN_TPOL_POS) /**< CN_TPOL_ACTIVEHI Setting */ + #define MXC_V_TMR_CN_TPOL_ACTIVELO ((uint32_t)0x1UL) /**< CN_TPOL_ACTIVELO Value */ + #define MXC_S_TMR_CN_TPOL_ACTIVELO (MXC_V_TMR_CN_TPOL_ACTIVELO << MXC_F_TMR_CN_TPOL_POS) /**< CN_TPOL_ACTIVELO Setting */ + + #define MXC_F_TMR_CN_TEN_POS 7 /**< CN_TEN Position */ + #define MXC_F_TMR_CN_TEN ((uint32_t)(0x1UL << MXC_F_TMR_CN_TEN_POS)) /**< CN_TEN Mask */ + #define MXC_V_TMR_CN_TEN_DIS ((uint32_t)0x0UL) /**< CN_TEN_DIS Value */ + #define MXC_S_TMR_CN_TEN_DIS (MXC_V_TMR_CN_TEN_DIS << MXC_F_TMR_CN_TEN_POS) /**< CN_TEN_DIS Setting */ + #define MXC_V_TMR_CN_TEN_EN ((uint32_t)0x1UL) /**< CN_TEN_EN Value */ + #define MXC_S_TMR_CN_TEN_EN (MXC_V_TMR_CN_TEN_EN << MXC_F_TMR_CN_TEN_POS) /**< CN_TEN_EN Setting */ + + #define MXC_F_TMR_CN_PRES3_POS 8 /**< CN_PRES3 Position */ + #define MXC_F_TMR_CN_PRES3 ((uint32_t)(0x1UL << MXC_F_TMR_CN_PRES3_POS)) /**< CN_PRES3 Mask */ + + #define MXC_F_TMR_CN_PWMSYNC_POS 9 /**< CN_PWMSYNC Position */ + #define MXC_F_TMR_CN_PWMSYNC ((uint32_t)(0x1UL << MXC_F_TMR_CN_PWMSYNC_POS)) /**< CN_PWMSYNC Mask */ + #define MXC_V_TMR_CN_PWMSYNC_DIS ((uint32_t)0x0UL) /**< CN_PWMSYNC_DIS Value */ + #define MXC_S_TMR_CN_PWMSYNC_DIS (MXC_V_TMR_CN_PWMSYNC_DIS << MXC_F_TMR_CN_PWMSYNC_POS) /**< CN_PWMSYNC_DIS Setting */ + #define MXC_V_TMR_CN_PWMSYNC_EN ((uint32_t)0x1UL) /**< CN_PWMSYNC_EN Value */ + #define MXC_S_TMR_CN_PWMSYNC_EN (MXC_V_TMR_CN_PWMSYNC_EN << MXC_F_TMR_CN_PWMSYNC_POS) /**< CN_PWMSYNC_EN Setting */ + + #define MXC_F_TMR_CN_NOLHPOL_POS 10 /**< CN_NOLHPOL Position */ + #define MXC_F_TMR_CN_NOLHPOL ((uint32_t)(0x1UL << MXC_F_TMR_CN_NOLHPOL_POS)) /**< CN_NOLHPOL Mask */ + #define MXC_V_TMR_CN_NOLHPOL_DIS ((uint32_t)0x0UL) /**< CN_NOLHPOL_DIS Value */ + #define MXC_S_TMR_CN_NOLHPOL_DIS (MXC_V_TMR_CN_NOLHPOL_DIS << MXC_F_TMR_CN_NOLHPOL_POS) /**< CN_NOLHPOL_DIS Setting */ + #define MXC_V_TMR_CN_NOLHPOL_EN ((uint32_t)0x1UL) /**< CN_NOLHPOL_EN Value */ + #define MXC_S_TMR_CN_NOLHPOL_EN (MXC_V_TMR_CN_NOLHPOL_EN << MXC_F_TMR_CN_NOLHPOL_POS) /**< CN_NOLHPOL_EN Setting */ + + #define MXC_F_TMR_CN_NOLLPOL_POS 11 /**< CN_NOLLPOL Position */ + #define MXC_F_TMR_CN_NOLLPOL ((uint32_t)(0x1UL << MXC_F_TMR_CN_NOLLPOL_POS)) /**< CN_NOLLPOL Mask */ + #define MXC_V_TMR_CN_NOLLPOL_DIS ((uint32_t)0x0UL) /**< CN_NOLLPOL_DIS Value */ + #define MXC_S_TMR_CN_NOLLPOL_DIS (MXC_V_TMR_CN_NOLLPOL_DIS << MXC_F_TMR_CN_NOLLPOL_POS) /**< CN_NOLLPOL_DIS Setting */ + #define MXC_V_TMR_CN_NOLLPOL_EN ((uint32_t)0x1UL) /**< CN_NOLLPOL_EN Value */ + #define MXC_S_TMR_CN_NOLLPOL_EN (MXC_V_TMR_CN_NOLLPOL_EN << MXC_F_TMR_CN_NOLLPOL_POS) /**< CN_NOLLPOL_EN Setting */ + + #define MXC_F_TMR_CN_PWMCKBD_POS 12 /**< CN_PWMCKBD Position */ + #define MXC_F_TMR_CN_PWMCKBD ((uint32_t)(0x1UL << MXC_F_TMR_CN_PWMCKBD_POS)) /**< CN_PWMCKBD Mask */ + #define MXC_V_TMR_CN_PWMCKBD_DIS ((uint32_t)0x1UL) /**< CN_PWMCKBD_DIS Value */ + #define MXC_S_TMR_CN_PWMCKBD_DIS (MXC_V_TMR_CN_PWMCKBD_DIS << MXC_F_TMR_CN_PWMCKBD_POS) /**< CN_PWMCKBD_DIS Setting */ + #define MXC_V_TMR_CN_PWMCKBD_EN ((uint32_t)0x0UL) /**< CN_PWMCKBD_EN Value */ + #define MXC_S_TMR_CN_PWMCKBD_EN (MXC_V_TMR_CN_PWMCKBD_EN << MXC_F_TMR_CN_PWMCKBD_POS) /**< CN_PWMCKBD_EN Setting */ + +/**@} end of group TMR_CN_Register */ + +/** + * @ingroup tmr_registers + * @defgroup TMR_NOLCMP TMR_NOLCMP + * @brief Timer Non-Overlapping Compare Register. + * @{ + */ + #define MXC_F_TMR_NOLCMP_NOLLCMP_POS 0 /**< NOLCMP_NOLLCMP Position */ + #define MXC_F_TMR_NOLCMP_NOLLCMP ((uint32_t)(0xFFUL << MXC_F_TMR_NOLCMP_NOLLCMP_POS)) /**< NOLCMP_NOLLCMP Mask */ + + #define MXC_F_TMR_NOLCMP_NOLHCMP_POS 8 /**< NOLCMP_NOLHCMP Position */ + #define MXC_F_TMR_NOLCMP_NOLHCMP ((uint32_t)(0xFFUL << MXC_F_TMR_NOLCMP_NOLHCMP_POS)) /**< NOLCMP_NOLHCMP Mask */ + +/**@} end of group TMR_NOLCMP_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _TMR_REGS_H_ */ diff --git a/Firmware/SDK/Device/uart_regs.h b/Firmware/SDK/Device/uart_regs.h new file mode 100644 index 0000000..aaa2f5e --- /dev/null +++ b/Firmware/SDK/Device/uart_regs.h @@ -0,0 +1,450 @@ +/** + * @file uart_regs.h + * @brief Registers, Bit Masks and Bit Positions for the UART Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _UART_REGS_H_ +#define _UART_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup uart + * @defgroup uart_registers UART_Registers + * @brief Registers, Bit Masks and Bit Positions for the UART Peripheral Module. + * @details UART + */ + +/** + * @ingroup uart_registers + * Structure type to access the UART Registers. + */ +typedef struct { + __IO uint32_t ctrl; /**< \b 0x00: UART CTRL Register */ + __IO uint32_t thresh_ctrl; /**< \b 0x04: UART THRESH_CTRL Register */ + __I uint32_t status; /**< \b 0x08: UART STATUS Register */ + __IO uint32_t int_en; /**< \b 0x0C: UART INT_EN Register */ + __IO uint32_t int_fl; /**< \b 0x10: UART INT_FL Register */ + __IO uint32_t baud0; /**< \b 0x14: UART BAUD0 Register */ + __IO uint32_t baud1; /**< \b 0x18: UART BAUD1 Register */ + __IO uint32_t fifo; /**< \b 0x1C: UART FIFO Register */ + __IO uint32_t dma; /**< \b 0x20: UART DMA Register */ + __IO uint32_t tx_fifo; /**< \b 0x24: UART TX_FIFO Register */ +} mxc_uart_regs_t; + +/* Register offsets for module UART */ +/** + * @ingroup uart_registers + * @defgroup UART_Register_Offsets Register Offsets + * @brief UART Peripheral Register Offsets from the UART Base Peripheral Address. + * @{ + */ + #define MXC_R_UART_CTRL ((uint32_t)0x00000000UL) /**< Offset from UART Base Address: 0x0000 */ + #define MXC_R_UART_THRESH_CTRL ((uint32_t)0x00000004UL) /**< Offset from UART Base Address: 0x0004 */ + #define MXC_R_UART_STATUS ((uint32_t)0x00000008UL) /**< Offset from UART Base Address: 0x0008 */ + #define MXC_R_UART_INT_EN ((uint32_t)0x0000000CUL) /**< Offset from UART Base Address: 0x000C */ + #define MXC_R_UART_INT_FL ((uint32_t)0x00000010UL) /**< Offset from UART Base Address: 0x0010 */ + #define MXC_R_UART_BAUD0 ((uint32_t)0x00000014UL) /**< Offset from UART Base Address: 0x0014 */ + #define MXC_R_UART_BAUD1 ((uint32_t)0x00000018UL) /**< Offset from UART Base Address: 0x0018 */ + #define MXC_R_UART_FIFO ((uint32_t)0x0000001CUL) /**< Offset from UART Base Address: 0x001C */ + #define MXC_R_UART_DMA ((uint32_t)0x00000020UL) /**< Offset from UART Base Address: 0x0020 */ + #define MXC_R_UART_TX_FIFO ((uint32_t)0x00000024UL) /**< Offset from UART Base Address: 0x0024 */ +/**@} end of group uart_registers */ + +/** + * @ingroup uart_registers + * @defgroup UART_CTRL UART_CTRL + * @brief Control Register. + * @{ + */ + #define MXC_F_UART_CTRL_ENABLE_POS 0 /**< CTRL_ENABLE Position */ + #define MXC_F_UART_CTRL_ENABLE ((uint32_t)(0x1UL << MXC_F_UART_CTRL_ENABLE_POS)) /**< CTRL_ENABLE Mask */ + #define MXC_V_UART_CTRL_ENABLE_DIS ((uint32_t)0x0UL) /**< CTRL_ENABLE_DIS Value */ + #define MXC_S_UART_CTRL_ENABLE_DIS (MXC_V_UART_CTRL_ENABLE_DIS << MXC_F_UART_CTRL_ENABLE_POS) /**< CTRL_ENABLE_DIS Setting */ + #define MXC_V_UART_CTRL_ENABLE_EN ((uint32_t)0x1UL) /**< CTRL_ENABLE_EN Value */ + #define MXC_S_UART_CTRL_ENABLE_EN (MXC_V_UART_CTRL_ENABLE_EN << MXC_F_UART_CTRL_ENABLE_POS) /**< CTRL_ENABLE_EN Setting */ + + #define MXC_F_UART_CTRL_PARITY_EN_POS 1 /**< CTRL_PARITY_EN Position */ + #define MXC_F_UART_CTRL_PARITY_EN ((uint32_t)(0x1UL << MXC_F_UART_CTRL_PARITY_EN_POS)) /**< CTRL_PARITY_EN Mask */ + #define MXC_V_UART_CTRL_PARITY_EN_DIS ((uint32_t)0x0UL) /**< CTRL_PARITY_EN_DIS Value */ + #define MXC_S_UART_CTRL_PARITY_EN_DIS (MXC_V_UART_CTRL_PARITY_EN_DIS << MXC_F_UART_CTRL_PARITY_EN_POS) /**< CTRL_PARITY_EN_DIS Setting */ + #define MXC_V_UART_CTRL_PARITY_EN_EN ((uint32_t)0x1UL) /**< CTRL_PARITY_EN_EN Value */ + #define MXC_S_UART_CTRL_PARITY_EN_EN (MXC_V_UART_CTRL_PARITY_EN_EN << MXC_F_UART_CTRL_PARITY_EN_POS) /**< CTRL_PARITY_EN_EN Setting */ + + #define MXC_F_UART_CTRL_PARITY_POS 2 /**< CTRL_PARITY Position */ + #define MXC_F_UART_CTRL_PARITY ((uint32_t)(0x3UL << MXC_F_UART_CTRL_PARITY_POS)) /**< CTRL_PARITY Mask */ + #define MXC_V_UART_CTRL_PARITY_EVEN ((uint32_t)0x0UL) /**< CTRL_PARITY_EVEN Value */ + #define MXC_S_UART_CTRL_PARITY_EVEN (MXC_V_UART_CTRL_PARITY_EVEN << MXC_F_UART_CTRL_PARITY_POS) /**< CTRL_PARITY_EVEN Setting */ + #define MXC_V_UART_CTRL_PARITY_ODD ((uint32_t)0x1UL) /**< CTRL_PARITY_ODD Value */ + #define MXC_S_UART_CTRL_PARITY_ODD (MXC_V_UART_CTRL_PARITY_ODD << MXC_F_UART_CTRL_PARITY_POS) /**< CTRL_PARITY_ODD Setting */ + #define MXC_V_UART_CTRL_PARITY_MARK ((uint32_t)0x2UL) /**< CTRL_PARITY_MARK Value */ + #define MXC_S_UART_CTRL_PARITY_MARK (MXC_V_UART_CTRL_PARITY_MARK << MXC_F_UART_CTRL_PARITY_POS) /**< CTRL_PARITY_MARK Setting */ + #define MXC_V_UART_CTRL_PARITY_SPACE ((uint32_t)0x3UL) /**< CTRL_PARITY_SPACE Value */ + #define MXC_S_UART_CTRL_PARITY_SPACE (MXC_V_UART_CTRL_PARITY_SPACE << MXC_F_UART_CTRL_PARITY_POS) /**< CTRL_PARITY_SPACE Setting */ + + #define MXC_F_UART_CTRL_PARMD_POS 4 /**< CTRL_PARMD Position */ + #define MXC_F_UART_CTRL_PARMD ((uint32_t)(0x1UL << MXC_F_UART_CTRL_PARMD_POS)) /**< CTRL_PARMD Mask */ + #define MXC_V_UART_CTRL_PARMD_1 ((uint32_t)0x0UL) /**< CTRL_PARMD_1 Value */ + #define MXC_S_UART_CTRL_PARMD_1 (MXC_V_UART_CTRL_PARMD_1 << MXC_F_UART_CTRL_PARMD_POS) /**< CTRL_PARMD_1 Setting */ + #define MXC_V_UART_CTRL_PARMD_0 ((uint32_t)0x1UL) /**< CTRL_PARMD_0 Value */ + #define MXC_S_UART_CTRL_PARMD_0 (MXC_V_UART_CTRL_PARMD_0 << MXC_F_UART_CTRL_PARMD_POS) /**< CTRL_PARMD_0 Setting */ + + #define MXC_F_UART_CTRL_TX_FLUSH_POS 5 /**< CTRL_TX_FLUSH Position */ + #define MXC_F_UART_CTRL_TX_FLUSH ((uint32_t)(0x1UL << MXC_F_UART_CTRL_TX_FLUSH_POS)) /**< CTRL_TX_FLUSH Mask */ + + #define MXC_F_UART_CTRL_RX_FLUSH_POS 6 /**< CTRL_RX_FLUSH Position */ + #define MXC_F_UART_CTRL_RX_FLUSH ((uint32_t)(0x1UL << MXC_F_UART_CTRL_RX_FLUSH_POS)) /**< CTRL_RX_FLUSH Mask */ + + #define MXC_F_UART_CTRL_BITACC_POS 7 /**< CTRL_BITACC Position */ + #define MXC_F_UART_CTRL_BITACC ((uint32_t)(0x1UL << MXC_F_UART_CTRL_BITACC_POS)) /**< CTRL_BITACC Mask */ + #define MXC_V_UART_CTRL_BITACC_FRAME ((uint32_t)0x0UL) /**< CTRL_BITACC_FRAME Value */ + #define MXC_S_UART_CTRL_BITACC_FRAME (MXC_V_UART_CTRL_BITACC_FRAME << MXC_F_UART_CTRL_BITACC_POS) /**< CTRL_BITACC_FRAME Setting */ + #define MXC_V_UART_CTRL_BITACC_BIT ((uint32_t)0x1UL) /**< CTRL_BITACC_BIT Value */ + #define MXC_S_UART_CTRL_BITACC_BIT (MXC_V_UART_CTRL_BITACC_BIT << MXC_F_UART_CTRL_BITACC_POS) /**< CTRL_BITACC_BIT Setting */ + + #define MXC_F_UART_CTRL_CHAR_SIZE_POS 8 /**< CTRL_CHAR_SIZE Position */ + #define MXC_F_UART_CTRL_CHAR_SIZE ((uint32_t)(0x3UL << MXC_F_UART_CTRL_CHAR_SIZE_POS)) /**< CTRL_CHAR_SIZE Mask */ + #define MXC_V_UART_CTRL_CHAR_SIZE_5 ((uint32_t)0x0UL) /**< CTRL_CHAR_SIZE_5 Value */ + #define MXC_S_UART_CTRL_CHAR_SIZE_5 (MXC_V_UART_CTRL_CHAR_SIZE_5 << MXC_F_UART_CTRL_CHAR_SIZE_POS) /**< CTRL_CHAR_SIZE_5 Setting */ + #define MXC_V_UART_CTRL_CHAR_SIZE_6 ((uint32_t)0x1UL) /**< CTRL_CHAR_SIZE_6 Value */ + #define MXC_S_UART_CTRL_CHAR_SIZE_6 (MXC_V_UART_CTRL_CHAR_SIZE_6 << MXC_F_UART_CTRL_CHAR_SIZE_POS) /**< CTRL_CHAR_SIZE_6 Setting */ + #define MXC_V_UART_CTRL_CHAR_SIZE_7 ((uint32_t)0x2UL) /**< CTRL_CHAR_SIZE_7 Value */ + #define MXC_S_UART_CTRL_CHAR_SIZE_7 (MXC_V_UART_CTRL_CHAR_SIZE_7 << MXC_F_UART_CTRL_CHAR_SIZE_POS) /**< CTRL_CHAR_SIZE_7 Setting */ + #define MXC_V_UART_CTRL_CHAR_SIZE_8 ((uint32_t)0x3UL) /**< CTRL_CHAR_SIZE_8 Value */ + #define MXC_S_UART_CTRL_CHAR_SIZE_8 (MXC_V_UART_CTRL_CHAR_SIZE_8 << MXC_F_UART_CTRL_CHAR_SIZE_POS) /**< CTRL_CHAR_SIZE_8 Setting */ + + #define MXC_F_UART_CTRL_STOPBITS_POS 10 /**< CTRL_STOPBITS Position */ + #define MXC_F_UART_CTRL_STOPBITS ((uint32_t)(0x1UL << MXC_F_UART_CTRL_STOPBITS_POS)) /**< CTRL_STOPBITS Mask */ + #define MXC_V_UART_CTRL_STOPBITS_1 ((uint32_t)0x0UL) /**< CTRL_STOPBITS_1 Value */ + #define MXC_S_UART_CTRL_STOPBITS_1 (MXC_V_UART_CTRL_STOPBITS_1 << MXC_F_UART_CTRL_STOPBITS_POS) /**< CTRL_STOPBITS_1 Setting */ + #define MXC_V_UART_CTRL_STOPBITS_1_5 ((uint32_t)0x1UL) /**< CTRL_STOPBITS_1_5 Value */ + #define MXC_S_UART_CTRL_STOPBITS_1_5 (MXC_V_UART_CTRL_STOPBITS_1_5 << MXC_F_UART_CTRL_STOPBITS_POS) /**< CTRL_STOPBITS_1_5 Setting */ + + #define MXC_F_UART_CTRL_FLOW_CTRL_POS 11 /**< CTRL_FLOW_CTRL Position */ + #define MXC_F_UART_CTRL_FLOW_CTRL ((uint32_t)(0x1UL << MXC_F_UART_CTRL_FLOW_CTRL_POS)) /**< CTRL_FLOW_CTRL Mask */ + #define MXC_V_UART_CTRL_FLOW_CTRL_EN ((uint32_t)0x1UL) /**< CTRL_FLOW_CTRL_EN Value */ + #define MXC_S_UART_CTRL_FLOW_CTRL_EN (MXC_V_UART_CTRL_FLOW_CTRL_EN << MXC_F_UART_CTRL_FLOW_CTRL_POS) /**< CTRL_FLOW_CTRL_EN Setting */ + #define MXC_V_UART_CTRL_FLOW_CTRL_DIS ((uint32_t)0x0UL) /**< CTRL_FLOW_CTRL_DIS Value */ + #define MXC_S_UART_CTRL_FLOW_CTRL_DIS (MXC_V_UART_CTRL_FLOW_CTRL_DIS << MXC_F_UART_CTRL_FLOW_CTRL_POS) /**< CTRL_FLOW_CTRL_DIS Setting */ + + #define MXC_F_UART_CTRL_FLOW_POL_POS 12 /**< CTRL_FLOW_POL Position */ + #define MXC_F_UART_CTRL_FLOW_POL ((uint32_t)(0x1UL << MXC_F_UART_CTRL_FLOW_POL_POS)) /**< CTRL_FLOW_POL Mask */ + #define MXC_V_UART_CTRL_FLOW_POL_0 ((uint32_t)0x0UL) /**< CTRL_FLOW_POL_0 Value */ + #define MXC_S_UART_CTRL_FLOW_POL_0 (MXC_V_UART_CTRL_FLOW_POL_0 << MXC_F_UART_CTRL_FLOW_POL_POS) /**< CTRL_FLOW_POL_0 Setting */ + #define MXC_V_UART_CTRL_FLOW_POL_1 ((uint32_t)0x1UL) /**< CTRL_FLOW_POL_1 Value */ + #define MXC_S_UART_CTRL_FLOW_POL_1 (MXC_V_UART_CTRL_FLOW_POL_1 << MXC_F_UART_CTRL_FLOW_POL_POS) /**< CTRL_FLOW_POL_1 Setting */ + + #define MXC_F_UART_CTRL_NULL_MODEM_POS 13 /**< CTRL_NULL_MODEM Position */ + #define MXC_F_UART_CTRL_NULL_MODEM ((uint32_t)(0x1UL << MXC_F_UART_CTRL_NULL_MODEM_POS)) /**< CTRL_NULL_MODEM Mask */ + #define MXC_V_UART_CTRL_NULL_MODEM_DIS ((uint32_t)0x0UL) /**< CTRL_NULL_MODEM_DIS Value */ + #define MXC_S_UART_CTRL_NULL_MODEM_DIS (MXC_V_UART_CTRL_NULL_MODEM_DIS << MXC_F_UART_CTRL_NULL_MODEM_POS) /**< CTRL_NULL_MODEM_DIS Setting */ + #define MXC_V_UART_CTRL_NULL_MODEM_EN ((uint32_t)0x1UL) /**< CTRL_NULL_MODEM_EN Value */ + #define MXC_S_UART_CTRL_NULL_MODEM_EN (MXC_V_UART_CTRL_NULL_MODEM_EN << MXC_F_UART_CTRL_NULL_MODEM_POS) /**< CTRL_NULL_MODEM_EN Setting */ + + #define MXC_F_UART_CTRL_BREAK_POS 14 /**< CTRL_BREAK Position */ + #define MXC_F_UART_CTRL_BREAK ((uint32_t)(0x1UL << MXC_F_UART_CTRL_BREAK_POS)) /**< CTRL_BREAK Mask */ + #define MXC_V_UART_CTRL_BREAK_DIS ((uint32_t)0x0UL) /**< CTRL_BREAK_DIS Value */ + #define MXC_S_UART_CTRL_BREAK_DIS (MXC_V_UART_CTRL_BREAK_DIS << MXC_F_UART_CTRL_BREAK_POS) /**< CTRL_BREAK_DIS Setting */ + #define MXC_V_UART_CTRL_BREAK_EN ((uint32_t)0x1UL) /**< CTRL_BREAK_EN Value */ + #define MXC_S_UART_CTRL_BREAK_EN (MXC_V_UART_CTRL_BREAK_EN << MXC_F_UART_CTRL_BREAK_POS) /**< CTRL_BREAK_EN Setting */ + + #define MXC_F_UART_CTRL_CLKSEL_POS 15 /**< CTRL_CLKSEL Position */ + #define MXC_F_UART_CTRL_CLKSEL ((uint32_t)(0x1UL << MXC_F_UART_CTRL_CLKSEL_POS)) /**< CTRL_CLKSEL Mask */ + #define MXC_V_UART_CTRL_CLKSEL_SYSTEM ((uint32_t)0x0UL) /**< CTRL_CLKSEL_SYSTEM Value */ + #define MXC_S_UART_CTRL_CLKSEL_SYSTEM (MXC_V_UART_CTRL_CLKSEL_SYSTEM << MXC_F_UART_CTRL_CLKSEL_POS) /**< CTRL_CLKSEL_SYSTEM Setting */ + #define MXC_V_UART_CTRL_CLKSEL_ALTERNATE ((uint32_t)0x1UL) /**< CTRL_CLKSEL_ALTERNATE Value */ + #define MXC_S_UART_CTRL_CLKSEL_ALTERNATE (MXC_V_UART_CTRL_CLKSEL_ALTERNATE << MXC_F_UART_CTRL_CLKSEL_POS) /**< CTRL_CLKSEL_ALTERNATE Setting */ + + #define MXC_F_UART_CTRL_RX_TO_POS 16 /**< CTRL_RX_TO Position */ + #define MXC_F_UART_CTRL_RX_TO ((uint32_t)(0xFFUL << MXC_F_UART_CTRL_RX_TO_POS)) /**< CTRL_RX_TO Mask */ + +/**@} end of group UART_CTRL_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_THRESH_CTRL UART_THRESH_CTRL + * @brief Threshold Control register. + * @{ + */ + #define MXC_F_UART_THRESH_CTRL_RX_FIFO_THRESH_POS 0 /**< THRESH_CTRL_RX_FIFO_THRESH Position */ + #define MXC_F_UART_THRESH_CTRL_RX_FIFO_THRESH ((uint32_t)(0x3FUL << MXC_F_UART_THRESH_CTRL_RX_FIFO_THRESH_POS)) /**< THRESH_CTRL_RX_FIFO_THRESH Mask */ + + #define MXC_F_UART_THRESH_CTRL_TX_FIFO_THRESH_POS 8 /**< THRESH_CTRL_TX_FIFO_THRESH Position */ + #define MXC_F_UART_THRESH_CTRL_TX_FIFO_THRESH ((uint32_t)(0x3FUL << MXC_F_UART_THRESH_CTRL_TX_FIFO_THRESH_POS)) /**< THRESH_CTRL_TX_FIFO_THRESH Mask */ + + #define MXC_F_UART_THRESH_CTRL_RTS_FIFO_THRESH_POS 16 /**< THRESH_CTRL_RTS_FIFO_THRESH Position */ + #define MXC_F_UART_THRESH_CTRL_RTS_FIFO_THRESH ((uint32_t)(0x3FUL << MXC_F_UART_THRESH_CTRL_RTS_FIFO_THRESH_POS)) /**< THRESH_CTRL_RTS_FIFO_THRESH Mask */ + +/**@} end of group UART_THRESH_CTRL_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_STATUS UART_STATUS + * @brief Status Register. + * @{ + */ + #define MXC_F_UART_STATUS_TX_BUSY_POS 0 /**< STATUS_TX_BUSY Position */ + #define MXC_F_UART_STATUS_TX_BUSY ((uint32_t)(0x1UL << MXC_F_UART_STATUS_TX_BUSY_POS)) /**< STATUS_TX_BUSY Mask */ + + #define MXC_F_UART_STATUS_RX_BUSY_POS 1 /**< STATUS_RX_BUSY Position */ + #define MXC_F_UART_STATUS_RX_BUSY ((uint32_t)(0x1UL << MXC_F_UART_STATUS_RX_BUSY_POS)) /**< STATUS_RX_BUSY Mask */ + + #define MXC_F_UART_STATUS_PARITY_POS 2 /**< STATUS_PARITY Position */ + #define MXC_F_UART_STATUS_PARITY ((uint32_t)(0x1UL << MXC_F_UART_STATUS_PARITY_POS)) /**< STATUS_PARITY Mask */ + + #define MXC_F_UART_STATUS_BREAK_POS 3 /**< STATUS_BREAK Position */ + #define MXC_F_UART_STATUS_BREAK ((uint32_t)(0x1UL << MXC_F_UART_STATUS_BREAK_POS)) /**< STATUS_BREAK Mask */ + + #define MXC_F_UART_STATUS_RX_EMPTY_POS 4 /**< STATUS_RX_EMPTY Position */ + #define MXC_F_UART_STATUS_RX_EMPTY ((uint32_t)(0x1UL << MXC_F_UART_STATUS_RX_EMPTY_POS)) /**< STATUS_RX_EMPTY Mask */ + + #define MXC_F_UART_STATUS_RX_FULL_POS 5 /**< STATUS_RX_FULL Position */ + #define MXC_F_UART_STATUS_RX_FULL ((uint32_t)(0x1UL << MXC_F_UART_STATUS_RX_FULL_POS)) /**< STATUS_RX_FULL Mask */ + + #define MXC_F_UART_STATUS_TX_EMPTY_POS 6 /**< STATUS_TX_EMPTY Position */ + #define MXC_F_UART_STATUS_TX_EMPTY ((uint32_t)(0x1UL << MXC_F_UART_STATUS_TX_EMPTY_POS)) /**< STATUS_TX_EMPTY Mask */ + + #define MXC_F_UART_STATUS_TX_FULL_POS 7 /**< STATUS_TX_FULL Position */ + #define MXC_F_UART_STATUS_TX_FULL ((uint32_t)(0x1UL << MXC_F_UART_STATUS_TX_FULL_POS)) /**< STATUS_TX_FULL Mask */ + + #define MXC_F_UART_STATUS_RX_FIFO_CNT_POS 8 /**< STATUS_RX_FIFO_CNT Position */ + #define MXC_F_UART_STATUS_RX_FIFO_CNT ((uint32_t)(0x3FUL << MXC_F_UART_STATUS_RX_FIFO_CNT_POS)) /**< STATUS_RX_FIFO_CNT Mask */ + + #define MXC_F_UART_STATUS_TX_FIFO_CNT_POS 16 /**< STATUS_TX_FIFO_CNT Position */ + #define MXC_F_UART_STATUS_TX_FIFO_CNT ((uint32_t)(0x3FUL << MXC_F_UART_STATUS_TX_FIFO_CNT_POS)) /**< STATUS_TX_FIFO_CNT Mask */ + + #define MXC_F_UART_STATUS_RX_TO_POS 24 /**< STATUS_RX_TO Position */ + #define MXC_F_UART_STATUS_RX_TO ((uint32_t)(0x1UL << MXC_F_UART_STATUS_RX_TO_POS)) /**< STATUS_RX_TO Mask */ + +/**@} end of group UART_STATUS_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_INT_EN UART_INT_EN + * @brief Interrupt Enable Register. + * @{ + */ + #define MXC_F_UART_INT_EN_RX_FRAME_ERROR_POS 0 /**< INT_EN_RX_FRAME_ERROR Position */ + #define MXC_F_UART_INT_EN_RX_FRAME_ERROR ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_RX_FRAME_ERROR_POS)) /**< INT_EN_RX_FRAME_ERROR Mask */ + + #define MXC_F_UART_INT_EN_RX_PARITY_ERROR_POS 1 /**< INT_EN_RX_PARITY_ERROR Position */ + #define MXC_F_UART_INT_EN_RX_PARITY_ERROR ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_RX_PARITY_ERROR_POS)) /**< INT_EN_RX_PARITY_ERROR Mask */ + + #define MXC_F_UART_INT_EN_CTS_CHANGE_POS 2 /**< INT_EN_CTS_CHANGE Position */ + #define MXC_F_UART_INT_EN_CTS_CHANGE ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_CTS_CHANGE_POS)) /**< INT_EN_CTS_CHANGE Mask */ + + #define MXC_F_UART_INT_EN_RX_OVERRUN_POS 3 /**< INT_EN_RX_OVERRUN Position */ + #define MXC_F_UART_INT_EN_RX_OVERRUN ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_RX_OVERRUN_POS)) /**< INT_EN_RX_OVERRUN Mask */ + + #define MXC_F_UART_INT_EN_RX_FIFO_THRESH_POS 4 /**< INT_EN_RX_FIFO_THRESH Position */ + #define MXC_F_UART_INT_EN_RX_FIFO_THRESH ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_RX_FIFO_THRESH_POS)) /**< INT_EN_RX_FIFO_THRESH Mask */ + + #define MXC_F_UART_INT_EN_TX_FIFO_ALMOST_EMPTY_POS 5 /**< INT_EN_TX_FIFO_ALMOST_EMPTY Position */ + #define MXC_F_UART_INT_EN_TX_FIFO_ALMOST_EMPTY ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_TX_FIFO_ALMOST_EMPTY_POS)) /**< INT_EN_TX_FIFO_ALMOST_EMPTY Mask */ + + #define MXC_F_UART_INT_EN_TX_FIFO_THRESH_POS 6 /**< INT_EN_TX_FIFO_THRESH Position */ + #define MXC_F_UART_INT_EN_TX_FIFO_THRESH ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_TX_FIFO_THRESH_POS)) /**< INT_EN_TX_FIFO_THRESH Mask */ + + #define MXC_F_UART_INT_EN_BREAK_POS 7 /**< INT_EN_BREAK Position */ + #define MXC_F_UART_INT_EN_BREAK ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_BREAK_POS)) /**< INT_EN_BREAK Mask */ + + #define MXC_F_UART_INT_EN_RX_TIMEOUT_POS 8 /**< INT_EN_RX_TIMEOUT Position */ + #define MXC_F_UART_INT_EN_RX_TIMEOUT ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_RX_TIMEOUT_POS)) /**< INT_EN_RX_TIMEOUT Mask */ + + #define MXC_F_UART_INT_EN_LAST_BREAK_POS 9 /**< INT_EN_LAST_BREAK Position */ + #define MXC_F_UART_INT_EN_LAST_BREAK ((uint32_t)(0x1UL << MXC_F_UART_INT_EN_LAST_BREAK_POS)) /**< INT_EN_LAST_BREAK Mask */ + +/**@} end of group UART_INT_EN_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_INT_FL UART_INT_FL + * @brief Interrupt Status Flags. + * @{ + */ + #define MXC_F_UART_INT_FL_RX_FRAME_ERROR_POS 0 /**< INT_FL_RX_FRAME_ERROR Position */ + #define MXC_F_UART_INT_FL_RX_FRAME_ERROR ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_RX_FRAME_ERROR_POS)) /**< INT_FL_RX_FRAME_ERROR Mask */ + + #define MXC_F_UART_INT_FL_RX_PARITY_ERROR_POS 1 /**< INT_FL_RX_PARITY_ERROR Position */ + #define MXC_F_UART_INT_FL_RX_PARITY_ERROR ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_RX_PARITY_ERROR_POS)) /**< INT_FL_RX_PARITY_ERROR Mask */ + + #define MXC_F_UART_INT_FL_CTS_CHANGE_POS 2 /**< INT_FL_CTS_CHANGE Position */ + #define MXC_F_UART_INT_FL_CTS_CHANGE ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_CTS_CHANGE_POS)) /**< INT_FL_CTS_CHANGE Mask */ + + #define MXC_F_UART_INT_FL_RX_OVERRUN_POS 3 /**< INT_FL_RX_OVERRUN Position */ + #define MXC_F_UART_INT_FL_RX_OVERRUN ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_RX_OVERRUN_POS)) /**< INT_FL_RX_OVERRUN Mask */ + + #define MXC_F_UART_INT_FL_RX_FIFO_THRESH_POS 4 /**< INT_FL_RX_FIFO_THRESH Position */ + #define MXC_F_UART_INT_FL_RX_FIFO_THRESH ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_RX_FIFO_THRESH_POS)) /**< INT_FL_RX_FIFO_THRESH Mask */ + + #define MXC_F_UART_INT_FL_TX_FIFO_ALMOST_EMPTY_POS 5 /**< INT_FL_TX_FIFO_ALMOST_EMPTY Position */ + #define MXC_F_UART_INT_FL_TX_FIFO_ALMOST_EMPTY ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_TX_FIFO_ALMOST_EMPTY_POS)) /**< INT_FL_TX_FIFO_ALMOST_EMPTY Mask */ + + #define MXC_F_UART_INT_FL_TX_FIFO_THRESH_POS 6 /**< INT_FL_TX_FIFO_THRESH Position */ + #define MXC_F_UART_INT_FL_TX_FIFO_THRESH ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_TX_FIFO_THRESH_POS)) /**< INT_FL_TX_FIFO_THRESH Mask */ + + #define MXC_F_UART_INT_FL_BREAK_POS 7 /**< INT_FL_BREAK Position */ + #define MXC_F_UART_INT_FL_BREAK ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_BREAK_POS)) /**< INT_FL_BREAK Mask */ + + #define MXC_F_UART_INT_FL_RX_TIMEOUT_POS 8 /**< INT_FL_RX_TIMEOUT Position */ + #define MXC_F_UART_INT_FL_RX_TIMEOUT ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_RX_TIMEOUT_POS)) /**< INT_FL_RX_TIMEOUT Mask */ + + #define MXC_F_UART_INT_FL_LAST_BREAK_POS 9 /**< INT_FL_LAST_BREAK Position */ + #define MXC_F_UART_INT_FL_LAST_BREAK ((uint32_t)(0x1UL << MXC_F_UART_INT_FL_LAST_BREAK_POS)) /**< INT_FL_LAST_BREAK Mask */ + +/**@} end of group UART_INT_FL_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_BAUD0 UART_BAUD0 + * @brief Baud rate register. Integer portion. + * @{ + */ + #define MXC_F_UART_BAUD0_IBAUD_POS 0 /**< BAUD0_IBAUD Position */ + #define MXC_F_UART_BAUD0_IBAUD ((uint32_t)(0xFFFUL << MXC_F_UART_BAUD0_IBAUD_POS)) /**< BAUD0_IBAUD Mask */ + + #define MXC_F_UART_BAUD0_FACTOR_POS 16 /**< BAUD0_FACTOR Position */ + #define MXC_F_UART_BAUD0_FACTOR ((uint32_t)(0x3UL << MXC_F_UART_BAUD0_FACTOR_POS)) /**< BAUD0_FACTOR Mask */ + #define MXC_V_UART_BAUD0_FACTOR_128 ((uint32_t)0x0UL) /**< BAUD0_FACTOR_128 Value */ + #define MXC_S_UART_BAUD0_FACTOR_128 (MXC_V_UART_BAUD0_FACTOR_128 << MXC_F_UART_BAUD0_FACTOR_POS) /**< BAUD0_FACTOR_128 Setting */ + #define MXC_V_UART_BAUD0_FACTOR_64 ((uint32_t)0x1UL) /**< BAUD0_FACTOR_64 Value */ + #define MXC_S_UART_BAUD0_FACTOR_64 (MXC_V_UART_BAUD0_FACTOR_64 << MXC_F_UART_BAUD0_FACTOR_POS) /**< BAUD0_FACTOR_64 Setting */ + #define MXC_V_UART_BAUD0_FACTOR_32 ((uint32_t)0x2UL) /**< BAUD0_FACTOR_32 Value */ + #define MXC_S_UART_BAUD0_FACTOR_32 (MXC_V_UART_BAUD0_FACTOR_32 << MXC_F_UART_BAUD0_FACTOR_POS) /**< BAUD0_FACTOR_32 Setting */ + #define MXC_V_UART_BAUD0_FACTOR_16 ((uint32_t)0x3UL) /**< BAUD0_FACTOR_16 Value */ + #define MXC_S_UART_BAUD0_FACTOR_16 (MXC_V_UART_BAUD0_FACTOR_16 << MXC_F_UART_BAUD0_FACTOR_POS) /**< BAUD0_FACTOR_16 Setting */ + +/**@} end of group UART_BAUD0_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_BAUD1 UART_BAUD1 + * @brief Baud rate register. Decimal Setting. + * @{ + */ + #define MXC_F_UART_BAUD1_DBAUD_POS 0 /**< BAUD1_DBAUD Position */ + #define MXC_F_UART_BAUD1_DBAUD ((uint32_t)(0xFFFUL << MXC_F_UART_BAUD1_DBAUD_POS)) /**< BAUD1_DBAUD Mask */ + +/**@} end of group UART_BAUD1_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_FIFO UART_FIFO + * @brief FIFO Data buffer. + * @{ + */ + #define MXC_F_UART_FIFO_FIFO_POS 0 /**< FIFO_FIFO Position */ + #define MXC_F_UART_FIFO_FIFO ((uint32_t)(0xFFUL << MXC_F_UART_FIFO_FIFO_POS)) /**< FIFO_FIFO Mask */ + +/**@} end of group UART_FIFO_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_DMA UART_DMA + * @brief DMA Configuration. + * @{ + */ + #define MXC_F_UART_DMA_TDMA_EN_POS 0 /**< DMA_TDMA_EN Position */ + #define MXC_F_UART_DMA_TDMA_EN ((uint32_t)(0x1UL << MXC_F_UART_DMA_TDMA_EN_POS)) /**< DMA_TDMA_EN Mask */ + #define MXC_V_UART_DMA_TDMA_EN_DIS ((uint32_t)0x0UL) /**< DMA_TDMA_EN_DIS Value */ + #define MXC_S_UART_DMA_TDMA_EN_DIS (MXC_V_UART_DMA_TDMA_EN_DIS << MXC_F_UART_DMA_TDMA_EN_POS) /**< DMA_TDMA_EN_DIS Setting */ + #define MXC_V_UART_DMA_TDMA_EN_EN ((uint32_t)0x1UL) /**< DMA_TDMA_EN_EN Value */ + #define MXC_S_UART_DMA_TDMA_EN_EN (MXC_V_UART_DMA_TDMA_EN_EN << MXC_F_UART_DMA_TDMA_EN_POS) /**< DMA_TDMA_EN_EN Setting */ + + #define MXC_F_UART_DMA_RXDMA_EN_POS 1 /**< DMA_RXDMA_EN Position */ + #define MXC_F_UART_DMA_RXDMA_EN ((uint32_t)(0x1UL << MXC_F_UART_DMA_RXDMA_EN_POS)) /**< DMA_RXDMA_EN Mask */ + #define MXC_V_UART_DMA_RXDMA_EN_DIS ((uint32_t)0x0UL) /**< DMA_RXDMA_EN_DIS Value */ + #define MXC_S_UART_DMA_RXDMA_EN_DIS (MXC_V_UART_DMA_RXDMA_EN_DIS << MXC_F_UART_DMA_RXDMA_EN_POS) /**< DMA_RXDMA_EN_DIS Setting */ + #define MXC_V_UART_DMA_RXDMA_EN_EN ((uint32_t)0x1UL) /**< DMA_RXDMA_EN_EN Value */ + #define MXC_S_UART_DMA_RXDMA_EN_EN (MXC_V_UART_DMA_RXDMA_EN_EN << MXC_F_UART_DMA_RXDMA_EN_POS) /**< DMA_RXDMA_EN_EN Setting */ + + #define MXC_F_UART_DMA_TXDMA_LEVEL_POS 8 /**< DMA_TXDMA_LEVEL Position */ + #define MXC_F_UART_DMA_TXDMA_LEVEL ((uint32_t)(0x3FUL << MXC_F_UART_DMA_TXDMA_LEVEL_POS)) /**< DMA_TXDMA_LEVEL Mask */ + + #define MXC_F_UART_DMA_RXDMA_LEVEL_POS 16 /**< DMA_RXDMA_LEVEL Position */ + #define MXC_F_UART_DMA_RXDMA_LEVEL ((uint32_t)(0x3FUL << MXC_F_UART_DMA_RXDMA_LEVEL_POS)) /**< DMA_RXDMA_LEVEL Mask */ + +/**@} end of group UART_DMA_Register */ + +/** + * @ingroup uart_registers + * @defgroup UART_TX_FIFO UART_TX_FIFO + * @brief Transmit FIFO Status register. + * @{ + */ + #define MXC_F_UART_TX_FIFO_DATA_POS 0 /**< TX_FIFO_DATA Position */ + #define MXC_F_UART_TX_FIFO_DATA ((uint32_t)(0x7FUL << MXC_F_UART_TX_FIFO_DATA_POS)) /**< TX_FIFO_DATA Mask */ + +/**@} end of group UART_TX_FIFO_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _UART_REGS_H_ */ diff --git a/Firmware/SDK/Device/wdt_regs.h b/Firmware/SDK/Device/wdt_regs.h new file mode 100644 index 0000000..e993307 --- /dev/null +++ b/Firmware/SDK/Device/wdt_regs.h @@ -0,0 +1,236 @@ +/** + * @file wdt_regs.h + * @brief Registers, Bit Masks and Bit Positions for the WDT Peripheral Module. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + *************************************************************************** */ + +#ifndef _WDT_REGS_H_ +#define _WDT_REGS_H_ + +/* **** Includes **** */ +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined (__ICCARM__) + #pragma system_include +#endif + +#if defined (__CC_ARM) + #pragma anon_unions +#endif +/// @cond +/* + If types are not defined elsewhere (CMSIS) define them here +*/ +#ifndef __IO +#define __IO volatile +#endif +#ifndef __I +#define __I volatile const +#endif +#ifndef __O +#define __O volatile +#endif +#ifndef __R +#define __R volatile const +#endif +/// @endcond + +/* **** Definitions **** */ + +/** + * @ingroup wdt + * @defgroup wdt_registers WDT_Registers + * @brief Registers, Bit Masks and Bit Positions for the WDT Peripheral Module. + * @details Watchdog Timer 0 + */ + +/** + * @ingroup wdt_registers + * Structure type to access the WDT Registers. + */ +typedef struct { + __IO uint32_t ctrl; /**< \b 0x00: WDT CTRL Register */ + __O uint32_t rst; /**< \b 0x04: WDT RST Register */ +} mxc_wdt_regs_t; + +/* Register offsets for module WDT */ +/** + * @ingroup wdt_registers + * @defgroup WDT_Register_Offsets Register Offsets + * @brief WDT Peripheral Register Offsets from the WDT Base Peripheral Address. + * @{ + */ + #define MXC_R_WDT_CTRL ((uint32_t)0x00000000UL) /**< Offset from WDT Base Address: 0x0000 */ + #define MXC_R_WDT_RST ((uint32_t)0x00000004UL) /**< Offset from WDT Base Address: 0x0004 */ +/**@} end of group wdt_registers */ + +/** + * @ingroup wdt_registers + * @defgroup WDT_CTRL WDT_CTRL + * @brief Watchdog Timer Control Register. + * @{ + */ + #define MXC_F_WDT_CTRL_INT_PERIOD_POS 0 /**< CTRL_INT_PERIOD Position */ + #define MXC_F_WDT_CTRL_INT_PERIOD ((uint32_t)(0xFUL << MXC_F_WDT_CTRL_INT_PERIOD_POS)) /**< CTRL_INT_PERIOD Mask */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW31 ((uint32_t)0x0UL) /**< CTRL_INT_PERIOD_WDT2POW31 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW31 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW31 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW31 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW30 ((uint32_t)0x1UL) /**< CTRL_INT_PERIOD_WDT2POW30 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW30 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW30 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW30 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW29 ((uint32_t)0x2UL) /**< CTRL_INT_PERIOD_WDT2POW29 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW29 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW29 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW29 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW28 ((uint32_t)0x3UL) /**< CTRL_INT_PERIOD_WDT2POW28 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW28 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW28 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW28 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW27 ((uint32_t)0x4UL) /**< CTRL_INT_PERIOD_WDT2POW27 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW27 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW27 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW27 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW26 ((uint32_t)0x5UL) /**< CTRL_INT_PERIOD_WDT2POW26 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW26 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW26 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW26 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW25 ((uint32_t)0x6UL) /**< CTRL_INT_PERIOD_WDT2POW25 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW25 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW25 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW25 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW24 ((uint32_t)0x7UL) /**< CTRL_INT_PERIOD_WDT2POW24 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW24 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW24 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW24 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW23 ((uint32_t)0x8UL) /**< CTRL_INT_PERIOD_WDT2POW23 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW23 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW23 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW23 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW22 ((uint32_t)0x9UL) /**< CTRL_INT_PERIOD_WDT2POW22 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW22 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW22 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW22 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW21 ((uint32_t)0xAUL) /**< CTRL_INT_PERIOD_WDT2POW21 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW21 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW21 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW21 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW20 ((uint32_t)0xBUL) /**< CTRL_INT_PERIOD_WDT2POW20 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW20 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW20 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW20 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW19 ((uint32_t)0xCUL) /**< CTRL_INT_PERIOD_WDT2POW19 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW19 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW19 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW19 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW18 ((uint32_t)0xDUL) /**< CTRL_INT_PERIOD_WDT2POW18 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW18 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW18 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW18 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW17 ((uint32_t)0xEUL) /**< CTRL_INT_PERIOD_WDT2POW17 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW17 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW17 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW17 Setting */ + #define MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW16 ((uint32_t)0xFUL) /**< CTRL_INT_PERIOD_WDT2POW16 Value */ + #define MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW16 (MXC_V_WDT_CTRL_INT_PERIOD_WDT2POW16 << MXC_F_WDT_CTRL_INT_PERIOD_POS) /**< CTRL_INT_PERIOD_WDT2POW16 Setting */ + + #define MXC_F_WDT_CTRL_RST_PERIOD_POS 4 /**< CTRL_RST_PERIOD Position */ + #define MXC_F_WDT_CTRL_RST_PERIOD ((uint32_t)(0xFUL << MXC_F_WDT_CTRL_RST_PERIOD_POS)) /**< CTRL_RST_PERIOD Mask */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW31 ((uint32_t)0x0UL) /**< CTRL_RST_PERIOD_WDT2POW31 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW31 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW31 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW31 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW30 ((uint32_t)0x1UL) /**< CTRL_RST_PERIOD_WDT2POW30 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW30 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW30 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW30 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW29 ((uint32_t)0x2UL) /**< CTRL_RST_PERIOD_WDT2POW29 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW29 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW29 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW29 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW28 ((uint32_t)0x3UL) /**< CTRL_RST_PERIOD_WDT2POW28 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW28 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW28 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW28 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW27 ((uint32_t)0x4UL) /**< CTRL_RST_PERIOD_WDT2POW27 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW27 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW27 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW27 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW26 ((uint32_t)0x5UL) /**< CTRL_RST_PERIOD_WDT2POW26 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW26 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW26 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW26 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW25 ((uint32_t)0x6UL) /**< CTRL_RST_PERIOD_WDT2POW25 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW25 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW25 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW25 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW24 ((uint32_t)0x7UL) /**< CTRL_RST_PERIOD_WDT2POW24 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW24 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW24 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW24 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW23 ((uint32_t)0x8UL) /**< CTRL_RST_PERIOD_WDT2POW23 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW23 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW23 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW23 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW22 ((uint32_t)0x9UL) /**< CTRL_RST_PERIOD_WDT2POW22 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW22 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW22 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW22 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW21 ((uint32_t)0xAUL) /**< CTRL_RST_PERIOD_WDT2POW21 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW21 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW21 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW21 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW20 ((uint32_t)0xBUL) /**< CTRL_RST_PERIOD_WDT2POW20 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW20 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW20 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW20 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW19 ((uint32_t)0xCUL) /**< CTRL_RST_PERIOD_WDT2POW19 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW19 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW19 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW19 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW18 ((uint32_t)0xDUL) /**< CTRL_RST_PERIOD_WDT2POW18 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW18 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW18 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW18 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW17 ((uint32_t)0xEUL) /**< CTRL_RST_PERIOD_WDT2POW17 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW17 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW17 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW17 Setting */ + #define MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW16 ((uint32_t)0xFUL) /**< CTRL_RST_PERIOD_WDT2POW16 Value */ + #define MXC_S_WDT_CTRL_RST_PERIOD_WDT2POW16 (MXC_V_WDT_CTRL_RST_PERIOD_WDT2POW16 << MXC_F_WDT_CTRL_RST_PERIOD_POS) /**< CTRL_RST_PERIOD_WDT2POW16 Setting */ + + #define MXC_F_WDT_CTRL_WDT_EN_POS 8 /**< CTRL_WDT_EN Position */ + #define MXC_F_WDT_CTRL_WDT_EN ((uint32_t)(0x1UL << MXC_F_WDT_CTRL_WDT_EN_POS)) /**< CTRL_WDT_EN Mask */ + #define MXC_V_WDT_CTRL_WDT_EN_DIS ((uint32_t)0x0UL) /**< CTRL_WDT_EN_DIS Value */ + #define MXC_S_WDT_CTRL_WDT_EN_DIS (MXC_V_WDT_CTRL_WDT_EN_DIS << MXC_F_WDT_CTRL_WDT_EN_POS) /**< CTRL_WDT_EN_DIS Setting */ + #define MXC_V_WDT_CTRL_WDT_EN_EN ((uint32_t)0x1UL) /**< CTRL_WDT_EN_EN Value */ + #define MXC_S_WDT_CTRL_WDT_EN_EN (MXC_V_WDT_CTRL_WDT_EN_EN << MXC_F_WDT_CTRL_WDT_EN_POS) /**< CTRL_WDT_EN_EN Setting */ + + #define MXC_F_WDT_CTRL_INT_FLAG_POS 9 /**< CTRL_INT_FLAG Position */ + #define MXC_F_WDT_CTRL_INT_FLAG ((uint32_t)(0x1UL << MXC_F_WDT_CTRL_INT_FLAG_POS)) /**< CTRL_INT_FLAG Mask */ + #define MXC_V_WDT_CTRL_INT_FLAG_INACTIVE ((uint32_t)0x0UL) /**< CTRL_INT_FLAG_INACTIVE Value */ + #define MXC_S_WDT_CTRL_INT_FLAG_INACTIVE (MXC_V_WDT_CTRL_INT_FLAG_INACTIVE << MXC_F_WDT_CTRL_INT_FLAG_POS) /**< CTRL_INT_FLAG_INACTIVE Setting */ + #define MXC_V_WDT_CTRL_INT_FLAG_PENDING ((uint32_t)0x1UL) /**< CTRL_INT_FLAG_PENDING Value */ + #define MXC_S_WDT_CTRL_INT_FLAG_PENDING (MXC_V_WDT_CTRL_INT_FLAG_PENDING << MXC_F_WDT_CTRL_INT_FLAG_POS) /**< CTRL_INT_FLAG_PENDING Setting */ + + #define MXC_F_WDT_CTRL_INT_EN_POS 10 /**< CTRL_INT_EN Position */ + #define MXC_F_WDT_CTRL_INT_EN ((uint32_t)(0x1UL << MXC_F_WDT_CTRL_INT_EN_POS)) /**< CTRL_INT_EN Mask */ + #define MXC_V_WDT_CTRL_INT_EN_DIS ((uint32_t)0x0UL) /**< CTRL_INT_EN_DIS Value */ + #define MXC_S_WDT_CTRL_INT_EN_DIS (MXC_V_WDT_CTRL_INT_EN_DIS << MXC_F_WDT_CTRL_INT_EN_POS) /**< CTRL_INT_EN_DIS Setting */ + #define MXC_V_WDT_CTRL_INT_EN_EN ((uint32_t)0x1UL) /**< CTRL_INT_EN_EN Value */ + #define MXC_S_WDT_CTRL_INT_EN_EN (MXC_V_WDT_CTRL_INT_EN_EN << MXC_F_WDT_CTRL_INT_EN_POS) /**< CTRL_INT_EN_EN Setting */ + + #define MXC_F_WDT_CTRL_RST_EN_POS 11 /**< CTRL_RST_EN Position */ + #define MXC_F_WDT_CTRL_RST_EN ((uint32_t)(0x1UL << MXC_F_WDT_CTRL_RST_EN_POS)) /**< CTRL_RST_EN Mask */ + #define MXC_V_WDT_CTRL_RST_EN_DIS ((uint32_t)0x0UL) /**< CTRL_RST_EN_DIS Value */ + #define MXC_S_WDT_CTRL_RST_EN_DIS (MXC_V_WDT_CTRL_RST_EN_DIS << MXC_F_WDT_CTRL_RST_EN_POS) /**< CTRL_RST_EN_DIS Setting */ + #define MXC_V_WDT_CTRL_RST_EN_EN ((uint32_t)0x1UL) /**< CTRL_RST_EN_EN Value */ + #define MXC_S_WDT_CTRL_RST_EN_EN (MXC_V_WDT_CTRL_RST_EN_EN << MXC_F_WDT_CTRL_RST_EN_POS) /**< CTRL_RST_EN_EN Setting */ + + #define MXC_F_WDT_CTRL_RST_FLAG_POS 31 /**< CTRL_RST_FLAG Position */ + #define MXC_F_WDT_CTRL_RST_FLAG ((uint32_t)(0x1UL << MXC_F_WDT_CTRL_RST_FLAG_POS)) /**< CTRL_RST_FLAG Mask */ + #define MXC_V_WDT_CTRL_RST_FLAG_NOEVENT ((uint32_t)0x0UL) /**< CTRL_RST_FLAG_NOEVENT Value */ + #define MXC_S_WDT_CTRL_RST_FLAG_NOEVENT (MXC_V_WDT_CTRL_RST_FLAG_NOEVENT << MXC_F_WDT_CTRL_RST_FLAG_POS) /**< CTRL_RST_FLAG_NOEVENT Setting */ + #define MXC_V_WDT_CTRL_RST_FLAG_OCCURRED ((uint32_t)0x1UL) /**< CTRL_RST_FLAG_OCCURRED Value */ + #define MXC_S_WDT_CTRL_RST_FLAG_OCCURRED (MXC_V_WDT_CTRL_RST_FLAG_OCCURRED << MXC_F_WDT_CTRL_RST_FLAG_POS) /**< CTRL_RST_FLAG_OCCURRED Setting */ + +/**@} end of group WDT_CTRL_Register */ + +/** + * @ingroup wdt_registers + * @defgroup WDT_RST WDT_RST + * @brief Watchdog Timer Reset Register. + * @{ + */ + #define MXC_F_WDT_RST_WDT_RST_POS 0 /**< RST_WDT_RST Position */ + #define MXC_F_WDT_RST_WDT_RST ((uint32_t)(0xFFUL << MXC_F_WDT_RST_WDT_RST_POS)) /**< RST_WDT_RST Mask */ + #define MXC_V_WDT_RST_WDT_RST_SEQ0 ((uint32_t)0xA5UL) /**< RST_WDT_RST_SEQ0 Value */ + #define MXC_S_WDT_RST_WDT_RST_SEQ0 (MXC_V_WDT_RST_WDT_RST_SEQ0 << MXC_F_WDT_RST_WDT_RST_POS) /**< RST_WDT_RST_SEQ0 Setting */ + #define MXC_V_WDT_RST_WDT_RST_SEQ1 ((uint32_t)0x5AUL) /**< RST_WDT_RST_SEQ1 Value */ + #define MXC_S_WDT_RST_WDT_RST_SEQ1 (MXC_V_WDT_RST_WDT_RST_SEQ1 << MXC_F_WDT_RST_WDT_RST_POS) /**< RST_WDT_RST_SEQ1 Setting */ + +/**@} end of group WDT_RST_Register */ + +#ifdef __cplusplus +} +#endif + +#endif /* _WDT_REGS_H_ */ diff --git a/Firmware/SDK/Driver/Include/dma.h b/Firmware/SDK/Driver/Include/dma.h new file mode 100644 index 0000000..c9f260c --- /dev/null +++ b/Firmware/SDK/Driver/Include/dma.h @@ -0,0 +1,317 @@ +/** + * @file + * @brief Direct Memory Access (DMA) driver function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-07-01 11:06:19 -0500 (Mon, 01 Jul 2019) $ + * $Revision: 44383 $ + * + *************************************************************************** */ + +#ifndef _DMA_H_ +#define _DMA_H_ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "dma_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup dma Direct Memory Access (DMA) + * @ingroup periphlibs + * @{ + */ + +/* **** Definitions **** */ + +/** + * Enumeration for the DMA Channel's priority level. + */ +typedef enum { + DMA_PRIO_HIGH = MXC_S_DMA_CFG_PRI_HIGH, /**< High Priority */ + DMA_PRIO_MEDHIGH = MXC_S_DMA_CFG_PRI_MEDHIGH, /**< Medium High Priority */ + DMA_PRIO_MEDLOW = MXC_S_DMA_CFG_PRI_MEDLOW, /**< Medium Low Priority */ + DMA_PRIO_LOW = MXC_S_DMA_CFG_PRI_LOW, /**< Low Priority */ +} dma_priority_t; + +/** @brief DMA request select */ +typedef enum { + DMA_REQSEL_MEMTOMEM = MXC_S_DMA_CFG_REQSEL_MEMTOMEM, /**< Memory to Memory DMA Request Selection */ + DMA_REQSEL_SPI0RX = MXC_S_DMA_CFG_REQSEL_SPI0RX, /**< SPI0 Receive DMA Request Selection */ + DMA_REQSEL_SPI1RX = MXC_S_DMA_CFG_REQSEL_SPI1RX, /**< SPI1 Receive DMA Request Selection */ + DMA_REQSEL_UART0RX = MXC_S_DMA_CFG_REQSEL_UART0RX, /**< UART0 Receive DMA Request Selection */ + DMA_REQSEL_UART1RX = MXC_S_DMA_CFG_REQSEL_UART1RX, /**< UART1 Receive DMA Request Selection */ + DMA_REQSEL_I2C0RX = MXC_S_DMA_CFG_REQSEL_I2C0RX, /**< I2C0 Receive DMA Request Selection */ + DMA_REQSEL_I2C1RX = MXC_S_DMA_CFG_REQSEL_I2C1RX, /**< I2C1 Receive DMA Request Selection */ + DMA_REQSEL_SPI0TX = MXC_S_DMA_CFG_REQSEL_SPI0TX, /**< SPI0 Transmit DMA Request Selection */ + DMA_REQSEL_SPI1TX = MXC_S_DMA_CFG_REQSEL_SPI1TX, /**< SPI1 Transmit DMA Request Selection */ + DMA_REQSEL_UART0TX = MXC_S_DMA_CFG_REQSEL_UART0TX, /**< UART0 Transmit DMA Request Selection */ + DMA_REQSEL_UART1TX = MXC_S_DMA_CFG_REQSEL_UART1TX, /**< UART1 Transmit DMA Request Selection */ + DMA_REQSEL_I2C0TX = MXC_S_DMA_CFG_REQSEL_I2C0TX, /**< I2C0 Transmit DMA Request Selection */ + DMA_REQSEL_I2C1TX = MXC_S_DMA_CFG_REQSEL_I2C1TX, /**< I2C1 Transmit DMA Request Selection */ +} dma_reqsel_t; + +/** @brief Enumeration for the DMA prescaler */ +typedef enum { + DMA_PRESCALE_DISABLE = MXC_S_DMA_CFG_PSSEL_DIS, /**< Prescaler disabled */ + DMA_PRESCALE_DIV256 = MXC_S_DMA_CFG_PSSEL_DIV256, /**< Divide by 256 */ + DMA_PRESCALE_DIV64K = MXC_S_DMA_CFG_PSSEL_DIV64K, /**< Divide by 65,536 */ + DMA_PRESCALE_DIV16M = MXC_S_DMA_CFG_PSSEL_DIV16M, /**< Divide by 16,777,216 */ +} dma_prescale_t; + +/** @brief Enumeration for the DMA timeout value */ +typedef enum { + DMA_TIMEOUT_4_CLK = MXC_S_DMA_CFG_TOSEL_TO4, /**< DMA timeout of 4 clocks */ + DMA_TIMEOUT_8_CLK = MXC_S_DMA_CFG_TOSEL_TO8, /**< DMA timeout of 8 clocks */ + DMA_TIMEOUT_16_CLK = MXC_S_DMA_CFG_TOSEL_TO16, /**< DMA timeout of 16 clocks */ + DMA_TIMEOUT_32_CLK = MXC_S_DMA_CFG_TOSEL_TO32, /**< DMA timeout of 32 clocks */ + DMA_TIMEOUT_64_CLK = MXC_S_DMA_CFG_TOSEL_TO64, /**< DMA timeout of 64 clocks */ + DMA_TIMEOUT_128_CLK = MXC_S_DMA_CFG_TOSEL_TO128, /**< DMA timeout of 128 clocks */ + DMA_TIMEOUT_256_CLK = MXC_S_DMA_CFG_TOSEL_TO256, /**< DMA timeout of 256 clocks */ + DMA_TIMEOUT_512_CLK = MXC_S_DMA_CFG_TOSEL_TO512, /**< DMA timeout of 512 clocks */ +} dma_timeout_t; + +/** @brief DMA transfer data width */ +typedef enum { + /* Using the '_V_' define instead of the '_S_' since these same values will be used to + specify the DSTWD also. The API functions will shift the value the correct amount + prior to writing the cfg register. */ + DMA_WIDTH_BYTE = MXC_V_DMA_CFG_SRCWD_BYTE, /**< DMA transfer in bytes */ + DMA_WIDTH_HALFWORD = MXC_V_DMA_CFG_SRCWD_HALFWORD, /**< DMA transfer in 16-bit half-words */ + DMA_WIDTH_WORD = MXC_V_DMA_CFG_SRCWD_WORD, /**< DMA transfer in 32-bit words */ +} dma_width_t; + +/** @brief Convenience defines for options */ +#define DMA_FALSE 0 /**< Define for passing 0 to DMA functions */ +#define DMA_TRUE 1 /**< Define for passing 1 to DMA functions */ + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize DMA resources + * @details This initialization is required before using the DMA driver functions. + * @return #E_NO_ERROR if successful + */ +int DMA_Init(void); + + +/** + * @brief Request DMA channel + * @details Returns a handle to the first free DMA channel, which can be used via API calls + * or direct access to channel registers using the DMA_GetCHRegs(int ch) function. + * @return Non-negative channel handle (inclusive of zero). + * @return #E_NONE_AVAIL All channels in use. + * @return #E_BAD_STATE DMA is not initialized, call DMA_Init() first. + * @return #E_BUSY DMA is currently busy (locked), try again later. + */ +int DMA_AcquireChannel(void); + +/** + * @brief Release DMA channel + * @details Stops any DMA operation on the channel and returns it to the pool of free channels. + * + * @param ch channel handle to release + * + * @return #E_BAD_PARAM if an unused or invalid channel handle, #E_NO_ERROR otherwise + */ +int DMA_ReleaseChannel(int ch); + +/** + * @brief Configure the DMA channel + * @details Configures the channel, which was previously requested by DMA_Getchannel() + * + * @param ch The channel to configure + * @param prio The channel's priority + * @param reqsel Select the DMA request line + * @param reqwait_en The enable delay before request + * @param tosel The transfer timer timeout select + * @param pssel The transfer timer prescale select + * @param srcwd The size of the read transactions + * @param srcinc_en Enable auto-increment source pointer + * @param dstwd The size of write transactions + * @param dstinc_en Enable auto-increment destination pointer + * @param burst_size The number of bytes transferred in one transaction + * @param chdis_inten The channel disable interrupt enable + * @param ctz_inten The count-to-zero interrupt enable + * + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_ConfigChannel(int ch, + dma_priority_t prio, + dma_reqsel_t reqsel, unsigned int reqwait_en, + dma_timeout_t tosel, dma_prescale_t pssel, + dma_width_t srcwd, unsigned int srcinc_en, + dma_width_t dstwd, unsigned int dstinc_en, + unsigned int burst_size, unsigned int chdis_inten, + unsigned int ctz_inten); + +/** + * @brief Set channel source, destination, and count for transfer + * @param ch channel handle + * @param src_addr source address (*) + * @param dst_addr destination address (*) + * @param count number of bytes to transfer + * @details This function is used to set the source and destination addresses and the number + * of bytes to transfer using the channel, @p ch. + * @note Unless the channel request select is #DMA_REQSEL_MEMTOMEM, + * either src_addr or dst_addr will be ignored by the DMA engine. + * In these cases, the address is a don't-care. See the User's + * Guide for more information. + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_SetSrcDstCnt(int ch, + void *src_addr, + void *dst_addr, + unsigned int count); + +/** + * @brief Set channel reload values + * @param ch channel handle + * @param src_addr_reload source address + * @param dst_addr_reload destination address + * @param count_reload number of bytes to transfer + * @details This function will set the values which will be loaded after the + * channel count register reaches zero. After enabling, call with + * count_reload set to zero to disable reload. + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_SetReload(int ch, + void *src_addr_reload, + void *dst_addr_reload, + unsigned int count_reload); + +/** + * @brief Set channel interrupt callback + * @param ch channel handle + * @param callback Pointer to a function to call when the channel + * interrupt flag is set and interrupts are enabled or + * when DMA is shutdown by the driver. + * @details Configures the channel interrupt callback. The @p callback + * function is called for two conditions: + * -# When the channel's interrupt flag is set and DMA interrupts + * are enabled. + * -# If the driver calls the DMA_Shutdown() function. The + * callback function prototype is: + * @code + * void callback_fn(int ch, int reason); + * @endcode + * @p ch indicates the channel that generated the callback, @p + * reason is either #E_NO_ERROR for a DMA interrupt or #E_SHUTDOWN + * if the DMA is being shutdown. + * + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_SetCallback(int ch, void (*callback)(int, int)); + +/** + * @brief Enable channel interrupt + * @param ch channel handle + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_EnableInterrupt(int ch); + +/** + * @brief Disable channel interrupt + * @param ch channel handle + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_DisableInterrupt(int ch); + +/** + * @brief Read channel interrupt flags + * @param ch channel handle + * @param fl flags to get + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_GetFlags(int ch, unsigned int *fl); + +/** + * @brief Clear channel interrupt flags + * @param ch channel handle + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_ClearFlags(int ch); + +/** + * @brief Start transfer + * @param ch channel handle + * @details Start the DMA channel transfer, assumes that DMA_SetSrcDstCnt() has been called beforehand. + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_Start(int ch); + +/** + * @brief Stop DMA transfer, irrespective of status (complete or in-progress) + * @param ch channel handle + * @return #E_BAD_PARAM if an unused or invalid channel handle + * @return #E_NO_ERROR otherwise + */ +int DMA_Stop(int ch); + +/** + * @brief Get a pointer to the DMA channel registers + * @param ch channel handle + * @details If direct access to DMA channel registers is required, this + * function can be used on a channel handle returned by DMA_AcquireChannel(). + * @return NULL if an unused or invalid channel handle, or a valid pointer otherwise + */ +mxc_dma_ch_regs_t *DMA_GetCHRegs(int ch); + +/** + * @brief Interrupt handler function + * @param ch channel handle + * @details Call this function as the ISR for each DMA channel under driver control. + * Interrupt flags for channel ch will be automatically cleared before return. + * @return NULL if an unused or invalid channel handle, or a valid pointer otherwise + */ +void DMA_Handler(int ch); + +/**@} end of group dma */ +#ifdef __cplusplus +} +#endif + +#endif /* _DMA_H_ */ diff --git a/Firmware/SDK/Driver/Include/flc.h b/Firmware/SDK/Driver/Include/flc.h new file mode 100644 index 0000000..5859c66 --- /dev/null +++ b/Firmware/SDK/Driver/Include/flc.h @@ -0,0 +1,200 @@ +/** + * @file + * @brief Flash Controler driver. + * @details This driver can be used to operate on the embedded flash memory. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-06-05 16:53:29 -0500 (Wed, 05 Jun 2019) $ + * $Revision: 43696 $ + * + *************************************************************************** */ + +#ifndef _FLC_H_ +#define _FLC_H_ + +/* **** Includes **** */ +#include "flc_regs.h" +#include "mxc_sys.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup flc Flash Controller + * @ingroup periphlibs + * @{ + */ + +/***** Definitions *****/ + +/// Bit mask that can be used to find the starting address of a page in flash +#define MXC_FLASH_PAGE_MASK ~(MXC_FLASH_PAGE_SIZE - 1) + +/// Calculate the address of a page in flash from the page number +#define MXC_FLASH_PAGE_ADDR(page) (MXC_FLASH_MEM_BASE + ((unsigned long)page * MXC_FLASH_PAGE_SIZE)) + +/***** Function Prototypes *****/ + +/** + * @brief Initializes the flash controller for erase/write operations + * @param sys_cfg Reserved for future use. Use NULL as this parameter's value. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int FLC_Init(const sys_cfg_flc_t *sys_cfg); + +/** + * @brief Checks if Flash controller is busy. + * @details Reading or executing from flash is not possible if flash is busy + * with an erase or write operation. + * @return If non-zero, flash operation is in progress + */ +int FLC_Busy(void); + +/** + * @brief Erases the entire flash array. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int FLC_MassErase(void); + +/** + * @brief Erases the page of flash at the specified address. + * @param address Any address within the page to erase. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int FLC_PageErase(uint32_t address); + +/** + * @brief Page erase from start to end address. + * @note All data within the selected pages will be erased. + * @param start Any address within the first page to erase. + * @param end Any address within the last page to erase. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int FLC_Erase(uint32_t start, uint32_t end); + +/** + * @brief Erase from start to end address. Restoring any flash page contents outside the given range. + * @param start Starting address to erase, inclusive. + * @param end Ending address to erase, exclusive. + * @param buffer Data buffer to restore data in beginning and ending pages. + * @param length Length of given buffer. + * + * @note Buffer should be appropriate size to store all of the data remaining in the + * first and last pages. length should be greater than or equal to + * (start % MXC_FLASH_PAGE_SIZE) and ((MXC_FLASH_PAGE_SIZE - (end % MXC_FLASH_PAGE_SIZE)) % MXC_FLASH_PAGE_SIZE). + * + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int FLC_BufferErase(uint32_t start, uint32_t end, uint8_t *buffer, unsigned length); + +/** + * @brief Writes the specified 32-bit value to flash. + * @param address 32-bit aligned address in flash to write. + * @param data value to be written to flash. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int FLC_Write32(uint32_t address, uint32_t data); + +/** + * @brief Writes the specified 128-bits of data to flash. + * @param address 128-bit aligned address in flash to write. + * @param data pointer to data to be written to flash. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int FLC_Write128(uint32_t address, uint32_t *data); + +/** + * @brief Writes data to flash. + * @param address Address in flash to start writing from. + * @param length Number of bytes to be written. + * @param buffer Pointer to data to be written to flash. + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int FLC_Write(uint32_t address, uint32_t length, uint8_t *buffer); + +/** + * @brief Enable flash interrupts + * @param mask Interrupts to enable + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int FLC_EnableInt(uint32_t mask); + +/** + * @brief Disable flash interrupts + * @param mask Interrupts to disable + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int FLC_DisableInt(uint32_t mask); + +/** + * @brief Retrieve flash interrupt flags + * @return Mask of active flags. + */ +int FLC_GetFlags(void); + +/** + * @brief Clear flash interrupt flags + * @note Provide the bit position to clear, even if the flag is write-0-to-clear + * @param mask Mask of flags to clear + * @return #E_NO_ERROR if successful, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int FLC_ClearFlags(uint32_t mask); + +/** + * @brief Unlock info block + * + * @return #E_NO_ERROR If function is successful. + */ +int FLC_UnlockInfoBlock(void); + +/** + * @brief Lock info block + * + * @return #E_NO_ERROR If function is successful. + */ +int FLC_LockInfoBlock(void); +/**@} end of group flc */ + +#ifdef __cplusplus +} +#endif + +#endif /* _FLC_H_ */ diff --git a/Firmware/SDK/Driver/Include/gpio.h b/Firmware/SDK/Driver/Include/gpio.h new file mode 100644 index 0000000..23b9a08 --- /dev/null +++ b/Firmware/SDK/Driver/Include/gpio.h @@ -0,0 +1,295 @@ +/** + * @file gpio.h + * @brief General-Purpose Input/Output (GPIO) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _GPIO_H_ +#define _GPIO_H_ + +/* **** Includes **** */ +#include "gpio_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup gpio General-Purpose Input/Output (GPIO) + * @ingroup periphlibs + * @{ + */ + +/* **** Definitions **** */ +/** + * @defgroup gpio_port_pin Port and Pin Definitions + * @ingroup gpio + * @{ + * @defgroup gpio_port Port Definitions + * @ingroup gpio_port_pin + * @{ + */ +#define PORT_0 ((uint32_t)(0UL)) /**< Port 0 Define*/ +#define PORT_1 ((uint32_t)(1UL)) /**< Port 1 Define*/ +#define PORT_2 ((uint32_t)(2UL)) /**< Port 2 Define*/ +#define PORT_3 ((uint32_t)(3UL)) /**< Port 3 Define*/ +#define PORT_4 ((uint32_t)(4UL)) /**< Port 4 Define*/ +/**@} end of gpio_port group*/ +/** + * @defgroup gpio_pin Pin Definitions + * @ingroup gpio_port_pin + * @{ + */ +#define PIN_0 ((uint32_t)(1UL << 0)) /**< Pin 0 Define */ +#define PIN_1 ((uint32_t)(1UL << 1)) /**< Pin 1 Define */ +#define PIN_2 ((uint32_t)(1UL << 2)) /**< Pin 2 Define */ +#define PIN_3 ((uint32_t)(1UL << 3)) /**< Pin 3 Define */ +#define PIN_4 ((uint32_t)(1UL << 4)) /**< Pin 4 Define */ +#define PIN_5 ((uint32_t)(1UL << 5)) /**< Pin 5 Define */ +#define PIN_6 ((uint32_t)(1UL << 6)) /**< Pin 6 Define */ +#define PIN_7 ((uint32_t)(1UL << 7)) /**< Pin 7 Define */ +#define PIN_8 ((uint32_t)(1UL << 8)) /**< Pin 8 Define */ +#define PIN_9 ((uint32_t)(1UL << 9)) /**< Pin 9 Define */ +#define PIN_10 ((uint32_t)(1UL << 10)) /**< Pin 10 Define */ +#define PIN_11 ((uint32_t)(1UL << 11)) /**< Pin 11 Define */ +#define PIN_12 ((uint32_t)(1UL << 12)) /**< Pin 12 Define */ +#define PIN_13 ((uint32_t)(1UL << 13)) /**< Pin 13 Define */ +#define PIN_14 ((uint32_t)(1UL << 14)) /**< Pin 14 Define */ +#define PIN_15 ((uint32_t)(1UL << 15)) /**< Pin 15 Define */ +#define PIN_16 ((uint32_t)(1UL << 16)) /**< Pin 16 Define */ +#define PIN_17 ((uint32_t)(1UL << 17)) /**< Pin 17 Define */ +#define PIN_18 ((uint32_t)(1UL << 18)) /**< Pin 18 Define */ +#define PIN_19 ((uint32_t)(1UL << 19)) /**< Pin 19 Define */ +#define PIN_20 ((uint32_t)(1UL << 20)) /**< Pin 20 Define */ +#define PIN_21 ((uint32_t)(1UL << 21)) /**< Pin 21 Define */ +#define PIN_22 ((uint32_t)(1UL << 22)) /**< Pin 22 Define */ +#define PIN_23 ((uint32_t)(1UL << 23)) /**< Pin 23 Define */ +#define PIN_24 ((uint32_t)(1UL << 24)) /**< Pin 24 Define */ +#define PIN_25 ((uint32_t)(1UL << 25)) /**< Pin 25 Define */ +#define PIN_26 ((uint32_t)(1UL << 26)) /**< Pin 26 Define */ +#define PIN_27 ((uint32_t)(1UL << 27)) /**< Pin 27 Define */ +#define PIN_28 ((uint32_t)(1UL << 28)) /**< Pin 28 Define */ +#define PIN_29 ((uint32_t)(1UL << 29)) /**< Pin 29 Define */ +#define PIN_30 ((uint32_t)(1UL << 30)) /**< Pin 30 Define */ +#define PIN_31 ((uint32_t)(1UL << 31)) /**< Pin 31 Define */ +/**@} end of gpio_pin group */ +/**@} end of gpio_port_pin group */ + +/** + * Enumeration type for the GPIO Function Type + */ +typedef enum { + GPIO_FUNC_IN, /**< GPIO Input */ + GPIO_FUNC_OUT, /**< GPIO Output */ + GPIO_FUNC_ALT1, /**< Alternate Function Selection */ + GPIO_FUNC_ALT2, /**< Alternate Function Selection */ + GPIO_FUNC_ALT3, /**< Alternate Function Selection */ + GPIO_FUNC_ALT4, /**< Alternate Function Selection */ +} gpio_func_t; + +/** + * Enumeration type for the type of GPIO pad on a given pin. + */ +typedef enum { + GPIO_PAD_NONE, /**< No pull-up or pull-down */ + GPIO_PAD_PULL_UP, /**< Set pad to weak pull-up */ + GPIO_PAD_PULL_DOWN, /**< Set pad to weak pull-down */ +} gpio_pad_t; + +/** + * Structure type for configuring a GPIO port. + */ +typedef struct { + uint32_t port; /**< Index of GPIO port */ + uint32_t mask; /**< Pin mask (multiple pins may be set) */ + gpio_func_t func; /**< Function type */ + gpio_pad_t pad; /**< Pad type */ +} gpio_cfg_t; + +/** + * Enumeration type for the interrupt modes. + */ +typedef enum { + GPIO_INT_LEVEL = 0, /**< Interrupt is level sensitive */ + GPIO_INT_EDGE = 1 /**< Interrupt is edge sensitive */ +} gpio_int_mode_t; + +/** + * Enumeration type for the interrupt polarity. + */ +typedef enum { + GPIO_INT_FALLING = 0, /**< Interrupt triggers on falling edge */ + GPIO_INT_HIGH = GPIO_INT_FALLING, /**< Interrupt triggers when level is high */ + GPIO_INT_RISING, /**< Interrupt triggers on rising edge */ + GPIO_INT_LOW = GPIO_INT_RISING, /**< Interrupt triggers when level is low */ + GPIO_INT_BOTH /**< Interrupt triggers on either edge */ +} gpio_int_pol_t; + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize GPIO. + * @return #E_NO_ERROR if everything is successful. + */ +int GPIO_Init(void); + +/** + * @brief Configure GPIO pin(s). + * @param cfg Pointer to configuration structure describing the pin. + * @return #E_NO_ERROR if everything is successful. + */ +int GPIO_Config(const gpio_cfg_t *cfg); + +/** + * @brief Gets the pin(s) input state. + * @param cfg Pointer to configuration structure describing the pin. + * @return The requested pin state. + */ +uint32_t GPIO_InGet(const gpio_cfg_t *cfg); + +/** + * @brief Sets the pin(s) to a high level output. + * @param cfg Pointer to configuration structure describing the pin. + * + */ +void GPIO_OutSet(const gpio_cfg_t *cfg); + +/** + * @brief Clears the pin(s) to a low level output. + * @param cfg Pointer to configuration structure describing the pin. + * + */ +void GPIO_OutClr(const gpio_cfg_t *cfg); + +/** + * @brief Gets the pin(s) output state. + * @param cfg Pointer to configuration structure describing the pin. + * + * @return The state of the requested pin. + * + */ +uint32_t GPIO_OutGet(const gpio_cfg_t *cfg); + +/** + * @brief Write the pin(s) to a desired output level. + * @param cfg Pointer to configuration structure describing the pin. + * @param val Desired output level of the pin(s). This will be masked + * with the configuration mask. + */ +void GPIO_OutPut(const gpio_cfg_t *cfg, uint32_t val); + +/** + * @brief Toggles the the pin(s) output level. + * @param cfg Pointer to configuration structure describing the pin. + * + */ +void GPIO_OutToggle(const gpio_cfg_t *cfg); + +/** + * @brief Configure GPIO interrupt(s) + * @param cfg Pointer to configuration structure describing the pin. + * @param mode Requested interrupt mode. + * @param pol Requested interrupt polarity. + * @return #E_NO_ERROR if everything is successful. + */ +int GPIO_IntConfig(const gpio_cfg_t *cfg, gpio_int_mode_t mode, gpio_int_pol_t pol); + +/** + * @brief Enables the specified GPIO interrupt + * @param cfg Pointer to configuration structure describing the pin. + * + */ +void GPIO_IntEnable(const gpio_cfg_t *cfg); + +/** + * @brief Disables the specified GPIO interrupt. + * @param cfg Pointer to configuration structure describing the pin. + */ +void GPIO_IntDisable(const gpio_cfg_t *cfg); + +/** + * @brief Gets the interrupt(s) status on a GPIO pin. + * @param cfg Pointer to configuration structure describing the pin + * for which the status is being requested. + * @return The requested interrupt status. + */ +uint32_t GPIO_IntStatus(const gpio_cfg_t *cfg); + +/** + * @brief Clears the interrupt(s) status on a GPIO pin. + * @param cfg Pointer to configuration structure describing the pin + * to clear the interrupt state of. + */ +void GPIO_IntClr(const gpio_cfg_t *cfg); + +/** + * @brief Type alias for a GPIO callback function with prototype: + * @code + void callback_fn(void *cbdata); + * @endcode + * @param cbdata A void pointer to the data type as registered when + * GPIO_RegisterCallback() was called. + */ +typedef void (*gpio_callback_fn)(void *cbdata); + +/** + * @brief Registers a callback for the interrupt on a given port and pin. + * @param cfg Pointer to configuration structure describing the pin + * @param callback A pointer to a function of type \c #gpio_callback_fn. + * @param cbdata The parameter to be passed to the callback function, #gpio_callback_fn, when an interrupt occurs. + * + */ +void GPIO_RegisterCallback(const gpio_cfg_t *cfg, gpio_callback_fn callback, void *cbdata); + +/** + * @brief GPIO IRQ Handler. @note If a callback is registered for a given + * interrupt, the callback function will be called. + * + * @param port number of the port that generated the interrupt service routine. + * + */ +void GPIO_Handler(unsigned int port); + +/**@} end of group gpio */ + +#ifdef __cplusplus +} +#endif + +#endif /* _GPIO_H_ */ diff --git a/Firmware/SDK/Driver/Include/i2c.h b/Firmware/SDK/Driver/Include/i2c.h new file mode 100644 index 0000000..b4a4063 --- /dev/null +++ b/Firmware/SDK/Driver/Include/i2c.h @@ -0,0 +1,250 @@ +/** + * @file i2c.h + * @brief Inter-integrated circuit (I2C) communications interface driver. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-06-28 09:42:42 -0500 (Fri, 28 Jun 2019) $ + * $Revision: 44330 $ + * + *************************************************************************** */ + +#ifndef _I2C_H_ +#define _I2C_H_ + +#include +#include "i2c_regs.h" +#include "mxc_sys.h" + +/** + * @defgroup i2c I2C + * @ingroup periphlibs + * @{ + */ + +/***** Definitions *****/ + +/// @brief I2C Speed Modes +typedef enum { + I2C_STD_MODE = 100000, //!< 100KHz Bus Speed + I2C_FAST_MODE = 400000, //!< 400KHz Bus Speed + I2C_FASTPLUS_MODE = 1000000, //!< 1MHz Bus Speed + I2C_HS_MODE = 3400000 //!< 3.4MHz Bus Speed +} i2c_speed_t; + +//State for Master +typedef enum { + I2C_STATE_READING = 0, + I2C_STATE_WRITING = 1 +} i2c_state_t; + +// @brief Enable/Disable TXFIFO Autoflush mode +typedef enum { + I2C_AUTOFLUSH_ENABLE = 0, + I2C_AUTOFLUSH_DISABLE = 1 +} i2c_autoflush_disable_t; + +// @brief I2C Transaction request. +typedef struct i2c_req i2c_req_t; +struct i2c_req { + + uint8_t addr; /**< @parblock I2C 7-bit Address left aligned, bit 7 to bit 1. + * Only supports 7-bit addressing. LSb of the given address + * will be used as the read/write bit, the @p addr will + * not be shifted. Used for both master and + * @em slave transactions. @endparblock + */ + const uint8_t *tx_data; ///< Data for mater write/slave read. + uint8_t *rx_data; ///< Data for master read/slave write. + unsigned tx_len; ///< Length of tx data. + unsigned rx_len; ///< Length of rx. + unsigned tx_num; ///< Number of tx bytes sent. + unsigned rx_num; ///< Number of rx bytes sent. + i2c_state_t state; ///< Read or Write. + + /** + * @details 0 to send a stop bit at the end of the transaction, + otherwise send a restart. Only used in master trasnactions. + */ + int restart; /**< @parblock Restart or stop bit indicator. + * @arg 0 to send a stop bit at the end of the transaction + * @arg Non-zero to send a restart at end of the transaction + * @note Only used for Master transactions. + * @endparblock + */ + i2c_autoflush_disable_t sw_autoflush_disable; ///< Enable/Disable autoflush. + + /** + * @brief Callback for asynchronous request. + * @param i2c_req_t* Pointer to the transaction request. + * @param int Error code. + */ + void (*callback)(i2c_req_t*, int); +}; + +/***** Function Prototypes *****/ + +/** + * @brief Initialize and enable I2C. + * @param i2c Pointer to I2C peripheral registers. + * @param i2cspeed desired speed (I2C mode) + * @param sys_cfg System configuration object + * @returns \c #E_NO_ERROR if everything is successful, + * @ref MXC_Error_Codes if an error occurred. + */ +int I2C_Init(mxc_i2c_regs_t * i2c, i2c_speed_t i2cspeed, const sys_cfg_i2c_t* sys_cfg); + +/** + * @brief Shutdown I2C module. + * @param i2c Pointer to the I2C registers. + * @returns #E_NO_ERROR I2C shutdown successfully, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int I2C_Shutdown(mxc_i2c_regs_t *i2c); + +/** + * @brief Master write data. Will block until transaction is complete. + * @param i2c Pointer to I2C regs. + * @param addr @parblock I2C 7-bit Address left aligned, bit 7 to bit 1. + * Only supports 7-bit addressing. LSb of the given address + * will be used as the read/write bit, the \p addr will + * not be shifted. Used for both master and + * @em slave transactions. @endparblock + * @param data Data to be written. + * @param len Number of bytes to Write. + * @param restart 0 to send a stop bit at the end of the transaction, + otherwise send a restart. + * @returns Bytes transacted if everything is successful, + * @ref MXC_Error_Codes if an error occurred. + */ +int I2C_MasterWrite(mxc_i2c_regs_t *i2c, uint8_t addr, const uint8_t* data, int len, int restart); + +/** + * @brief Master read data. Will block until transaction is complete. + * @param i2c Pointer to I2C regs. + * @param addr @parblock I2C 7-bit Address left aligned, bit 7 to bit 1. + * Only supports 7-bit addressing. LSb of the given address + * will be used as the read/write bit, the @p addr will + * not be shifted. Used for both master and + * @em slave transactions. @endparblock + * @param data Data to be written. + * @param len Number of bytes to Write. + * @param restart 0 to send a stop bit at the end of the transaction, + otherwise send a restart. + * @returns Bytes transacted if everything is successful, @ref MXC_Error_Codes if an error occurred. + */ +int I2C_MasterRead(mxc_i2c_regs_t *i2c, uint8_t addr, uint8_t* data, int len, int restart); + +/** + * @brief Slave read data. Will block until transaction is complete. + * @param i2c Pointer to I2C regs. + * @param addr @parblock I2C 7-bit Address left aligned, bit 7 to bit 1. + * Only supports 7-bit addressing. LSb of the given address + * will be used as the read/write bit, the @p addr will + * not be shifted. Used for both master and + * @em slave transactions. @endparblock + * @param read_data Buffer that the master will read from. + * @param read_len Number of bytes the master can read. + * @param write_data Buffer that the master will write to. + * @param write_len Number of bytes the master can write. + * @param tx_num Number of bytes transmitted by the slave. + * @param rx_num Number of bytes received by the slave. + * @param sw_autoflush_disable TX Autoflush enabled by default.Set this bit to disable autoflush manually. + * @returns #E_NO_ERROR if everything is successful, @ref MXC_Error_Codes if an error occurred. + */ +int I2C_Slave(mxc_i2c_regs_t *i2c, uint8_t addr, const uint8_t* read_data, + int read_len, uint8_t* write_data, int write_len, int* tx_num, + int* rx_num, i2c_autoflush_disable_t sw_autoflush_disable); + +/** + * @brief Master Read and Write Asynchronous. + * @param i2c Pointer to I2C regs. + * @param req Request for an I2C transaction. + * @returns #E_NO_ERROR if everything is successful, @ref MXC_Error_Codes if an error occurred. + */ +int I2C_MasterAsync(mxc_i2c_regs_t *i2c, i2c_req_t *req); + +/** + * @brief Slave Read and Write Asynchronous. + * @param i2c Pointer to I2C regs. + * @param req Request for an I2C transaction. + * @returns #E_NO_ERROR if everything is successful, @ref MXC_Error_Codes if an error occurred. + */ +int I2C_SlaveAsync(mxc_i2c_regs_t *i2c, i2c_req_t *req); +/** + * @brief I2C interrupt handler. + * @details This function should be called by the application from the interrupt + * handler if I2C interrupts are enabled. Alternately, this function + * can be periodically called by the application if I2C interrupts are + * disabled. + * @param i2c Base address of the I2C module. + */ +void I2C_Handler(mxc_i2c_regs_t *i2c); + +/** + * @brief Drain all of the data in the RXFIFO. + * @param i2c Pointer to I2C regs. + */ +void I2C_DrainRX(mxc_i2c_regs_t *i2c); + +/** + * @brief Drain all of the data in the TXFIFO. + * @param i2c Pointer to I2C regs. + */ +void I2C_DrainTX(mxc_i2c_regs_t *i2c); + +/** + * @brief Abort Async request based on the request you want to abort. + * @param req Pointer to I2C Transaction. + */ +int I2C_AbortAsync(i2c_req_t *req); + +/** + * @brief Enable and Set Timeout + * + * @param i2c pointer to I2C regs + * @param[in] us micro seconds to delay + * + * @return E_NO_ERROR or E_BAD_PARAM if delay is to long. + */ +int I2C_SetTimeout(mxc_i2c_regs_t *i2c, int us); + +/** + * @brief clear and disable timeout + * + * @param i2c pointer to I2C regs + */ +void I2C_ClearTimeout(mxc_i2c_regs_t *i2c); + +/**@} end of group i2c */ +#endif /* _I2C_H_ */ diff --git a/Firmware/SDK/Driver/Include/i2s.h b/Firmware/SDK/Driver/Include/i2s.h new file mode 100644 index 0000000..f7b768b --- /dev/null +++ b/Firmware/SDK/Driver/Include/i2s.h @@ -0,0 +1,179 @@ +/** + * @file i2s.h + * @brief I2S (Inter-Integrated Sound) driver function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +#ifndef _I2S_H_ +#define _I2S_H_ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "dma.h" +#include "spimss_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup i2s Inter-Integrated Sound (I2S) + * @ingroup spi + * @{ + */ + +/* **** Definitions **** */ + +/** @brief I2S audio directions */ +typedef enum { + AUDIO_OUT = 1, + AUDIO_IN = 2, +} i2s_direction_t; + +/** @brief I2S Configuration Struct */ +typedef struct { + uint8_t left_justify; + uint8_t mono_audio; + i2s_direction_t audio_direction; + unsigned int sample_rate; + unsigned int start_immediately; + void *dma_src_addr; + void *dma_dst_addr; + unsigned int dma_cnt; + unsigned int dma_reload_en; +} i2s_cfg_t; + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize I2S resources + * @param cfg I2S Configuration Struct + * @param dma_ctz_cb Optional function to be called when the DMA completes + a transfer. Set to NULL if unused. + * @param sys_cfg_i2s System configuration object + * @details This initialization is required before using the I2S driver functions. + * @return \c #E_NO_ERROR if successful + */ +int I2S_Init(const i2s_cfg_t *cfg, void (*dma_ctz_cb)(int, int), const sys_cfg_i2s_t* sys_cfg_i2s); + +/** + * @brief Release I2S + * @details De-configures the I2S protocol and stops DMA request + * @return \c #E_BAD_PARAM if DMA cannot be stopped, #E_NO_ERROR otherwise + */ +int I2S_Shutdown(void); + +/** + * @brief Mute I2S Output + * @details Sets I2S data to zero, continues sending clock and accessing DMA + * @return \c #E_NO_ERROR + */ +int I2S_Mute(void); + +/** + * @brief Unmute I2S Output + * @details Restores I2S data + * @return \c #E_NO_ERROR + */ +int I2S_Unmute(void); + +/** + * @brief Pause I2S Output + * @details Similar to mute, but stops FIFO and DMA access, clocks continue + * @return \c #E_NO_ERROR + */ +int I2S_Pause(void); + +/** + * @brief Unpause I2S Output + * @details Similar to mute, but restarts FIFO and DMA access + * @return \c #E_NO_ERROR + */ +int I2S_Unpause(void); + +/** + * @brief Stops I2S Output + * @details Similar to pause, but also halts clock + * @return \c #E_NO_ERROR + */ +int I2S_Stop(void); + +/** + * @brief Starts I2S Output + * @details Starts I2S Output, automatically called by configure if requested + * @return \c #E_NO_ERROR + */ +int I2S_Start(void); + +/** + * @brief Clears DMA Interrupt Flags + * @details Clears the DMA Interrupt flags, should be called at the end of a dma_ctz_cb + * @return \c #E_NO_ERROR + */ +int I2S_DMA_ClearFlags(void); + +/** + * @brief Set DMA Addr (Source or Dest) and bytes to transfer + * @param src_addr The address to read data from (Audio Out) + * @param dst_addr The address to write data to (Audio In) + * @param count The length of the transfer in bytes + * @details Sets the address to read/write data in memory and the length of + * the transfer. The unused addr parameter is ignored. + * @return \c #E_NO_ERROR + */ +int I2S_DMA_SetAddrCnt(void *src_addr, void *dst_addr, unsigned int count); + +/** + * @brief Sets the DMA reload address and count + * @param src_addr The address to read data from (Audio Out) + * @param dst_addr The address to write data to (Audio In) + * @param count The length of the transfer in bytes + * @details If DMA reload is enabled, when the DMA has transfered $count bytes + * (a CTZ event occurs) the src, dst, and count registers will be + * set to these. The DMA reload flag clears after a reload occurs. + * @return \c #E_NO_ERROR + */ +int I2S_DMA_SetReload(void *src_addr, void *dst_addr, unsigned int count); +/**@} end of group i2s */ + + +#ifdef __cplusplus +} +#endif + +#endif /* _I2S_H_ */ diff --git a/Firmware/SDK/Driver/Include/icc.h b/Firmware/SDK/Driver/Include/icc.h new file mode 100644 index 0000000..d5e4b22 --- /dev/null +++ b/Firmware/SDK/Driver/Include/icc.h @@ -0,0 +1,97 @@ +/** + * @file icc.h + * @brief Instruction Controller Cache(ICC) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _ICC_H_ +#define _ICC_H_ + +/* **** Includes **** */ +#include +#include "icc_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup icc Internal Cache Controller (ICC) + * @ingroup periphlibs + * @{ + */ + +/** + * @brief Enumeration type for the Cache ID Register + */ +typedef enum { + ICC_CACHE_ID_RELNUM, // Identifies the RTL release version + ICC_CACHE_ID_PARTNUM, // Specifies the value of C_ID Port Number + ICC_CACHE_ID_CCHID // Specifies the value of Cache ID +} icc_cache_id_t; + +/** + * @brief Reads the data from the Cache Id Register. + * @param cid Enumeration type for Cache Id Register. + * @retval Returns the contents of Cache Id Register. + */ +int ICC_ID(icc_cache_id_t cid); + +/** + * @brief Enable the instruction cache controller. + */ +void ICC_Enable(void); + +/** + * @brief Disable the instruction cache controller. + */ +void ICC_Disable(void); + +/** + * @brief Flush the instruction cache controller. + */ +void ICC_Flush(void); + +/**@} end of group icc */ + +#ifdef __cplusplus +} +#endif + +#endif /* _ICC_H_ */ diff --git a/Firmware/SDK/Driver/Include/lp.h b/Firmware/SDK/Driver/Include/lp.h new file mode 100644 index 0000000..7339b6f --- /dev/null +++ b/Firmware/SDK/Driver/Include/lp.h @@ -0,0 +1,341 @@ +/** + * @file lp.h + * @brief Low power function prototypes and data types. + */ + + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-09-26 08:48:30 -0500 (Wed, 26 Sep 2018) $ + * $Revision: 38105 $ + * + *************************************************************************** */ + +// Define to prevent redundant inclusion +#ifndef _LP_H_ +#define _LP_H_ + +/***** Includes *****/ +#include "gpio.h" +#include "pwrseq_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** @brief System reset0 enumeration. Used in SYS_PeriphReset0 function */ +typedef enum { + LP_OVR_0_9 = MXC_S_PWRSEQ_LP_CTRL_OVR_0_9V, /**< Reset DMA */ + LP_OVR_1_0 = MXC_S_PWRSEQ_LP_CTRL_OVR_1_0V, /**< Reset DMA */ + LP_OVR_1_1 = MXC_S_PWRSEQ_LP_CTRL_OVR_1_1V, /**< Reset DMA */ +} lp_ovr_t; + +/** + * @brief Clears the low power wakeup flags + */ +void LP_ClearWakeStatus(void); + +/** + * @brief Enables power to RAM addresses 0x20010000-0x20017FFF. + */ +void LP_EnableSRAM3(void); + +/** + * @brief Enables power to RAM addresses 0x20008000-0x2000FFFF. + */ +void LP_EnableSRAM2(void); + +/** + * @brief Enables power to RAM addresses 0x20004000-0x20007FFF. + */ +void LP_EnableSRAM1(void); + +/** + * @brief Enables power to RAM addresses 0x20000000-0x20003FFF. + */ +void LP_EnableSRAM0(void); + +/** + * @brief Disables power to RAM addresses 0x20010000-0x20017FFF. The contents of the RAM are destroyed. + */ +void LP_DisableSRAM3(void); + +/** + * @brief Disables power to RAM addresses 0x20008000-0x2000FFFF. The contents of the RAM are destroyed. + */ +void LP_DisableSRAM2(void); + +/** + * @brief Disables power to RAM addresses 0x20004000-0x20007FFF. The contents of the RAM are destroyed. + */ +void LP_DisableSRAM1(void); + +/** + * @brief Disables power to RAM addresses 0x20000000-0x20003FFF. The contents of the RAM are destroyed. + */ +void LP_DisableSRAM0(void); + +/** + * @brief Places the instruction cache in light sleep mode. Data will be unavailable for read/write operations but will be retained. + */ +void LP_EnableICacheLightSleep(void); + +/** + * @brief Places addresses 0x20010000 to 0x20017FFF of the RAM in light sleep mode. Data will be unavailable for read/write operations but will be retained. + */ +void LP_EnableSysRAM3LightSleep(void); + +/** + * @brief Places addresses 0x20008000 to 0x2000FFFF of the RAM in light sleep mode. Data will be unavailable for read/write operations but will be retained. + */ +void LP_EnableSysRAM2LightSleep(void); + +/** + * @brief Places addresses 0x20004000 to 0x20007FFF of the RAM in light sleep mode. Data will be unavailable for read/write operations but will be retained. + */ +void LP_EnableSysRAM1LightSleep(void); + +/** + * @brief Places addresses 0x20000000 to 0x20003FFF of the RAM in light sleep mode. Data will be unavailable for read/write operations but will be retained. + */ +void LP_EnableSysRAM0LightSleep(void); + +/** + * @brief Places the instruction cache in active mode. + */ +void LP_DisableICacheLightSleep(void); + +/** + * @brief Places addresses 0x20010000 to 0x20017FFF of the RAM in active mode. + */ +void LP_DisableSysRAM3LightSleep(void); + +/** + * @brief Places addresses 0x20008000 to 0x2000FFFF of the RAM in active mode. + */ +void LP_DisableSysRAM2LightSleep(void); + +/** + * @brief Places addresses 0x20004000 to 0x20007FFF of the RAM in active mode. + */ +void LP_DisableSysRAM1LightSleep(void); + +/** + * @brief Places addresses 0x20000000 to 0x20003FFF of the RAM in active mode. + */ +void LP_DisableSysRAM0LightSleep(void); + +/** + * @brief Enables the selected GPIO port and its selected pins to wake up the device from any low power mode. + * Call this function multiple times to enable pins on multiple ports. This function does not configure + * the GPIO pins nor does it setup their interrupt functionality. + * @param wu_pins The port and pins to configure as wakeup sources. Only the gpio and mask fields of the + * structure are used. The func and pad fields are ignored. + */ +void LP_EnableGPIOWakeup(const gpio_cfg_t *wu_pins); + +/** + * @brief Disables the selected GPIO port and its selected pins as a wake up source. + * Call this function multiple times to disable pins on multiple ports. + * @param wu_pins The port and pins to disable as wakeup sources. Only the gpio and mask fields of the + * structure are used. The func and pad fields are ignored. + */ +void LP_DisableGPIOWakeup(const gpio_cfg_t *wu_pins); + +/** + * @brief Enables the RTC alarm to wake up the device from any low power mode. + */ +void LP_EnableRTCAlarmWakeup(void); + +/** + * @brief Disables the RTC alarm from waking up the device. + */ +void LP_DisableRTCAlarmWakeup(void); + +/** + * @brief Places the device into SLEEP mode. This function returns once any interrupt occurs. + * @note LP_ClearWakeStatus should be called before this function, to avoid immediately waking up again + */ +void LP_EnterSleepMode(void); + +/** + * @brief Places the device into DEEPSLEEP mode. This function returns once an RTC or external interrupt occur. + * @note LP_ClearWakeStatus should be called before this function, to avoid immediately waking up again +*/ +void LP_EnterDeepSleepMode(void); + +/** + * @brief Places the device into BACKUP mode. CPU state is not maintained in this mode, so this function never returns. + * Instead, the device will restart once an RTC or external interrupt occur. + * @note LP_ClearWakeStatus should be called before this function, to avoid immediately waking up again + */ +void LP_EnterBackupMode(void); + +/** + * @brief Places the device into Shutdown mode. CPU state is not maintained in this mode, so this function never returns. + * Instead, the device will restart once an RTC, USB wakeup, or external interrupt occur. + */ +void LP_EnterShutDownMode(void); + +/** + * @brief Set operating voltage and change the clock to match the new voltage. + * @param system reset configuration struct + */ +void LP_SetOperatingVoltage(lp_ovr_t ovr); + +/** + * @brief Enables Data Retention to RAM addresses 0x20000000-0x20003FFF. + */ +void LP_EnableSRamRet0(void); + +/** + * @brief Disables Data Retention to RAM addresses 0x20000000-0x20003FFF. + */ +void LP_DisableSRamRet0(void); + +/** + * @brief Enables Data Retention to RAM addresses 0x20004000-0x20007FFF. + */ +void LP_EnableSRamRet1(void); + +/** + * @brief Disables Data Retention to RAM addresses 0x20004000-0x20007FFF. + */ +void LP_DisableSRamRet1(void); + +/** + * @brief Enables Data Retention to RAM addresses 0x20008000-0x2000FFFF. + */ +void LP_EnableSRamRet2(void); + +/** + * @brief Disables Data Retention to RAM addresses 0x20008000-0x2000FFFF. + */ +void LP_DisableSRamRet2(void); + +/** + * @brief Enables Data Retention to RAM addresses 0x20010000-0x20017FFF. + */ +void LP_EnableSRamRet3(void); + +/** + * @brief Disables Data Retention to RAM addresses 0x20010000-0x20017FFF. + */ +void LP_DisableSRamRet3(void); + +/** + * @brief Enables Bypassing the hardware detection of an external supply on V CORE enables a faster wakeup time. + */ +void LP_EnableBlockDetect(void); + +/** + * @brief Disables Bypassing the hardware detection of an external supply on V CORE enables a faster wakeup time + */ +void LP_DisableBlockDetect(void); + +/** + * @brief RAM Retention Regulator Enable for BACKUP Mode + */ +void LP_EnableRamRetReg(void); + +/** + * @brief RAM Retention Regulator Disabels for BACKUP Mode + */ +void LP_DisableRamRetReg(void); + +/** + * @brief Enables Fast wake up from deepsleep + */ +void LP_EnableFastWk(void); + +/** + * @brief Disables Fast wake up from deepsleep + */ +void LP_DisableFastWk(void); + +/** + * @brief Turns on band gap during deepsleep and backup mode. + */ +void LP_EnableBandGap(void); + +/** + * @brief Turns off band gap during deepsleep and backup mode. + */ +void LP_DisableBandGap(void); + +/** + * @brief Enables signal for power on reset when the device is int DEEPSLEEP or BACKUP mode + */ +void LP_EnableVCorePORSignal(void); + +/** + * @brief Disables signal for power on reset when the device is int DEEPSLEEP or BACKUP mode + */ +void LP_DisableVCorePORSignal(void); + +/** + * @brief Enables signal for power on reset when the device is int DEEPSLEEP or BACKUP mode + */ +void LP_EnableLDO(void); + +/** + * @brief Disables signal for power on reset when the device is int DEEPSLEEP or BACKUP mode + */ +void LP_DisableLDO(void); + +/** + * @brief Enables V CORE Supply Voltage Monitor + */ +void LP_EnableVCoreSVM(void); + +/** + * @brief Disables V CORE Supply Voltage Monitor + */ +void LP_DisableVCoreSVM(void); + + +/** + * @brief Enables VDDIO Power-On-Reset Monitor + */ +void LP_EnableVDDIOPorMonitor(void); + +/** + * @brief Disables VDDIO Power-On-Reset Monitor + */ +void LP_DisableVDDIOPorMonitor(void); + + +#ifdef __cplusplus +} +#endif + +#endif /* _LP_H_ */ diff --git a/Firmware/SDK/Driver/Include/mxc_assert.h b/Firmware/SDK/Driver/Include/mxc_assert.h new file mode 100644 index 0000000..3e227ea --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_assert.h @@ -0,0 +1,113 @@ +/** + * @file + * @brief Assertion checks for debugging. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + * $Date: 2018-08-09 18:45:02 -0500 (Thu, 09 Aug 2018) $ + * $Revision: 36818 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _MXC_ASSERT_H_ +#define _MXC_ASSERT_H_ + +/* **** Includes **** */ + + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @ingroup syscfg + * @defgroup mxc_assertions Assertion Checks for Debugging + * @brief Assertion checks for debugging. + * @{ + */ +/* **** Definitions **** */ +/** + * @note To use debug assertions, the symbol @c MXC_ASSERT_ENABLE must be + * defined. + */ +///@cond +#ifdef MXC_ASSERT_ENABLE +/** + * Macro that checks the expression for true and generates an assertion. + * @note To use debug assertions, the symbol @c MXC_ASSERT_ENABLE must be + * defined. + */ +#define MXC_ASSERT(expr) \ +if (!(expr)) \ +{ \ + mxc_assert(#expr, __FILE__, __LINE__); \ +} +/** + * Macro that generates an assertion with the message "FAIL". + * @note To use debug assertions, the symbol @c MXC_ASSERT_ENABLE must be + * defined. + */ +#define MXC_ASSERT_FAIL() mxc_assert("FAIL", __FILE__, __LINE__); +#else +#define MXC_ASSERT(expr) +#define MXC_ASSERT_FAIL() +#endif +///@endcond +/* **** Globals **** */ + +/* **** Function Prototypes **** */ + +/** + * @brief Assert an error when the given expression fails during debugging. + * @param expr String with the expression that failed the assertion. + * @param file File containing the failed assertion. + * @param line Line number for the failed assertion. + * @note This is defined as a weak function and can be overridden at the + * application layer to print the debugging information. + * @code + * printf("%s, file: %s, line %d\n", expr, file, line); + * @endcode + * @note To use debug assertions, the symbol @c MXC_ASSERT_ENABLE must be + * defined. + */ +void mxc_assert(const char *expr, const char *file, int line); + +/**@} end of group MXC_Assertions*/ + +#ifdef __cplusplus +} +#endif + +#endif /* _MXC_ASSERT_H_ */ diff --git a/Firmware/SDK/Driver/Include/mxc_config.h b/Firmware/SDK/Driver/Include/mxc_config.h new file mode 100644 index 0000000..ca85378 --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_config.h @@ -0,0 +1,53 @@ +/** + * @file mxc_config.h + * @brief Top-level include file for device configuration. + */ + +/******************************************************************************* + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-08-09 18:45:02 -0500 (Thu, 09 Aug 2018) $ + * $Revision: 36818 $ + * + ******************************************************************************/ + +#ifndef _MXC_CONFIG_H +#define _MXC_CONFIG_H + +#if !defined __GNUC__ +#include "RTE_Components.h" +#endif /* not __GNUC__ */ + +#include "mxc_device.h" +#include "mxc_errors.h" +#include "mxc_pins.h" + +#endif /* _CONFIG_H */ diff --git a/Firmware/SDK/Driver/Include/mxc_delay.h b/Firmware/SDK/Driver/Include/mxc_delay.h new file mode 100644 index 0000000..927e38b --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_delay.h @@ -0,0 +1,124 @@ +/** + * @file + * @brief Asynchronous delay routines based on the SysTick Timer. +*/ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-11-05 09:52:05 -0600 (Mon, 05 Nov 2018) $ + * $Revision: 38934 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _DELAY_H_ +#define _DELAY_H_ + +/** + * @defgroup MXC_delay Delay Utility Functions + * @ingroup devicelibs + * @brief Asynchronous delay routines based on the SysTick Timer + * @{ + */ + +/***** Definitions *****/ +/** + * Macro used to specify a microsecond timing parameter in seconds. + * \code + * x = SEC(3) // 3 seconds -> x = 3,000,000 + * \endcode + */ +#define MXC_DELAY_SEC(s) (((unsigned long)s) * 1000000UL) +/** + * Macro used to specify a microsecond timing parameter in milliseconds. + * \code + * x = MSEC(3) // 3ms -> x = 3,000 + * \endcode + */ +#define MXC_DELAY_MSEC(ms) (ms * 1000UL) +/** + * Macro used to specify a microsecond timing parameter. + * \code + * x = USEC(3) // 3us -> x = 3 + * \endcode + */ +#define MXC_DELAY_USEC(us) (us) + +/***** Function Prototypes *****/ + +/** + * @brief Blocks and delays for the specified number of microseconds. + * @details Uses the SysTick to create the requested delay. If the SysTick is + * running, the current settings will be used. If the SysTick is not + * running, it will be started. + * @param us microseconds to delay + * @return #E_NO_ERROR if no errors, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int mxc_delay(unsigned long us); + +/** + * @brief Starts a non-blocking delay for the specified number of + * microseconds. + * @details Uses the SysTick to time the requested delay. If the SysTick is + * running, the current settings will be used. If the SysTick is not + * running, it will be started. + * @note mxc_delay_handler() must be called from the SysTick interrupt service + * routine or at a rate greater than the SysTick overflow rate. + * @param us microseconds to delay + * @return #E_NO_ERROR if no errors, #E_BUSY if currently servicing another + * delay request. + */ +int mxc_delay_start(unsigned long us); + +/** + * @brief Returns the status of a non-blocking delay request + * @pre Start the asynchronous delay by calling mxc_delay_start(). + * @return #E_BUSY until the requested delay time has expired. + */ +int mxc_delay_check(void); + +/** + * @brief Stops an asynchronous delay previously started. + * @pre Start the asynchronous delay by calling mxc_delay_start(). + */ +void mxc_delay_stop(void); + +/** + * @brief Processes the delay interrupt. + * @details This function must be called from the SysTick IRQ or polled at a + * rate greater than the SysTick overflow rate. + */ +void mxc_delay_handler(void); + +/**@} end of group MXC_delay */ + +#endif /* _DELAY_H_ */ diff --git a/Firmware/SDK/Driver/Include/mxc_errors.h b/Firmware/SDK/Driver/Include/mxc_errors.h new file mode 100644 index 0000000..49e871a --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_errors.h @@ -0,0 +1,94 @@ +/** + * @file + * @brief List of common error return codes for Maxim Integrated libraries. +*/ +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-08-09 18:45:02 -0500 (Thu, 09 Aug 2018) $ + * $Revision: 36818 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _MXC_ERRORS_H_ +#define _MXC_ERRORS_H_ + +/** + * @ingroup syscfg + * @defgroup MXC_Error_Codes Error Codes + * @brief A list of common error codes used by the API. + * @note A Negative Error Convention is used to avoid conflict with + * positive, Non-Error, returns. + * @{ + */ + +/** No Error */ +#define E_NO_ERROR 0 +/** No Error, success */ +#define E_SUCCESS 0 +/** Pointer is NULL */ +#define E_NULL_PTR -1 +/** No such device */ +#define E_NO_DEVICE -2 +/** Parameter not acceptable */ +#define E_BAD_PARAM -3 +/** Value not valid or allowed */ +#define E_INVALID -4 +/** Module not initialized */ +#define E_UNINITIALIZED -5 +/** Busy now, try again later */ +#define E_BUSY -6 +/** Operation not allowed in current state */ +#define E_BAD_STATE -7 +/** Generic error */ +#define E_UNKNOWN -8 +/** General communications error */ +#define E_COMM_ERR -9 +/** Operation timed out */ +#define E_TIME_OUT -10 +/** Expected response did not occur */ +#define E_NO_RESPONSE -11 +/** Operations resulted in unexpected overflow */ +#define E_OVERFLOW -12 +/** Operations resulted in unexpected underflow */ +#define E_UNDERFLOW -13 +/** Data or resource not available at this time */ +#define E_NONE_AVAIL -14 +/** Event was shutdown */ +#define E_SHUTDOWN -15 +/** Event was aborted */ +#define E_ABORT -16 +/** The requested operation is not supported */ +#define E_NOT_SUPPORTED -17 +/**@} end of MXC_Error_Codes group */ + +#endif /* _MXC_ERRORS_H_ */ diff --git a/Firmware/SDK/Driver/Include/mxc_lock.h b/Firmware/SDK/Driver/Include/mxc_lock.h new file mode 100644 index 0000000..5667d79 --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_lock.h @@ -0,0 +1,94 @@ +/** + * @file + * @brief Exclusive access lock utility functions. +*/ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-08-09 18:45:02 -0500 (Thu, 09 Aug 2018) $ + * $Revision: 36818 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _MXC_LOCK_H_ +#define _MXC_LOCK_H_ + +/* **** Includes **** */ +#include "mxc_config.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @ingroup syscfg + * @defgroup mxc_lock_utilities Exclusive Access Locks + * @brief Lock functions to obtain and release a variable for exclusive + * access. These functions are marked interrupt safe if they are + * interrupt safe. + * @{ + */ + +/* **** Definitions **** */ + +/* **** Globals **** */ + +/* **** Function Prototypes **** */ + +/** + * @brief Attempts to acquire the lock. + * @details This in an interrupt safe function that can be used as a mutex. + * The lock variable must remain in scope until the lock is + * released. Will not block if another thread has already acquired + * the lock. + * @param lock Pointer to variable that is used for the lock. + * @param value Value to be place in the lock. Can not be 0. + * + * @return #E_NO_ERROR if everything successful, #E_BUSY if lock is taken. + */ +int mxc_get_lock(uint32_t *lock, uint32_t value); + +/** + * @brief Free the given lock. + * @param[in,out] lock Pointer to the variable used for the lock. When the lock + * is free, the value pointed to by @p lock is set to zero. + */ +void mxc_free_lock(uint32_t *lock); + +/**@} end of group mxc_lock_utilities */ + +#ifdef __cplusplus +} +#endif + +#endif /* _MXC_LOCK_H_ */ diff --git a/Firmware/SDK/Driver/Include/mxc_pins.h b/Firmware/SDK/Driver/Include/mxc_pins.h new file mode 100644 index 0000000..1c324e1 --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_pins.h @@ -0,0 +1,91 @@ + /** + * @file mxc_pins.h + * @brief This file contains constant pin configurations for the peripherals. + */ + +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-08-09 18:45:02 -0500 (Thu, 09 Aug 2018) $ + * $Revision: 36818 $ + * + **************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _MXC_PINS_H_ +#define _MXC_PINS_H_ + +/* **** Includes **** */ +#include "gpio.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/* **** Global Variables **** */ + +// Predefined GPIO Configurations + +/***** @brief TIMER pins *****/ +extern const gpio_cfg_t gpio_cfg_tmr0; + +/***** @brief UART pins *****/ +extern const gpio_cfg_t gpio_cfg_uart0rtscts; +extern const gpio_cfg_t gpio_cfg_uart0a; +extern const gpio_cfg_t gpio_cfg_uart1rtscts; +extern const gpio_cfg_t gpio_cfg_uart1a; +extern const gpio_cfg_t gpio_cfg_uart1b; +extern const gpio_cfg_t gpio_cfg_uart1c; +extern const gpio_cfg_t gpio_cfg_uart2; + +/***** @brief I2C pins *****/ +extern const gpio_cfg_t gpio_cfg_i2c0; +extern const gpio_cfg_t gpio_cfg_i2c1; + +/***** @brief SPI/I2S pins *****/ +extern const gpio_cfg_t gpio_cfg_spi17y; // SPI0A +extern const gpio_cfg_t gpio_cfg_spimss1a; // SPI1A +extern const gpio_cfg_t gpio_cfg_spimss1b; // SPI1B +extern const gpio_cfg_t gpio_cfg_i2s1a; // same port as SPI1A +extern const gpio_cfg_t gpio_cfg_i2s1b; // same port as SPI1B + +/***** @brief SWD pins *****/ +extern const gpio_cfg_t gpio_cfg_swd; + +/***** @brief RTC pins *****/ +extern const gpio_cfg_t gpio_cfg_rtc; + +#ifdef __cplusplus +} +#endif + +#endif /* _MXC_PINS_H_ */ + diff --git a/Firmware/SDK/Driver/Include/mxc_sys.h b/Firmware/SDK/Driver/Include/mxc_sys.h new file mode 100644 index 0000000..4394297 --- /dev/null +++ b/Firmware/SDK/Driver/Include/mxc_sys.h @@ -0,0 +1,450 @@ +/** + * @file + * @brief System level header file. + */ + +/******************************************************************************* + * Copyright (C) 2015 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-04-15 09:35:40 -0500 (Mon, 15 Apr 2019) $ + * $Revision: 42499 $ + * + ******************************************************************************/ + +#ifndef _MXC_SYS_H_ +#define _MXC_SYS_H_ + +#include "mxc_config.h" +#include "uart_regs.h" +#include "i2c_regs.h" +#include "gcr_regs.h" +#include "tmr_regs.h" +#include "icc_regs.h" +#include "spi17y_regs.h" +#include "spimss_regs.h" +#include "gpio.h" +#include "flc_regs.h" +#include "dma.h" +#include "wdt_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif +#if defined ( __CC_ARM ) /* Suppressing the warning: "enum value is out of range of int" for Keil */ +#pragma push +#pragma diag_suppress 66 +#endif /* __CC_ARM */ + +/** @brief System reset0 enumeration. Used in SYS_PeriphReset0 function */ +typedef enum { + SYS_RESET0_DMA = MXC_F_GCR_RSTR0_DMA, /**< Reset DMA */ + SYS_RESET0_WDT = MXC_F_GCR_RSTR0_WDT, /**< Reset WDT */ + SYS_RESET0_GPIO0 = MXC_F_GCR_RSTR0_GPIO0, /**< Reset GPIO0 */ + SYS_RESET0_TIMER0 = MXC_F_GCR_RSTR0_TIMER0, /**< Reset TIMER0 */ + SYS_RESET0_TIMER1 = MXC_F_GCR_RSTR0_TIMER1, /**< Reset TIMER1 */ + SYS_RESET0_TIMER2 = MXC_F_GCR_RSTR0_TIMER2, /**< Reset TIMER2 */ + SYS_RESET0_UART0 = MXC_F_GCR_RSTR0_UART0, /**< Reset UART0 */ + SYS_RESET0_UART1 = MXC_F_GCR_RSTR0_UART1, /**< Reset UART1 */ + SYS_RESET0_SPI0 = MXC_F_GCR_RSTR0_SPI0, /**< Reset SPI0 */ + SYS_RESET0_SPI1 = MXC_F_GCR_RSTR0_SPI1, /**< Reset SPI1 */ + SYS_RESET0_I2C0 = MXC_F_GCR_RSTR0_I2C0, /**< Reset I2C0 */ + SYS_RESET0_RTC = MXC_F_GCR_RSTR0_RTC, /**< Reset RTC */ + SYS_RESET0_SRST = MXC_F_GCR_RSTR0_SRST, /**< Soft reset */ + SYS_RESET0_PRST = MXC_F_GCR_RSTR0_PRST, /**< Peripheral reset */ + SYS_RESET0_SYSTEM = MXC_F_GCR_RSTR0_SYSTEM, /**< System reset */ +} sys_reset0_t; + +/** @brief System reset1 enumeration. Used in SYS_PeriphReset1 function */ +typedef enum { + SYS_RESET1_I2C1 = MXC_F_GCR_RSTR1_I2C1, /**< Reset I2C1 */ +} sys_reset1_t; + +/** @brief System clock disable enumeration. Used in SYS_ClockDisable and SYS_ClockEnable functions */ +typedef enum { + SYS_PERIPH_CLOCK_GPIO0 = MXC_F_GCR_PERCKCN0_GPIO0D, /**< Disable MXC_F_GCR_PERCKCN0_GPIO0D clock */ + SYS_PERIPH_CLOCK_DMA = MXC_F_GCR_PERCKCN0_DMAD, /**< Disable MXC_F_GCR_PERCKCN0_DMAD clock */ + SYS_PERIPH_CLOCK_SPI17Y = MXC_F_GCR_PERCKCN0_SPI0D, /**< Disable MXC_F_GCR_PERCKCN0_SPI0D clock */ + SYS_PERIPH_CLOCK_SPIMSS = MXC_F_GCR_PERCKCN0_SPI1D, /**< Disable MXC_F_GCR_PERCKCN0_SPI1D clock */ + SYS_PERIPH_CLOCK_UART0 = MXC_F_GCR_PERCKCN0_UART0D, /**< Disable MXC_F_GCR_PERCKCN0_UART0D clock */ + SYS_PERIPH_CLOCK_UART1 = MXC_F_GCR_PERCKCN0_UART1D, /**< Disable MXC_F_GCR_PERCKCN0_UART1D clock */ + SYS_PERIPH_CLOCK_I2C0 = MXC_F_GCR_PERCKCN0_I2C0D, /**< Disable MXC_F_GCR_PERCKCN0_I2C0D clock */ + SYS_PERIPH_CLOCK_T0 = MXC_F_GCR_PERCKCN0_T0D, /**< Disable MXC_F_GCR_PERCKCN0_T0D clock */ + SYS_PERIPH_CLOCK_T1 = MXC_F_GCR_PERCKCN0_T1D, /**< Disable MXC_F_GCR_PERCKCN0_T1D clock */ + SYS_PERIPH_CLOCK_T2 = MXC_F_GCR_PERCKCN0_T2D, /**< Disable MXC_F_GCR_PERCKCN0_T2D clock */ + SYS_PERIPH_CLOCK_I2C1 = MXC_F_GCR_PERCKCN0_I2C1D, /**< Disable MXC_F_GCR_PERCKCN0_I2C1D clock */ +} sys_periph_clock_t; + +/** @brief Clock source */ +typedef enum { + SYS_CLOCK_NANORING = MXC_V_GCR_CLKCN_CLKSEL_NANORING, /**< 8KHz nanoring on MAX32660 */ + SYS_CLOCK_HFXIN = MXC_V_GCR_CLKCN_CLKSEL_HFXIN, /**< 32KHz on MAX32660 */ + SYS_CLOCK_HFXIN_DIGITAL = 0x9, /**< External Clock Input*/ + SYS_CLOCK_HIRC = MXC_V_GCR_CLKCN_CLKSEL_HIRC, /**< High Frequency Internal Oscillator */ +} sys_system_clock_t; + +typedef void* sys_cfg_t; + +typedef sys_cfg_t sys_cfg_i2c_t; +typedef sys_cfg_t sys_cfg_flc_t; +typedef sys_cfg_t sys_cfg_wdt_t; + +/** @brief Map control */ +typedef enum { + MAP_A, + MAP_B, + MAP_C, +} sys_map_t; + +/** @brief UART Flow control */ +typedef enum { + UART_FLOW_DISABLE, + UART_FLOW_ENABLE, +} sys_uart_flow_t; + +/** @brief UART system configuration object */ +typedef struct { + sys_map_t map; + sys_uart_flow_t flow_flag; +} sys_cfg_uart_t; + +/** @brief SPI17Y system configuration object */ +typedef struct { + sys_map_t map; +} sys_cfg_spi17y_t; + +/** @brief SPIMSS system configuration object */ +typedef struct { + sys_map_t map; +} sys_cfg_spimss_t; + +/** @brief I2S system configuration object */ +typedef struct { + sys_map_t map; + dma_reqsel_t dma_reqsel_tx; + dma_reqsel_t dma_reqsel_rx; +} sys_cfg_i2s_t; + +/** @brief TIMER system configuration object */ +typedef struct { + int out_en; +} sys_cfg_tmr_t; + +/** @brief Real Time Clock system configuration object */ +typedef struct { + mxc_tmr_regs_t* tmr; +} sys_cfg_rtc_t; + + +/** @brief Pulse Train System Configuration Object */ +typedef gpio_cfg_t sys_cfg_pt_t; + +#if defined ( __CC_ARM ) /* Restore the warning: "enum is out of int range" for Keil */ +#pragma pop +#endif /* __CC_ARM */ +/***** Function Prototypes *****/ +/** + * @brief Selects the system clock and enables it once ready + * @param clock Enumeration for desired clock. + * @param tmr Optional tmr pointer for timeout. NULL if undesired. + * + * @returns #E_NO_ERROR is clock is succesfully selected + */ +int SYS_Clock_Select(sys_system_clock_t clock, mxc_tmr_regs_t* tmr); + +/** + * @brief Enables the selected peripheral clock. + * @param clock Enumeration for desired clock. + */ +void SYS_ClockEnable(sys_periph_clock_t clock); + +/** + * @brief Disables the selected peripheral clock. + * @param clock Enumeration for desired clock. + */ +void SYS_ClockDisable(sys_periph_clock_t clock); + +/** + * @brief Enables the external 32k oscillator. + * @param sys_cfg system configuration object + * + * @returns #E_NO_ERROR is successful, appropriate error otherwise + */ +int SYS_ClockEnable_X32K(sys_cfg_rtc_t *sys_cfg); + +/** + * @brief Disables the external 32k oscillator. + * + * @returns #E_NO_ERROR is successful, appropriate error otherwise + */ +int SYS_ClockDisable_X32K(void); + +/** + * @brief System level initialization for UART module. + * @param uart Pointer to UART module registers + * @param sys_cfg System configuration object + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_UART_Init(mxc_uart_regs_t *uart, const sys_cfg_uart_t* sys_cfg); + +/** + * @brief System level shutdown for UART module + * @param uart Pointer to UART module registers + * + * @return #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_UART_Shutdown(mxc_uart_regs_t *uart); + +/** + * @brief System level initialization for I2C module. + * @param i2c Pointer to I2C module registers + * @param sys_cfg System configuration object + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_I2C_Init(mxc_i2c_regs_t *i2c, const sys_cfg_i2c_t* sys_cfg); + +/** + * @brief System level Shutdown for I2C module. + * @param i2c Pointer to I2C module registers + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_I2C_Shutdown(mxc_i2c_regs_t *i2c); + +/** + * @brief Init DMA system settings + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_DMA_Init(void); + +/** + * @brief Shutdown DMA system specific settings + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_DMA_Shutdown(void); + +/** + * @brief Get the frequency of the I2C module source clock + * @param spim Unused, pointer to I2C module registers + * + * @returns frequency in Hz + */ +unsigned SYS_I2C_GetFreq(mxc_i2c_regs_t *i2c); + +/** + * @brief Get the frequency of the Timer module source clock. + * @params tmr Unused, pointer to timer module registers + * + * @returns frequency in Hz + */ +unsigned SYS_TMR_GetFreq(mxc_tmr_regs_t *tmr); + +/** + * @brief Reset the peripherals and/or CPU in the rstr0 register. + * @param Enumeration for what to reset. Can reset multiple items at once. + */ +void SYS_Reset0(sys_reset0_t reset); + +/** + * @brief Reset the peripherals and/or CPU in the rstr1 register. + * @param Enumeration for what to reset. Can reset multiple items at once. + */ +void SYS_Reset1(sys_reset1_t reset); + +/** + * @brief Clear Cache and Line buffer. + */ +void SYS_Flash_Operation(void); + +/** + * @brief Init TMR system settings + * @param tmr Pointer to timer module registers + * @param sys_cfg System configuration object + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_TMR_Init(mxc_tmr_regs_t *tmr, const sys_cfg_tmr_t* sys_cfg); + +/** + * @brief Init flash system settings + * @param sys_cfg System configuration object + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_FLC_Init(const sys_cfg_flc_t* sys_cfg); + +/** + * @brief Shutdown flash system specific settings + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_FLC_Shutdown(void); + +/** + * @brief System level initialization for SPI17Y module. + * @param spi pointer to spi module registers + * @param sys_cfg System configuration object + * + * @returns E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_SPI17Y_Init( mxc_spi17y_regs_t *spi, const sys_cfg_spi17y_t* sys_cfg); + +/** + * @brief System level shutdown for SPI17Y module + * @param pointer to spi module registers + * + * @returns E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_SPI17Y_Shutdown(mxc_spi17y_regs_t *spi); + +/** + * @brief System level initialization for SPIMSS module. + * @param spi pointer to spi module registers + * @param sys_cfg System configuration object + * + * @returns E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_SPIMSS_Init(mxc_spimss_regs_t *spi, const sys_cfg_spimss_t* sys_cfg); + +/** + * @brief System level shutdown for SPIMSS module + * @param pointer to spi module registers + * + * @returns E_NO_ERROR if everything is successful + */ +int SYS_SPIMSS_Shutdown(mxc_spimss_regs_t *spi); + +/** + * @brief Shutdown Timer system specific settings + * @param tmr pointer to timer module registers + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_TMR_Shutdown(mxc_tmr_regs_t *tmr); + +/** + * @brief System level initialization for I2S Module + * @param sys_cfg System configuration object + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_I2S_Init(const sys_cfg_i2s_t* sys_cfg); + +/** + * @brief System level shutdown of I2S module + * + * @returns #E_NO_ERROR if everything is successful + */ +int SYS_I2S_Shutdown(void); + +/** + * @brief Get the frequency of the I2S module source clock + * @param spimss Pointer to I2S module registers + * + * @returns frequency in Hz + */ +int SYS_I2S_GetFreq(mxc_spimss_regs_t *spimss); + +/** + * @brief Init system settings for RTC square wave output. + * @param sys_cfg System configuration object + * + * @returns #E_NO_ERROR if successful, appropriate error otherwise + */ +int SYS_RTC_SqwavInit(const sys_cfg_rtc_t* sys_cfg); + + +/** + * @brief System Tick Configuration Helper + * + * The function enables selection of the external clock source for + * the System Tick Timer. It initializes the System Timer and its + * interrupt, and starts the System Tick Timer. Counter is in free + * running mode to generate periodic interrupts. + * + * @param ticks Number of ticks between two interrupts. + * @param clk_src Selects between default SystemClock or External Clock. + * - 0 Use external clock source + * @param tmr Optional tmr pointer for timeout. NULL if undesired. + * - 1 SystemClock + * + * @return #E_NO_ERROR Function succeeded, of #E_INVALID if an invalid value is requested + */ +int SYS_SysTick_Config(uint32_t ticks, int clk_src, mxc_tmr_regs_t* tmr); + +/** + * @brief Disable System Tick timer + */ +void SYS_SysTick_Disable(void); + +/** + * @brief Delay a requested number of SysTick Timer Ticks. + * @param ticks Number of System Ticks to delay. + * @note This delay function is based on the clock used for the SysTick + * timer if the SysTick timer is enabled. If the SysTick timer is + * not enabled, the current SysTick registers are saved and the + * timer will use the SystemClock as the source for the delay. The + * delay is measured in clock ticks and is not based on the SysTick + * interval. + * + * @return #E_NO_ERROR if everything is successful + */ +int SYS_SysTick_Delay(uint32_t ticks); + +/** + * @brief Get the frequency of the SysTick Timer + * + * @return frequency in Hz + */ +uint32_t SYS_SysTick_GetFreq(void); + +/** + * @brief Delay a requested number of microseconds. + * @param us Number of microseconds to delay. + * @note Calls SYS_SysTick_Delay(). + */ +void SYS_SysTick_DelayUs(uint32_t us); + +/** + * @brief Init WDT system settings + * @param wdt watchdog registers + * @param sys_cfg System configuration object + */ +int SYS_WDT_Init(mxc_wdt_regs_t* wdt, const sys_cfg_wdt_t* sys_cfg); +#ifdef __cplusplus +} +#endif + +#endif /* _MXC_SYS_H_*/ + diff --git a/Firmware/SDK/Driver/Include/nvic_table.h b/Firmware/SDK/Driver/Include/nvic_table.h new file mode 100644 index 0000000..b4a8df7 --- /dev/null +++ b/Firmware/SDK/Driver/Include/nvic_table.h @@ -0,0 +1,89 @@ +/** + * @file nvic_table.h + * @brief Interrupt vector table manipulation functions. + */ + +/******************************************************************************* + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2020-04-20 15:48:35 -0500 (Mon, 20 Apr 2020) $ + * $Revision: 53144 $ + * + ******************************************************************************/ + +#ifndef _NVIC_TABLE_H +#define _NVIC_TABLE_H + +#include "mxc_config.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @ingroup syscfg + * @defgroup nvic NVIC Table + * @brief functions handling the nvic table. + * @{ + */ +/** + * @brief Set an IRQ hander callback function. If the IRQ table is in + * flash, this will copy it to RAM and set NVIC to RAM based table. + * + * @param irqn ARM external IRQ number + * @param irq_callback Function to be called at IRQ context + * + */ +void NVIC_SetVector(IRQn_Type irqn, void (*irq_callback)(void)); + +/** + * @brief Copy NVIC vector table to RAM and set NVIC to RAM based table. + * + */ +void NVIC_SetRAM(void); + +/** + * @brief Get Interrupt Vector + * @details Reads an interrupt vector from interrupt vector table. The + * interrupt number can be positive to specify a device specific + * interrupt, or negative to specify a processor exception. + * @param[in] IRQn Interrupt number. + * @return Address of interrupt handler function + */ +uint32_t NVIC_GetVector(IRQn_Type IRQn); + +/**@} end of group nvic */ + +#ifdef __cplusplus +} +#endif + +#endif /* _NVIC_TABLE_H */ diff --git a/Firmware/SDK/Driver/Include/rtc.h b/Firmware/SDK/Driver/Include/rtc.h new file mode 100644 index 0000000..dcfae03 --- /dev/null +++ b/Firmware/SDK/Driver/Include/rtc.h @@ -0,0 +1,242 @@ +/** + * @file + * @brief Real Time Clock (RTC) functions and prototypes. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + * $Date: 2019-10-07 11:05:30 -0500 (Mon, 07 Oct 2019) $ + * $Revision: 47429 $ + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _RTC_H_ +#define _RTC_H_ + +/* **** Includes **** */ +#include +#include "mxc_config.h" +#include "rtc_regs.h" +#include "mxc_sys.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup rtc RTC + * @ingroup periphlibs + * @{ + */ + +/* **** Definitions **** */ + +typedef enum { + SQUARE_WAVE_DISABLED, /**< Sq. wave output disabled */ + SQUARE_WAVE_ENABLED, /**< Sq. wave output enabled */ +} rtc_sqwave_en_t; + +typedef enum { + F_1HZ = MXC_S_RTC_CTRL_FT_FREQ1HZ, /**< 1Hz (Compensated) */ + F_512HZ = MXC_S_RTC_CTRL_FT_FREQ512HZ, /**< 512Hz (Compensated) */ + F_4KHZ = MXC_S_RTC_CTRL_FT_FREQ4KHZ, /**< 4Khz */ + F_32KHZ = 32, /**< 32Khz */ +} rtc_freq_sel_t; + +typedef enum { + NOISE_IMMUNE_MODE = MXC_S_RTC_CTRL_X32KMD_NOISEIMMUNEMODE, + QUIET_MODE = MXC_S_RTC_CTRL_X32KMD_QUIETMODE, + QUIET_STOP_WARMUP_MODE = MXC_S_RTC_CTRL_X32KMD_QUIETINSTOPWITHWARMUP, + QUIET_STOP_NOWARMUP_MODE = MXC_S_RTC_CTRL_X32KMD_QUIETINSTOPNOWARMUP, +} rtc_osc_mode_t; + +/** + *@brief Enables Time-of-Day's Alarm Interrupt + *@param rtc pointer to the rtc register structure + *@return #E_SUCCESS=pass + *@return #E_BAD_STATE=fail + *@return #E_BUSY=Fail + */ +int RTC_EnableTimeofdayInterrupt(mxc_rtc_regs_t *rtc); + +/** + *@brief Disable Time-of-Day's Alarm Interrupt + *@param rtc pointer to the rtc register structure + *@return #E_SUCCESS=pass + *@return #E_BAD_STATE=fail + *@return #E_BUSY=Fail + */ +int RTC_DisableTimeofdayInterrupt(mxc_rtc_regs_t *rtc); + +/** + *@brief Enables Sub-Second's Alarm Interrupt + *@param rtc pointer to the rtc register structure + *@return #E_SUCCESS=pass + *@return #E_BAD_STATE=fail + *@return #E_BUSY=Fail + */ +int RTC_EnableSubsecondInterrupt(mxc_rtc_regs_t *rtc); + +/** + *@brief Disable Sub-Second's Alarm Interrupt + *@param rtc pointer to the rtc register structure + *@return #E_SUCCESS=pass + *@return #E_BAD_STATE=fail + *@return #E_BUSY=Fail + */ +int RTC_DisableSubsecondInterrupt(mxc_rtc_regs_t *rtc); + +/** + *@brief Set Time-of-Day alarm value and enable Interrupt + *@param rtc pointer to the rtc register structure + *@param ras 20-bit value 0-0xFFFFF + *@return #E_SUCCESS=pass + *@return #E_BAD_STATE=fail + *@return #E_BUSY=Fail + */ +int RTC_SetTimeofdayAlarm(mxc_rtc_regs_t *rtc, uint32_t ras); + +/** + *@brief Set Sub-Second alarm value and enable interrupt, + *@brief this is to be called after the init_rtc() function + *@param rtc pointer to the rtc register structure + *@param rssa 32-bit value 0-0xFFFFFFFF + *@return #E_SUCCESS=pass + *@return #E_BAD_STATE=fail + *@return #E_BUSY=Fail + */ +int RTC_SetSubsecondAlarm(mxc_rtc_regs_t *rtc, uint32_t rssa); + +/** + *@brief Enable/Start the Real Time Clock + *@param rtc pointer to the rtc register structure + *@return #E_SUCCESS=Pass + *@return #E_BUSY=Fail + */ +int RTC_EnableRTCE(mxc_rtc_regs_t *rtc); + +/** + *@brief Disable/Stop the Real Time Clock + *@param rtc pointer to the rtc register structure + *@return #E_SUCCESS=Pass + *@return #E_BUSY=Fail + */ +int RTC_DisableRTCE(mxc_rtc_regs_t *rtc); + +/** + * @brief Initialize the sec and ssec registers and enable RTC + * @param rtc pointer to the rtc register structure + * @param sec set the RTC Sec counter (32-bit) + * @param ssec set the RTC Sub-second counter (8-bit) + * @param sys_cfg The system configuration + * @return #E_SUCCESS=pass + * @return #E_BAD_STATE=fail + */ +int RTC_Init(mxc_rtc_regs_t *rtc, uint32_t sec, uint8_t ssec, sys_cfg_rtc_t *sys_cfg); + +/** + * @brief Allow generation of Square Wave on the SQW pin + * @param rtc pointer to the rtc register structure + * @param sqe Enable/Disable square wave output + * @param ft Frequency output selection + * @param x32kmd 32KHz Oscillator mode + * @param sys_cfg The system configuration + * @return #E_SUCCESS=Pass + * @return #E_BUSY=Fail + */ +int RTC_SquareWave(mxc_rtc_regs_t *rtc, rtc_sqwave_en_t sqe, rtc_freq_sel_t ft, + rtc_osc_mode_t x32kmd, const sys_cfg_rtc_t* sys_cfg); + +/** + *@brief Set Trim register value + *@param rtc pointer to the rtc register structure + *@param trm set the RTC Trim (8-bit, +/- 127) + *@return #E_SUCCESS=Pass + *@return #E_BUSY=Fail + */ +int RTC_Trim(mxc_rtc_regs_t *rtc, int8_t trm); + +/** + *@brief Check if BUSY bit is 0. + *@return #E_SUCCESS=Pass + *@return #E_BUSY=Fail + */ +int RTC_CheckBusy(void); + +/** + *@brief Gets Interrupt flags. + *@return Interrupts flags that have not been cleared + */ +int RTC_GetFlags(void); + +/** + *@brief Clear Interrupt flag. + *@param flags the flags that need to be cleared + */ +int RTC_ClearFlags(int flags); + +/** + *@brief Get SubSecond + *@return Returns subsecond value + */ +int RTC_GetSubSecond(void); + +/** + * @brief Get Second + * @return returns Second value + */ +int RTC_GetSecond(void); + +/** + * @brief Read seconds, then subseconds, and finally seconds. If RTC ready flag ever gets cleared during this sequence, + the RTC is in the middle of updating the counts and the user should come back later and try again. If the first + read of the seconds register doesn't match the next read, then a subsecond overflow condition has happened and + another attempt to read the counts should be made. + * @param sec variable that will be changed to hold second value + * @param subsec variable that will be changed to hold Subsecond value + * @return #E_NO_ERROR=Pass + * @return #E_BUSY=Fail + */ +int RTC_GetTime(uint32_t* sec, uint32_t* subsec); + +/** + *@brief Check if RTC is already running + */ +int RTC_IsEnabled(void); + +#ifdef __cplusplus +} +#endif +/**@} end of group rtc */ + +#endif /* _RTC_H_ */ diff --git a/Firmware/SDK/Driver/Include/spi.h b/Firmware/SDK/Driver/Include/spi.h new file mode 100644 index 0000000..2672f50 --- /dev/null +++ b/Firmware/SDK/Driver/Include/spi.h @@ -0,0 +1,259 @@ + /** + * @file spi.h + * @brief Serial Peripheral Interface (SPIMSS) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-11-07 14:48:15 -0600 (Wed, 07 Nov 2018) $ + * $Revision: 39010 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _SPI_H_ +#define _SPI_H_ + +/* **** Includes **** */ +#include "spi17y_regs.h" +#include "spimss_regs.h" +#include "spimss.h" +#include "spi17y.h" +#include "mxc_sys.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup spi SPI + * @ingroup periphlibs + * @{ + */ + +/* **** Definitions **** */ + +/** + * @brief Enums assigning numbers to SPI + */ +typedef enum { + SPI0A, // SPI17Y (0A) + SPI1A, // SPIMSS (1A) + SPI1B, // SPIMSS (1B) +}spi_type; + + +/** + * @brief Renaming the SPI address names + */ +#define MXC_SPI0 MXC_SPI17Y // SPI0A +#define MXC_SPI1 MXC_SPIMSS // SPI1A & SPI1B + + +/** + * @brief Renaming Interrupt SPI Interrupt sources + */ +#define SPI0_IRQn SPI17Y_IRQn // SPI0A +#define SPI1_IRQn SPIMSS_IRQn // SPI1A & SPI1B + + +/** + * @brief Renaming SPI Width + */ +#define SPI0_WIDTH_1 SPI17Y_WIDTH_1 /**< 1 Data Line. */ +#define SPI0_WIDTH_2 SPI17Y_WIDTH_2 /**< 2 Data Lines (x2). */ +#define SPI0_WIDTH_4 SPI17Y_WIDTH_4 /**< 4 Data Lines (x4). */ + +/** + * @brief Renaming SPI Polarity + */ +#define SPI_POL_LOW SPI17Y_POL_LOW /**< Slave Select polarity Low. */ +#define SPI_POL_HIGH SPI17Y_POL_HIGH /**< Slave Select polarity High. */ + +/** + * @brief Structure type representing a SPI Master Transaction request. + */ +typedef struct spi_req spi_req_t; + +/** + * @brief Callback function type used in asynchronous SPI Master communication requests. + * @details The function declaration for the SPI Master callback is: + * @code + * void callback(spi_req_t * req, int error_code); + * @endcode + * | | | + * | -----: | :----------------------------------------- | + * | \p req | Pointer to a #spi_req object representing the active SPI Master active transaction. | + * | \p error_code | An error code if the active transaction had a failure or #E_NO_ERROR if successful. | + * @note Callback will execute in interrupt context + * @addtogroup spi_async + */ +typedef void (*spi_callback_fn)(void * req, int error_code); + +/** + * @brief Structure definition for an SPI Master Transaction request. + * @note When using this structure for an asynchronous operation, the + * structure must remain allocated until the callback is completed. + * @addtogroup spi_async + */ +struct spi_req { + uint8_t ssel; /**< Slave select line to use. (Master only) */ + uint8_t deass; /**< Non-zero to de-assert slave select after transaction. (Master only)*/ + spi17y_sspol_t ssel_pol; /**< Slave select line polarity. */ + const void *tx_data; /**< Pointer to a buffer to transmit data from. NULL if undesired. */ + void *rx_data; /**< Pointer to a buffer to store data received. NULL if undesired.*/ + spi17y_width_t width; /**< Number of data lines to use, see #spi17y_width_t. */ + unsigned len; /**< Number of transfer units to send from the \p tx_data buffer. */ + unsigned bits; /**< Number of bits in transfer unit (e.g. 8 for byte, 16 for short) */ + unsigned rx_num; /**< Number of bytes actually read into the \p rx_data buffer. */ + unsigned tx_num; /**< Number of bytes actually sent from the \p tx_data buffer */ + spi_callback_fn callback; /**< Callback function if desired, NULL otherwise */ +}; + + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize the spi. + * @param spi_name spi module to initialize. + * @param mode SPI mode for clock phase and polarity. + * @param freq Desired clock frequency. + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI_Init(spi_type spi_name, unsigned mode, unsigned freq); + +/** + * @brief Asynchronously read/write SPI Master data + * + * @param spi_name SPI instance being used + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI_MasterTransAsync(spi_type spi_name, spi_req_t *req); + +/** + * @brief Execute a master transaction. + * @param spi_name SPI instance being used + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI_MasterTrans(spi_type spi_name, spi_req_t *req); + +/** + * @brief Asynchronously read/write SPI Slave data + * @param spi_name SPI instance being used + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI_SlaveTransAsync(spi_type spi_name, spi_req_t *req); + +/** + * @brief Execute a slave transaction. + * @param spi_name SPI instance being used + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI_SlaveTrans(spi_type spi_name, spi_req_t *req); + +/** + * @brief Shutdown SPI module. + * @param spi_name SPI instance being used + * + * @return #E_NO_ERROR if successful, appropriate error otherwise + */ +int SPI_Shutdown(spi_type spi_name); + + +/** + * @brief Aborts an Asynchronous request + * @param spi_name SPI instance being used + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI_AbortAsync(spi_type spi_name, spi_req_t *req); + +/** + * @brief Execute SPI transaction based on interrupt handler + * @param spi_name SPI instance being used + * + * @return #E_NO_ERROR if successful, + * @return #E_BAD_PARAM otherwise + */ +int SPI_Handler(spi_type spi_name); + +/** + * @brief Enable SPI + * @param spi_name Pointer to spi module. + * + * @return #E_NO_ERROR if successful, appropriate error otherwise + */ +int SPI_Enable(spi_type spi_name); + +/** + * @brief Disable SPI + * @param spi_name Pointer to spi module. + * + * @return #E_NO_ERROR if successful, appropriate error otherwise + */ +int SPI_Disable(spi_type spi_name); + +/** + * @brief Clear the TX and RX FIFO + * @param spi_name Pointer to spi module. + * + * @return #E_NO_ERROR if successful, appropriate error otherwise + */ +int SPI_Clear_fifo(spi_type spi_name); + +//------------------------------------------------------------------------------------------- +/**@} end of group spi */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SPI_H_ */ + + + diff --git a/Firmware/SDK/Driver/Include/spi17y.h b/Firmware/SDK/Driver/Include/spi17y.h new file mode 100644 index 0000000..530def8 --- /dev/null +++ b/Firmware/SDK/Driver/Include/spi17y.h @@ -0,0 +1,242 @@ +/** + * @file spi17y.h + * @brief Serial Peripheral Interface (SPI17Y) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _SPI17Y_H_ +#define _SPI17Y_H_ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "spi17y_regs.h" +#include "mxc_sys.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup spi17y SPI17Y + * @ingroup spi + * @{ + */ + +/* **** Definitions **** */ + +/** + * Enumeration type for setting the number data lines to use for communication. + */ +typedef enum { + SPI17Y_WIDTH_1 = 0, /**< 1 Data Line. */ + SPI17Y_WIDTH_2 = 1, /**< 2 Data Lines (x2). */ + SPI17Y_WIDTH_4 = 2 /**< 4 Data Lines (x4). */ +} spi17y_width_t; + +/** + * Enumeration type for setting the polarity of ss lines. + */ +typedef enum { + SPI17Y_POL_LOW = 0, /**< Polarity Low. */ + SPI17Y_POL_HIGH = 1 /**< Polarity High. */ +} spi17y_sspol_t; + +/** + * Structure type representing a SPI17Y Master Transaction request. + */ +typedef struct spi17y_req spi17y_req_t; + + +/** + * @brief Callback function type used in asynchronous SPI Master communication requests. + * @details The function declaration for the SPI Master callback is: + * @code + * void callback(spi17y_req_t * req, int error_code); + * @endcode + * | | | + * | -----: | :----------------------------------------- | + * | \p req | Pointer to a #spi_req object representing the active SPI Master active transaction. | + * | \p error_code | An error code if the active transaction had a failure or #E_NO_ERROR if successful. | + * @note Callback will execute in interrupt context + * @addtogroup spi_async + */ +typedef void (*spi17y_callback_fn)(spi17y_req_t * req, int error_code); + +/** + * @brief Structure definition for an SPI Master Transaction request. + * @note When using this structure for an asynchronous operation, the + * structure must remain allocated until the callback is completed. + * @addtogroup spi_async + */ +struct spi17y_req { + uint8_t ssel; /**< Slave select line to use. (Master only, ignored in slave mode) */ + uint8_t deass; /**< Non-zero to de-assert slave select after transaction. (Master only, ignored in slave mode)*/ + spi17y_sspol_t ssel_pol; /**< Slave select line polarity. */ + const void *tx_data; /**< Pointer to a buffer to transmit data from. NULL if undesired. */ + void *rx_data; /**< Pointer to a buffer to store data received. NULL if undesired.*/ + spi17y_width_t width; /**< Number of data lines to use, see #spi17y_width_t. */ + unsigned len; /**< Number of transfer units to send from the \p tx_data buffer. */ + unsigned bits; /**< Number of bits in transfer unit (e.g. 8 for byte, 16 for short) */ + unsigned rx_num; /**< Number of bytes actually read into the \p rx_data buffer. */ + unsigned tx_num; /**< Number of bytes actually sent from the \p tx_data buffer */ + spi17y_callback_fn callback; /**< Callback function if desired, NULL otherwise */ +}; + + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize the spi. + * @param spi Pointer to spi module to initialize. + * @param mode SPI mode for clock phase and polarity. + * @param freq Desired clock frequency. + * @param sys_cfg System configuration object + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_Init(mxc_spi17y_regs_t *spi, unsigned int mode, unsigned int freq, const sys_cfg_spi17y_t* sys_cfg); + +/** + * @brief Shutdown SPI module. + * @param spi Pointer to SPI regs. + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_Shutdown(mxc_spi17y_regs_t *spi); + +/** + * @brief Processing function for asynchronous SPI operations. + * This function must be called either from the SPI interrupt + * handler or periodically. + * + * @param spi Pointer to spi module. + */ +void SPI17Y_Handler(mxc_spi17y_regs_t *spi); + +/** + * @brief Execute a master transaction. + * This function will block until the transaction is complete. + * @param spi Pointer to spi module. + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_MasterTrans(mxc_spi17y_regs_t *spi, spi17y_req_t *req); + +/** + * @brief Execute a slave transaction. + * This function will block until the transaction is complete. + * @param spi Pointer to spi module. + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_SlaveTrans(mxc_spi17y_regs_t *spi, spi17y_req_t *req); + +/** + * @brief Asynchronously read/write SPI Master data + * + * @param spi Pointer to spi module + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_MasterTransAsync(mxc_spi17y_regs_t *spi, spi17y_req_t *req); + +/** + * @brief Asynchronously read/write SPI Slave data + * + * @param spi Pointer to spi module + * @param req Pointer to spi request + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_SlaveTransAsync(mxc_spi17y_regs_t *spi, spi17y_req_t *req); + +/** + * @brief Aborts an Asynchronous request + * + * @param req Pointer to spi request + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPI17Y_AbortAsync(spi17y_req_t *req); + +/** + * @brief Enable SPI + * @param spi Pointer to spi module. + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +void SPI17Y_Enable(mxc_spi17y_regs_t* spi); + +/** + * @brief Disable SPI. Any pending asynchronous transactions will not + * complete and their callbacks will not be executed. + * @param spi Pointer to spi module. + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +void SPI17Y_Disable(mxc_spi17y_regs_t* spi); + +/** + * @brief Clear the TX and RX FIFO + * @param spi Pointer to spi module. + * + * @return #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +void SPI17Y_Clear_fifo(mxc_spi17y_regs_t* spi); + + +/**@} end of group spi17y */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SPI17Y_H_ */ diff --git a/Firmware/SDK/Driver/Include/spimss.h b/Firmware/SDK/Driver/Include/spimss.h new file mode 100644 index 0000000..e693360 --- /dev/null +++ b/Firmware/SDK/Driver/Include/spimss.h @@ -0,0 +1,197 @@ +/** + * @file spimss.h + * @brief Serial Peripheral Interface (SPIMSS) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _SPIMSS_H_ +#define _SPIMSS_H_ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "mxc_sys.h" +#include "spimss_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup spimss SPIMSS + * @ingroup spi + * @{ + */ + +/* **** Definitions **** */ + + +/** + * @brief Enumeration type for setting the number data lines to use for communication. + */ +typedef enum { // ONLY FOR COMPATIBILITY FOR CONSOLIDATION WITH SPY17, NOT USED OR NEEDED + DUMMY_1, /**< NOT USED */ + DUMMY_2, /**< NOT USED */ + DUMMY_3, /**< NOT USED */ +} spimss_width_t; + +/** + * @brief Structure type representing a SPI Master Transaction request. + */ +typedef struct spimss_req spimss_req_t; + +/** + * @brief Callback function type used in asynchronous SPI Master communication requests. + * @details The function declaration for the SPI Master callback is: + * @code + * void callback(spi_req_t * req, int error_code); + * @endcode + * | | | + * | -----: | :----------------------------------------- | + * | \p req | Pointer to a #spi_req object representing the active SPI Master active transaction. | + * | \p error_code | An error code if the active transaction had a failure or #E_NO_ERROR if successful. | + * @note Callback will execute in interrupt context + * @addtogroup spi_async + */ +typedef void (*spimss_callback_fn)(spimss_req_t * req, int error_code); + +/** + * @brief Structure definition for an SPI Master Transaction request. + * @note When using this structure for an asynchronous operation, the + * structure must remain allocated until the callback is completed. + * @addtogroup spi_async + */ +struct spimss_req { + uint8_t ssel; /**< Not Used*/ + uint8_t deass; /**< Not Used*/ + const void *tx_data; /**< Pointer to a buffer to transmit data from. NULL if undesired. */ + void *rx_data; /**< Pointer to a buffer to store data received. NULL if undesired.*/ + spimss_width_t width; /**< Not Used */ + unsigned len; /**< Number of transfer units to send from the \p tx_data buffer. */ + unsigned bits; /**< Number of bits in transfer unit (e.g. 8 for byte, 16 for short) */ + unsigned rx_num; /**< Number of bytes actually read into the \p rx_data buffer. */ + unsigned tx_num; /**< Number of bytes actually sent from the \p tx_data buffer */ + spimss_callback_fn callback; /**< Callback function if desired, NULL otherwise */ +}; + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize the spi. + * @param spi Pointer to spi module to initialize. + * @param mode SPI mode for clock phase and polarity. + * @param freq Desired clock frequency. + * @param sys_cfg System configuration object + * + * @return \c #E_NO_ERROR if successful, appropriate error otherwise + */ +int SPIMSS_Init(mxc_spimss_regs_t *spi, unsigned mode, unsigned freq, const sys_cfg_spimss_t* sys_cfg); + +/** + * @brief Shutdown SPI module. + * @param spi Pointer to SPI regs. + * + * @return \c #E_NO_ERROR if successful, appropriate error otherwise + */ +int SPIMSS_Shutdown(mxc_spimss_regs_t *spi); + +/** + * @brief Execute a master transaction. + * @param spi Pointer to spi module. + * @param req Pointer to spi request + * + * @return \c #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPIMSS_MasterTrans(mxc_spimss_regs_t *spi, spimss_req_t *req); + +/** + * @brief Execute SPI transaction based on interrupt handler + * @param spi The spi + * + */ +void SPIMSS_Handler(mxc_spimss_regs_t *spi); + +/** + * @brief Execute a slave transaction. + * @param spi Pointer to spi module. + * @param req Pointer to spi request + * + * @return \c #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPIMSS_SlaveTrans(mxc_spimss_regs_t *spi, spimss_req_t *req); + +/** + * @brief Asynchronously read/write SPI Master data + * + * @param spi Pointer to spi module + * @param req Pointer to spi request + * + * @return \c #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPIMSS_MasterTransAsync(mxc_spimss_regs_t *spi, spimss_req_t *req); + +/** + * @brief Asynchronously read/write SPI Slave data + * + * @param spi Pointer to spi module + * @param req Pointer to spi request + * + * @return \c #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPIMSS_SlaveTransAsync(mxc_spimss_regs_t *spi, spimss_req_t *req); + +/** + * @brief Aborts an Asynchronous request + * + * @param req Pointer to spi request + * @return \c #E_NO_ERROR if successful, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int SPIMSS_AbortAsync(spimss_req_t *req); + +/**@} end of group spimss */ + +#ifdef __cplusplus +} +#endif + +#endif /* _SPIMSS_H_ */ diff --git a/Firmware/SDK/Driver/Include/tmr.h b/Firmware/SDK/Driver/Include/tmr.h new file mode 100644 index 0000000..c47fd12 --- /dev/null +++ b/Firmware/SDK/Driver/Include/tmr.h @@ -0,0 +1,265 @@ +/** + * @file tmr.h + * @brief Timer (TMR) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-09-11 14:32:22 -0500 (Wed, 11 Sep 2019) $ + * $Revision: 46047 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _TMR_H_ +#define _TMR_H_ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "tmr_regs.h" +#include "mxc_sys.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup tmr Timer (TMR) + * @ingroup periphlibs + * @{ + */ + +/** + * @brief Timer prescaler values + */ +typedef enum { + TMR_PRES_1 = MXC_S_TMR_CN_PRES_DIV1, /// Divide input clock by 1 + TMR_PRES_2 = MXC_S_TMR_CN_PRES_DIV2, /// Divide input clock by 2 + TMR_PRES_4 = MXC_S_TMR_CN_PRES_DIV4, /// Divide input clock by 4 + TMR_PRES_8 = MXC_S_TMR_CN_PRES_DIV8, /// Divide input clock by 8 + TMR_PRES_16 = MXC_S_TMR_CN_PRES_DIV16, /// Divide input clock by 16 + TMR_PRES_32 = MXC_S_TMR_CN_PRES_DIV32, /// Divide input clock by 32 + TMR_PRES_64 = MXC_S_TMR_CN_PRES_DIV64, /// Divide input clock by 64 + TMR_PRES_128 = MXC_S_TMR_CN_PRES_DIV128, /// Divide input clock by 128 + TMR_PRES_256 = MXC_F_TMR_CN_PRES3 | MXC_S_TMR_CN_PRES_DIV1, /// Divide input clock by 256 + TMR_PRES_512 = MXC_F_TMR_CN_PRES3 | MXC_S_TMR_CN_PRES_DIV2, /// Divide input clock by 512 + TMR_PRES_1024 = MXC_F_TMR_CN_PRES3 | MXC_S_TMR_CN_PRES_DIV4, /// Divide input clock by 1024 + TMR_PRES_2048 = MXC_F_TMR_CN_PRES3 | MXC_S_TMR_CN_PRES_DIV8, /// Divide input clock by 2048 + TMR_PRES_4096 = MXC_F_TMR_CN_PRES3 | MXC_S_TMR_CN_PRES_DIV16 /// Divide input clock by 4096 +} tmr_pres_t; + +/** + * @brief Timer modes + */ +typedef enum { + TMR_MODE_ONESHOT = MXC_V_TMR_CN_TMODE_ONESHOT, /// Timer Mode ONESHOT + TMR_MODE_CONTINUOUS = MXC_V_TMR_CN_TMODE_CONTINUOUS, /// Timer Mode CONTINUOUS + TMR_MODE_COUNTER = MXC_V_TMR_CN_TMODE_COUNTER, /// Timer Mode COUNTER + TMR_MODE_PWM = MXC_V_TMR_CN_TMODE_PWM, /// Timer Mode PWM + TMR_MODE_CAPTURE = MXC_V_TMR_CN_TMODE_CAPTURE, /// Timer Mode CAPTURE + TMR_MODE_COMPARE = MXC_V_TMR_CN_TMODE_COMPARE, /// Timer Mode COMPARE + TMR_MODE_GATED = MXC_V_TMR_CN_TMODE_GATED, /// Timer Mode GATED + TMR_MODE_CAPTURE_COMPARE = MXC_V_TMR_CN_TMODE_CAPTURECOMPARE /// Timer Mode CAPTURECOMPARE +} tmr_mode_t; + +/** + * @brief Timer units of time enumeration + */ +typedef enum { + TMR_UNIT_NANOSEC = 0, /**< Nanosecond Unit Indicator. */ + TMR_UNIT_MICROSEC, /**< Microsecond Unit Indicator. */ + TMR_UNIT_MILLISEC, /**< Millisecond Unit Indicator. */ + TMR_UNIT_SEC, /**< Second Unit Indicator. */ +} tmr_unit_t; + +/** + * @brief Timer Configuration + */ +typedef struct { + tmr_mode_t mode; /// Desired timer mode + uint32_t cmp_cnt; /// Compare register value in timer ticks + unsigned pol; /// Polarity (0 or 1) +} tmr_cfg_t; + +/** + * @brief Timer PWM Configuration + */ +typedef struct { + unsigned pol; /// PWM polarity (0 or 1) + uint32_t per_cnt; /// PWM period in timer ticks + uint32_t duty_cnt; /// PWM duty in timer ticks +} tmr_pwm_cfg_t; + +/* **** Definitions **** */ + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize timer module clock. + * @param tmr Pointer to timer module to initialize. + * @param pres Prescaler value. + * @param sys_cfg System configuration object + * @return #E_NO_ERROR if successful, error code otherwise. + */ +int TMR_Init(mxc_tmr_regs_t *tmr, tmr_pres_t pres, const sys_cfg_tmr_t* sys_cfg); + +/** + * @brief Shutdown timer module clock. + * @param tmr Pointer to timer module to initialize. + * @return #E_NO_ERROR if successful, error code otherwise. + */ +int TMR_Shutdown(mxc_tmr_regs_t *tmr); + +/** + * @brief Enable the timer. + * @param tmr Pointer to timer module to initialize. + */ +void TMR_Enable(mxc_tmr_regs_t* tmr); + +/** + * @brief Disable the timer. + * @param tmr Pointer to timer module to initialize. + */ +void TMR_Disable(mxc_tmr_regs_t* tmr); + +/** + * @brief Configure the timer. + * @param tmr Pointer to timer module to initialize. + * @param cfg Pointer to timer configuration struct. + * @return #E_NO_ERROR if successful. + */ +int TMR_Config(mxc_tmr_regs_t *tmr, const tmr_cfg_t *cfg); + +/** + * @brief Configure the timer for PWM operation. + * @param tmr Pointer to timer module to initialize. + * @param cfg Pointer to timer PWM configuration struct. + * @note Can cause a glitch if the Timer is currently running. + * @return #E_BAD_PARAM if duty_cnt > per_cnt. + */ +int TMR_PWMConfig(mxc_tmr_regs_t *tmr, const tmr_pwm_cfg_t *cfg); + +/** + * @brief Set the timer duty cycle. + * @param tmr Pointer to timer module to initialize + * @param duty New duty cycle count + * @note Will block until safe to change the duty count. + * @return #E_BAD_PARAM if duty_cnt > per_cnt. + */ +int TMR_PWMSetDuty(mxc_tmr_regs_t *tmr, uint32_t duty); + +/** + * @brief Set the timer period. + * @param tmr Pointer to timer module to initialize. + * @param per New period count. + * @note Will block until safe to change the period count. + * @return #E_BAD_PARAM if duty_cnt > per_cnt. + */ +int TMR_PWMSetPeriod(mxc_tmr_regs_t* tmr, uint32_t per); + +/** + * @brief Get the timer compare count. + * @param tmr Pointer to timer module to initialize. + * @return Returns the current compare count. + */ +uint32_t TMR_GetCompare(mxc_tmr_regs_t* tmr); + +/** + * @brief Get the timer capture count. + * @param tmr Pointer to timer module to initialize. + * @return Returns the most recent capture count. + */ +uint32_t TMR_GetCapture(mxc_tmr_regs_t* tmr); + +/** + * @brief Get the timer count. + * @param tmr Pointer to timer module to initialize. + * @return Returns the current count. + */ +uint32_t TMR_GetCount(mxc_tmr_regs_t* tmr); + +/** + * @brief Clear the timer interrupt. + * @param tmr Pointer to timer module to initialize. + */ +void TMR_IntClear(mxc_tmr_regs_t* tmr); + +/** + * @brief Get the timer interrupt status. + * @param tmr Pointer to timer module to initialize. + * @return Returns the interrupt status. 1 if interrupt has occurred. + */ +uint32_t TMR_IntStatus(mxc_tmr_regs_t* tmr); + +/** + * @brief Set the timer compare count. + * @param tmr Pointer to timer module to initialize. + * @param cmp_cnt New compare count. + * @note This function does not protect against output glitches in PWM mode. + * Use TMR_PWMSetPeriod when in PWM mode. + */ +void TMR_SetCompare(mxc_tmr_regs_t *tmr, uint32_t cmp_cnt); + +/** + * @brief Set the timer count. + * @param tmr Pointer to timer module to initialize. + * @param cnt New count. + */ +void TMR_SetCount(mxc_tmr_regs_t *tmr, uint32_t cnt); + +/** + * @brief Convert real time to timer ticks. + * @param tmr Pointer to timer module to initialize. + * @param time Number of units of time. + * @param units Which units of time you want to convert. + * @param ticks Pointer to store the number of ticks calculated. + * @return #E_NO_ERROR if successful, error code otherwise. + */ +int TMR_GetTicks(mxc_tmr_regs_t *tmr, uint32_t time, tmr_unit_t units, uint32_t *ticks); + +/** + * @brief Convert timer ticks to real time. + * @param tmr Pointer to timer module to initialize. + * @param ticks Number of ticks. + * @param time Pointer to store number of units of time. + * @param units Pointer to store the units that time represents. + * @return #E_NO_ERROR if successful, error code otherwise. + */ +int TMR_GetTime(mxc_tmr_regs_t *tmr, uint32_t ticks, uint32_t *time, tmr_unit_t *units); + +/**@} end of group tmr */ + +#ifdef __cplusplus +} +#endif + +#endif /* _TMR_H_ */ diff --git a/Firmware/SDK/Driver/Include/tmr_utils.h b/Firmware/SDK/Driver/Include/tmr_utils.h new file mode 100644 index 0000000..30fbcf8 --- /dev/null +++ b/Firmware/SDK/Driver/Include/tmr_utils.h @@ -0,0 +1,146 @@ +/** + * @file tmr_utils.h + * @brief Timer utility function declarations + */ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-10-17 14:16:30 -0500 (Wed, 17 Oct 2018) $ + * $Revision: 38560 $ + * + **************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _TMR_UTILS_H +#define _TMR_UTILS_H + +/***** Includes *****/ +#include "mxc_config.h" +#include "tmr_regs.h" +#include "mxc_sys.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @ingroup tmr + * @defgroup tmr_utils Timer Utility Functions + * @{ + */ + +/* **** Definitions **** */ + +/** @def Macro to convert the parameter \p s from seconds to micro-seconds. */ +#define SEC(s) (((unsigned long)s) * 1000000UL) + +/** @def Macro to convert the parameter \p ms from milli-seconds to micro-seconds. */ +#define MSEC(ms) (ms * 1000UL) + +/** @def Macro to convert the parameter \p us to micro-seconds. */ +#define USEC(us) (us) + +/* **** Globals **** */ + +/* **** Function Prototypes **** */ + +/** + * @brief Delays for the specified number of microseconds. + * @param tmr Which Timer instance to use + * @param us Number of microseconds to delay. + * @param sys_cfg System configuration object, identical to TMR_Init() + */ +void TMR_Delay(mxc_tmr_regs_t *tmr, unsigned long us, const sys_cfg_tmr_t *sys_cfg); + +/** + * @brief Start the timeout time for the specified number of microseconds. + * @param tmr Which Timer instance to use + * @param us Number of microseconds in the timeout. + * @param sys_cfg System configuration object, identical to TMR_Init() + */ +void TMR_TO_Start(mxc_tmr_regs_t *tmr, unsigned long us, const sys_cfg_tmr_t *sys_cfg); + +/** + * @brief Check if the timeout has occurred. + * @param tmr Which Timer instance to use + * @return #E_NO_ERROR if the timeout has not occurred, #E_TIME_OUT if it has. + */ +int TMR_TO_Check(mxc_tmr_regs_t *tmr); + +/** + * @brief Stops the timer for the timeout. + * @param tmr Which Timer instance to use + */ +void TMR_TO_Stop(mxc_tmr_regs_t *tmr); + +/** + * @brief Clears the timeout flag. + * @param tmr Which Timer instance to use + */ +void TMR_TO_Clear(mxc_tmr_regs_t *tmr); + +/** + * @brief Get the number of microseconds elapsed since TMR_TO_Start(). + * @param tmr Which Timer instance to use + * @return Number of microseconds since TMR_TO_Start(). + */ +unsigned int TMR_TO_Elapsed(mxc_tmr_regs_t *tmr); + +/** + * @brief Get the number of microseconds remaining in the timeout. + * @param tmr Which Timer instance to use + * @return Number of microseconds until timeout. + */ +unsigned int TMR_TO_Remaining(mxc_tmr_regs_t *tmr); + +/** + * @brief Start the stopwatch. + * @note This function does not handle overflows + * @param tmr Which Timer to use + * @param sys_cfg System configuration object, identical to TMR_Init() + */ +void TMR_SW_Start(mxc_tmr_regs_t *tmr, const sys_cfg_tmr_t *sys_cfg); + +/** + * @brief Stop the stopwatch and return the number of microseconds that + * have elapsed. + * @note This function does not handle overflows + * @param tmr Which Timer instance to use + * @return Number of microseconds since TMR_SW_Start(). + */ +unsigned int TMR_SW_Stop(mxc_tmr_regs_t *tmr); + +/**@} end of defgroup tmr_utils*/ +#ifdef __cplusplus +} +#endif + +#endif /* _TMR_UTILS_H */ diff --git a/Firmware/SDK/Driver/Include/uart.h b/Firmware/SDK/Driver/Include/uart.h new file mode 100644 index 0000000..9283201 --- /dev/null +++ b/Firmware/SDK/Driver/Include/uart.h @@ -0,0 +1,364 @@ +/** + * @file + * @brief This files defines the driver API including definitions, data types + * and function prototypes. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-10-07 11:05:30 -0500 (Mon, 07 Oct 2019) $ + * $Revision: 47429 $ + * + *************************************************************************** */ + + +#ifndef _UART_H_ +#define _UART_H_ + +/***** Includes *****/ +#include +#include "uart_regs.h" +#include "mxc_sys.h" + +/***** Definitions *****/ + +/** + * @brief Alternate clock rate. (7.3728MHz) */ +#define UART_ALTERNATE_CLOCK_HZ 7372800 +/** + * @defgroup uart UART + * @ingroup periphlibs + * @{ + */ +/** + * @brief Parity settings type */ +typedef enum { + UART_PARITY_DISABLE = 0, /**< Parity disabled */ + UART_PARITY_EVEN_0 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_EVEN | + MXC_F_UART_CTRL_PARMD), /**< Use for even parity 0 */ + UART_PARITY_EVEN_1 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_EVEN), /**< Use for even parity 1 */ + UART_PARITY_EVEN = UART_PARITY_EVEN_1, /**< Conventional even parity */ + UART_PARITY_ODD_0 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_ODD | + MXC_F_UART_CTRL_PARMD), /**< Use for odd parity 0 */ + UART_PARITY_ODD_1 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_ODD), /**< Use for odd parity 1 */ + UART_PARITY_ODD = UART_PARITY_ODD_1, /**< Conventional odd parity */ + UART_PARITY_MARK_0 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_MARK | + MXC_F_UART_CTRL_PARMD), /**< Use for mark parity 0 */ + UART_PARITY_MARK_1 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_MARK), /**< Use for mark parity 1 */ + UART_PARITY_MARK = UART_PARITY_MARK_1, /**< Conventional mark parity */ + UART_PARITY_SPACE_0 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_SPACE | + MXC_F_UART_CTRL_PARMD), /**< Use for space parity 0 */ + UART_PARITY_SPACE_1 = (MXC_F_UART_CTRL_PARITY_EN | + MXC_S_UART_CTRL_PARITY_SPACE), /**< Use for space parity 1 */ + UART_PARITY_SPACE = UART_PARITY_SPACE_1, /**< Conventional space parity */ +} uart_parity_t; + +/** + * @brief Message size settings */ +typedef enum { + UART_DATA_SIZE_5_BITS = MXC_S_UART_CTRL_CHAR_SIZE_5, /**< Data Size 5 Bits */ + UART_DATA_SIZE_6_BITS = MXC_S_UART_CTRL_CHAR_SIZE_6, /**< Data Size 6 Bits */ + UART_DATA_SIZE_7_BITS = MXC_S_UART_CTRL_CHAR_SIZE_7, /**< Data Size 7 Bits */ + UART_DATA_SIZE_8_BITS = MXC_S_UART_CTRL_CHAR_SIZE_8, /**< Data Size 8 Bits */ +} uart_size_t; + +/** + * @brief Stop bit settings */ +typedef enum { + UART_STOP_1 = 0, /**< UART Stop 1 clock cycle */ + UART_STOP_1P5 = MXC_F_UART_CTRL_STOPBITS, /**< UART Stop 1.5 clock cycle */ + UART_STOP_2 = MXC_F_UART_CTRL_STOPBITS, /**< UART Stop 2 clock cycle */ +} uart_stop_t; + +/** + * @brief Flow control */ +typedef enum { + UART_FLOW_CTRL_DIS = 0, /**< RTS/CTS flow is disabled */ + UART_FLOW_CTRL_EN = MXC_F_UART_CTRL_FLOW_CTRL, /**< RTS/CTS flow is enabled */ +} uart_flow_ctrl_t; + +/** + * @brief Flow control Polarity */ +typedef enum { + UART_FLOW_POL_DIS = 0, /**< RTS/CTS asserted is low */ + UART_FLOW_POL_EN = MXC_F_UART_CTRL_FLOW_POL, /**< RTS/CTS asserted is high */ +} uart_flow_pol_t; + +#if (TARGET != 32660) +/** + * @brief Clock Source Select */ +typedef enum { + UART_CLKSEL_SYSTEM = 0, /**< Peripheral clock will be used as the bit rate clock */ + UART_CLKSEL_ALTERNATE = MXC_F_UART_CTRL_CLKSEL, /**< Use the device's alternate UART bit rate clock. */ +} uart_clksel_t; +#endif + +/** + * @brief UART configuration type. */ +typedef struct { + uart_parity_t parity; /** Configure parity checking */ + uart_size_t size; /** Configure character size */ + uart_stop_t stop; /** Configure the number of stop bits to use */ + uart_flow_ctrl_t flow; /** Configure hardware flow control */ + uart_flow_pol_t pol; /** Configure hardware flow control */ + uint32_t baud; /** Configure baud rate */ +#if (TARGET != 32660) + uart_clksel_t clksel; /** Configure hardware clock source */ +#endif +} uart_cfg_t; + +/** + * @brief Non-blocking UART transaction request. */ +typedef struct uart_req uart_req_t; +struct uart_req { + uint8_t *data; /** Data buffer for characters */ + int len; /** Length of characters in data to send or receive */ + int num; /** Number of characters actually sent or received */ + + /** + * @brief Callback for asynchronous request. + * + * @param uart_req_t* Pointer to the transaction request. + * @param int Error code. + * + */ + void(*callback)(uart_req_t*, int); +}; + + +/***** Functions Prototypes *****/ + + +/** + * @brief Initialize and enable UART module. + * @param uart Pointer to the UART registers. + * @param cfg Pointer to UART configuration. + * @param sys_cfg Pointer to system configuration object + * @returns #E_NO_ERROR UART initialized successfully, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int UART_Init(mxc_uart_regs_t *uart, const uart_cfg_t *cfg, const sys_cfg_uart_t* sys_cfg); + +/** + * @brief Shutdown UART module. + * @param uart Pointer to the UART registers. + * @returns #E_NO_ERROR UART shutdown successfully, @ref MXC_Error_Codes "error" if + * unsuccessful. + */ +int UART_Shutdown(mxc_uart_regs_t *uart); + +/** + * @brief UART interrupt handler. + * @details This function should be called by the application from the + * interrupt handler if UART interrupts are enabled. Alternately, + * this function can be periodically called by the application if + * UART interrupts are disabled. It is only necessary to call this + * when using asynchronous functions. + * + * @param uart Pointer to the UART registers. + */ +void UART_Handler(mxc_uart_regs_t *uart); + +/** + * @brief Read UART data, blocking until transaction is complete. + * + * @param uart Pointer to the UART registers. + * @param data Pointer to buffer to save the data read. + * @param len Number of bytes to read. + * @param num Pointer to store the number of bytes actually read, pass NULL if not needed. + * + * @return Number of bytes read, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int UART_Read(mxc_uart_regs_t *uart, uint8_t *data, int len, int *num); + +/** + * @brief Write UART data. This function blocks until the write transaction + * is complete. + * @param uart Pointer to the UART registers. + * @param data Pointer to buffer for write data. + * @param len Number of bytes to write. + * @note This function will return once data has been put into FIFO, not necessarily + * transmitted. + * @return Number of bytes written if successful, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int UART_Write(mxc_uart_regs_t *uart, const uint8_t *data, int len); + +/** + * @brief Asynchronously read UART data. + * + * @param uart Pointer to the UART registers. + * @param req Pointer to request for a UART transaction, see #uart_req. + * @note Request struct must remain allocated until callback function specified in 'req' is called. + * + * @return #E_NO_ERROR Asynchronous read successfully started, @ref MXC_Error_Codes "error" if unsuccessful. + */ +int UART_ReadAsync(mxc_uart_regs_t *uart, uart_req_t *req); + +/** + * @brief Asynchronously write/transmit UART data. + * + * @param uart Pointer to the UART registers. + * @param req Request for a UART transaction, see #uart_req. + * @note Request struct must remain allocated until callback function specified in 'req' is called. + * + * @return #E_NO_ERROR Asynchronous write successfully started, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int UART_WriteAsync(mxc_uart_regs_t *uart, uart_req_t *req); + +/** + * @brief Read a single byte from the UART. + * @note This function will block until a character is available. + * + * @param uart Pointer to the UART registers. + * @return The byte read. + */ +uint8_t UART_ReadByte(mxc_uart_regs_t *uart); + +/** + * @brief Write one byte at a time to the UART. + * @note This function will block until the character has been placed in the transmit FIFO. + * It may return before the character is actually transmitted. + * + * @param uart Pointer to the UART registers. + * @param data The byte to write. + */ +void UART_WriteByte(mxc_uart_regs_t *uart, uint8_t data); + +/** + * @brief Check to see if the UART is busy. + * + * @param uart Pointer to the UART registers. + * + * @return #E_NO_ERROR if the UART is idle, #E_BUSY if the UART is in use. + */ +int UART_Busy(mxc_uart_regs_t *uart); + +/** + * @brief Prepare the UART for entry into a Low-Power mode (DEEPSLEEP/BACKUP). + * @details Checks for any ongoing transactions. Disables interrupts if the + * UART is idle. + * + * @param uart Pointer to the UART registers. + * @return #E_NO_ERROR UART is ready to enter Low-Power modes (DEEPSLEEP/BACKUP). + * @return #E_BUSY UART is active and busy and not ready to enter a + * Low-Power mode (DEEPSLEEP/BACKUP). + * + */ +int UART_PrepForSleep(mxc_uart_regs_t *uart); + +/** + * @brief Abort asynchronous request. + * + * @param req Pointer to the request to abort. See #uart_req. + * + * @return #E_NO_ERROR if the asynchronous request aborted successfully started, @ref + * MXC_Error_Codes "error" if unsuccessful. + */ +int UART_AbortAsync(uart_req_t *req); + +/** + * @brief Returns the number of bytes still pending transmission in the UART TX FIFO. + * + * @param uart Pointer to the UART registers. + * + * @return Number of unused bytes in the TX FIFO. + */ +unsigned UART_NumWriteAvail(mxc_uart_regs_t *uart); + +/** + * @brief Returns the number of bytes available to be read from the RX FIFO. + * + * @param uart Pointer to the UART registers. + * + * @return The number of bytes available to read in the RX FIFO. + */ +unsigned UART_NumReadAvail(mxc_uart_regs_t *uart); + +/** + * @brief Clears the specified interrupt flags. + * + * @param uart Pointer to the UART registers. + * @param mask Mask of the UART interrupts to clear, see + * @ref UART_INT_FL Register. + */ +void UART_ClearFlags(mxc_uart_regs_t *uart, uint32_t mask); + +/** + * @brief Get the UART interrupt flags. + * + * @param uart Pointer to the UART registers. + * + * @return Mask of active flags. + */ +unsigned UART_GetFlags(mxc_uart_regs_t *uart); + +/** + * @brief Enables the UART. + * @note This function does not change the existing UART configuration. + * + * @param uart Pointer to the UART registers. + */ +void UART_Enable(mxc_uart_regs_t *uart); + +/** + * @brief Disables the UART. + * @note This function does not change the existing UART configuration. + * + * @param uart Pointer to the UART registers. + */ +void UART_Disable(mxc_uart_regs_t *uart); + +/** + * @brief Drains/empties and data in the RX FIFO, discarding any bytes not yet consumed. + * + * @param uart Pointer to the UART registers. + */ +void UART_DrainRX(mxc_uart_regs_t *uart); + +/** + * @brief Drains/empties any data in the TX FIFO, discarding any bytes not yet transmitted. + * + * @param uart Pointer to the UART registers. + */ +void UART_DrainTX(mxc_uart_regs_t *uart); + +/**@} end of group uart */ + +#endif /* _UART_H_ */ diff --git a/Firmware/SDK/Driver/Include/wdt.h b/Firmware/SDK/Driver/Include/wdt.h new file mode 100644 index 0000000..068cef2 --- /dev/null +++ b/Firmware/SDK/Driver/Include/wdt.h @@ -0,0 +1,166 @@ +/** + * @file wdt.h + * @brief Watchdog timer (WDT) function prototypes and data types. + */ + +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2020-04-20 15:06:58 -0500 (Mon, 20 Apr 2020) $ + * $Revision: 53142 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _WDT_H_ +#define _WDT_H_ + +/* **** Includes **** */ +#include +#include "mxc_config.h" +#include "mxc_sys.h" +#include "wdt_regs.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/** + * @defgroup wdt Watchdog Timer (WDT) + * @ingroup periphlibs + * @{ + */ + +/* **** Definitions **** */ + +/** @brief Watchdog period enumeration. + Used to configure the period of the watchdog interrupt */ +typedef enum { + WDT_PERIOD_2_31 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW31, /**< Period 2^31 */ + WDT_PERIOD_2_30 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW30, /**< Period 2^30 */ + WDT_PERIOD_2_29 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW29, /**< Period 2^29 */ + WDT_PERIOD_2_28 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW28, /**< Period 2^28 */ + WDT_PERIOD_2_27 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW27, /**< Period 2^27 */ + WDT_PERIOD_2_26 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW26, /**< Period 2^26 */ + WDT_PERIOD_2_25 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW25, /**< Period 2^25 */ + WDT_PERIOD_2_24 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW24, /**< Period 2^24 */ + WDT_PERIOD_2_23 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW23, /**< Period 2^23 */ + WDT_PERIOD_2_22 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW22, /**< Period 2^22 */ + WDT_PERIOD_2_21 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW21, /**< Period 2^21 */ + WDT_PERIOD_2_20 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW20, /**< Period 2^20 */ + WDT_PERIOD_2_19 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW19, /**< Period 2^19 */ + WDT_PERIOD_2_18 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW18, /**< Period 2^18 */ + WDT_PERIOD_2_17 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW17, /**< Period 2^17 */ + WDT_PERIOD_2_16 = MXC_S_WDT_CTRL_INT_PERIOD_WDT2POW16, /**< Period 2^16 */ +} wdt_period_t; + +/* **** Function Prototypes **** */ + +/** + * @brief Initialize the Watchdog Timer + * @param wdt Pointer to the watchdog registers + * @param sys_cfg The system configuration object + */ +int WDT_Init(mxc_wdt_regs_t* wdt, sys_cfg_wdt_t sys_cfg); +/** + * @brief Set the period of the watchdog interrupt. + * @param wdt Pointer to watchdog registers. + * @param period Enumeration of the desired watchdog period. + */ +void WDT_SetIntPeriod(mxc_wdt_regs_t* wdt, wdt_period_t period); + +/** + * @brief Set the period of the watchdog reset. + * @param wdt Pointer to watchdog registers. + * @param period Enumeration of the desired watchdog period. + */ +void WDT_SetResetPeriod(mxc_wdt_regs_t* wdt, wdt_period_t period); + +/** + * @brief Enable the watchdog timer. + * @param wdt Pointer to watchdog registers. + * @param enable 1 to enable the timer, 0 to disable. + */ +void WDT_Enable(mxc_wdt_regs_t* wdt, int enable); + +/** + * @brief Enable the watchdog interrupt. + * @param wdt Pointer to watchdog registers. + * @param enable 1 to enable the interrupt, 0 to disable. + */ +void WDT_EnableInt(mxc_wdt_regs_t* wdt, int enable); + +/** + * @brief Enable the watchdog reset. + * @param wdt Pointer to watchdog registers. + * @param enable 1 to enable the reset, 0 to disable. + */ +void WDT_EnableReset(mxc_wdt_regs_t* wdt, int enable); + +/** + * @brief Reset the watchdog timer. + * @param wdt Pointer to watchdog registers. + */ +void WDT_ResetTimer(mxc_wdt_regs_t* wdt); + +/** + * @brief Get the status of the reset flag. + * @param wdt Pointer to watchdog registers. + * @returns 1 if the previous reset was caused by the watchdog, 0 otherwise. + */ +int WDT_GetResetFlag(mxc_wdt_regs_t* wdt); + +/** + * @brief Clears the reset flag. + * @param wdt Pointer to watchdog registers. + */ +void WDT_ClearResetFlag(mxc_wdt_regs_t* wdt); + +/** + * @brief Get the status of the interrupt flag. + * @param wdt Pointer to watchdog registers. + * @returns 1 if the interrupt is pending, 0 otherwise. + */ +int WDT_GetIntFlag(mxc_wdt_regs_t* wdt); + +/** + * @brief Clears the interrupt flag. + * @param wdt Pointer to watchdog registers. + */ +void WDT_ClearIntFlag(mxc_wdt_regs_t* wdt); + +/**@} end of group wdt */ + +#ifdef __cplusplus +} +#endif + +#endif /* _WDT_H_ */ diff --git a/Firmware/SDK/Driver/Source/dma.c b/Firmware/SDK/Driver/Source/dma.c new file mode 100644 index 0000000..51aac68 --- /dev/null +++ b/Firmware/SDK/Driver/Source/dma.c @@ -0,0 +1,374 @@ +/* ***************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-07-01 11:06:19 -0500 (Mon, 01 Jul 2019) $ + * $Revision: 44383 $ + * + **************************************************************************** */ + +#include +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_lock.h" +#include "mxc_sys.h" +#include "dma.h" + +/* + * Structure type + */ +typedef struct { + unsigned int valid; /* Flag to invalidate this resource */ + unsigned int instance; /* Hardware instance of this DMA controller */ + unsigned int id; /* Channel ID, which matches the index into the underlying hardware */ + mxc_dma_ch_regs_t *regs; /* Pointer to the registers for this channel */ + void (*cb)(int, int); /* Pointer to a callback function type */ +} dma_channel_t; + +#define CHECK_HANDLE(x) ((x >= 0) && (x < MXC_DMA_CHANNELS) && (dma_resource[x].valid)) + +/* DMA driver must be initialized once before use, and may not be initialized again without shutdown, as it is a shared resource */ +static unsigned int dma_initialized = 0; + +static dma_channel_t dma_resource[MXC_DMA_CHANNELS]; + +static uint32_t dma_lock; + +/* Initialize DMA to known state */ +int DMA_Init(void) +{ + int i; + + if (dma_initialized) { + return E_BAD_STATE; + } + + /* Initialize any system-level DMA settings */ + SYS_DMA_Init(); + + /* Initialize mutex */ + mxc_free_lock(&dma_lock); + if (mxc_get_lock(&dma_lock, 1) != E_NO_ERROR) { + return E_BUSY; + } + + /* Ensure all channels are disabled at start, clear flags, init handles */ + MXC_DMA->cn = 0; + for (i = 0; i < MXC_DMA_CHANNELS; i++) { + dma_resource[i].valid = 0; + dma_resource[i].instance = 0; + dma_resource[i].id = i; + dma_resource[i].regs = (mxc_dma_ch_regs_t *)&MXC_DMA->ch[i]; + dma_resource[i].regs->cfg = 0; + dma_resource[i].regs->st = dma_resource[i].regs->st; + + dma_resource[i].cb = NULL; + } + dma_initialized++; + mxc_free_lock(&dma_lock); + + return E_NO_ERROR; +} + +/* Shut down DMA in an orderly manner, informing clients that their requests did not complete */ +int DMA_Shutdown(void) +{ + int i; + + if (!dma_initialized) { + /* Never initialized, so shutdown is not appropriate */ + return E_BUSY; + } + + if (mxc_get_lock(&dma_lock, 1) != E_NO_ERROR) { + return E_BUSY; + } + + /* Prevent any new resource allocation by this API */ + dma_initialized = 0; + /* Disable interrupts, preventing future callbacks */ + MXC_DMA->cn = 0; + + /* For each channel: + * - invalidate the handles held by clients + * - stop any transfer in progress + */ + for (i = 0; i < MXC_DMA_CHANNELS; i++) { + dma_resource[i].regs->cfg = 0; + if (dma_resource[i].valid) { + dma_resource[i].valid = 0; + if (dma_resource[i].cb != NULL) { + dma_resource[i].cb(i, E_SHUTDOWN); + } + } + } + + /* Disable any system-level DMA settings */ + SYS_DMA_Shutdown(); + + mxc_free_lock(&dma_lock); + + return E_NO_ERROR; +} + +/* Request DMA channel */ +/* Once "owned", this channel may be used directly via the DMA_GetCHRegs(ch) pointer, or */ +/* configured via the API functions */ +int DMA_AcquireChannel(void) +{ + int i, channel; + + /* Check for initialization */ + if (!dma_initialized) { + return E_BAD_STATE; + } + + /* If DMA is locked return busy */ + if (mxc_get_lock(&dma_lock, 1) != E_NO_ERROR) { + return E_BUSY; + } + + /* Default is no channel available */ + channel = E_NONE_AVAIL; + if (dma_initialized) { + for (i = 0; i < MXC_DMA_CHANNELS; i++) { + if (!dma_resource[i].valid) { + /* Found one */ + channel = i; + dma_resource[i].valid = 1; + dma_resource[i].regs->cfg = 0; + dma_resource[i].regs->cnt_rld = 0; /* Used by DMA_Start() to conditionally set RLDEN */ + break; + } + } + } + mxc_free_lock(&dma_lock); + + return channel; +} + +/* Release DMA channel */ +/* Callbacks will not be called */ +int DMA_ReleaseChannel(int ch) +{ + if (CHECK_HANDLE(ch)) { + if (mxc_get_lock(&dma_lock, 1) != E_NO_ERROR) { + return E_BUSY; + } + dma_resource[ch].valid = 0; + dma_resource[ch].regs->cfg = 0; + dma_resource[ch].regs->st = dma_resource[ch].regs->st; + mxc_free_lock(&dma_lock); + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Channel configuration */ +int DMA_ConfigChannel(int ch, + dma_priority_t prio, + dma_reqsel_t reqsel, unsigned int reqwait_en, + dma_timeout_t tosel, dma_prescale_t pssel, + dma_width_t srcwd, unsigned int srcinc_en, + dma_width_t dstwd, unsigned int dstinc_en, + unsigned int burst_size, unsigned int chdis_inten, + unsigned int ctz_inten) +{ + if (CHECK_HANDLE(ch) && (burst_size > 0)) { + /* Designed to be safe, not speedy. Should not be called often */ + dma_resource[ch].regs->cfg = + ((reqwait_en ? MXC_F_DMA_CFG_REQWAIT : 0) | + (srcinc_en ? MXC_F_DMA_CFG_SRCINC : 0) | + (dstinc_en ? MXC_F_DMA_CFG_DSTINC : 0) | + (chdis_inten ? MXC_F_DMA_CFG_CHDIEN : 0) | + (ctz_inten ? MXC_F_DMA_CFG_CTZIEN : 0) | + prio |reqsel | tosel | pssel | + (srcwd << MXC_F_DMA_CFG_SRCWD_POS) | + (dstwd << MXC_F_DMA_CFG_DSTWD_POS) | + (((burst_size - 1) << MXC_F_DMA_CFG_BRST_POS) & MXC_F_DMA_CFG_BRST)); + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* + * DMA request selects for peripherals will override either src_addr or dst_addr. + * In these cases, the overridden address is a don't care and may be 0. + */ +int DMA_SetSrcDstCnt(int ch, + void *src_addr, + void *dst_addr, + unsigned int count) +{ + if (CHECK_HANDLE(ch)) { + dma_resource[ch].regs->src = (unsigned int)src_addr; + dma_resource[ch].regs->dst = (unsigned int)dst_addr; + dma_resource[ch].regs->cnt = count; + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Must set en_reload == 1 to have any effect */ +int DMA_SetReload(int ch, + void *src_addr_reload, + void *dst_addr_reload, + unsigned int count_reload) +{ + if (CHECK_HANDLE(ch)) { + dma_resource[ch].regs->src_rld = (unsigned int)src_addr_reload; + dma_resource[ch].regs->dst_rld = (unsigned int)dst_addr_reload; + if (dma_resource[ch].regs->cfg & MXC_F_DMA_CFG_CHEN) { + /* If channel is already running, set RLDEN to enable next reload */ + dma_resource[ch].regs->cnt_rld = MXC_F_DMA_CNT_RLD_RLDEN | count_reload; + } else { + /* Otherwise, this is the initial setup, so DMA_Start() will handle setting that bit */ + dma_resource[ch].regs->cnt_rld = count_reload; + } + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +int DMA_SetCallback(int ch, void (*callback)(int, int)) +{ + if (CHECK_HANDLE(ch)) { + /* Callback for interrupt handler, no checking is done, as NULL is valid for (none) */ + dma_resource[ch].cb = callback; + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Interrupt enable/disable */ +int DMA_EnableInterrupt(int ch) +{ + if (CHECK_HANDLE(ch)) { + MXC_DMA->cn |= (1 << ch); + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +int DMA_DisableInterrupt(int ch) +{ + if (CHECK_HANDLE(ch)) { + MXC_DMA->cn &= ~(1 << ch); + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Channel interrupt flags */ +int DMA_GetFlags(int ch, unsigned int *fl) +{ + if (CHECK_HANDLE(ch) && fl) { + *fl = dma_resource[ch].regs->st; + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +int DMA_ClearFlags(int ch) +{ + if (CHECK_HANDLE(ch)) { + dma_resource[ch].regs->st = dma_resource[ch].regs->st; + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Start channel */ +int DMA_Start(int ch) +{ + if (CHECK_HANDLE(ch)) { + DMA_ClearFlags(ch); + if (dma_resource[ch].regs->cnt_rld) { + dma_resource[ch].regs->cfg |= (MXC_F_DMA_CFG_CHEN | MXC_F_DMA_CFG_RLDEN); + } else { + dma_resource[ch].regs->cfg |= MXC_F_DMA_CFG_CHEN; + } + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Stop channel */ +int DMA_Stop(int ch) +{ + if (CHECK_HANDLE(ch)) { + dma_resource[ch].regs->cfg &= ~MXC_F_DMA_CFG_CHEN; + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* Get pointer to registers, for advanced users */ +mxc_dma_ch_regs_t *DMA_GetCHRegs(int ch) +{ + if (CHECK_HANDLE(ch)) { + return dma_resource[ch].regs; + } else { + return NULL; + } +} + +/* */ +void DMA_Handler(int ch) +{ + /* Do callback, if enabled */ + if (dma_resource[ch].cb != NULL) { + dma_resource[ch].cb(ch, E_NO_ERROR); + } + DMA_ClearFlags(ch); +} diff --git a/Firmware/SDK/Driver/Source/flc.c b/Firmware/SDK/Driver/Source/flc.c new file mode 100644 index 0000000..1b554fe --- /dev/null +++ b/Firmware/SDK/Driver/Source/flc.c @@ -0,0 +1,579 @@ +/** + * @file flc.h + * @brief Flash Controler driver. + * @details This driver can be used to operate on the embedded flash memory. + */ +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-06-05 16:53:29 -0500 (Wed, 05 Jun 2019) $ + * $Revision: 43696 $ + * + *************************************************************************** */ + +/* **** Includes **** */ +#include +#include "mxc_config.h" +#include "mxc_sys.h" +#include "flc.h" +#include "flc_regs.h" + + +/* **** Definitions **** */ + +/* **** Globals **** */ + +/* **** Functions **** */ + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +static int prepare_flc(void) +{ + // Set flash clock divider to generate a 1MHz clock from the APB clock + MXC_FLC->clkdiv = SystemCoreClock / 1000000; + + /* Check if the flash controller is busy */ + if (FLC_Busy()) { + return E_BUSY; + } + + /* Clear stale errors */ + if (MXC_FLC->intr & MXC_F_FLC_INTR_AF) { + MXC_FLC->intr &= ~MXC_F_FLC_INTR_AF; + } + + /* Unlock flash */ + MXC_FLC->cn = (MXC_FLC->cn & ~MXC_F_FLC_CN_UNLOCK) | MXC_S_FLC_CN_UNLOCK_UNLOCKED; + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +// IAR memory section declaration for the in-system flash programming functions to be loaded in RAM. +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_Init(const sys_cfg_flc_t *sys_cfg) +{ + SYS_FLC_Init(sys_cfg); + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +// IAR memory section declaration for the in-system flash programming functions to be loaded in RAM. +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_Busy(void) +{ + return (MXC_FLC->cn & (MXC_F_FLC_CN_WR | MXC_F_FLC_CN_ME | MXC_F_FLC_CN_PGE)); +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_MassErase(void) +{ + int err; + + if ((err = prepare_flc()) != E_NO_ERROR) + return err; + + /* Write mass erase code */ + MXC_FLC->cn = (MXC_FLC->cn & ~MXC_F_FLC_CN_ERASE_CODE) | MXC_S_FLC_CN_ERASE_CODE_ERASEALL; + + /* Issue mass erase command */ + MXC_FLC->cn |= MXC_F_FLC_CN_ME; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + /* Lock flash */ + MXC_FLC->cn &= ~MXC_F_FLC_CN_UNLOCK; + + /* Check access violations */ + if (MXC_FLC->intr & MXC_F_FLC_INTR_AF) { + MXC_FLC->intr &= ~MXC_F_FLC_INTR_AF; + return E_BAD_STATE; + } + + SYS_Flash_Operation(); + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_PageErase(uint32_t address) +{ + int err; + + if ((err = prepare_flc()) != E_NO_ERROR) + return err; + + // Align address on page boundary + address = address - (address % MXC_FLASH_PAGE_SIZE); + + /* Write page erase code */ + MXC_FLC->cn = (MXC_FLC->cn & ~MXC_F_FLC_CN_ERASE_CODE) | MXC_S_FLC_CN_ERASE_CODE_ERASEPAGE; + /* Issue page erase command */ + MXC_FLC->addr = address; + MXC_FLC->cn |= MXC_F_FLC_CN_PGE; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + + /* Lock flash */ + MXC_FLC->cn &= ~MXC_F_FLC_CN_UNLOCK; + + /* Check access violations */ + if (MXC_FLC->intr & MXC_F_FLC_INTR_AF) { + MXC_FLC->intr &= ~MXC_F_FLC_INTR_AF; + return E_BAD_STATE; + } + + SYS_Flash_Operation(); + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_Erase(uint32_t start, uint32_t end) +{ + int retval; + uint32_t addr; + + // Align start and end on page boundaries + start = start - (start % MXC_FLASH_PAGE_SIZE); + end = end - (end % MXC_FLASH_PAGE_SIZE); + + for (addr = start; addr <= end; addr += MXC_FLASH_PAGE_SIZE) { + retval = FLC_PageErase(addr); + if (retval != E_NO_ERROR) { + return retval; + } + } + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_BufferErase(uint32_t start, uint32_t end, uint8_t *buffer, unsigned length) +{ + int retval; + uint32_t start_align, start_len, end_align, end_len; + + // Align start and end on page boundaries, calculate length of data to buffer + start_align = start - (start % MXC_FLASH_PAGE_SIZE); + start_len = (start % MXC_FLASH_PAGE_SIZE); + end_align = end - (end % MXC_FLASH_PAGE_SIZE); + end_len = ((MXC_FLASH_PAGE_SIZE - (end % MXC_FLASH_PAGE_SIZE)) % MXC_FLASH_PAGE_SIZE); + + // Make sure the length of buffer is sufficient + if ((length < start_len) || (length < end_len)) { + return E_BAD_PARAM; + } + + + // Start and end address are in the same page + if (start_align == end_align) { + if (length < (start_len + end_len)) { + return E_BAD_PARAM; + } + + // Buffer first page data and last page data, erase and write + memcpy(buffer, (void*)start_align, start_len); + memcpy(&buffer[start_len], (void*)end, end_len); + retval = FLC_PageErase(start_align); + if (retval != E_NO_ERROR) { + return retval; + } + + retval = FLC_Write(start_align, start_len, buffer); + if (retval != E_NO_ERROR) { + return retval; + } + retval = FLC_Write(end, end_len, &buffer[start_len]); + if (retval != E_NO_ERROR) { + return retval; + } + + return E_NO_ERROR; + } + + // Buffer, erase, and write the data in the first page + memcpy(buffer, (void*)start_align, start_len); + retval = FLC_PageErase(start_align); + if (retval != E_NO_ERROR) { + return retval; + } + + retval = FLC_Write(start_align, start_len, buffer); + if (retval != E_NO_ERROR) { + return retval; + } + + // Buffer, erase, and write the data in the last page + memcpy(buffer, (void*)end, end_len); + retval = FLC_PageErase(end_align); + if (retval != E_NO_ERROR) { + return retval; + } + + retval = FLC_Write(end, end_len, buffer); + if (retval != E_NO_ERROR) { + return retval; + } + + // Erase the remaining pages + if (start_align != end_align) { + return FLC_Erase((start_align + MXC_FLASH_PAGE_SIZE), (end_align - MXC_FLASH_PAGE_SIZE)); + } + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_Write32(uint32_t address, uint32_t data) +{ + int err; + + // Address checked if it is byte addressable + if (address & 0x3) { + return E_BAD_PARAM; + } + + if ((err = prepare_flc()) != E_NO_ERROR) + return err; + + // write in 32-bit units + MXC_FLC->cn |= MXC_F_FLC_CN_WDTH; + MXC_FLC->cn &= ~MXC_F_FLC_CN_BRST; + + // write the data + MXC_FLC->addr = address; + MXC_FLC->data[0] = data; + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + + /* Wait until flash operation is complete */ + while (FLC_Busy()) {} + + /* Lock flash */ + MXC_FLC->cn &= ~MXC_F_FLC_CN_UNLOCK; + + /* Check access violations */ + if (MXC_FLC->intr & MXC_F_FLC_INTR_AF) { + MXC_FLC->intr &= ~MXC_F_FLC_INTR_AF; + return E_BAD_STATE; + } + + SYS_Flash_Operation(); + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_Write128(uint32_t address, uint32_t *data) +{ + int err; + + // Address checked if it is word addressable + if (address & 0xF) { + return E_BAD_PARAM; + } + + if ((err = prepare_flc()) != E_NO_ERROR) + return err; + + // write 128-bits + MXC_FLC->cn &= ~MXC_F_FLC_CN_WDTH; + + // write the data + MXC_FLC->addr = address; + memcpy((void*)&MXC_FLC->data[0], data, 16); + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + + /* Lock flash */ + MXC_FLC->cn &= ~MXC_F_FLC_CN_UNLOCK; + + /* Check access violations */ + if (MXC_FLC->intr & MXC_F_FLC_INTR_AF) { + MXC_FLC->intr &= ~MXC_F_FLC_INTR_AF; + return E_BAD_STATE; + } + + SYS_Flash_Operation(); + + return E_NO_ERROR; +} + +// ***************************************************************************** +#if defined (__ICCARM__) +#pragma section=".flashprog" +#endif +#if defined ( __GNUC__ ) +__attribute__ ((section(".flashprog"))) +#endif +int FLC_Write(uint32_t address, uint32_t length, uint8_t *buffer) +{ + int err; + uint32_t bytes_written; + uint8_t current_data[4]; + + if ((err = prepare_flc()) != E_NO_ERROR) + return err; + + // write in 32-bit units until we are 128-bit aligned + MXC_FLC->cn &= ~MXC_F_FLC_CN_BRST; + MXC_FLC->cn |= MXC_F_FLC_CN_WDTH; + + // Align the address and read/write if we have to + if (address & 0x3) { + + // Figure out how many bytes we have to write to round up the address + bytes_written = 4 - (address & 0x3); + + // Save the data currently in the flash + memcpy(current_data, (void*)(address & (~0x3)), 4); + + // Modify current_data to insert the data from buffer + memcpy(¤t_data[4-bytes_written], buffer, bytes_written); + + // Write the modified data + MXC_FLC->addr = address - (address % 4); + memcpy((void*)&MXC_FLC->data[0], ¤t_data, 4); + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + + address += bytes_written; + length -= bytes_written; + buffer += bytes_written; + } + + while ( (length >= 4) && ((address & 0xF) != 0) ) { + MXC_FLC->addr = address; + memcpy((void*)&MXC_FLC->data[0], buffer, 4); + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + + address += 4; + length -= 4; + buffer += 4; + } + + if (length >= 16) { + + // write in 128-bit bursts while we can + MXC_FLC->cn &= ~MXC_F_FLC_CN_WDTH; + + while (length >= 16) { + MXC_FLC->addr = address; + memcpy((void*)&MXC_FLC->data[0], buffer, 16); + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + + address += 16; + length -= 16; + buffer += 16; + } + + // Return to 32-bit writes. + MXC_FLC->cn |= MXC_F_FLC_CN_WDTH; + } + + while (length >= 4) { + MXC_FLC->addr = address; + memcpy((void*)&MXC_FLC->data[0], buffer, 4); + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + + address += 4; + length -= 4; + buffer += 4; + } + + if (length > 0) { + // Save the data currently in the flash + memcpy(current_data, (void*)(address), 4); + + // Modify current_data to insert the data from buffer + memcpy(current_data, buffer, length); + + MXC_FLC->addr = address; + memcpy((void*)&MXC_FLC->data[0], current_data, 4); + MXC_FLC->cn |= MXC_F_FLC_CN_WR; + + /* Wait until flash operation is complete */ + while (FLC_Busy()); + } + + /* Lock flash */ + MXC_FLC->cn &= ~MXC_F_FLC_CN_UNLOCK; + + /* Check access violations */ + if (MXC_FLC->intr & MXC_F_FLC_INTR_AF) { + MXC_FLC->intr &= ~MXC_F_FLC_INTR_AF; + return E_BAD_STATE; + } + + SYS_Flash_Operation(); + + return E_NO_ERROR; +} + +int FLC_EnableInt(uint32_t mask) +{ + uint32_t tmp; + + mask &= (MXC_F_FLC_INTR_DONEIE | MXC_F_FLC_INTR_AFIE); + if (!mask) { + /* No bits set? Wasn't something we can enable. */ + return E_BAD_PARAM; + } + + /* Careful with access_fail bit, as it is W0C */ + tmp = MXC_FLC->intr | MXC_F_FLC_INTR_AF; + /* Don't lose done flag */ + tmp &= ~(MXC_F_FLC_INTR_DONE); + /* Apply enables and write back */ + MXC_FLC->intr = (tmp | mask); + + return E_NO_ERROR; +} + +int FLC_DisableInt(uint32_t mask) +{ + uint32_t tmp; + + mask &= (MXC_F_FLC_INTR_DONEIE | MXC_F_FLC_INTR_AFIE); + if (!mask) { + /* No bits set? Wasn't something we can disable. */ + return E_BAD_PARAM; + } + + /* Careful with access_fail bit, as it is W0C */ + tmp = MXC_FLC->intr | MXC_F_FLC_INTR_AF; + /* Don't lose done flag */ + tmp &= ~(MXC_F_FLC_INTR_DONE); + /* Apply disables and write back */ + MXC_FLC->intr = (tmp & ~mask); + + return E_NO_ERROR; +} + +int FLC_GetFlags(void) +{ + return (MXC_FLC->intr & (MXC_F_FLC_INTR_DONE | MXC_F_FLC_INTR_AF)); +} + +int FLC_ClearFlags(uint32_t mask) +{ + mask &= (MXC_F_FLC_INTR_DONE | MXC_F_FLC_INTR_AF); + if (!mask) { + /* No bits set? Wasn't something we can clear. */ + return E_BAD_PARAM; + } + + // Both bits are write zero clear + MXC_FLC->intr ^= mask; + + return E_NO_ERROR; +} + +int FLC_UnlockInfoBlock() +{ + MXC_FLC->acntl = 0x3a7f5ca3; + MXC_FLC->acntl = 0xa1e34f20; + MXC_FLC->acntl = 0x9608b2c1; + return E_NO_ERROR; +} + +int FLC_LockInfoBlock() +{ + MXC_FLC->acntl = 0xDEADBEEF; + return E_NO_ERROR; +} diff --git a/Firmware/SDK/Driver/Source/gpio.c b/Firmware/SDK/Driver/Source/gpio.c new file mode 100644 index 0000000..0960481 --- /dev/null +++ b/Firmware/SDK/Driver/Source/gpio.c @@ -0,0 +1,312 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "mxc_assert.h" +#include "gpio.h" +#include + +/* **** Definitions **** */ + +/* **** Globals **** */ + +static void (*callback[MXC_CFG_GPIO_INSTANCES][MXC_CFG_GPIO_PINS_PORT])(void *); +static void *cbparam[MXC_CFG_GPIO_INSTANCES][MXC_CFG_GPIO_PINS_PORT]; + +/* **** Functions **** */ + +int GPIO_Init(void) +{ + int i; + int j; + + // Initialize call back arrays + for(i = 0; i < MXC_CFG_GPIO_INSTANCES; i++) { + for(j = 0; j < MXC_CFG_GPIO_PINS_PORT; j++) { + callback[i][j] = NULL; + } + } + return E_NO_ERROR; +} + +/* ************************************************************************** */ +/* + * GPIO_EN2 | GPIO_EN1 | GPIO_EN | Function + * --------------|---------------------|---------------------|---------------------- + * 0 | 0 | 0 | Alternative 1 + * 0 | 1 | 0 | Alternative 2 + * 1 | 0 | 0 | Alternative 3 + * 1 | 1 | 0 | Alternative 4 + * 0 | 0 | 1 | GPIO (default) +*/ + +int GPIO_Config(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + // Set the GPIO type + switch (cfg->func) { + case GPIO_FUNC_IN: + gpio->out_en_clr = cfg->mask; + gpio->en_set = cfg->mask; + gpio->en1_clr = cfg->mask; + gpio->en2_clr = cfg->mask; + break; + case GPIO_FUNC_OUT: + gpio->out_en_set = cfg->mask; + gpio->en_set = cfg->mask; + gpio->en1_clr = cfg->mask; + gpio->en2_clr = cfg->mask; + break; + case GPIO_FUNC_ALT1: + gpio->en_clr = cfg->mask; + gpio->en1_clr = cfg->mask; + gpio->en2_clr = cfg->mask; + break; + case GPIO_FUNC_ALT2: + gpio->en_clr = cfg->mask; + gpio->en1_set = cfg->mask; + gpio->en2_clr = cfg->mask; + break; + case GPIO_FUNC_ALT3: +#if TARGET==32660 + gpio->en_set = cfg->mask; + gpio->en1_set = cfg->mask; +#else + gpio->en_clr = cfg->mask; + gpio->en1_clr = cfg->mask; + gpio->en2_set = cfg->mask; +#endif + break; + case GPIO_FUNC_ALT4: + gpio->en_clr = cfg->mask; + gpio->en1_set = cfg->mask; + gpio->en2_set = cfg->mask; + break; + default: + return E_BAD_PARAM; + } + + // Configure the pad + switch (cfg->pad) { + case GPIO_PAD_NONE: + gpio->pad_cfg1 &= ~cfg->mask; + gpio->pad_cfg2 &= ~cfg->mask; +#if TARGET==32660 + gpio->ps &= ~cfg->mask; +#endif + break; + case GPIO_PAD_PULL_UP: + gpio->pad_cfg1 |= cfg->mask; + gpio->pad_cfg2 &= ~cfg->mask; +#if TARGET==32660 + gpio->ps |= cfg->mask; +#endif + break; + case GPIO_PAD_PULL_DOWN: + gpio->pad_cfg1 &= ~cfg->mask; + gpio->pad_cfg2 |= cfg->mask; +#if TARGET==32660 + gpio->ps &= ~cfg->mask; +#endif + break; + default: + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +uint32_t GPIO_InGet(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + return (gpio->in & cfg->mask); +} + +/* ************************************************************************** */ +void GPIO_OutSet(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->out_set = cfg->mask; +} + +/* ************************************************************************** */ +void GPIO_OutClr(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->out_clr = cfg->mask; +} + +/* ************************************************************************** */ +uint32_t GPIO_OutGet(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + return (gpio->out & cfg->mask); +} + +/* ************************************************************************** */ +void GPIO_OutPut(const gpio_cfg_t *cfg, uint32_t val) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->out = (gpio->out & ~cfg->mask) | (val & cfg->mask); +} + +/* ************************************************************************** */ +void GPIO_OutToggle(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->out ^= cfg->mask; +} + +/* ************************************************************************** */ +int GPIO_IntConfig(const gpio_cfg_t *cfg, gpio_int_mode_t mode, gpio_int_pol_t pol) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + switch (mode) { + case GPIO_INT_LEVEL: + gpio->int_mod &= ~cfg->mask; + break; + case GPIO_INT_EDGE: + gpio->int_mod |= cfg->mask; + break; + default: + return E_BAD_PARAM; + } + + switch (pol) { + case GPIO_INT_FALLING: /* GPIO_INT_HIGH */ + gpio->int_pol &= ~cfg->mask; + gpio->int_dual_edge &= ~cfg->mask; + break; + case GPIO_INT_RISING: /* GPIO_INT_LOW */ + gpio->int_pol |= cfg->mask; + gpio->int_dual_edge &= ~cfg->mask; + break; + case GPIO_INT_BOTH: + gpio->int_dual_edge |= cfg->mask; + break; + default: + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +void GPIO_IntEnable(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->int_en_set = cfg->mask; +} + +/* ************************************************************************** */ +void GPIO_IntDisable(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->int_en_clr = cfg->mask; +} + +/* ************************************************************************** */ +uint32_t GPIO_IntStatus(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + return (gpio->int_stat & cfg->mask); +} + +/* ************************************************************************** */ +void GPIO_IntClr(const gpio_cfg_t *cfg) +{ + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(cfg->port); + + gpio->int_clr = cfg->mask; +} + +/* ************************************************************************** */ +void GPIO_RegisterCallback(const gpio_cfg_t *cfg, gpio_callback_fn func, void *cbdata) +{ + uint32_t mask; + unsigned int pin; + + mask = cfg->mask; + pin = 0; + + while (mask) { + if (mask & 1) { + callback[cfg->port][pin] = func; + cbparam[cfg->port][pin] = cbdata; + } + pin++; + mask >>= 1; + } +} + +/* ************************************************************************** */ +void GPIO_Handler(unsigned int port) +{ + uint32_t stat; + unsigned int pin; + + MXC_ASSERT(port < MXC_CFG_GPIO_INSTANCES); + + mxc_gpio_regs_t *gpio = MXC_GPIO_GET_GPIO(port); + + stat = gpio->int_stat; + gpio->int_clr = stat; + + pin = 0; + + while (stat) { + if (stat & 1) { + if(callback[port][pin]) { + callback[port][pin](cbparam[port][pin]); + } + } + pin++; + stat >>= 1; + } +} diff --git a/Firmware/SDK/Driver/Source/i2c.c b/Firmware/SDK/Driver/Source/i2c.c new file mode 100644 index 0000000..9c1a298 --- /dev/null +++ b/Firmware/SDK/Driver/Source/i2c.c @@ -0,0 +1,1060 @@ +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2020-02-03 10:33:50 -0600 (Mon, 03 Feb 2020) $ + * $Revision: 51326 $ + * + *************************************************************************** */ + + +#include +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_lock.h" +#include "mxc_sys.h" +#include "i2c.h" +#include +#include "mxc_delay.h" + +/* **** Definitions **** */ +#define I2C_ERROR (MXC_F_I2C_INT_FL0_ARB_ER | MXC_F_I2C_INT_FL0_TO_ER | MXC_F_I2C_INT_FL0_ADDR_NACK_ER | \ + MXC_F_I2C_INT_FL0_DATA_ER | MXC_F_I2C_INT_FL0_DO_NOT_RESP_ER | MXC_F_I2C_INT_FL0_START_ER | \ + MXC_F_I2C_INT_FL0_STOP_ER) +#define MASTER 1 +#define SLAVE 0 + +/* For high speed mode, if the I2C bus capacitance is greater than 100pF, set this value to ((capacitance - 100) / 3). + Otherwise leave it at 0. */ +#define HS_SCALE_FACTOR (0) + +#define T_LOW_MIN (160 + (160 * HS_SCALE_FACTOR / 100)) /* tLOW minimum in nanoseconds */ +#define T_HIGH_MIN (60 + (60 * HS_SCALE_FACTOR / 100)) /* tHIGH minimum in nanoseconds */ +#define T_R_MAX_HS (40 + (40 * HS_SCALE_FACTOR / 100)) /* tR maximum for high speed mode in nanoseconds */ +#define T_F_MAX_HS (40 + (40 * HS_SCALE_FACTOR / 100)) /* tF maximum for high speed mode in nanoseconds */ +#define T_AF_MIN (10 + (10 * HS_SCALE_FACTOR / 100)) /* tAF minimun in nanoseconds */ + +/* **** Variable Declaration **** */ + +// Saves the state of the non-blocking requests +typedef struct { + i2c_req_t *req; + i2c_state_t state; + uint8_t num_wr; // keep track of number of bytes loaded in the fifo during slave transmit +} i2c_req_state_t; +static i2c_req_state_t states[MXC_I2C_INSTANCES]; + +/* **** Function Prototypes **** */ +static void I2C_MasterHandler(mxc_i2c_regs_t *i2c); +static void I2C_SlaveHandler(mxc_i2c_regs_t *i2c); +static void I2C_FreeCallback(int i2c_num, int error); +static void I2C_Recover(mxc_i2c_regs_t *i2c); + +/* ************************************************************************** */ +static int I2C_Setspeed(mxc_i2c_regs_t * i2c, i2c_speed_t i2cspeed) +{ + uint32_t ticks, ticks_lo, ticks_hi; + + if (i2cspeed == I2C_HS_MODE) { + + uint32_t sys_freq, tPCLK, targBusFreq, tSCLmin, cklMin, ckhMin, ckh_cklMin; + + /* Compute dividers for high speed mode. */ + sys_freq = SYS_I2C_GetFreq(i2c); + MXC_ASSERT(sys_freq >= 1000); + + tPCLK = 1000000 / (sys_freq / 1000); + MXC_ASSERT(tPCLK > 0) + + targBusFreq = i2cspeed - ((i2cspeed/2) * HS_SCALE_FACTOR / 100); + if(targBusFreq < 1000) { + return E_BAD_PARAM; + } + + tSCLmin = 1000000 / (targBusFreq / 1000); + cklMin = ((T_LOW_MIN + T_F_MAX_HS + (tPCLK - 1) - T_AF_MIN) / tPCLK) - 1; + ckhMin = ((T_HIGH_MIN + T_R_MAX_HS + (tPCLK - 1) - T_AF_MIN) / tPCLK) - 1; + ckh_cklMin = ((tSCLmin + (tPCLK - 1)) / tPCLK) - 2; + + ticks_lo = (cklMin > (ckh_cklMin - ckhMin)) ? (cklMin) : (ckh_cklMin - ckhMin); + ticks_hi = ckhMin; + + if((ticks_lo > (MXC_F_I2C_HS_CLK_HS_CLK_LO >> MXC_F_I2C_HS_CLK_HS_CLK_LO_POS)) || + (ticks_hi > (MXC_F_I2C_HS_CLK_HS_CLK_HI >> MXC_F_I2C_HS_CLK_HS_CLK_HI_POS))) { + return E_BAD_PARAM; + } + + /* Write results to destination registers. */ + i2c->hs_clk = (ticks_lo << MXC_F_I2C_HS_CLK_HS_CLK_LO_POS) | (ticks_hi << + MXC_F_I2C_HS_CLK_HS_CLK_HI_POS); + + /* Still need to load dividers for the preamble that each high-speed transaction starts with. + Switch setting to fast mode and fall out of if statement. */ + i2cspeed = I2C_FAST_MODE; + } + + /* Get the number of periph clocks needed to achieve selected speed. */ + ticks = SYS_I2C_GetFreq(i2c) / i2cspeed; + + /* For a 50% duty cycle, half the ticks will be spent high and half will be low. */ + ticks_hi = (ticks >> 1) - 1; + ticks_lo = (ticks >> 1) - 1; + + /* Account for rounding error in odd tick counts. */ + if (ticks & 1) { + ticks_hi++; + } + + /* Will results fit into 9 bit registers? (ticks_hi will always be >= ticks_lo. No need to check ticks_lo.) */ + if (ticks_hi > 0x1FF) { + return E_BAD_PARAM; + } + + /* 0 is an invalid value for the destination registers. (ticks_hi will always be >= ticks_lo. No need to check ticks_hi.) */ + if (ticks_lo == 0) { + return E_BAD_PARAM; + } + + /* Write results to destination registers. */ + i2c->clk_lo = ticks_lo; + i2c->clk_hi = ticks_hi; + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int I2C_Init(mxc_i2c_regs_t *i2c, i2c_speed_t i2cspeed, const sys_cfg_i2c_t* sys_cfg) +{ + int err; + int idx = MXC_I2C_GET_IDX(i2c); + // Check the base pointer + MXC_ASSERT(idx >= 0); + + // Set system level configurations + if ((err = SYS_I2C_Init(i2c, sys_cfg)) != E_NO_ERROR) { + return err; + } + + // Always disable the HW autoflush on data NACK and let the SW handle the flushing. + i2c->tx_ctrl0 |= 0x20; + + states[idx].num_wr = 0; + + i2c->ctrl = 0; // clear configuration bits + i2c->ctrl = MXC_F_I2C_CTRL_I2C_EN; // Enable I2C + i2c->master_ctrl = 0; // clear master configuration bits + i2c->status = 0; // clear status bits + + /* If either SDA or SCL is already low, there is a problem. + * Try reclaiming the bus by sending clocks until we have control of the SDA line. + * Follow procedure defined in i2c spec. + */ + if ((i2c->ctrl & (MXC_F_I2C_CTRL_SCL | MXC_F_I2C_CTRL_SDA)) != + (MXC_F_I2C_CTRL_SCL | MXC_F_I2C_CTRL_SDA)) { + + int i, have_control; + + // Set SCL/SDA as software controlled. + i2c->ctrl |= MXC_F_I2C_CTRL_SW_OUT_EN; + + // Try to get control of SDA. + for (i = 0; i < 16; i++) { + have_control = 1; + + // Drive SCL low and check its state. + i2c->ctrl &= ~(MXC_F_I2C_CTRL_SCL_OUT); + mxc_delay(MXC_DELAY_USEC(5)); + if ((i2c->ctrl & MXC_F_I2C_CTRL_SCL) == MXC_F_I2C_CTRL_SCL) { + have_control = 0; + } + + // Drive SDA low and check its state. + i2c->ctrl &= ~(MXC_F_I2C_CTRL_SDA_OUT); + mxc_delay(MXC_DELAY_USEC(5)); + if ((i2c->ctrl & MXC_F_I2C_CTRL_SDA) == MXC_F_I2C_CTRL_SDA) { + have_control = 0; + } + + // Release SDA and check its state. + i2c->ctrl |= (MXC_F_I2C_CTRL_SDA_OUT); + mxc_delay(MXC_DELAY_USEC(5)); + if ((i2c->ctrl & MXC_F_I2C_CTRL_SDA) != MXC_F_I2C_CTRL_SDA) { + have_control = 0; + } + + // Release SCL and check its state. + i2c->ctrl |= (MXC_F_I2C_CTRL_SCL_OUT); + mxc_delay(MXC_DELAY_USEC(5)); + if ((i2c->ctrl & MXC_F_I2C_CTRL_SCL) != MXC_F_I2C_CTRL_SCL) { + have_control = 0; + } + + if (have_control) { + // Issue stop + // Drive SDA low. + i2c->ctrl &= ~(MXC_F_I2C_CTRL_SDA_OUT); + mxc_delay(MXC_DELAY_USEC(5)); + // Release SDA. + i2c->ctrl |= (MXC_F_I2C_CTRL_SDA_OUT); + mxc_delay(MXC_DELAY_USEC(5)); + break; + } + } + + if (!have_control) { + return E_COMM_ERR; + } + } + + i2c->ctrl = 0; // clear configuration bits + i2c->ctrl = MXC_F_I2C_CTRL_I2C_EN; // Enable I2C + i2c->master_ctrl = 0; // clear master configuration bits + i2c->status= 0; // clear status bits + + // Check for HS mode + if (i2cspeed == I2C_HS_MODE) { + i2c->ctrl |= MXC_F_I2C_CTRL_HS_MODE; // Enable HS mode + } + + // Disable and clear interrupts + i2c->int_en0 = 0; + i2c->int_en1 = 0; + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + + i2c->timeout = 0x0; // set timeout + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; // clear the RX FIFO + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; // clear the TX FIFO + + return I2C_Setspeed(i2c, i2cspeed); +} +/* ************************************************************************** */ +int I2C_Shutdown(mxc_i2c_regs_t *i2c) +{ + int i2c_num, err; + + // Check the base pointer + i2c_num = MXC_I2C_GET_IDX(i2c); + MXC_ASSERT(i2c_num >= 0); + + // Disable and clear interrupts + i2c->int_en0 = 0; + i2c->int_en1 = 0; + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; // clear the RX FIFO + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; // clear the TX FIFO + + // Call all of the pending callbacks for this I2C + if (states[i2c_num].req != NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_SHUTDOWN); + } + + i2c->ctrl = 0; + + // Clears system level configurations + if ((err = SYS_I2C_Shutdown(i2c)) != E_NO_ERROR) { + return err; + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int I2C_MasterWrite(mxc_i2c_regs_t *i2c, uint8_t addr, const uint8_t* data, int len, int restart) +{ + int save_len = len; + + if (len == 0) { + return E_NO_ERROR; + } + + // Clear the lock out bit (W1C) in case it is set. + i2c->int_fl0 = MXC_F_I2C_INT_FL0_TX_LOCK_OUT; + i2c->int_fl0 = i2c->int_fl0; + + // Enable master mode + i2c->ctrl |= MXC_F_I2C_CTRL_MST; + + // Load FIFO with slave address for WRITE and as much data as we can + while (i2c->status & MXC_F_I2C_STATUS_TX_FULL) {} + i2c->fifo = addr & ~(0x1); + + while ((len > 0) && !(i2c->status & MXC_F_I2C_STATUS_TX_FULL)) { + i2c->fifo = *data++; + len--; + } + + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_START; + + // Write remaining data to FIFO + while (len > 0) { + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + // Set the stop bit + i2c->master_ctrl &= ~(MXC_F_I2C_MASTER_CTRL_RESTART); + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + while (!(i2c->int_fl0 & (MXC_F_I2C_INT_FL0_STOP))) {} + + return E_COMM_ERR; + } + + if (!(i2c->status & MXC_F_I2C_STATUS_TX_FULL)) { + i2c->fifo = *data++; + len--; + } + } + + if (restart) { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_RESTART; + } else { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + } + + // Wait for Done or time out if enabled + while (!(i2c->int_fl0 & (MXC_F_I2C_INT_FL0_DONE | I2C_ERROR ))) {} + + i2c->int_fl0 = MXC_F_I2C_INT_FL0_DONE; + + // Wait for Stop + if (!restart) { + while (!(i2c->int_fl0 & (MXC_F_I2C_INT_FL0_STOP ))) {} + + i2c->int_fl0 = MXC_F_I2C_INT_FL0_STOP; + } + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + return E_COMM_ERR; + } + + return save_len; +} + +/* ************************************************************************** */ +int I2C_MasterRead(mxc_i2c_regs_t *i2c, uint8_t addr, uint8_t* data, int len, int restart) +{ + int save_len = len; + + if (len == 0) { + return E_NO_ERROR; + } + + if (len > 256) { + return E_BAD_PARAM; + } + + i2c->int_fl0 = MXC_F_I2C_INT_FL0_TX_LOCK_OUT; + i2c->int_fl0 = i2c->int_fl0; + + // Make sure the I2C has been initialized + if (!(i2c->ctrl & MXC_F_I2C_CTRL_I2C_EN)) { + return E_UNINITIALIZED; + } + + // Enable master mode + i2c->ctrl |= MXC_F_I2C_CTRL_MST; + + // Set receive count + i2c->rx_ctrl1= len; + + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_START; + + // Load FIFO with slave address + while (i2c->status & MXC_F_I2C_STATUS_TX_FULL) {} + i2c->fifo = (addr | 1); + + + // Wait for all data to be received or error + while (len > 0) { + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + // Set the stop bit + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + return E_COMM_ERR; + } + + if (!(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *data++ = i2c->fifo; + len--; + } + } + + if (i2c->int_fl0 & I2C_ERROR) { + // Set the stop bit + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + return E_COMM_ERR; + } + + if (restart) { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_RESTART; + } else { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + } + + // Wait for Done or time out if enabled + while (!(i2c->int_fl0 & (MXC_F_I2C_INT_FL0_DONE | I2C_ERROR ))) {} + + i2c->int_fl0 = MXC_F_I2C_INT_FL0_DONE; + + // Wait for Stop + if (!restart) { + while (!(i2c->int_fl0 & (MXC_F_I2C_INT_FL0_STOP | I2C_ERROR))) { + + } + + i2c->int_fl0 = MXC_F_I2C_INT_FL0_STOP; + } + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + return E_COMM_ERR; + } + + return save_len; +} + +/* ************************************************************************** */ +int I2C_Slave(mxc_i2c_regs_t *i2c, uint8_t addr, const uint8_t* read_data, int read_len, + uint8_t* write_data, int write_len, int* tx_num, int* rx_num, + i2c_autoflush_disable_t sw_autoflush_disable) +{ + int i2c_num; + + i2c_num = MXC_I2C_GET_IDX(i2c); + if ((read_data == NULL) && (write_data == NULL)) { + return E_NULL_PTR; + } + + // Make sure the I2C has been initialized + if (!(i2c->ctrl & MXC_F_I2C_CTRL_I2C_EN)) { + return E_UNINITIALIZED; + } + + if ((read_len == 0) && (write_len == 0)) { + return E_NO_ERROR; + } + + if (mxc_get_lock((uint32_t*)&states[i2c_num].req, 1) != E_NO_ERROR) { + return E_BUSY; + } + // Disable master mode + i2c->ctrl &= ~MXC_F_I2C_CTRL_MST; + + // Clear any previous errors + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + + // Set the slave address + i2c->slave_addr = (addr >> 1); + + // Wait for address match + while (!(i2c->int_fl0 & MXC_F_I2C_INT_FL0_ADDR_MATCH) && !(i2c->int_fl0 & I2C_ERROR)) { + + } + + i2c->int_fl0 = MXC_F_I2C_INT_FL0_ADDR_MATCH; + i2c->int_fl0 = MXC_F_I2C_INT_FL0_TX_LOCK_OUT; + + if (i2c->int_fl0 & I2C_ERROR) { + if (!sw_autoflush_disable) { + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; + } + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_COMM_ERR; + } + + // See if we're reading or writing + if (i2c->ctrl & MXC_F_I2C_CTRL_READ) { + // This is the master read/slave write case + if (read_data == NULL || read_len == 0) { + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_NULL_PTR; + } + + // Wait for all data to be received or error + while (read_len > 0) { + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + *tx_num = states[i2c_num].num_wr - ((i2c->tx_ctrl1 & MXC_F_I2C_TX_CTRL1_TX_FIFO) >> MXC_F_I2C_TX_CTRL1_TX_FIFO_POS); + states[i2c_num].num_wr = 0; + if (!sw_autoflush_disable) { + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; + } + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_COMM_ERR; + } + + // Check for nack from master + if (i2c->int_fl0 & MXC_F_I2C_INT_FL0_TX_LOCK_OUT) { + break; + } + + // Check for done bit + if (i2c->int_fl0 & MXC_F_I2C_INT_FL0_DONE) { + break; + } + + if (!(i2c->status & MXC_F_I2C_STATUS_TX_FULL)) { + i2c->fifo = *read_data++; + states[i2c_num].num_wr++; + read_len--; + } + } + + // Wait for Done + while (!(i2c->int_fl0 & MXC_F_I2C_INT_FL0_DONE)) {} + + // Calculate number of bytes sent by the slave + *tx_num = states[i2c_num].num_wr - ((i2c->tx_ctrl1 & MXC_F_I2C_TX_CTRL1_TX_FIFO) >> MXC_F_I2C_TX_CTRL1_TX_FIFO_POS); + states[i2c_num].num_wr = 0; + if (!sw_autoflush_disable) { + // Flush the TX FIFO + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; + } + + } else { + // This is the master write/slave read case + if (write_data == NULL || write_len == 0) { + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_NULL_PTR; + } + + // Wait for all data to be written or error + while (write_len > 0) { + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + if (!sw_autoflush_disable) { + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; + } + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_COMM_ERR; + } + + // Check for done bit + if (i2c->int_fl0 & MXC_F_I2C_INT_FL0_DONE) { + break; + } + + if (!(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *write_data++ = i2c->fifo; + (*rx_num)++; + write_len--; + } + } + + // Wait for Done + while (!(i2c->int_fl0 & MXC_F_I2C_INT_FL0_DONE)) { + + } + // Flush the FIFO + if (!sw_autoflush_disable) { + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; + } + } + + // Check for errors + if (i2c->int_fl0 & I2C_ERROR) { + // Flush the FIFO + if (!sw_autoflush_disable) { + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; + } + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_COMM_ERR; + } + mxc_free_lock((uint32_t*)&states[i2c_num]); + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int I2C_MasterAsync(mxc_i2c_regs_t *i2c, i2c_req_t *req) +{ + int i2c_num; + + i2c_num = MXC_I2C_GET_IDX(i2c); + if (req->state == I2C_STATE_READING) { + // Check the parameters + if (req->rx_len == 0) { + return E_NO_ERROR; + } + if (req->rx_data == NULL) { + return E_NULL_PTR; + } + + } else { + // Check the parameters + if (req->tx_len == 0) { + return E_NO_ERROR; + } + if (req->tx_data == NULL) { + return E_NULL_PTR; + } + } + + // Make sure the I2C has been initialized + if (!(i2c->ctrl & MXC_F_I2C_CTRL_I2C_EN)) { + return E_UNINITIALIZED; + } + + // Attempt to register this request + if (mxc_get_lock((uint32_t*)&states[i2c_num].req, (uint32_t)req) != E_NO_ERROR) { + return E_BUSY; + } + states[i2c_num].state = req->state; + states[i2c_num].req = req; + + // Enable master mode + i2c->ctrl |= MXC_F_I2C_CTRL_MST; + + // Clear the byte counters + req->tx_num = 0; + req->rx_num = 0; + + // Disable and clear the interrupts + i2c->int_en0 = 0; + i2c->int_en1 = 0; + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + + // Start the transaction + I2C_MasterHandler(i2c); + return E_NO_ERROR; +} + + +/* ************************************************************************** */ +static void I2C_MasterHandler(mxc_i2c_regs_t *i2c) +{ + + uint32_t int0, inten0 = 0; + int rx_remain, tx_remain, i2c_num; + i2c_req_t *req; + + i2c_num = MXC_I2C_GET_IDX(i2c); + req = states[i2c_num].req; + + // Check for errors + int0 = i2c->int_fl0; + if (int0 & I2C_ERROR) { + + // Set the done bit + i2c->master_ctrl &= ~(MXC_F_I2C_MASTER_CTRL_RESTART); + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + + i2c->int_en0 = 0; + if (req->callback != NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_COMM_ERR); + } + return; + } + + rx_remain = req->rx_len - req->rx_num; + tx_remain = req->tx_len - req->tx_num; + if (req->restart) { + // Check for DONE interrupt + if ((int0 & MXC_F_I2C_INT_FL0_DONE)) { + // Read out any data in the RX FIFO + while (rx_remain && !(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *(req->rx_data)++ = i2c->fifo; + req->rx_num++; + rx_remain--; + } + i2c->int_en0 = 0; + if (req->callback != NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_NO_ERROR); + } + return; + } + } else { + // Check for STOP interrupt + if ((int0 & MXC_F_I2C_INT_FL0_STOP)) { + i2c->int_en0 = 0; + if (req->callback != NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_NO_ERROR); + } + + return; + } + + // Check for DONE interrupt + if ((int0 & MXC_F_I2C_INT_FL0_DONE)) { + // Read out any data in the RX FIFO + while (rx_remain && !(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *(req->rx_data)++ = i2c->fifo; + req->rx_num++; + rx_remain--; + } + + return; + } + } + + // Clear the interrupts + i2c->int_fl0 = int0; + + if (states[i2c_num].state == I2C_STATE_READING) { + + + // Read out any data in the RX FIFO + while (rx_remain && !(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *(req->rx_data)++ = i2c->fifo; + req->rx_num++; + rx_remain--; + } + + // Load the slave address if we haven't already started reading the data + if (rx_remain == req->rx_len) { + i2c->fifo = (req->addr | 1); + + // Set the RX Count + i2c->rx_ctrl1 = req->rx_len; + + // Start transmission if idle + if (!(i2c->status & MXC_F_I2C_STATUS_BUS)) { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_START; + } + + // Set restart or stop + if (req->restart) { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_RESTART; + } else { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + inten0 |= MXC_F_I2C_INT_EN0_STOP; + } + } + + // Set the RX threshold interrupt level + if (rx_remain >= (MXC_I2C_FIFO_DEPTH - 1)) { + i2c->rx_ctrl1 = ((i2c->rx_ctrl1 & ~(MXC_F_I2C_RX_CTRL0_RX_THRESH)) | + (MXC_I2C_FIFO_DEPTH - 1) << MXC_F_I2C_RX_CTRL0_RX_THRESH_POS); + + inten0 |= MXC_F_I2C_INT_EN0_RX_THRESH; + }else{ + i2c->rx_ctrl1 = ((i2c->rx_ctrl1 & ~(MXC_F_I2C_RX_CTRL0_RX_THRESH)) | + (rx_remain) << MXC_F_I2C_RX_CTRL0_RX_THRESH_POS); + + inten0 |= MXC_F_I2C_INT_EN0_RX_THRESH; + } + + } else { + + // Load the slave address if we haven't already started writing the data + if (tx_remain == req->tx_len) { + i2c->fifo = req->addr; + // Start transmission if idle + if (!(i2c->status & MXC_F_I2C_STATUS_BUS)) { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_START; + } + } + + // Fill the FIFO + while ((tx_remain > 0) && !(i2c->status & MXC_F_I2C_STATUS_TX_FULL)) { + i2c->fifo = *(req->tx_data)++; + req->tx_num++; + tx_remain--; + } + + // Set the TX threshold interrupt level, or restart/stop + if (tx_remain) { + i2c->tx_ctrl1 = ((i2c->tx_ctrl1 & ~(MXC_F_I2C_TX_CTRL0_TX_THRESH)) | (1 << MXC_F_I2C_TX_CTRL0_TX_THRESH_POS)); + inten0 |= MXC_F_I2C_INT_EN0_TX_THRESH; + } + // Set restart or stop if at the end of the transaction since these actions happen at the moment the bit is set. + else if (req->restart) { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_RESTART; + } else { + i2c->master_ctrl |= MXC_F_I2C_MASTER_CTRL_STOP; + inten0 |= MXC_F_I2C_INT_EN0_STOP; + } + } + + inten0 |= (MXC_F_I2C_INT_EN0_DONE | I2C_ERROR); + i2c->int_en0 = inten0; +} + +/* ************************************************************************** */ +int I2C_SlaveAsync(mxc_i2c_regs_t *i2c, i2c_req_t *req) +{ + int i2c_num; + + i2c_num = MXC_I2C_GET_IDX(i2c); + + // Make sure the I2C has been initialized + if (!(i2c->ctrl & MXC_F_I2C_CTRL_I2C_EN)) { + return E_UNINITIALIZED; + } + + // Attempt to register this request + if (mxc_get_lock((uint32_t*)&states[i2c_num].req, (uint32_t)req) != E_NO_ERROR) { + return E_BUSY; + } + + states[i2c_num].req = req; + + // Set Slave Address + i2c->slave_addr = (req->addr >> 1); + + // Clear the byte counters + req->tx_num = 0; + req->rx_num = 0; + + // Disable and clear the interrupts + i2c->int_en0 = 0; + i2c->int_en1 = 0; + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + i2c->int_en0 |= MXC_F_I2C_INT_EN0_ADDR_MATCH; + + return E_NO_ERROR; +} +/* ************************************************************************** */ +static void I2C_SlaveHandler(mxc_i2c_regs_t *i2c) +{ + uint32_t int0, inten0 = 0; + int rx_remain, tx_remain, i2c_num; + i2c_req_t *req; + + i2c_num = MXC_I2C_GET_IDX(i2c); + req = states[i2c_num].req; + + if ( i2c->int_fl0 & MXC_F_I2C_INT_FL0_ADDR_MATCH ) { + i2c->int_fl0 |=MXC_F_I2C_INT_EN0_STOP; + } + + // Check for errors + int0 = i2c->int_fl0; + if (int0 & I2C_ERROR) { + i2c->int_en0 = 0; + // Calculate the number of bytes sent by the slave + req->tx_num = states[i2c_num].num_wr - ((i2c->tx_ctrl1 & MXC_F_I2C_TX_CTRL1_TX_FIFO) >> MXC_F_I2C_TX_CTRL1_TX_FIFO_POS); + + if (!req->sw_autoflush_disable) { + // Manually clear the TXFIFO + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; + } + states[i2c_num].num_wr = 0; + if (req->callback != NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_COMM_ERR); + } + return; + } + + rx_remain = req->rx_len - req->rx_num; + tx_remain = req->tx_len - states[i2c_num].num_wr; + + //Check if Master Write has been called and if there is a rx_data buffer + if ((i2c->int_fl0 & MXC_F_I2C_INT_FL0_TX_LOCK_OUT) && !(i2c->ctrl & MXC_F_I2C_CTRL_READ)) { + + i2c->int_en0 = 0; + if (req->rx_data == NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_NULL_PTR); + return; + } + } + + // Check for DONE interrupt + if (int0 & MXC_F_I2C_INT_EN0_DONE) { + // Read out any data in the RX FIFO + while (rx_remain && !(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *(req->rx_data)++ = i2c->fifo; + req->rx_num++; + rx_remain--; + } + + // Calculate the number of bytes sent by the slave + req->tx_num = states[i2c_num].num_wr - ((i2c->tx_ctrl1 & MXC_F_I2C_TX_CTRL1_TX_FIFO) >> MXC_F_I2C_TX_CTRL1_TX_FIFO_POS); + + if (!req->sw_autoflush_disable) { + // Manually clear the TXFIFO + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; + } + states[i2c_num].num_wr = 0; + i2c->int_en0 = 0; + if (req->callback != NULL) { + if (i2c->int_fl0 & MXC_F_I2C_INT_FL0_STOP) { + I2C_Recover(i2c); + } else { + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + } + I2C_FreeCallback(i2c_num, E_NO_ERROR); + } + return; + } + + // Clear the interrupts + i2c->int_fl0 = int0; + if (i2c->ctrl & MXC_F_I2C_CTRL_READ) { + + i2c->int_en0 = 0; + if (req->tx_data == NULL) { + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_NULL_PTR); + return; + } + // Fill the FIFO + while ((tx_remain > 0) && !(i2c->status & MXC_F_I2C_STATUS_TX_FULL)) { + i2c->fifo = *(req->tx_data)++; + states[i2c_num].num_wr++; + tx_remain--; + } + + // Set the TX threshold interrupt level + if (tx_remain) { + i2c->tx_ctrl0 = ((i2c->tx_ctrl0 & ~(MXC_F_I2C_TX_CTRL0_TX_THRESH)) | (1 << MXC_F_I2C_TX_CTRL0_TX_THRESH_POS)); + inten0 |= MXC_F_I2C_INT_EN0_TX_THRESH; + } + + } else { + // Read out any data in the RX FIFO + while (rx_remain && !(i2c->status & MXC_F_I2C_STATUS_RX_EMPTY)) { + *(req->rx_data)++ = i2c->fifo; + req->rx_num++; + rx_remain--; + } + + // Set the RX threshold interrupt level + if (rx_remain >= (MXC_I2C_FIFO_DEPTH - 1)) { + i2c->rx_ctrl0 = ((i2c->rx_ctrl0 & ~(MXC_F_I2C_RX_CTRL0_RX_THRESH)) | + (MXC_I2C_FIFO_DEPTH - 1) << MXC_F_I2C_RX_CTRL0_RX_THRESH_POS); + + inten0 |= MXC_F_I2C_INT_EN0_RX_THRESH; + }else{ + i2c->rx_ctrl0 = ((i2c->rx_ctrl0 & ~(MXC_F_I2C_RX_CTRL0_RX_THRESH)) | + (rx_remain) << MXC_F_I2C_RX_CTRL0_RX_THRESH_POS); + + inten0 |= MXC_F_I2C_INT_EN0_RX_THRESH; + } + + } + inten0 |= (MXC_F_I2C_INT_EN0_DONE | I2C_ERROR | MXC_F_I2C_INT_EN0_TX_LOCK_OUT); + i2c->int_en0 = inten0; +} + +/* ************************************************************************** */ +void I2C_Handler(mxc_i2c_regs_t *i2c) +{ + if (i2c->ctrl & MXC_F_I2C_CTRL_MST && i2c->int_fl0) { + // Service master interrupts if we're in master mode + I2C_MasterHandler(i2c); + } else if (i2c->int_fl0 || i2c->int_fl1) { + // Service the slave interrupts + I2C_SlaveHandler(i2c); + } +} + +/* ************************************************************************** */ +void I2C_DrainRX(mxc_i2c_regs_t *i2c) +{ + i2c->rx_ctrl0 |= MXC_F_I2C_RX_CTRL0_RX_FLUSH; +} + +/* ************************************************************************** */ +void I2C_DrainTX(mxc_i2c_regs_t *i2c) +{ + i2c->tx_ctrl0 |= MXC_F_I2C_TX_CTRL0_TX_FLUSH; +} +/* ************************************************************************* */ +static void I2C_FreeCallback(int i2c_num, int error) +{ + // Save the request + i2c_req_t *temp_req = states[i2c_num].req; + + mxc_free_lock((uint32_t*)&states[i2c_num].req); + + // Callback if not NULL + if (temp_req->callback != NULL) { + temp_req->callback(temp_req, error); + } +} + +/* ************************************************************************* */ +static void I2C_Recover(mxc_i2c_regs_t *i2c) +{ + // Disable and clear interrupts + i2c->int_en0 = 0; + i2c->int_en1 = 0; + i2c->int_fl0 = i2c->int_fl0; + i2c->int_fl1 = i2c->int_fl1; + i2c->ctrl = 0; + i2c->ctrl = MXC_F_I2C_CTRL_I2C_EN; +} + +/* ************************************************************************* */ +int I2C_AbortAsync(i2c_req_t *req) +{ + int i2c_num; + mxc_i2c_regs_t *i2c; + + // Find the request, set to NULL + for (i2c_num = 0; i2c_num < MXC_I2C_INSTANCES; i2c_num++) { + if (req == states[i2c_num].req) { + + i2c = MXC_I2C_GET_I2C(i2c_num); + I2C_Recover(i2c); + I2C_FreeCallback(i2c_num, E_ABORT); + + return E_NO_ERROR; + } + } + + return E_BAD_PARAM; +} + +/* ************************************************************************* */ +int I2C_SetTimeout(mxc_i2c_regs_t *i2c, int us){ + uint32_t timeout; + timeout = (PeripheralClock/1000000) * us; + if(timeout > 0xFFFF){ + return E_BAD_PARAM; + } + i2c->timeout = timeout; + return E_NO_ERROR; +} + +/* ************************************************************************* */ +void I2C_ClearTimeout (mxc_i2c_regs_t *i2c) +{ + i2c->timeout = 0; +} diff --git a/Firmware/SDK/Driver/Source/i2s.c b/Firmware/SDK/Driver/Source/i2s.c new file mode 100644 index 0000000..adb770b --- /dev/null +++ b/Firmware/SDK/Driver/Source/i2s.c @@ -0,0 +1,216 @@ +/** + * @file i2s.c + * @brief Inter-Integrated Sound (I2S) driver implementation. + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +#include +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_lock.h" +#include "mxc_sys.h" +#include "dma.h" +#include "i2s.h" + +#define I2S_CHANNELS 2 +#define I2S_WIDTH 16 + +int dma_channel = -1; + +int I2S_Init(const i2s_cfg_t *cfg, void (*dma_ctz_cb)(int, int), const sys_cfg_i2s_t* sys_cfg_i2s) +{ + unsigned int i2s_clk, baud; + uint16_t clocks; + uint8_t ctz_en; + int err; + + SYS_I2S_Init(sys_cfg_i2s); + + /* Setup SPI_MSS as master, mode 0, 16 bit transfers as I2S Requires */ + MXC_SPIMSS->ctrl = MXC_F_SPIMSS_CTRL_MMEN; + MXC_SPIMSS->mod = MXC_V_SPIMSS_MOD_NUMBITS_BITS16 | MXC_F_SPIMSS_MOD_SSIO; + MXC_SPIMSS->dma = MXC_S_SPIMSS_DMA_TX_FIFO_LEVEL_ENTRIES8; + + /* Setup I2S register from i2s_cfg_t */ + MXC_SPIMSS->i2s_ctrl = cfg->left_justify << MXC_F_SPIMSS_I2S_CTRL_I2S_LJ_POS | + cfg->mono_audio << MXC_F_SPIMSS_I2S_CTRL_I2S_MONO_POS; + + /* Determine divisor for baud rate generator */ + baud = cfg->sample_rate*I2S_CHANNELS*I2S_WIDTH; + + i2s_clk = SYS_I2S_GetFreq(MXC_SPIMSS); + + if (i2s_clk/4 < baud) { + return E_BAD_PARAM; + } + + clocks = i2s_clk / (2*baud); + MXC_SPIMSS->brg = clocks; + + /* Prepare SPIMSS DMA register for DMA setup */ + if (dma_ctz_cb == NULL) { + ctz_en = 0; + } else { + ctz_en = 1; + } + + /* Initialize DMA */ + if (cfg->audio_direction % 2) { + MXC_SPIMSS->dma |= MXC_F_SPIMSS_DMA_TX_DMA_EN | MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR; + if ((err = DMA_Init()) != E_NO_ERROR) { + if (err != E_BAD_STATE) { + return err; + } + } + + if ((err = DMA_AcquireChannel()) < 0) { + return err; + } + + dma_channel = err; + + DMA_ConfigChannel(dma_channel, DMA_PRIO_MEDHIGH, + sys_cfg_i2s->dma_reqsel_tx, 1, DMA_TIMEOUT_512_CLK, + DMA_PRESCALE_DIV64K, DMA_WIDTH_HALFWORD, 1, + DMA_WIDTH_HALFWORD, 0, 16, 0, ctz_en); + + if (ctz_en) { + DMA_SetCallback(dma_channel, dma_ctz_cb); + DMA_EnableInterrupt(dma_channel); + } + } + if (cfg->audio_direction / 2) { + MXC_SPIMSS->dma = MXC_F_SPIMSS_DMA_RX_DMA_EN | MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR; + if ((err = DMA_Init()) != E_NO_ERROR) { + if (err != E_BAD_STATE) { //DMA already initialized + return err; + } + } + + if ((err = DMA_AcquireChannel()) < 0) { + return err; + } + + dma_channel = err; + + DMA_ConfigChannel(dma_channel, DMA_PRIO_MEDHIGH, + sys_cfg_i2s->dma_reqsel_rx, 1, DMA_TIMEOUT_512_CLK, + DMA_PRESCALE_DIV64K, DMA_WIDTH_HALFWORD, 0, + DMA_WIDTH_HALFWORD, 1, 8, 0, ctz_en); + + if (ctz_en) { + DMA_SetCallback(dma_channel, dma_ctz_cb); + DMA_EnableInterrupt(dma_channel); + } + } + + I2S_DMA_SetAddrCnt(cfg->dma_src_addr, cfg->dma_dst_addr, cfg->dma_cnt); + if (cfg->dma_reload_en) { + I2S_DMA_SetReload(cfg->dma_src_addr, cfg->dma_dst_addr, cfg->dma_cnt); + } + + if (cfg->start_immediately) { + return I2S_Start(); + } + return E_NO_ERROR; +} + +int I2S_Shutdown(void) +{ + MXC_SPIMSS->ctrl = 0; + MXC_SPIMSS->i2s_ctrl = 0; + MXC_SPIMSS->brg = 0; + MXC_SPIMSS->mod = 0; + MXC_SPIMSS->dma = 0; + SYS_I2S_Shutdown(); + return DMA_ReleaseChannel(dma_channel); +} + +int I2S_Mute(void) +{ + MXC_SPIMSS->i2s_ctrl |= MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE; + return E_NO_ERROR; +} + +int I2S_Unmute(void) +{ + MXC_SPIMSS->i2s_ctrl &= ~MXC_F_SPIMSS_I2S_CTRL_I2S_MUTE; + return E_NO_ERROR; +} + +int I2S_Pause(void) +{ + MXC_SPIMSS->i2s_ctrl |= MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE; + return E_NO_ERROR; +} + +int I2S_Unpause(void) +{ + MXC_SPIMSS->i2s_ctrl &= ~MXC_F_SPIMSS_I2S_CTRL_I2S_PAUSE; + return E_NO_ERROR; +} + +int I2S_Stop(void) +{ + MXC_SPIMSS->ctrl &= ~MXC_F_SPIMSS_CTRL_SPIEN; + MXC_SPIMSS->i2s_ctrl &= ~MXC_F_SPIMSS_I2S_CTRL_I2S_EN; + return DMA_Stop(dma_channel); +} + +int I2S_Start(void) +{ + MXC_SPIMSS->ctrl |= MXC_F_SPIMSS_CTRL_SPIEN; + MXC_SPIMSS->i2s_ctrl |= MXC_F_SPIMSS_I2S_CTRL_I2S_EN; + return DMA_Start(dma_channel); +} + +int I2S_DMA_ClearFlags(void) +{ + return DMA_ClearFlags(dma_channel); +} + +int I2S_DMA_SetAddrCnt(void *src_addr, void *dst_addr, unsigned int count) +{ + return DMA_SetSrcDstCnt(dma_channel, src_addr, dst_addr, count); +} + +int I2S_DMA_SetReload(void *src_addr, void *dst_addr, unsigned int count) +{ + return DMA_SetReload(dma_channel, src_addr, dst_addr, count); +} diff --git a/Firmware/SDK/Driver/Source/icc.c b/Firmware/SDK/Driver/Source/icc.c new file mode 100644 index 0000000..17b2ba7 --- /dev/null +++ b/Firmware/SDK/Driver/Source/icc.c @@ -0,0 +1,84 @@ +/* ***************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include +#include +#include "mxc_config.h" +#include "mxc_errors.h" +#include "icc_regs.h" +#include "icc.h" + +static int ICC_Ready(void) +{ + return (MXC_ICC->cache_ctrl & MXC_F_ICC_CACHE_CTRL_CACHE_RDY); +} + +int ICC_ID(icc_cache_id_t cid) +{ + switch (cid) { + case ICC_CACHE_ID_RELNUM: + return ((MXC_ICC->cache_id & MXC_F_ICC_CACHE_ID_RELNUM) >> MXC_F_ICC_CACHE_ID_RELNUM_POS); + case ICC_CACHE_ID_PARTNUM: + return ((MXC_ICC->cache_id & MXC_F_ICC_CACHE_ID_PARTNUM) >> MXC_F_ICC_CACHE_ID_PARTNUM_POS); + case ICC_CACHE_ID_CCHID: + return ((MXC_ICC->cache_id & MXC_F_ICC_CACHE_ID_CCHID) >> MXC_F_ICC_CACHE_ID_CCHID_POS); + default: + return E_BAD_PARAM; + } +} + +void ICC_Enable(void) +{ + // Invalidate cache and wait until ready + MXC_ICC->invalidate = 1; + while (!(ICC_Ready())); + + // Enable Cache + MXC_ICC->cache_ctrl |= MXC_F_ICC_CACHE_CTRL_CACHE_EN; +} + +void ICC_Disable(void) +{ + // Disable Cache + MXC_ICC->cache_ctrl &= ~MXC_F_ICC_CACHE_CTRL_CACHE_EN; +} + +void ICC_Flush(void) +{ + ICC_Disable(); + ICC_Enable(); +} diff --git a/Firmware/SDK/Driver/Source/lp.c b/Firmware/SDK/Driver/Source/lp.c new file mode 100644 index 0000000..eca5310 --- /dev/null +++ b/Firmware/SDK/Driver/Source/lp.c @@ -0,0 +1,371 @@ +/** + * @file lp.c + * @brief Low power functions + */ + +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-10-07 11:05:30 -0500 (Mon, 07 Oct 2019) $ + * $Revision: 47429 $ + * + *************************************************************************** */ + + +/***** Includes *****/ +#include "lp.h" +#include "pwrseq_regs.h" +#include "mxc_errors.h" +#include "gcr_regs.h" +#include "mxc_config.h" +#include "mxc_sys.h" +#include "flc.h" +#include "tmr_utils.h" + +/***** Functions *****/ +void LP_ClearWakeStatus(void) +{ + MXC_PWRSEQ->lp_wakefl = 0xFFFFFFFF; + + /* These flags are slow to clear, so block until they do */ + while(MXC_PWRSEQ->lp_wakefl & (MXC_PWRSEQ->lpwk_en)); +} + +void LP_EnableSRAM3(void) +{ + MXC_PWRSEQ->lpmemsd &= ~MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF; +} + +void LP_DisableSRAM3(void) +{ + MXC_PWRSEQ->lpmemsd |= MXC_F_PWRSEQ_LPMEMSD_SRAM3_OFF; +} + +void LP_EnableSRAM2(void) +{ + MXC_PWRSEQ->lpmemsd &= ~MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF; +} + +void LP_DisableSRAM2(void) +{ + MXC_PWRSEQ->lpmemsd |= MXC_F_PWRSEQ_LPMEMSD_SRAM2_OFF; +} + +void LP_EnableSRAM1(void) +{ + MXC_PWRSEQ->lpmemsd &= ~MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF; +} + +void LP_DisableSRAM1(void) +{ + MXC_PWRSEQ->lpmemsd |= MXC_F_PWRSEQ_LPMEMSD_SRAM1_OFF; +} + +void LP_EnableSRAM0(void) +{ + MXC_PWRSEQ->lpmemsd &= ~MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF; +} + +void LP_DisableSRAM0(void) +{ + MXC_PWRSEQ->lpmemsd |= MXC_F_PWRSEQ_LPMEMSD_SRAM0_OFF; +} + +void LP_EnableICacheLightSleep(void) +{ + MXC_GCR->memckcn |= (MXC_F_GCR_MEMCKCN_ICACHELS); +} + +void LP_DisableICacheLightSleep(void) +{ + MXC_GCR->memckcn &= ~(MXC_F_GCR_MEMCKCN_ICACHELS); +} + +void LP_EnableSysRAM3LightSleep(void) +{ + MXC_GCR->memckcn |= (MXC_F_GCR_MEMCKCN_SYSRAM3LS); +} + +void LP_DisableSysRAM3LightSleep(void) +{ + MXC_GCR->memckcn &= ~(MXC_F_GCR_MEMCKCN_SYSRAM3LS); +} + +void LP_EnableSysRAM2LightSleep(void) +{ + MXC_GCR->memckcn |= (MXC_F_GCR_MEMCKCN_SYSRAM2LS); +} + +void LP_DisableSysRAM2LightSleep(void) +{ + MXC_GCR->memckcn &= ~(MXC_F_GCR_MEMCKCN_SYSRAM2LS); +} + +void LP_EnableSysRAM1LightSleep(void) +{ + MXC_GCR->memckcn |= (MXC_F_GCR_MEMCKCN_SYSRAM1LS); +} + +void LP_DisableSysRAM1LightSleep(void) +{ + MXC_GCR->memckcn &= ~(MXC_F_GCR_MEMCKCN_SYSRAM1LS); +} + +void LP_EnableSysRAM0LightSleep(void) +{ + MXC_GCR->memckcn |= (MXC_F_GCR_MEMCKCN_SYSRAM0LS); +} + +void LP_DisableSysRAM0LightSleep(void) +{ + MXC_GCR->memckcn &= ~(MXC_F_GCR_MEMCKCN_SYSRAM0LS); +} + +void LP_EnableRTCAlarmWakeup(void) +{ + MXC_GCR->pm |= MXC_F_GCR_PM_RTCWKEN; +} + +void LP_DisableRTCAlarmWakeup(void) +{ + MXC_GCR->pm &= ~MXC_F_GCR_PM_RTCWKEN; +} + +void LP_EnableGPIOWakeup(const gpio_cfg_t *wu_pins) +{ + MXC_GCR->pm |= MXC_F_GCR_PM_GPIOWKEN; + switch(wu_pins->port) + { + case 0: MXC_PWRSEQ->lpwk_en |= wu_pins->mask; break; + } +} + +void LP_DisableGPIOWakeup(const gpio_cfg_t *wu_pins) +{ + switch(wu_pins->port) + { + case 0: MXC_PWRSEQ->lpwk_en &= ~wu_pins->mask; break; + } + + if(MXC_PWRSEQ->lpwk_en == 0) + { + MXC_GCR->pm &= ~MXC_F_GCR_PM_GPIOWKEN; + } +} + +void LP_EnterSleepMode(void) +{ + // Clear SLEEPDEEP bit + SCB->SCR &= ~SCB_SCR_SLEEPDEEP_Msk; + + // Go into Sleep mode and wait for an interrupt to wake the processor + __WFI(); +} + +void LP_EnterDeepSleepMode(void) +{ + // Set SLEEPDEEP bit + SCB->SCR |= SCB_SCR_SLEEPDEEP_Msk; + + // Auto-powerdown 96 MHz oscillator when in deep sleep + MXC_GCR->pm |= MXC_F_GCR_PM_HIRCPD; + // Go into Deepsleep mode and wait for an interrupt to wake the processor + __WFI(); +} + +void LP_EnterBackupMode(void) +{ + MXC_GCR->pm &= ~MXC_F_GCR_PM_MODE; + MXC_GCR->pm |= MXC_S_GCR_PM_MODE_BACKUP; + while(1); +} + +void LP_EnterShutdownMode(void) +{ + MXC_GCR->pm &= ~MXC_F_GCR_PM_MODE; + MXC_GCR->pm |= MXC_S_GCR_PM_MODE_SHUTDOWN; + while(1); +} +void LP_SetOperatingVoltage(lp_ovr_t ovr) +{ + uint32_t div; + + //Set flash wait state for any clock so its not to low after clock changes. + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x5UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + //set the OVR bits + MXC_PWRSEQ->lp_ctrl &= ~(MXC_F_PWRSEQ_LP_CTRL_OVR); + MXC_PWRSEQ->lp_ctrl |= ovr; + + //Set LVE bit + if(ovr == LP_OVR_0_9){ + MXC_FLC->cn |= MXC_F_FLC_CN_LVE; + } + else{ + MXC_FLC->cn &= ~(MXC_F_FLC_CN_LVE); + } + + // Update SystemCoreClock variable + SystemCoreClockUpdate(); + + // Get the clock divider + div = (MXC_GCR->clkcn & MXC_F_GCR_CLKCN_PSC) >> MXC_F_GCR_CLKCN_PSC_POS; + + //Set Flash Wait States + if(ovr == LP_OVR_0_9){ + + if(div == 0){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x2UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else{ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x1UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } + + } else if( ovr == LP_OVR_1_0){ + if(div == 0){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x2UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else{ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x1UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } + + } else { + + if(div == 0){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x4UL << MXC_F_GCR_MEMCKCN_FWS_POS); + } else if(div == 1){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x2UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else{ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x1UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } + } + +} + +void LP_EnableSRamRet0(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0; +} + +void LP_DisableSRamRet0(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL0; +} + +void LP_EnableSRamRet1(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1; +} + +void LP_DisableSRamRet1(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL1; +} + +void LP_EnableSRamRet2(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2; +} + +void LP_DisableSRamRet2(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL2; +} + +void LP_EnableSRamRet3(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3; +} + +void LP_DisableSRamRet3(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_RAMRET_SEL3; +} + +void LP_EnableBlockDetect(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS; +} + +void LP_DisableBlockDetect(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_VCORE_DET_BYPASS; +} + +void LP_EnableRamRetReg(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_RETREG_EN; +} + +void LP_DisableRamRetReg(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_RETREG_EN; +} + +void LP_EnableFastWk(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN; +} + +void LP_DisableFastWk(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_FAST_WK_EN; +} + +void LP_EnableBandGap(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_BG_OFF; +} + +void LP_DisableBandGap(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_BG_OFF; +} + +void LP_EnableVCorePORSignal(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS; +} + +void LP_DisableVCorePORSignal(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_VCORE_POR_DIS; +} + +void LP_EnableLDO(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_LDO_DIS; +} + +void LP_DisableLDO(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_LDO_DIS; +} + +void LP_EnableVCoreSVM(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS; +} + +void LP_DisableVCoreSVM(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_VCORE_SVM_DIS; +} + +void LP_EnableVDDIOPorMonitoF(void){ + MXC_PWRSEQ->lp_ctrl &= ~MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS; +} + +void LP_DisableVDDIOPorMonitor(void){ + MXC_PWRSEQ->lp_ctrl |= MXC_F_PWRSEQ_LP_CTRL_VDDIO_POR_DIS; +} diff --git a/Firmware/SDK/Driver/Source/mxc_assert.c b/Firmware/SDK/Driver/Source/mxc_assert.c new file mode 100644 index 0000000..b259715 --- /dev/null +++ b/Firmware/SDK/Driver/Source/mxc_assert.c @@ -0,0 +1,50 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-08-09 18:45:02 -0500 (Thu, 09 Aug 2018) $ + * $Revision: 36818 $ + * + *************************************************************************** */ + +/* **** Includes **** */ +#include "mxc_config.h" + +/* **** Definitions **** */ + +/* **** Globals *****/ + +/* **** Functions **** */ + +/* ************************************************************************** */ +__weak void mxc_assert(const char *expr, const char *file, int line) +{ + while (1) {} +} diff --git a/Firmware/SDK/Driver/Source/mxc_delay.c b/Firmware/SDK/Driver/Source/mxc_delay.c new file mode 100644 index 0000000..5b7f626 --- /dev/null +++ b/Firmware/SDK/Driver/Source/mxc_delay.c @@ -0,0 +1,179 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Id: mxc_delay.c 36202 2018-07-16 21:06:02Z michael.bayern $ + * + *************************************************************************** */ + +/* **** Includes **** */ +#include +#include "mxc_config.h" +#include "mxc_delay.h" + +/* **** File Scope Variables **** */ +static uint32_t ctrl_save; +static volatile uint64_t compare_value = 0; +static volatile uint64_t curr_value; +static volatile uint32_t reload; + +static void mxc_delay_init(unsigned long us); +extern void SysTick_Handler(void); + +/* ************************************************************************** */ +__weak void SysTick_Handler(void) +{ + mxc_delay_handler(); +} + +/* ************************************************************************** */ +void mxc_delay_handler(void) +{ + // Check and clear overflow flag + if (SysTick->CTRL & SysTick_CTRL_COUNTFLAG_Msk) { + // Is a delay in progress? + if(compare_value != 0) { + curr_value += reload; + if(curr_value >= compare_value) { + mxc_delay_stop(); + } + } + } +} + +/* ************************************************************************** */ +static void mxc_delay_init(unsigned long us) +{ + uint32_t starttick, ticks; + + // Record the current tick value and clear the overflow flag + starttick = SysTick->VAL; + + // Save the state of control register (and clear the overflow flag) + ctrl_save = SysTick->CTRL & ~SysTick_CTRL_COUNTFLAG_Msk; + + // If the SysTick is not running, configure and start it + if (!(SysTick->CTRL & SysTick_CTRL_ENABLE_Msk)) { + SysTick->LOAD = SysTick_LOAD_RELOAD_Msk; + SysTick->VAL = SysTick_VAL_CURRENT_Msk; + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk; + starttick = SysTick_VAL_CURRENT_Msk; + reload = SysTick_LOAD_RELOAD_Msk + 1; + } else { + reload = SysTick->LOAD + 1; // get the current reload value + } + + // Calculate the total number of ticks to delay + ticks = (uint32_t)(((uint64_t)us * (uint64_t)SystemCoreClock) / 1000000); + + compare_value = ticks + (reload - starttick); + curr_value = 0; + + if (!(SysTick->CTRL & SysTick_CTRL_ENABLE_Msk)) { + SysTick->CTRL |= SysTick_CTRL_ENABLE_Msk; + } +} + +/* ************************************************************************** */ +int mxc_delay_start(unsigned long us) +{ + // Check if timeout currently ongoing + if (compare_value != 0) { + return E_BUSY; + } + + // Check if there is nothing to do + if (us == 0) { + return E_NO_ERROR; + } + + // Calculate the necessary delay and start the timer + mxc_delay_init(us); + + // Enable SysTick interrupt if necessary + if (compare_value != 0) { + SysTick->CTRL |= SysTick_CTRL_TICKINT_Msk; + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int mxc_delay_check(void) +{ + // Check if timeout currently ongoing + if (compare_value == 0) { + return E_NO_ERROR; + } + + if((curr_value + (reload - SysTick->VAL)) >= compare_value) { + mxc_delay_stop(); + return E_NO_ERROR; + } + + return E_BUSY; +} + +/* ************************************************************************** */ +void mxc_delay_stop(void) +{ + SysTick->CTRL = ctrl_save; + compare_value = 0; +} + +/* ************************************************************************** */ +int mxc_delay(unsigned long us) +{ + // Check if timeout currently ongoing + if (compare_value != 0) { + return E_BUSY; + } + + // Check if there is nothing to do + if (us == 0) { + return E_NO_ERROR; + } + + // Calculate the necessary delay and start the timer + mxc_delay_init(us); + + // Wait until the total number of ticks exceeds the compare value. + while ((curr_value + (reload - SysTick->VAL)) < compare_value) { + // If SysTick interrupts are enabled, COUNTFLAG will never be set here and + // curr_value will be incremented in the ISR. If SysTick interrupts are + // disabled, curr_value is incremented here. + if (SysTick->CTRL & SysTick_CTRL_COUNTFLAG_Msk) { + curr_value += reload; + } + } + + mxc_delay_stop(); + return E_NO_ERROR; +} diff --git a/Firmware/SDK/Driver/Source/mxc_lock.c b/Firmware/SDK/Driver/Source/mxc_lock.c new file mode 100644 index 0000000..56ea439 --- /dev/null +++ b/Firmware/SDK/Driver/Source/mxc_lock.c @@ -0,0 +1,85 @@ +/* **************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + *************************************************************************** */ + +/* Define to prevent redundant inclusion */ +#ifndef _MXC_LOCK_H_ +#define _MXC_LOCK_H_ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "mxc_lock.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined ( __ICCARM__ ) + #define MXC_LOCK_CAST unsigned int volatile * +#else + #define MXC_LOCK_CAST volatile unsigned long * +#endif + +/* ************************************************************************** */ +int mxc_get_lock(uint32_t *lock, uint32_t value) +{ + do { + + // Return if the lock is taken by a different thread + if(__LDREXW((MXC_LOCK_CAST)lock) != 0) { + return E_BUSY; + } + + // Attempt to take the lock + } while(__STREXW(value, (MXC_LOCK_CAST)lock) != 0); + + // Do not start any other memory access until memory barrier is complete + __DMB(); + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +void mxc_free_lock(uint32_t *lock) +{ + // Ensure memory operations complete before releasing lock + __DMB(); + *lock = 0; +} + +#ifdef __cplusplus +} +#endif +#endif /* _MXC_LOCK_H_ */ diff --git a/Firmware/SDK/Driver/Source/mxc_pins.c b/Firmware/SDK/Driver/Source/mxc_pins.c new file mode 100644 index 0000000..edc44d5 --- /dev/null +++ b/Firmware/SDK/Driver/Source/mxc_pins.c @@ -0,0 +1,79 @@ + /** + * @file mxc_pins.c + * @brief This file contains constant pin configurations for the peripherals. + */ + +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include "gpio.h" +#include "mxc_config.h" + +/** + * @ingroup MXC_pins + * @{ + */ + +/* **** TIMER pins **** */ +const gpio_cfg_t gpio_cfg_tmr0 = { PORT_0, PIN_3, GPIO_FUNC_ALT3, GPIO_PAD_NONE }; + +/* **** UART pins **** */ +const gpio_cfg_t gpio_cfg_uart0rtscts = { PORT_0, (PIN_6 | PIN_7), GPIO_FUNC_ALT2, GPIO_PAD_NONE }; +const gpio_cfg_t gpio_cfg_uart0a = { PORT_0, (PIN_4 | PIN_5), GPIO_FUNC_ALT2, GPIO_PAD_NONE }; +const gpio_cfg_t gpio_cfg_uart1rtscts = { PORT_0, (PIN_12 | PIN_13), GPIO_FUNC_ALT2, GPIO_PAD_NONE }; +const gpio_cfg_t gpio_cfg_uart1a = { PORT_0, (PIN_10 | PIN_11), GPIO_FUNC_ALT2, GPIO_PAD_NONE }; +const gpio_cfg_t gpio_cfg_uart1b = { PORT_0, (PIN_0 | PIN_1), GPIO_FUNC_ALT3, GPIO_PAD_NONE }; +const gpio_cfg_t gpio_cfg_uart1c = { PORT_0, (PIN_6 | PIN_7), GPIO_FUNC_ALT3, GPIO_PAD_NONE }; + +/* **** I2C pins **** */ +const gpio_cfg_t gpio_cfg_i2c0 = { PORT_0, (PIN_8 | PIN_9), GPIO_FUNC_ALT1, GPIO_PAD_PULL_UP }; +const gpio_cfg_t gpio_cfg_i2c1 = { PORT_0, (PIN_2 | PIN_3), GPIO_FUNC_ALT1, GPIO_PAD_PULL_UP }; + +/* **** SPI/I2S pins **** */ +const gpio_cfg_t gpio_cfg_spi17y = { PORT_0, (PIN_4 | PIN_5 | PIN_6 | PIN_7), GPIO_FUNC_ALT1, GPIO_PAD_NONE }; // SPI0A +const gpio_cfg_t gpio_cfg_spimss1a = { PORT_0, (PIN_10 | PIN_11 | PIN_12 | PIN_13) , GPIO_FUNC_ALT1, GPIO_PAD_NONE }; // SPI1A +const gpio_cfg_t gpio_cfg_spimss1b = { PORT_0, (PIN_0 | PIN_1 | PIN_2 | PIN_3 ) , GPIO_FUNC_ALT2, GPIO_PAD_NONE }; // SPI1B +const gpio_cfg_t gpio_cfg_i2s1a = { PORT_0, (PIN_10 | PIN_11 | PIN_12 | PIN_13) , GPIO_FUNC_ALT1, GPIO_PAD_NONE }; // same port as SPI1A +const gpio_cfg_t gpio_cfg_i2s1b = { PORT_0, (PIN_0 | PIN_1 | PIN_2 | PIN_3 ) , GPIO_FUNC_ALT2, GPIO_PAD_NONE }; // same port as SPI1B + +/* **** SWD pins **** */ +const gpio_cfg_t gpio_cfg_swd = { PORT_0, (PIN_0 | PIN_1 | PIN_2 | PIN_3 ) , GPIO_FUNC_ALT1, GPIO_PAD_NONE }; + +/* **** RTC pins **** */ +const gpio_cfg_t gpio_cfg_rtc = { PORT_0, PIN_2, GPIO_FUNC_ALT3, GPIO_PAD_NONE }; + +/**@} end of ingroup MXC_pins*/ diff --git a/Firmware/SDK/Driver/Source/mxc_sys.c b/Firmware/SDK/Driver/Source/mxc_sys.c new file mode 100644 index 0000000..7a0fb13 --- /dev/null +++ b/Firmware/SDK/Driver/Source/mxc_sys.c @@ -0,0 +1,721 @@ +/** + * @file mxc_sys.c + * @brief System level setup help + */ + +/******************************************************************************* + * Copyright (C) 2015 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2020-01-17 08:38:51 -0600 (Fri, 17 Jan 2020) $ + * $Revision: 50772 $ + * + ******************************************************************************/ + +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_sys.h" +#include "gpio.h" +#include "mxc_pins.h" +#include "gcr_regs.h" +#include "tmr_regs.h" +#include "pwrseq_regs.h" +#include "spi17y_regs.h" +#include "spimss_regs.h" +#include "mxc_delay.h" +#include "rtc.h" + +/** + * @ingroup MXC_sys + * @{ + */ + +/***** Definitions *****/ +#define SYS_CLOCK_TIMEOUT MXC_DELAY_MSEC(1) + +#define SYS_RTC_CLK 32768UL + +/***** Functions ******/ +static int SYS_Clock_Timeout(uint32_t ready) +{ + // Start timeout, wait for ready + mxc_delay_start(SYS_CLOCK_TIMEOUT); + do { + if (MXC_GCR->clkcn & ready) { + mxc_delay_stop(); + return E_NO_ERROR; + } + } while (mxc_delay_check() == E_BUSY); + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_Clock_Select(sys_system_clock_t clock, mxc_tmr_regs_t* tmr) +{ + uint32_t current_clock,ovr, div; + + // Save the current system clock + current_clock = MXC_GCR->clkcn & MXC_F_GCR_CLKCN_CLKSEL; + // Set FWS higher than what the minimum for the fastest clock is + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x5UL << MXC_F_GCR_MEMCKCN_FWS_POS); + switch(clock) { + case SYS_CLOCK_NANORING: + // Set NANORING clock as System Clock + MXC_SETFIELD(MXC_GCR->clkcn, MXC_F_GCR_CLKCN_CLKSEL, MXC_S_GCR_CLKCN_CLKSEL_NANORING); + + break; + case SYS_CLOCK_HFXIN: + // Enable 32k Oscillator + MXC_GCR->clkcn |=MXC_F_GCR_CLKCN_X32K_EN; + + // Check if 32k clock is ready + if (SYS_Clock_Timeout(MXC_F_GCR_CLKCN_X32K_RDY) != E_NO_ERROR) { + return E_TIME_OUT; + } + MXC_RTC->ctrl |= MXC_F_RTC_CTRL_WE; // Allow writing to registers + + // Set 32k clock as System Clock + MXC_SETFIELD(MXC_GCR->clkcn, MXC_F_GCR_CLKCN_CLKSEL, MXC_S_GCR_CLKCN_CLKSEL_HFXIN); + + break; + + case SYS_CLOCK_HFXIN_DIGITAL: + // Enable 32k Oscillator + MXC_GCR->clkcn |=MXC_F_GCR_CLKCN_X32K_EN; + + // Check if 32k clock is ready + if (SYS_Clock_Timeout(MXC_F_GCR_CLKCN_X32K_RDY) != E_NO_ERROR) { + return E_TIME_OUT; + } + MXC_RTC->ctrl |= MXC_F_RTC_CTRL_WE; // Allow writing to registers + MXC_RTC->oscctrl |= MXC_F_RTC_OSCCTRL_BYPASS; // To allow square wave driven on 32KIN + // Set 32k clock as System Clock + MXC_SETFIELD(MXC_GCR->clkcn, MXC_F_GCR_CLKCN_CLKSEL, MXC_S_GCR_CLKCN_CLKSEL_HFXIN); + + break; + case SYS_CLOCK_HIRC: + // Enable 96MHz Clock + MXC_GCR->clkcn |=MXC_F_GCR_CLKCN_HIRC_EN; + + // Check if 96MHz clock is ready + if (SYS_Clock_Timeout(MXC_F_GCR_CLKCN_HIRC_RDY) != E_NO_ERROR) { + return E_TIME_OUT; + } + + // Set 96MHz clock as System Clock + MXC_SETFIELD(MXC_GCR->clkcn, MXC_F_GCR_CLKCN_CLKSEL, MXC_S_GCR_CLKCN_CLKSEL_HIRC); + + break; + default: + return E_BAD_PARAM; + } + + // Wait for system clock to be ready + if (SYS_Clock_Timeout(MXC_F_GCR_CLKCN_CKRDY) != E_NO_ERROR) { + + // Restore the old system clock if timeout + MXC_SETFIELD(MXC_GCR->clkcn, MXC_F_GCR_CLKCN_CLKSEL, current_clock); + + return E_TIME_OUT; + } + + // Disable other clocks + switch(clock) { + case SYS_CLOCK_NANORING: + MXC_GCR->clkcn &= ~(MXC_F_GCR_CLKCN_HIRC_EN); + break; + + case SYS_CLOCK_HFXIN: + MXC_GCR->clkcn &= ~(MXC_F_GCR_CLKCN_HIRC_EN); + break; + + case SYS_CLOCK_HFXIN_DIGITAL: + MXC_GCR->clkcn &= ~(MXC_F_GCR_CLKCN_HIRC_EN); + break; + + case SYS_CLOCK_HIRC: + //Don't disable 32KHz clock + break; + } + + // Update the system core clock + SystemCoreClockUpdate(); + + // Get the clock divider + div = (MXC_GCR->clkcn & MXC_F_GCR_CLKCN_PSC) >> MXC_F_GCR_CLKCN_PSC_POS; + + //get ovr setting + ovr = (MXC_PWRSEQ->lp_ctrl & MXC_F_PWRSEQ_LP_CTRL_OVR); + + //Set flash wait settings + if(ovr == MXC_S_PWRSEQ_LP_CTRL_OVR_0_9V){ + + if(div == 0){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x2UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else{ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x1UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } + + } else if( ovr == MXC_S_PWRSEQ_LP_CTRL_OVR_1_0V){ + if(div == 0){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x2UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else{ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x1UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } + + } else { + + if(div == 0){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x4UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else if(div == 1){ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x2UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } else{ + MXC_GCR->memckcn = (MXC_GCR->memckcn & ~(MXC_F_GCR_MEMCKCN_FWS)) | (0x1UL << MXC_F_GCR_MEMCKCN_FWS_POS); + + } + } + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_ClockEnable_X32K(sys_cfg_rtc_t *sys_cfg) +{ + // Enable 32k Oscillator + MXC_GCR->clkcn |=MXC_F_GCR_CLKCN_X32K_EN; + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_ClockDisable_X32K() +{ + // Disable 32k Oscillator + MXC_GCR->clkcn &= (~MXC_F_GCR_CLKCN_X32K_EN); + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_UART_Init(mxc_uart_regs_t *uart, const sys_cfg_uart_t* sys_cfg) +{ + // Configure GPIO for UART + if (uart == MXC_UART0) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_UART0); + if(sys_cfg->map == MAP_A){ + GPIO_Config(&gpio_cfg_uart0a); + } + else{ + return E_BAD_PARAM; + } + if(sys_cfg->flow_flag == UART_FLOW_ENABLE){ + GPIO_Config(&gpio_cfg_uart0rtscts); + } + } + if (uart == MXC_UART1) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_UART1); + if(sys_cfg->map == MAP_A){ + GPIO_Config(&gpio_cfg_uart1a); + } + else if(sys_cfg->map == MAP_B){ + GPIO_Config(&gpio_cfg_uart1b); + } + else if(sys_cfg->map == MAP_C){ + GPIO_Config(&gpio_cfg_uart1c); + } + else{ + return E_BAD_PARAM; + } + if(sys_cfg->flow_flag == UART_FLOW_ENABLE){ + GPIO_Config(&gpio_cfg_uart1rtscts); + } + } + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_UART_Shutdown(mxc_uart_regs_t *uart) +{ + if (uart == MXC_UART0) { + SYS_ClockDisable(SYS_PERIPH_CLOCK_UART0); + } + else if (uart == MXC_UART1) { + SYS_ClockDisable(SYS_PERIPH_CLOCK_UART1); + } + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_I2C_Init(mxc_i2c_regs_t *i2c, const sys_cfg_i2c_t* sys_cfg) +{ + + // Configure GPIO for I2C + if (i2c == MXC_I2C0) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_I2C0); + GPIO_Config(&gpio_cfg_i2c0); + + } else if (i2c == MXC_I2C1) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_I2C1); + GPIO_Config(&gpio_cfg_i2c1); + } else { + return E_NO_DEVICE; + } + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_I2C_Shutdown(mxc_i2c_regs_t *i2c) +{ + if (i2c == MXC_I2C0) { + gpio_cfg_t cfg = { gpio_cfg_i2c0.port, gpio_cfg_i2c0.mask, GPIO_FUNC_IN, GPIO_PAD_NONE }; + SYS_ClockDisable(SYS_PERIPH_CLOCK_I2C0); + GPIO_Config(&cfg); + } else if (i2c == MXC_I2C1) { + gpio_cfg_t cfg = { gpio_cfg_i2c1.port, gpio_cfg_i2c1.mask, GPIO_FUNC_IN, GPIO_PAD_NONE }; + SYS_ClockDisable(SYS_PERIPH_CLOCK_I2C1); + GPIO_Config(&cfg); + } else { + return E_NO_DEVICE; + } + // Clear registers + i2c->ctrl = 0; + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_DMA_Init(void) +{ + SYS_ClockEnable(SYS_PERIPH_CLOCK_DMA); + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_DMA_Shutdown(void) +{ + SYS_ClockDisable(SYS_PERIPH_CLOCK_DMA); + return E_NO_ERROR; +} + +/* ************************************************************************ */ +unsigned SYS_I2C_GetFreq(mxc_i2c_regs_t *i2c) +{ + return PeripheralClock; +} + +/* ************************************************************************ */ +unsigned SYS_TMR_GetFreq(mxc_tmr_regs_t *tmr) +{ + return PeripheralClock; +} + +/* ************************************************************************ */ +void SYS_Reset0(sys_reset0_t reset) +{ + MXC_GCR->rstr0 = reset; + while(MXC_GCR->rstr0 != 0x0) {} +} + +/* ************************************************************************ */ +void SYS_Reset1(sys_reset1_t reset) +{ + MXC_GCR->rstr1 = reset; + while(MXC_GCR->rstr0 != 0x0) {} +} + +/* ************************************************************************ */ +void SYS_ClockDisable(sys_periph_clock_t clock) +{ + /* The sys_periph_clock_t enum uses bit 27 (an unused bit in both perkcn registers) + to determine which of the two perckcn registers to write to. */ + if (clock & (1<<27)) { + clock &= ~(1<<27); + MXC_GCR->perckcn1 |= clock; + } else { + MXC_GCR->perckcn0 |= clock; + } +} + +/* ************************************************************************ */ +void SYS_ClockEnable(sys_periph_clock_t clock) +{ + /* The sys_periph_clock_t enum uses bit 27 (an unused bit in both perkcn registers) + to determine which of the two perckcn registers to write to. */ + if (clock & (1<<27)) { + clock &= ~(1<<27); + MXC_GCR->perckcn1 &= ~(clock); + } else { + MXC_GCR->perckcn0 &= ~(clock); + } +} + +/* ************************************************************************ */ +#if defined (__ICCARM__) +#pragma optimize=none /* Turn off optimizations for next function */ +#elif defined ( __CC_ARM ) +/* Keil MDK - Turn off optimizations after saving current state */ +#pragma push /* Save current optimization level */ +#pragma O0 /* Optimization level 0 */ +#elif ( __GNUC__ ) +/* GCC - Turn off optimizations after saving current state */ +#pragma GCC push_options /* Save current optimization level */ +#pragma GCC optimize ("O0") /* Set optimization level to none for this function */ +#endif +void SYS_Flash_Operation(void) +{ + volatile uint32_t *line_addr; + volatile uint32_t __attribute__ ((unused)) line; + + // Clear the cache + MXC_ICC->cache_ctrl ^= MXC_F_ICC_CACHE_CTRL_CACHE_EN; + MXC_ICC->cache_ctrl ^= MXC_F_ICC_CACHE_CTRL_CACHE_EN; + + // Clear the line fill buffer + line_addr = (uint32_t*)(MXC_FLASH_MEM_BASE); + line = *line_addr; + + line_addr = (uint32_t*)(MXC_FLASH_MEM_BASE + MXC_FLASH_PAGE_SIZE); + line = *line_addr; +} +/* Set optimizations to the previous level. For IAR, the optimize none applies + only to the next function. Keil MDK and GNUC need state restored. */ +#if defined ( __CC_ARM ) +#pragma pop /* Restore Kiel MDK optimizations to saved level */ +#elif defined ( __GNUC__ ) +#pragma GCC pop_options /* Restore GCC optimization level */ +#endif + +/* ************************************************************************ */ +int SYS_TMR_Init(mxc_tmr_regs_t *tmr, const sys_cfg_tmr_t* sys_cfg) +{ + if(sys_cfg) { + if(sys_cfg->out_en) { + + if (tmr == MXC_TMR0) { + GPIO_Config(&gpio_cfg_tmr0); + } + } + } + + if (tmr == MXC_TMR0) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_T0); + } + else if (tmr == MXC_TMR1) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_T1); + } + else if (tmr == MXC_TMR2) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_T2); + } + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_FLC_Init(const sys_cfg_flc_t* sys_cfg) +{ + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_FLC_Shutdown(void) +{ + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_SPI17Y_Init(mxc_spi17y_regs_t *spi, const sys_cfg_spi17y_t* sys_cfg) +{ + // Configure GPIO for spi17y + if (spi == MXC_SPI17Y) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_SPI17Y); + if(sys_cfg->map == MAP_A){ + GPIO_Config(&gpio_cfg_spi17y); + MXC_GPIO0->ds |= 0x0003BF0; + }else{ + return E_BAD_PARAM; + } + } else { + return E_NO_DEVICE; + } + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_SPI17Y_Shutdown(mxc_spi17y_regs_t *spi) +{ + if (spi == MXC_SPI17Y) { + SYS_ClockDisable(SYS_PERIPH_CLOCK_SPI17Y); + } + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_SPIMSS_Init(mxc_spimss_regs_t *spi, const sys_cfg_spimss_t* sys_cfg) +{ + // Configure GPIO for spimss + if (spi == MXC_SPIMSS) { + SYS_ClockEnable(SYS_PERIPH_CLOCK_SPIMSS); + if(sys_cfg->map == MAP_A){ + GPIO_Config(&gpio_cfg_spimss1a); // SPI1A chosen + }else if(sys_cfg->map == MAP_B){ + GPIO_Config(&gpio_cfg_spimss1b); // SPI1B chosen + }else{ + return E_BAD_PARAM; + } + } else { + return E_NO_DEVICE; + } + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_SPIMSS_Shutdown(mxc_spimss_regs_t *spi) +{ + if(spi == MXC_SPIMSS) { + SYS_ClockDisable(SYS_PERIPH_CLOCK_SPIMSS); + } + return E_NO_ERROR; +} + +int SYS_TMR_Shutdown(mxc_tmr_regs_t *tmr) +{ + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_I2S_Init(const sys_cfg_i2s_t* sys_cfg) +{ + if(sys_cfg->map == MAP_A) { + GPIO_Config(&gpio_cfg_i2s1a); + } + else if(sys_cfg->map == MAP_B) { + GPIO_Config(&gpio_cfg_i2s1b); + } + else { + return E_BAD_PARAM; + } + SYS_ClockEnable(SYS_PERIPH_CLOCK_SPIMSS); + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_I2S_Shutdown(void) +{ + SYS_ClockDisable(SYS_PERIPH_CLOCK_SPIMSS); + + return E_NO_ERROR; +} + +/* ************************************************************************ */ +int SYS_I2S_GetFreq(mxc_spimss_regs_t *spimss) +{ + return PeripheralClock; +} + +/* ************************************************************************ */ +int SYS_RTC_SqwavInit(const sys_cfg_rtc_t* sys_cfg) +{ + GPIO_Config(&gpio_cfg_rtc); + return E_NO_ERROR; +} + +/* ************************************************************************ */ +uint32_t SYS_SysTick_GetFreq(void) +{ + // Determine is using internal (SystemCoreClock) or external (32768) clock + if ( (SysTick->CTRL & SysTick_CTRL_CLKSOURCE_Msk) || !(SysTick->CTRL & SysTick_CTRL_ENABLE_Msk)) { + return SystemCoreClock; + } else { + return SYS_RTC_CLK; + } +} + +/* ************************************************************************ */ +int SYS_SysTick_Config(uint32_t ticks, int clk_src, mxc_tmr_regs_t* tmr) +{ + + if(ticks == 0) + return E_BAD_PARAM; + + // If SystemClock, call default CMSIS config and return + if (clk_src) { + return SysTick_Config(ticks); + } else { /* External clock source requested + enable RTC clock in run mode*/ + RTC_Init(MXC_RTC, 0, 0, NULL); + RTC_EnableRTCE(MXC_RTC); + + // Disable SysTick Timer + SysTick->CTRL = 0; + // Check reload value for valid + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) { + // Reload value impossible + return E_BAD_PARAM; + } + // set reload register + SysTick->LOAD = ticks - 1; + + // set Priority for Systick Interrupt + NVIC_SetPriority(SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); + + // Load the SysTick Counter Value + SysTick->VAL = 0; + + // Enable SysTick IRQ and SysTick Timer leaving clock source as external + SysTick->CTRL = SysTick_CTRL_TICKINT_Msk | SysTick_CTRL_ENABLE_Msk; + + // Function successful + return E_NO_ERROR; + } +} + +/* ************************************************************************ */ +void SYS_SysTick_Disable(void) +{ + SysTick->CTRL = 0; +} + +/* ************************************************************************ */ +int SYS_SysTick_Delay(uint32_t ticks) +{ + uint32_t cur_ticks, num_full, num_remain, previous_ticks, num_subtract, i; + uint32_t reload, value, ctrl; // save/restore variables + + if(ticks == 0) + return E_BAD_PARAM; + + // If SysTick is not enabled we can take it for our delay + if (!(SysTick->CTRL & SysTick_CTRL_ENABLE_Msk)) { + + // Save current state in case it's disabled but already configured, restore at return. + reload = SysTick->LOAD; + value = SysTick->VAL; + ctrl = SysTick->CTRL; + + // get the number of ticks less than max RELOAD. + num_remain = ticks % SysTick_LOAD_RELOAD_Msk; + + /* if ticks is < Max SysTick Reload num_full will be 0, otherwise it will + give us the number of max SysTicks cycles required */ + num_full = (ticks - 1) / SysTick_LOAD_RELOAD_Msk; + + // Do the required full systick countdowns + if (num_full) { + // load the max count value into systick + SysTick->LOAD = SysTick_LOAD_RELOAD_Msk; + // load the starting value + SysTick->VAL = 0; + // enable SysTick counter with SystemClock source internal, immediately forces LOAD register into VAL register + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | SysTick_CTRL_ENABLE_Msk; + // CountFlag will get set when VAL reaches zero + for (i = num_full; i > 0; i--) { + do { + cur_ticks = SysTick->CTRL; + } while (!(cur_ticks & SysTick_CTRL_COUNTFLAG_Msk)); + } + // Disable systick + SysTick->CTRL = 0; + } + // Now handle the remainder of ticks + if (num_remain) { + SysTick->LOAD = num_remain; + SysTick->VAL = 0; + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | SysTick_CTRL_ENABLE_Msk; + // wait for countflag to get set + do { + cur_ticks = SysTick->CTRL; + } while (!(cur_ticks & SysTick_CTRL_COUNTFLAG_Msk)); + // Disable systick + SysTick->CTRL = 0; + } + + // restore original state of SysTick and return + SysTick->LOAD = reload; + SysTick->VAL = value; + SysTick->CTRL = ctrl; + + return E_NO_ERROR; + + } else { /* SysTick is enabled + When SysTick is enabled count flag can not be used + and the reload can not be changed. + Do not read the CTRL register -> clears count flag */ + + // Get the reload value for wrap/reload case + reload = SysTick->LOAD; + + // Read the starting systick value + previous_ticks = SysTick->VAL; + + do { + // get current SysTick value + cur_ticks = SysTick->VAL; + // Check for wrap/reload of timer countval + if (cur_ticks > previous_ticks) { + // subtract count to 0 (previous_ticks) and wrap (reload value - cur_ticks) + num_subtract = (previous_ticks + (reload - cur_ticks)); + } else { /* standard case (no wrap) + subtract off the number of ticks since last pass */ + num_subtract = (previous_ticks - cur_ticks); + } + // check to see if we are done. + if (num_subtract >= ticks) + return E_NO_ERROR; + else + ticks -= num_subtract; + // cur_ticks becomes previous_ticks for next timer read. + previous_ticks = cur_ticks; + } while (ticks > 0); + // Should not ever be reached + return E_NO_ERROR; + } +} + +/* ************************************************************************ */ +void SYS_SysTick_DelayUs(uint32_t us) +{ + SYS_SysTick_Delay((uint32_t)(((uint64_t)SYS_SysTick_GetFreq() * us) / 1000000)); +} + +/* ************************************************************************ */ +int SYS_WDT_Init(mxc_wdt_regs_t* wdt, const sys_cfg_wdt_t* sys_cfg) +{ + return E_NO_ERROR; +} +/**@} end of ingroup MXC_sys*/ diff --git a/Firmware/SDK/Driver/Source/nvic_table.c b/Firmware/SDK/Driver/Source/nvic_table.c new file mode 100644 index 0000000..e7860c0 --- /dev/null +++ b/Firmware/SDK/Driver/Source/nvic_table.c @@ -0,0 +1,84 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-06-05 16:53:29 -0500 (Wed, 05 Jun 2019) $ + * $Revision: 43696 $ + * + **************************************************************************** */ + + +#include "mxc_config.h" +#include +#include "nvic_table.h" + +#if !defined (NVIC_USER_IRQ_OFFSET) + #define NVIC_USER_IRQ_OFFSET 16 /**! Offset for device specific IRQs */ +#endif + +/* RAM vector_table needs to be aligned with the size of the vector table */ +#if defined ( __ICCARM__ ) + #pragma data_alignment = 512 +#else + __attribute__((aligned(512))) +#endif +static void (*ramVectorTable[MXC_IRQ_COUNT])(void); + +void NVIC_SetRAM(void) +{ +#if defined (__ICCARM__) + extern void (* const __isr_vector[])(void); +#else + /* should be defined in starup_.S */ + extern uint32_t __isr_vector[97]; +#endif + + memcpy(&ramVectorTable, &__isr_vector, sizeof(ramVectorTable)); + SCB->VTOR = (uint32_t)&ramVectorTable; +} + +void NVIC_SetVector(IRQn_Type irqn, void(*irq_handler)(void)) +{ + int index = irqn + 16; /* offset for externals */ + + /* If not copied, do copy */ + if (SCB->VTOR != (uint32_t)&ramVectorTable) { + NVIC_SetRAM(); + } + + ramVectorTable[index] = irq_handler; + NVIC_EnableIRQ(irqn); +} + +uint32_t NVIC_GetVector(IRQn_Type irqn) +{ + uint32_t *vectors = (uint32_t *)SCB->VTOR; + return vectors[(int32_t)irqn + NVIC_USER_IRQ_OFFSET]; +} diff --git a/Firmware/SDK/Driver/Source/rtc.c b/Firmware/SDK/Driver/Source/rtc.c new file mode 100644 index 0000000..6012f46 --- /dev/null +++ b/Firmware/SDK/Driver/Source/rtc.c @@ -0,0 +1,419 @@ +/* **************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * + **************************************************************************** */ + +#include "mxc_config.h" +#include "rtc_regs.h" +#include "rtc.h" +#include "mxc_sys.h" +#include "mxc_delay.h" +#include "gpio_regs.h" +#include "mxc_errors.h" + +#if TARGET == 32650 + #include "pwrseq_regs.h" +#endif + +#define RTC_CTRL_RESET_DEFAULT (0x0000UL) +#define RTC_IS_BUSY (MXC_RTC->ctrl & MXC_F_RTC_CTRL_BUSY) +#define RTC_IS_ENABLED (MXC_RTC->ctrl & MXC_F_RTC_CTRL_RTCE) + +#define BUSY_TIMEOUT 1000 // Timeout counts for the Busy bit + +// ***************************************************************************** +int RTC_EnableTimeofdayInterrupt(mxc_rtc_regs_t *rtc) +{ + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_ADE; // Enable Time-of-day Interrupt + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_DisableTimeofdayInterrupt(mxc_rtc_regs_t *rtc) +{ + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl &= ~MXC_F_RTC_CTRL_ADE; // Disable Time-of-day Interrupt + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_EnableSubsecondInterrupt(mxc_rtc_regs_t *rtc) +{ + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_ASE; // Enable Sub-Second Interrupt + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_DisableSubsecondInterrupt(mxc_rtc_regs_t *rtc) +{ + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl &= ~MXC_F_RTC_CTRL_ASE; // Alarm Sub-Second Interrupt disabled + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_SetTimeofdayAlarm(mxc_rtc_regs_t *rtc, uint32_t ras) +{ + // ras can only be written if BUSY = 0 & (RTCE = 0 or ADE = 0); + + + if(RTC_DisableTimeofdayInterrupt(rtc) == E_BUSY) { + return E_BUSY; + } + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ras = (ras << MXC_F_RTC_RAS_RAS_POS) & MXC_F_RTC_RAS_RAS; + + if(RTC_EnableTimeofdayInterrupt(rtc) == E_BUSY) { + return E_BUSY; + } + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_SetSubsecondAlarm(mxc_rtc_regs_t *rtc, uint32_t rssa) +{ + // ras can only be written if BUSY = 0 & (RTCE = 0 or ASE = 0); + + if(RTC_DisableSubsecondInterrupt(rtc) == E_BUSY) { + return E_BUSY; + } + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->rssa = (rssa << MXC_F_RTC_RSSA_RSSA_POS) & MXC_F_RTC_RSSA_RSSA; + + if(RTC_EnableSubsecondInterrupt(rtc) == E_BUSY) { + return E_BUSY; + } + + return E_SUCCESS; +} + + +// ***************************************************************************** +int RTC_EnableRTCE(mxc_rtc_regs_t *rtc) +{ + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_WE; // Allow writing to registers + if (RTC_CheckBusy()) { + return E_BUSY; + } + // Can only write if WE=1 and BUSY=0 + rtc->ctrl |= MXC_F_RTC_CTRL_RTCE; // setting RTCE = 1 + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl &= ~MXC_F_RTC_CTRL_WE; // Prevent Writing... + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_DisableRTCE(mxc_rtc_regs_t *rtc) +{ + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_WE; // Allow writing to registers + if (RTC_CheckBusy()) { + return E_BUSY; + } + + // Can only write if WE=1 and BUSY=0 + rtc->ctrl &= ~MXC_F_RTC_CTRL_RTCE; // setting RTCE = 0 + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl &= ~MXC_F_RTC_CTRL_WE; // Prevent Writing... + + return E_SUCCESS; +} + + +// ***************************************************************************** +int RTC_Init(mxc_rtc_regs_t *rtc, uint32_t sec, uint8_t ssec, sys_cfg_rtc_t *sys_cfg) +{ +#if((TARGET == 32650) || (TARGET == 32660)) + SYS_ClockEnable_X32K(sys_cfg); +#else + SYS_RTCClockEnable(sys_cfg); +#endif + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl = MXC_F_RTC_CTRL_WE; // Allow Writes + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl = RTC_CTRL_RESET_DEFAULT; // Start with a Clean Register + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_WE; // Set Write Enable, allow writing to reg. + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ssec = ssec; + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->sec = sec; + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl &= ~MXC_F_RTC_CTRL_WE; // Prevent Writing... + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_SquareWave(mxc_rtc_regs_t *rtc, rtc_sqwave_en_t sqe, rtc_freq_sel_t ft, + rtc_osc_mode_t x32kmd, const sys_cfg_rtc_t* sys_cfg) +{ + + SYS_RTC_SqwavInit(sys_cfg); // Set the Output pins for the squarewave. + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_WE; // Allow writing to registers + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + if (sqe == SQUARE_WAVE_ENABLED) { + if (ft == F_32KHZ){ // if 32KHz output is selected... + rtc->oscctrl |= MXC_F_RTC_OSCCTRL_OUT32K; // Enable 32KHz wave + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl |= MXC_F_RTC_CTRL_SQE; // Enable output on the pin + } else { // if 1Hz, 512Hz, 4KHz output is selected + + rtc->oscctrl &= ~MXC_F_RTC_OSCCTRL_OUT32K; // Must make sure that the 32KHz is disabled + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl &= ~(MXC_F_RTC_CTRL_FT | MXC_F_RTC_CTRL_X32KMD); + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl |= (MXC_F_RTC_CTRL_SQE | ft | x32kmd); // Enable Sq. wave, + } + + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl |= MXC_F_RTC_CTRL_RTCE; // Enable Real Time Clock + } else { // Turn off the square wave output on the pin + + rtc->oscctrl &= ~MXC_F_RTC_OSCCTRL_OUT32K; // Must make sure that the 32KHz is disabled + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl &= ~MXC_F_RTC_CTRL_SQE; // No sq. wave output + } + + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl &= ~MXC_F_RTC_CTRL_WE; // Disable Writing to register + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_Trim(mxc_rtc_regs_t *rtc, int8_t trim) +{ + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + rtc->ctrl |= MXC_F_RTC_CTRL_WE; + + if (RTC_CheckBusy()) { + return E_BUSY; + } + + MXC_SETFIELD(rtc->trim, MXC_F_RTC_TRIM_TRIM, trim << MXC_F_RTC_TRIM_TRIM_POS); + + if (RTC_CheckBusy()) { + return E_BUSY; + } + rtc->ctrl &= ~MXC_F_RTC_CTRL_WE; // Disable Writing to register + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_CheckBusy(void) +{ + // Time-out transfer if it takes > BUSY_TIMEOUT microseconds + mxc_delay_start(MXC_DELAY_USEC(BUSY_TIMEOUT)); + while (RTC_IS_BUSY) { + if (mxc_delay_check() != E_BUSY){ + return E_BUSY; + } + } + mxc_delay_stop(); + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_GetFlags(void) +{ + return MXC_RTC->ctrl & (MXC_F_RTC_CTRL_ALDF | MXC_F_RTC_CTRL_ALSF | MXC_F_RTC_CTRL_RDY); +} + +// ***************************************************************************** +int RTC_ClearFlags(int flags) +{ + if (RTC_CheckBusy()) { + return E_BUSY; + } + MXC_RTC->ctrl &= ~(flags & (MXC_F_RTC_CTRL_ALDF | MXC_F_RTC_CTRL_ALSF | MXC_F_RTC_CTRL_RDY)); + + return E_SUCCESS; +} + +// ***************************************************************************** +int RTC_GetSubSecond(void) +{ +#if TARGET == 32650 + int ssec; + if(ChipRevision > 0xA1){ + ssec = ((MXC_PWRSEQ->lpcn >> 12)& 0xF00) | (MXC_RTC->ssec & 0xFF); + }else{ + ssec = MXC_RTC->ssec; + } + return ssec; +#else + return MXC_RTC->ssec; +#endif +} + +// ***************************************************************************** +int RTC_GetSecond(void) +{ + return MXC_RTC->sec; +} + +// ***************************************************************************** +int RTC_GetTime(uint32_t* sec, uint32_t* subsec) +{ + uint32_t temp_sec; + do { + // Check if an update is about to happen. + if(!(MXC_RTC->ctrl & MXC_F_RTC_CTRL_RDY)) { + return E_BUSY; + } + + // Read the seconds count. + temp_sec = RTC_GetSecond(); + + // Check if an update is about to happen. + if(!(MXC_RTC->ctrl & MXC_F_RTC_CTRL_RDY)) { + return E_BUSY; + } + + // Read the sub-seconds count. + *subsec = RTC_GetSubSecond(); + + // Check if an update is about to happen. + if(!(MXC_RTC->ctrl & MXC_F_RTC_CTRL_RDY)) { + return E_BUSY; + } + + // Read the seconds count. + *sec = RTC_GetSecond(); + + // Repeat until a steady state is reached. + } while (temp_sec != *sec); + + return E_NO_ERROR; +} + +// ***************************************************************************** +int RTC_IsEnabled(void) +{ + return RTC_IS_ENABLED; +} diff --git a/Firmware/SDK/Driver/Source/spi.c b/Firmware/SDK/Driver/Source/spi.c new file mode 100644 index 0000000..ab193d5 --- /dev/null +++ b/Firmware/SDK/Driver/Source/spi.c @@ -0,0 +1,254 @@ +/** + * @file spi.c + * @brief This file contains the function implementations for the + * Serial Peripheral Interface (SPIMSS) peripheral module. + */ + +/* ***************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-10-17 14:16:30 -0500 (Wed, 17 Oct 2018) $ + * $Revision: 38560 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include "spi.h" +#include "mxc_sys.h" +#include "spimss.h" +#include "spi17y.h" + +/** + * @ingroup spi + * @{ + */ + +/***** Definitions *****/ + + +/***** Functions *****/ + + +/* ************************************************************************ */ +int SPI_Init(spi_type spi_name, unsigned mode, unsigned freq) +{ + sys_cfg_spimss_t spimss_cfg; + sys_cfg_spi17y_t spi17y_cfg; + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + spi17y_cfg.map = MAP_A; + error = SPI17Y_Init(MXC_SPI17Y, mode, freq, &spi17y_cfg); + + } else if(spi_name == SPI1A) { + spimss_cfg.map = MAP_A; + error = SPIMSS_Init(MXC_SPIMSS, mode, freq, &spimss_cfg); + + } else if(spi_name == SPI1B) { + spimss_cfg.map = MAP_B; + error = SPIMSS_Init(MXC_SPIMSS, mode, freq, &spimss_cfg); + + } else { + return E_BAD_PARAM; + } + + return error; +} + +/* ************************************************************************ */ +int SPI_MasterTransAsync(spi_type spi_name, spi_req_t *req) +{ + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + error = SPI17Y_MasterTransAsync(MXC_SPI17Y, (spi17y_req_t *) req); + + } else if((spi_name == SPI1A) || (spi_name == SPI1B)) { + error = SPIMSS_MasterTransAsync(MXC_SPIMSS, (spimss_req_t *) req); + + } else { + return E_BAD_PARAM; + } + + return error; +} + + +/* ************************************************************************ */ +int SPI_MasterTrans(spi_type spi_name, spi_req_t *req) +{ + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + error = SPI17Y_MasterTrans(MXC_SPI17Y, (spi17y_req_t *) req); + + } else if((spi_name == SPI1A) || (spi_name == SPI1B)) { + error = SPIMSS_MasterTrans(MXC_SPIMSS, (spimss_req_t *) req); + + } else { + return E_BAD_PARAM; + } + + return error; +} + +/* ************************************************************************ */ +int SPI_SlaveTrans(spi_type spi_name, spi_req_t *req) +{ + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + error = SPI17Y_SlaveTrans(MXC_SPI17Y, (spi17y_req_t *) req); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + error = SPIMSS_SlaveTrans(MXC_SPIMSS, (spimss_req_t *) req); + + } else { + return E_BAD_PARAM; + } + + return error; +} + +/* ************************************************************************ */ +int SPI_SlaveTransAsync(spi_type spi_name, spi_req_t *req) +{ + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + error = SPI17Y_SlaveTransAsync(MXC_SPI17Y, (spi17y_req_t *) req); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + error = SPIMSS_SlaveTransAsync(MXC_SPIMSS, (spimss_req_t *) req); + + } else { + return E_BAD_PARAM; + } + + return error; +} + +/* ************************************************************************ */ +int SPI_Shutdown(spi_type spi_name) +{ + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + error = SPI17Y_Shutdown(MXC_SPI17Y); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + error = SPIMSS_Shutdown(MXC_SPIMSS); + + } else { + return E_BAD_PARAM; + } + + return error; +} + + +/* ************************************************************************ */ +int SPI_AbortAsync(spi_type spi_name, spi_req_t *req) +{ + int error = E_NO_ERROR; + + if (spi_name == SPI0A) { + error = SPI17Y_AbortAsync((spi17y_req_t *) req); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + error = SPIMSS_AbortAsync((spimss_req_t *) req); + + } else { + return E_BAD_PARAM; + } + + return error; +} + +/* ************************************************************************ */ +int SPI_Handler(spi_type spi_name) +{ + if (spi_name == SPI0A) { + SPI17Y_Handler(MXC_SPI17Y); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + SPIMSS_Handler(MXC_SPIMSS); + + } else { + return E_BAD_PARAM; + } + + return E_NO_ERROR; +} + +// ***************************************************************************** +int SPI_Enable(spi_type spi_name) +{ + if (spi_name == SPI0A) { + SPI17Y_Enable(MXC_SPI17Y); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + return E_NOT_SUPPORTED; + } else { + return E_BAD_PARAM; + } + return E_NO_ERROR; +} + +// ***************************************************************************** +int SPI_Disable(spi_type spi_name) +{ + if (spi_name == SPI0A) { + SPI17Y_Disable(MXC_SPI17Y); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + return E_NOT_SUPPORTED; + } else { + return E_BAD_PARAM; + } + return E_NO_ERROR; +} + +// ***************************************************************************** +int SPI_Clear_fifo(spi_type spi_name) +{ + if (spi_name == SPI0A) { + SPI17Y_Clear_fifo(MXC_SPI17Y); + + } else if ((spi_name == SPI1A) || (spi_name == SPI1B)) { + return E_NOT_SUPPORTED; + } else { + return E_BAD_PARAM; + } + return E_NO_ERROR; +} + +/**@} end of group spi */ diff --git a/Firmware/SDK/Driver/Source/spi17y.c b/Firmware/SDK/Driver/Source/spi17y.c new file mode 100644 index 0000000..b5cd967 --- /dev/null +++ b/Firmware/SDK/Driver/Source/spi17y.c @@ -0,0 +1,641 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-06-25 10:15:10 -0500 (Tue, 25 Jun 2019) $ + * $Revision: 44277 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_sys.h" +#include "tmr_utils.h" +#include "mxc_lock.h" +#include "spi17y.h" + +/* **** Definitions **** */ + +/* **** Globals **** */ + + +typedef struct { + spi17y_req_t *req; + int started; + unsigned last_size; + unsigned deass; +} spi17y_req_state_t; + +static spi17y_req_state_t states[MXC_SPI17Y_INSTANCES]; + +/* **** Functions **** */ +static int SPI17Y_TransSetup(mxc_spi17y_regs_t *spi, spi17y_req_t *req, int master); +static int SPI17Y_MasterTransHandler(mxc_spi17y_regs_t *spi, spi17y_req_t *req, uint8_t async); +static int SPI17Y_TransHandler(mxc_spi17y_regs_t *spi, spi17y_req_t *req, uint8_t async); +static int SPI17Y_SlaveTransHandler(mxc_spi17y_regs_t *spi, spi17y_req_t *req, uint8_t async); + +/* ************************************************************************** */ +int SPI17Y_Init(mxc_spi17y_regs_t *spi, unsigned int mode, unsigned int freq, + const sys_cfg_spi17y_t* sys_cfg) +{ + uint32_t freq_div; + int spi_num, error, hi_clk, lo_clk, scale; + + spi_num = MXC_SPI17Y_GET_IDX(spi); + MXC_ASSERT(spi_num >= 0); + + if (mode > 3) { + return E_BAD_PARAM; + } + + if ((error = SYS_SPI17Y_Init(spi, sys_cfg)) != E_NO_ERROR) { + return error; + } + + states[spi_num].req = NULL; + states[spi_num].last_size = 0; + states[spi_num].deass = 1; + + // Enable SPI17Y + spi->ctrl0 = (MXC_F_SPI17Y_CTRL0_EN); + spi->ss_time = ((0x1 << MXC_F_SPI17Y_SS_TIME_PRE_POS) | + (0x1 << MXC_F_SPI17Y_SS_TIME_POST_POS) | + (0x1 << MXC_F_SPI17Y_SS_TIME_INACT_POS)); + + // Check if frequency is too high + if (freq > PeripheralClock) { + return E_BAD_PARAM; + } + + // Set the clock high and low + freq_div = PeripheralClock/ (freq); + hi_clk = freq_div/2; + lo_clk = freq_div/2; + scale = 0; + + if (freq_div %2) { + hi_clk +=1; + } + + while (hi_clk > 16 && scale < 9) { + hi_clk /= 2; + lo_clk /=2; + scale ++; + } + + spi->clk_cfg = ((lo_clk << MXC_F_SPI17Y_CLK_CFG_LO_POS) | + (hi_clk << MXC_F_SPI17Y_CLK_CFG_HI_POS)); + + MXC_SETFIELD(spi->clk_cfg, MXC_F_SPI17Y_CLK_CFG_SCALE, (scale << MXC_F_SPI17Y_CLK_CFG_SCALE_POS)); + + // Set the mode + spi->ctrl2 = (mode << MXC_F_SPI17Y_CTRL2_CPHA_POS); + + // Clear the interrupts + spi->int_fl = spi->int_fl; + + return E_NO_ERROR; +} + +/* ************************************************************************* */ +int SPI17Y_Shutdown(mxc_spi17y_regs_t *spi) +{ + int spi_num, err; + spi17y_req_t *temp_req; + + // Disable and clear interrupts + spi->int_en = 0; + spi->int_fl = spi->int_fl; + + // Disable SPI17Y and FIFOS + spi->ctrl0 &= ~(MXC_F_SPI17Y_CTRL0_EN); + spi->dma &= ~(MXC_F_SPI17Y_DMA_TX_FIFO_EN | MXC_F_SPI17Y_DMA_RX_FIFO_EN); + + // Call all of the pending callbacks for this SPI17Y + spi_num = MXC_SPI17Y_GET_IDX(spi); + if (states[spi_num].req != NULL) { + + // Save the request + temp_req = states[spi_num].req; + + // Unlock this SPI17Y + mxc_free_lock((uint32_t*)&states[spi_num].req); + + // Callback if not NULL + if (temp_req->callback != NULL) { + temp_req->callback(temp_req, E_SHUTDOWN); + } + } + + // Clear registers + spi->ctrl0 = 0; + spi->ctrl1 = 0; + spi->ctrl2 = 0; + spi->ss_time = 0; + + // Clear system level configurations + if ((err = SYS_SPI17Y_Shutdown(spi)) != E_NO_ERROR) { + return err; + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPI17Y_TransSetup(mxc_spi17y_regs_t *spi, spi17y_req_t *req, int master) +{ + int spi_num; + if ((req->tx_data == NULL) && (req->rx_data == NULL)) { + return E_BAD_PARAM; + } + + if ((req->width > SPI17Y_WIDTH_1) && (req->tx_data != NULL) && (req->rx_data != NULL)) { + return E_BAD_PARAM; + } + + // HW has problem with these two character sizes + if (req->bits == 1 || req->bits == 9) { + return E_BAD_PARAM; + } + spi_num = MXC_SPI17Y_GET_IDX(spi); + MXC_ASSERT(spi_num >= 0); + MXC_ASSERT(req->ssel < MXC_SPI17Y_SS_INSTANCES); + + req->tx_num = 0; + req->rx_num = 0; + + if (req->len == 0) { + return E_NO_ERROR; + } + + states[spi_num].req = req; + states[spi_num].started = 0; + + // HW requires disabling/renabling SPI block at end of each transaction (when SS is inactive). + if (states[spi_num].deass == 1) { + spi->ctrl0 &= ~(MXC_F_SPI17Y_CTRL0_EN); + } + + if (master) { + // Enable master mode + + spi->ctrl0 |= MXC_F_SPI17Y_CTRL0_MASTER; + + // Setup the slave select + MXC_SETFIELD(spi->ctrl0, MXC_F_SPI17Y_CTRL0_SS, ((0x1 << req->ssel) << MXC_F_SPI17Y_CTRL0_SS_POS)); + spi->ctrl2 |= ((req->ssel_pol << req->ssel)<ctrl0 &= ~MXC_F_SPI17Y_CTRL0_MASTER; + // Setup the slave select + spi->ctrl2 |= ((req->ssel_pol << 0)<bits != states[spi_num].last_size)) { + // Setup the character size + // Master should only change character size at the end of a transaction. No restrictions on when slave can change. + if (!master || (!(spi->stat & MXC_F_SPI17Y_STAT_BUSY) && (states[spi_num].deass == 1)) || !(spi->ctrl0 & MXC_F_SPI17Y_CTRL0_EN)) { + //disable spi to change transfer size + spi->ctrl0 &= ~(MXC_F_SPI17Y_CTRL0_EN); + // set bit size + states[spi_num].last_size = req->bits; + if (req->bits <16) { + MXC_SETFIELD(spi->ctrl2, MXC_F_SPI17Y_CTRL2_NUMBITS, req->bits << MXC_F_SPI17Y_CTRL2_NUMBITS_POS); + } else { + MXC_SETFIELD(spi->ctrl2, MXC_F_SPI17Y_CTRL2_NUMBITS, 0 << MXC_F_SPI17Y_CTRL2_NUMBITS_POS); + } + } else { + // cant change transfer size while spi is busy + return E_BAD_STATE; + } + } + + // Setup the data width + if (req->width == SPI17Y_WIDTH_4) { + MXC_SETFIELD(spi->ctrl2, MXC_F_SPI17Y_CTRL2_DATA_WIDTH, MXC_S_SPI17Y_CTRL2_DATA_WIDTH_QUAD); + } else if (req->width == SPI17Y_WIDTH_2) { + MXC_SETFIELD(spi->ctrl2, MXC_F_SPI17Y_CTRL2_DATA_WIDTH, MXC_S_SPI17Y_CTRL2_DATA_WIDTH_DUAL); + } else { + MXC_SETFIELD(spi->ctrl2, MXC_F_SPI17Y_CTRL2_DATA_WIDTH, MXC_S_SPI17Y_CTRL2_DATA_WIDTH_MONO); + } + + // Setup the number of characters to transact + if (req->len > (MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR >> MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR_POS)) { + return E_BAD_PARAM; + } + + if (req->rx_data != NULL) { + // The TX_NUM field is used for both RX and TX length when in 4-wire mode. + if(req->width == SPI17Y_WIDTH_1) { + MXC_SETFIELD(spi->ctrl1, MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR, + req->len << MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR_POS); + } else { + MXC_SETFIELD(spi->ctrl1, MXC_F_SPI17Y_CTRL1_RX_NUM_CHAR, + req->len << MXC_F_SPI17Y_CTRL1_RX_NUM_CHAR_POS); + } + spi->dma |= MXC_F_SPI17Y_DMA_RX_FIFO_EN; + } else { + spi->ctrl1 &= ~(MXC_F_SPI17Y_CTRL1_RX_NUM_CHAR); + spi->dma &= ~(MXC_F_SPI17Y_DMA_RX_FIFO_EN); + } + + // Must use TXFIFO and NUM in full duplex + if (req->width == SPI17Y_WIDTH_1 + && !((spi->ctrl2 & MXC_F_SPI17Y_CTRL2_THREE_WIRE)>> MXC_F_SPI17Y_CTRL2_THREE_WIRE_POS)) { + + if (req->tx_data == NULL) { + // Must have something to send, so we'll use the rx_data buffer initialized to 0. + memset(req->rx_data, 0, (req->bits > 8 ? req->len << 1 : req->len)); + req->tx_data = req->rx_data; + req->tx_num = 0; + } + } + + if(req->tx_data != NULL) { + MXC_SETFIELD(spi->ctrl1, MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR, + req->len << MXC_F_SPI17Y_CTRL1_TX_NUM_CHAR_POS); + spi->dma |= MXC_F_SPI17Y_DMA_TX_FIFO_EN; + } else { + spi->dma &= ~(MXC_F_SPI17Y_DMA_TX_FIFO_EN); + } + + spi->dma |= MXC_F_SPI17Y_DMA_TX_FIFO_CLEAR | MXC_F_SPI17Y_DMA_RX_FIFO_CLEAR; + spi->ctrl0 |= (MXC_F_SPI17Y_CTRL0_EN); + + states[spi_num].deass = req->deass; + // Clear master done flag + spi->int_fl = MXC_F_SPI17Y_INT_FL_M_DONE; + return E_NO_ERROR; +} + +/* ************************************************************************** */ +void SPI17Y_Handler(mxc_spi17y_regs_t *spi) +{ + int spi_num, rx_avail; + uint32_t flags; + + // Clear the interrupt flags + spi->int_en = 0; + flags = spi->int_fl; + spi->int_fl = flags; + + spi_num = MXC_SPI17Y_GET_IDX(spi); + // Figure out if this SPI17Y has an active request + if ((states[spi_num].req != NULL) && (flags)) { + if ((spi->ctrl0 & MXC_F_SPI17Y_CTRL0_MASTER)>> MXC_F_SPI17Y_CTRL0_MASTER_POS) { + do { + SPI17Y_MasterTransHandler(spi, states[spi_num].req, 1); + rx_avail = (spi->dma & MXC_F_SPI17Y_DMA_RX_FIFO_CNT) >> MXC_F_SPI17Y_DMA_RX_FIFO_CNT_POS; + } while ((states[spi_num].req->rx_data != NULL) && (rx_avail > (spi->dma & MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL) + >>MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL_POS)); + + } else { + do { + SPI17Y_SlaveTransHandler(spi, states[spi_num].req, 1); + rx_avail = (spi->dma & MXC_F_SPI17Y_DMA_RX_FIFO_CNT) >> MXC_F_SPI17Y_DMA_RX_FIFO_CNT_POS; + } while ((states[spi_num].req->rx_data != NULL) && (rx_avail > (spi->dma & MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL) + >>MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL_POS)); + + } + } + +} + +/* ************************************************************************** */ +int SPI17Y_MasterTrans(mxc_spi17y_regs_t *spi,spi17y_req_t *req) +{ + int error; + if ((error =SPI17Y_TransSetup(spi, req, 1)) != E_NO_ERROR) { + return error; + } + req->callback = NULL; + + while (SPI17Y_MasterTransHandler(spi,req,0)==0) { + } + + while (!(spi->int_fl & MXC_F_SPI17Y_INT_FL_M_DONE)) { + + } + + return E_NO_ERROR; +} + + +/* ************************************************************************** */ +int SPI17Y_SlaveTrans(mxc_spi17y_regs_t *spi, spi17y_req_t *req) +{ + int error; + if ((error =SPI17Y_TransSetup(spi, req,0)) != E_NO_ERROR) { + return error; + } + req->callback = NULL; + + while (SPI17Y_SlaveTransHandler(spi,req,0)==0) { + + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPI17Y_MasterTransAsync(mxc_spi17y_regs_t *spi, spi17y_req_t *req) +{ + int error; + if ((error =SPI17Y_TransSetup(spi, req, 1))!= E_NO_ERROR) { + return error; + } + + SPI17Y_MasterTransHandler(spi,req, 1); + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPI17Y_SlaveTransAsync(mxc_spi17y_regs_t *spi, spi17y_req_t *req) +{ + int error; + if ((error =SPI17Y_TransSetup(spi, req, 0)) != E_NO_ERROR) { + return error; + } + + SPI17Y_SlaveTransHandler(spi,req, 1); + + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPI17Y_MasterTransHandler(mxc_spi17y_regs_t *spi, spi17y_req_t *req,uint8_t async) +{ + int retval; + int spi_num; + + spi_num = MXC_SPI17Y_GET_IDX(spi); + + // Leave slave select asserted at the end of the transaction + if (!req->deass) { + spi->ctrl0 |= MXC_F_SPI17Y_CTRL0_SS_CTRL; + } + + retval = SPI17Y_TransHandler(spi,req, async); + + if (!states[spi_num].started) { + spi->ctrl0 |= MXC_F_SPI17Y_CTRL0_START; + states[spi_num].started = 1; + } + + // Deassert slave select at the end of the transaction + if (req->deass) { + spi->ctrl0 &= ~MXC_F_SPI17Y_CTRL0_SS_CTRL; + } + + return retval; +} + +/* ************************************************************************** */ +int SPI17Y_SlaveTransHandler(mxc_spi17y_regs_t *spi, spi17y_req_t *req, uint8_t async) +{ + return SPI17Y_TransHandler(spi,req, async); +} + +/* ************************************************************************** */ +// Returns non-zero if transactions is complete, or 0 if not. +int SPI17Y_TransHandler(mxc_spi17y_regs_t *spi, spi17y_req_t *req, uint8_t async) +{ + + unsigned tx_avail, rx_avail; + int remain, spi_num; + uint32_t int_en =0; + uint32_t length =0; + spi_num = MXC_SPI17Y_GET_IDX(spi); + + // Read/write 2x number of bytes if larger character size + if (req->bits > 8) { + length = req->len*2; + } else { + length = req->len; + } + + if (req->tx_data != NULL) { + // Need to know when all bytes are transmitted, so the callback can be triggered. + int_en |= MXC_F_SPI17Y_INT_EN_TX_EMPTY; + + // Calculate how many bytes we can write to the FIFO + tx_avail = MXC_SPI17Y_FIFO_DEPTH - ((spi->dma & MXC_F_SPI17Y_DMA_TX_FIFO_CNT) >> + MXC_F_SPI17Y_DMA_TX_FIFO_CNT_POS); + if ((length - req->tx_num) < tx_avail) { + tx_avail = (length - req->tx_num); + } + if (req->bits > 8) { + tx_avail &= ~(unsigned)0x1; + } + // Write the FIFO + while (tx_avail) { + if (tx_avail > 3) { + memcpy((void*)&spi->data32,&((uint8_t*)req->tx_data)[req->tx_num], 4); + + tx_avail -= 4; + req->tx_num += 4; + + } else if (tx_avail > 1) { + memcpy((void*)&spi->data16[0],&((uint8_t*)req->tx_data)[req->tx_num], 2); + + tx_avail -= 2; + req->tx_num += 2; + + } else if (req->bits<=8) { + spi->data8[0] = ((uint8_t*)req->tx_data)[req->tx_num++]; + + tx_avail -= 1; + } + } + } + + remain = length - req->tx_num; + + // Set the TX interrupts + if (remain) { + if (remain > MXC_SPI17Y_FIFO_DEPTH) { + // Set the TX FIFO almost empty interrupt if we have to refill + spi->dma = ((spi->dma & ~MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL) | + ((MXC_SPI17Y_FIFO_DEPTH) << MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL_POS)); + } else { + + spi->dma = ((spi->dma & ~MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL) | + ((remain) << MXC_F_SPI17Y_DMA_TX_FIFO_LEVEL_POS)); + } + int_en |= MXC_F_SPI17Y_INT_EN_TX_THRESH; + + } + // Break out if we've transmitted all the bytes and not receiving + if ((req->rx_data == NULL) && (req->tx_num == length) && ((spi->dma & MXC_F_SPI17Y_DMA_TX_FIFO_CNT) == 0)) { + spi->int_en = 0; + int_en = 0; + mxc_free_lock((uint32_t*)&states[spi_num].req); + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + return 1; + } + + + // Read the RX FIFO + if (req->rx_data != NULL) { + + // Wait for there to be data in the RX FIFO + rx_avail = (spi->dma & MXC_F_SPI17Y_DMA_RX_FIFO_CNT) >> MXC_F_SPI17Y_DMA_RX_FIFO_CNT_POS; + if ((length - req->rx_num) < rx_avail) { + rx_avail = (length - req->rx_num); + } + if (req->bits <= 8 || rx_avail >= 2) { + // Read from the FIFO + while (rx_avail) { + if (rx_avail > 3) { + memcpy(&((uint8_t*)req->rx_data)[req->rx_num], (void*)&spi->data32, 4); + rx_avail -= 4; + req->rx_num += 4; + + } else if (rx_avail > 1) { + memcpy(&((uint8_t*)req->rx_data)[req->rx_num], (void*)&spi->data16[0], 2); + rx_avail -= 2; + req->rx_num += 2; + + } else { + ((uint8_t*)req->rx_data)[req->rx_num++] = spi->data8[0]; + rx_avail -= 1; + } + // Don't read less than 2 bytes if we are using greater than 8 bit characters + if (rx_avail == 1 && req->bits > 8) { + break; + } + } + } + remain = length - req->rx_num; + if (remain) { + if (remain > MXC_SPI17Y_FIFO_DEPTH) { + spi->dma = ((spi->dma & ~MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL) | + ((2) << MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL_POS)); + } else { + spi->dma = ((spi->dma & ~MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL) | + ((remain-1) << MXC_F_SPI17Y_DMA_RX_FIFO_LEVEL_POS)); + } + int_en |= MXC_F_SPI17Y_INT_EN_RX_THRESH; + } + + // Break out if we've received all the bytes and we're not transmitting + if ((req->tx_data == NULL) && (req->rx_num == length)) { + spi->int_en = 0; + int_en = 0; + mxc_free_lock((uint32_t*)&states[spi_num].req); + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + return 1; + } + } + + // Break out once we've transmitted and received all of the data + if ((req->rx_num == length) && (req->tx_num == length) && ((spi->dma & MXC_F_SPI17Y_DMA_TX_FIFO_CNT) == 0)) { + spi->int_en = 0; + int_en = 0; + mxc_free_lock((uint32_t*)&states[spi_num].req); + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + return 1; + } + if(async){ + spi->int_en = int_en; + } + return 0; +} + +/* ************************************************************************* */ +int SPI17Y_AbortAsync(spi17y_req_t *req) +{ + int spi_num; + mxc_spi17y_regs_t *spi; + + // Check the input parameters + if (req == NULL) { + return E_BAD_PARAM; + } + + // Find the request, set to NULL + for (spi_num = 0; spi_num < MXC_SPI17Y_INSTANCES; spi_num++) { + if (req == states[spi_num].req) { + + spi = MXC_SPI17Y_GET_SPI17Y(spi_num); + + // Disable interrupts, clear the flags + spi->int_en = 0; + spi->int_fl = spi->int_fl; + + // Reset the SPI17Y to cancel the on ongoing transaction + spi->ctrl0 &= ~(MXC_F_SPI17Y_CTRL0_EN); + spi->ctrl0 |= (MXC_F_SPI17Y_CTRL0_EN); + + // Unlock this SPI17Y + mxc_free_lock((uint32_t*)&states[spi_num].req); + + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_ABORT); + } + + return E_NO_ERROR; + } + } + + return E_BAD_PARAM; +} + +// ***************************************************************************** +void SPI17Y_Enable(mxc_spi17y_regs_t* spi) +{ + spi->ctrl0 |= (MXC_F_SPI17Y_CTRL0_EN); +} + +// ***************************************************************************** +void SPI17Y_Disable(mxc_spi17y_regs_t* spi) +{ + spi->ctrl0 &= ~(MXC_F_SPI17Y_CTRL0_EN); +} + +// ***************************************************************************** +void SPI17Y_Clear_fifo(mxc_spi17y_regs_t* spi) +{ + spi->dma |= MXC_F_SPI17Y_DMA_TX_FIFO_CLEAR | MXC_F_SPI17Y_DMA_RX_FIFO_CLEAR; +} diff --git a/Firmware/SDK/Driver/Source/spimss.c b/Firmware/SDK/Driver/Source/spimss.c new file mode 100644 index 0000000..6dfa2be --- /dev/null +++ b/Firmware/SDK/Driver/Source/spimss.c @@ -0,0 +1,514 @@ + /** + * @file spimss.c + * @brief This file contains the function implementations for the + * Serial Peripheral Interface (SPIMSS) peripheral module. + */ + +/* ***************************************************************************** + * Copyright (C) 2017 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-05-06 14:44:04 -0500 (Mon, 06 May 2019) $ + * $Revision: 43157 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include +#include +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_sys.h" +#include "spimss.h" +#include "mxc_lock.h" + +/** + * @ingroup spimss + * @{ + */ + +/* **** Definitions **** */ + +/* **** Globals **** */ +typedef struct { + spimss_req_t *req; +} spimss_req_state_t; + +static spimss_req_state_t states[MXC_SPIMSS_INSTANCES]; + + +/* **** Functions **** */ +static int SPIMSS_TransSetup(mxc_spimss_regs_t *spi, spimss_req_t *req, int master); +static uint32_t SPIMSS_MasterTransHandler(mxc_spimss_regs_t *spi, spimss_req_t *req); +static uint32_t SPIMSS_TransHandler(mxc_spimss_regs_t *spi, spimss_req_t *req); +static uint32_t SPIMSS_SlaveTransHandler(mxc_spimss_regs_t *spi, spimss_req_t *req); + +/* ************************************************************************** */ +int SPIMSS_Init(mxc_spimss_regs_t *spi, unsigned mode, unsigned freq, const sys_cfg_spimss_t* sys_cfg) +{ + int spi_num, error; + unsigned int spimss_clk; + unsigned int pol, pha; // Polarity and phase of the clock (SPI mode) + + spi_num = MXC_SPIMSS_GET_IDX(spi); + + MXC_ASSERT(spi_num >= 0); + + if (mode > 3) { + return E_BAD_PARAM; + } + + if ((error = SYS_SPIMSS_Init(spi, sys_cfg)) != E_NO_ERROR) { + return error; + } + + states[spi_num].req = NULL; + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_SPIEN); // Keep the SPI Disabled (This is the SPI Start) + + // Check if frequency is too high + if (freq > PeripheralClock) { + return E_BAD_PARAM; + } + + // Set the bit rate + spimss_clk = PeripheralClock; + spi->brg = (spimss_clk / freq) >> 1; + + // Set the mode + pol = mode >> 1; // Get the polarity out of the mode input value + pha = mode & 1; // Get the phase out of the mode input value + + spi->ctrl = (spi->ctrl & ~(MXC_F_SPIMSS_CTRL_CLKPOL)) | (pol << MXC_F_SPIMSS_CTRL_CLKPOL_POS); // polarity + + spi->ctrl = (spi->ctrl & ~(MXC_F_SPIMSS_CTRL_PHASE)) | (pha << MXC_F_SPIMSS_CTRL_PHASE_POS); // phase + + spi->status &= ~(MXC_F_SPIMSS_STATUS_IRQ); + + return E_NO_ERROR; +} +/* ************************************************************************* */ +int SPIMSS_Shutdown(mxc_spimss_regs_t *spi) +{ + int spi_num, err; + spimss_req_t *temp_req; + + // Disable and turn off the SPI transaction. + spi->ctrl = 0; // Interrupts, SPI transaction all turned off + spi->status = 0; + spi->mod = 0; + + // Reset FIFO counters + spi->dma &= ~(MXC_F_SPIMSS_DMA_RX_FIFO_CNT|MXC_F_SPIMSS_DMA_TX_FIFO_CNT); + + // Call all of the pending callbacks for this SPI + spi_num = MXC_SPIMSS_GET_IDX(spi); + if (states[spi_num].req != NULL) { + + // Save the request + temp_req = states[spi_num].req; + + // Unlock this SPI + mxc_free_lock((uint32_t*)&states[spi_num].req); + + // Callback if not NULL + if (temp_req->callback != NULL) { + temp_req->callback(temp_req, E_SHUTDOWN); + } + } + + spi->status = 0; + + // Clear system level configurations + if ((err = SYS_SPIMSS_Shutdown(spi)) != E_NO_ERROR) { + return err; + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPIMSS_TransSetup(mxc_spimss_regs_t *spi, spimss_req_t *req, int master) +{ + int spi_num; + + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_SPIEN); // Make sure the Initiation + // of SPI Start is disabled. + + spi->mod |= MXC_F_SPIMSS_MOD_TX_LJ; // Making sure data is left + // justified. + + if ((req->tx_data == NULL) && (req->rx_data == NULL)) { + return -1; + } + + spi_num = MXC_SPIMSS_GET_IDX(spi); + MXC_ASSERT(spi_num >= 0); + + if (req->len == 0) { + return 0; + } + + req->tx_num = 0; + req->rx_num = 0; + + if (mxc_get_lock((uint32_t*)&states[spi_num].req, (uint32_t)req) != E_NO_ERROR) { + return E_BUSY; + } + + if (master) { // Enable master mode + spi->ctrl |= MXC_F_SPIMSS_CTRL_MMEN; // SPI configured as master. + spi->mod |= MXC_F_SPIMSS_CTRL_MMEN; // SSEL pin is an output. + } else { // Enable slave mode + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_MMEN); // SPI configured as slave. + spi->mod &= ~(MXC_F_SPIMSS_CTRL_MMEN); // SSEL pin is an input. + } + + // Setup the character size + + if (req->bits <16) { + MXC_SETFIELD(spi->mod, MXC_F_SPIMSS_MOD_NUMBITS , req->bits << MXC_F_SPIMSS_MOD_NUMBITS_POS); + + } else { + MXC_SETFIELD(spi->mod, MXC_F_SPIMSS_MOD_NUMBITS , 0 << MXC_F_SPIMSS_MOD_NUMBITS_POS); + + } + + // Setup the slave select + spi->mod |= MXC_F_SPIMSS_MOD_SSV; // Assert a high on Slave Select, + // to get the line ready for active low later + + // Clear the TX and RX FIFO + spi->dma |= (MXC_F_SPIMSS_DMA_TX_FIFO_CLEAR | MXC_F_SPIMSS_DMA_RX_FIFO_CLEAR); + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +void SPIMSS_Handler(mxc_spimss_regs_t *spi) // From the IRQ +{ + int spi_num; + uint32_t flags; + unsigned int int_enable; + + flags = spi->status; + spi->status = flags; + spi->status|= 0x80; // clear interrupt + + spi_num = MXC_SPIMSS_GET_IDX(spi); + + int_enable = 0; + if (states[spi_num].req != NULL) { + if ((spi->ctrl & MXC_F_SPIMSS_CTRL_MMEN) >> MXC_F_SPIMSS_CTRL_MMEN_POS) { + int_enable = SPIMSS_MasterTransHandler(spi, states[spi_num].req); + + } else { + int_enable = SPIMSS_SlaveTransHandler(spi, states[spi_num].req); + } + } + + if (int_enable==1) { + spi->ctrl |= (MXC_F_SPIMSS_CTRL_IRQE ); + + } +} + +/* ************************************************************************** */ +int SPIMSS_MasterTrans(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + int error; + + if ((error = SPIMSS_TransSetup(spi, req, 1)) != E_NO_ERROR) { + return error; + } + + req->callback = NULL; + + spi->mod &= ~(MXC_F_SPIMSS_MOD_SSV); // This will assert the Slave Select. + spi->ctrl |= MXC_F_SPIMSS_CTRL_SPIEN; // Enable/Start SPI + + while (SPIMSS_MasterTransHandler(spi,req)!=0) { + } + + spi->mod |= MXC_F_SPIMSS_MOD_SSV; + + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_SPIEN); // Last of the SPIMSS value has been transmitted... + // stop the transmission... + return E_NO_ERROR; +} + + +/* ************************************************************************** */ +int SPIMSS_SlaveTrans(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + int error; + + if ((error = SPIMSS_TransSetup(spi, req,0)) != E_NO_ERROR) { + return error; + } + + while (SPIMSS_SlaveTransHandler(spi,req)!=0) { + spi->ctrl |= MXC_F_SPIMSS_CTRL_SPIEN; // Enable/Start SPI + while ((spi->status & MXC_F_SPIMSS_STATUS_TXST) == MXC_F_SPIMSS_STATUS_TXST) {} + } + + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_SPIEN); // Last of the SPIMSS value has been transmitted... + // stop the transmission... + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPIMSS_MasterTransAsync(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + int error; + uint8_t int_enable; + if ((error = SPIMSS_TransSetup(spi, req, 1) )!= E_NO_ERROR) { + return error; + } + + int_enable = SPIMSS_MasterTransHandler(spi,req); + + spi->mod ^= MXC_F_SPIMSS_MOD_SSV; // This will assert the Slave Select. + + spi->ctrl |= MXC_F_SPIMSS_CTRL_SPIEN; // Enable/Start SPI + + if (int_enable==1) { + spi->ctrl |= (MXC_F_SPIMSS_CTRL_IRQE | MXC_F_SPIMSS_CTRL_STR); + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int SPIMSS_SlaveTransAsync(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + int error; + uint8_t int_enable; + if ((error = SPIMSS_TransSetup(spi, req, 0)) != E_NO_ERROR) { + return error; + } + + int_enable = SPIMSS_SlaveTransHandler(spi,req); + + spi->ctrl |= MXC_F_SPIMSS_CTRL_SPIEN; // Enable/Start SPI + + if (int_enable==1) { // Trigger a SPI Interrupt + spi->ctrl |= (MXC_F_SPIMSS_CTRL_IRQE ); + } + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +uint32_t SPIMSS_MasterTransHandler(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + unsigned start_set = 0; + uint32_t retval; + + if (!start_set) { + start_set = 1; + retval = SPIMSS_TransHandler(spi,req); + } + + return retval; +} + +/* ************************************************************************** */ +uint32_t SPIMSS_SlaveTransHandler(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + return SPIMSS_TransHandler(spi,req); +} + +/* ************************************************************************** */ +uint32_t SPIMSS_TransHandler(mxc_spimss_regs_t *spi, spimss_req_t *req) +{ + unsigned tx_avail, rx_avail; + int remain, spi_num; + uint32_t int_en =0; + uint32_t length =req->len; + + + spi_num = MXC_SPIMSS_GET_IDX(spi); + + // Read the RX FIFO + if (req->rx_data != NULL) { + // Wait for there to be data in the RX FIFO + rx_avail = ((spi->dma & MXC_F_SPIMSS_DMA_RX_FIFO_CNT) >> MXC_F_SPIMSS_DMA_RX_FIFO_CNT_POS); + if ((length - req->rx_num) < rx_avail) { + rx_avail = (length - req->rx_num); + } + + // Read from the FIFO + while (rx_avail) { + + // Don't read less than 2 bytes if we are using greater than 8 bit characters + if (req->bits>8) { + ((uint16_t*)req->rx_data)[req->rx_num++] = spi->data16; + rx_avail -= 1; + + } else { + ((uint8_t*)req->rx_data)[req->rx_num++] = spi->data8[0]; + rx_avail -= 1; + } + rx_avail = ((spi->dma & MXC_F_SPIMSS_DMA_RX_FIFO_CNT) >> MXC_F_SPIMSS_DMA_RX_FIFO_CNT_POS); + if ((length - req->rx_num) < rx_avail) { + rx_avail = (length - req->rx_num); + } + } + + remain = length - req->rx_num; + + if (remain) { + if (remain > MXC_SPIMSS_FIFO_DEPTH) { + spi->dma = ((spi->dma & ~MXC_F_SPIMSS_DMA_RX_FIFO_CNT) | ((2) << MXC_F_SPIMSS_DMA_RX_FIFO_CNT_POS)); + } else { + spi->dma = ((spi->dma & ~MXC_F_SPIMSS_DMA_RX_FIFO_CNT) | ((remain-1) << MXC_F_SPIMSS_DMA_RX_FIFO_CNT_POS)); + } + + int_en = 1; + } + + // Break out if we've received all the bytes and we're not transmitting + if ((req->tx_data == NULL) && (req->rx_num == length)) { + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_IRQE | MXC_F_SPIMSS_CTRL_STR); + int_en = 0; + mxc_free_lock((uint32_t*)&states[spi_num].req); + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + } + } + // Note:- spi->dma shows the FIFO TX count and FIFO RX count in + // Words, while the calculation below is in bytes. + if (req->tx_data != NULL) { + + if (req->tx_num < length) { + + // Calculate how many bytes we can write to the FIFO (tx_avail holds that value) + tx_avail = MXC_SPIMSS_FIFO_DEPTH - (((spi->dma & MXC_F_SPIMSS_DMA_TX_FIFO_CNT) >> MXC_F_SPIMSS_DMA_TX_FIFO_CNT_POS)); // in bytes + + if ((length - req->tx_num) < tx_avail) { + tx_avail = (length - req->tx_num); // This is for the last spin + } + if (req->bits > 8) { + tx_avail &= ~(unsigned)0x1; + } + // Write the FIFO + while (tx_avail) { + if (req->bits >8) { + spi->data16 = ((uint16_t*)req->tx_data)[req->tx_num++]; + + tx_avail -= 1; + } else { + spi->data8[0] = ((uint8_t*)req->tx_data)[req->tx_num++]; + tx_avail -=1; + } + + } + } + + remain = length - req->tx_num; + + // If there are values remaining to be transmitted, this portion will get + // executed and int_en set, to indicate that this must spin and come back again... + if (remain) { + if (remain > MXC_SPIMSS_FIFO_DEPTH) { // more tx rounds will happen... Transfer the maximum, + spi->dma = ((spi->dma & ~MXC_F_SPIMSS_DMA_TX_FIFO_CNT) | ((MXC_SPIMSS_FIFO_DEPTH) << MXC_F_SPIMSS_DMA_TX_FIFO_CNT_POS)); + } else { // only one more tx round will be done... Transfer whatever remains, + spi->dma = ((spi->dma & ~MXC_F_SPIMSS_DMA_TX_FIFO_CNT) | ((remain) << MXC_F_SPIMSS_DMA_TX_FIFO_CNT_POS)); + } + int_en = 1; // This will act as a trigger for the next round... + } + + // Break out if we've transmitted all the bytes and not receiving + if ((req->rx_data == NULL) && (req->tx_num == length)) { + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_IRQE | MXC_F_SPIMSS_CTRL_STR); + int_en = 0; + mxc_free_lock((uint32_t*)&states[spi_num].req); + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + } + } + + + // Break out once we've transmitted and received all of the data + if ((req->rx_num == length) && (req->tx_num == length)) { + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_IRQE | MXC_F_SPIMSS_CTRL_STR); + int_en = 0; + mxc_free_lock((uint32_t*)&states[spi_num].req); + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + } + + return int_en; +} + +/* ************************************************************************* */ +int SPIMSS_AbortAsync(spimss_req_t *req) +{ + int spi_num; + mxc_spimss_regs_t *spi; + + // Check the input parameters + if (req == NULL) { + return E_BAD_PARAM; + } + + // Find the request, set to NULL + for (spi_num = 0; spi_num < MXC_SPIMSS_INSTANCES; spi_num++) { + if (req == states[spi_num].req) { + + spi = MXC_SPIMSS_GET_SPI(spi_num); + + // Disable interrupts, clear the flags + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_IRQE | MXC_F_SPIMSS_CTRL_STR); + + // Disable and turn off the SPI transaction. + spi->ctrl &= ~(MXC_F_SPIMSS_CTRL_SPIEN); + + // Unlock this SPI + mxc_free_lock((uint32_t*)&states[spi_num].req); + + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_ABORT); + } + return E_NO_ERROR; + } + } + + return E_BAD_PARAM; +} +/**@} end of group spimss */ diff --git a/Firmware/SDK/Driver/Source/tmr.c b/Firmware/SDK/Driver/Source/tmr.c new file mode 100644 index 0000000..0d222b8 --- /dev/null +++ b/Firmware/SDK/Driver/Source/tmr.c @@ -0,0 +1,307 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2019-09-11 14:32:22 -0500 (Wed, 11 Sep 2019) $ + * $Revision: 46047 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "mxc_assert.h" +#include "mxc_sys.h" +#include "tmr.h" + +/* **** Definitions **** */ + +/* **** Globals **** */ + +/* **** Functions **** */ + +/* ************************************************************************** */ +int TMR_Init(mxc_tmr_regs_t *tmr, tmr_pres_t pres, const sys_cfg_tmr_t* sys_cfg) +{ + MXC_ASSERT(tmr); + + int err; + // System settigns + if((err=SYS_TMR_Init(tmr, sys_cfg)) !=E_NO_ERROR) + { + return err; + } + + // Disable timer and clear settings + tmr->cn = 0; + + // Clear interrupt flag + tmr->intr = MXC_F_TMR_INTR_IRQ_CLR; + + // Set the prescaler + tmr->cn = pres; + + return err; +} + +int TMR_Shutdown(mxc_tmr_regs_t *tmr) +{ + MXC_ASSERT(tmr); + + int err; + // System settigns + if((err=SYS_TMR_Shutdown(tmr)) !=E_NO_ERROR) + { + return err; + } + + // Disable timer and clear settings + tmr->cn = 0; + + return err; +} + +/* ************************************************************************** */ +void TMR_Enable(mxc_tmr_regs_t* tmr) +{ + MXC_ASSERT(tmr); + + tmr->cn |= MXC_F_TMR_CN_TEN; +} + +/* ************************************************************************** */ +void TMR_Disable(mxc_tmr_regs_t* tmr) +{ + MXC_ASSERT(tmr); + + tmr->cn &= ~(MXC_F_TMR_CN_TEN); +} + +/* ************************************************************************** */ +int TMR_Config(mxc_tmr_regs_t *tmr, const tmr_cfg_t *cfg) +{ + MXC_ASSERT(tmr); + + // Configure the timer + tmr->cn = (tmr->cn & ~(MXC_F_TMR_CN_TMODE | MXC_F_TMR_CN_TPOL)) | + ((cfg->mode << MXC_F_TMR_CN_TMODE_POS) & MXC_F_TMR_CN_TMODE) | + ((cfg->pol << MXC_F_TMR_CN_TPOL_POS) & MXC_F_TMR_CN_TPOL); + + tmr->cnt = 0x1; + tmr->cmp = cfg->cmp_cnt; + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int TMR_PWMConfig(mxc_tmr_regs_t *tmr, const tmr_pwm_cfg_t *cfg) +{ + if (cfg->duty_cnt > cfg->per_cnt) { + return E_BAD_PARAM; + } + + // Configure the timer + tmr->cn = (tmr->cn & ~(MXC_F_TMR_CN_TMODE | MXC_F_TMR_CN_TPOL)) | + MXC_S_TMR_CN_TMODE_PWM | ((cfg->pol << MXC_F_TMR_CN_TPOL_POS) & MXC_F_TMR_CN_TPOL); + + tmr->cnt = 0x1; + tmr->cmp = cfg->per_cnt; + tmr->pwm = cfg->duty_cnt; + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int TMR_PWMSetDuty(mxc_tmr_regs_t *tmr, uint32_t duty) +{ + uint32_t cnt; + + // Make sure the new Duty count is less than the period count + if (duty > tmr->cmp) { + return E_BAD_PARAM; + } + + cnt = tmr->cnt; // make sure order of volatile access is known. + // Avoid glitching the output + if (duty >= tmr->pwm) { + // Wait for the count to be in the range of 1 to tmr->pwm + while (cnt > tmr->pwm) { + cnt = tmr->cnt; // update the volatile access variable + } + } else { + // Wait for the count to pass tmr->pwm + while (cnt < tmr->pwm) { + cnt = tmr->cnt; // update the volatile access variable + } + } + tmr->pwm = duty; + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +int TMR_PWMSetPeriod(mxc_tmr_regs_t *tmr, uint32_t per) +{ + // Make sure the new Duty count is less than the period count + if (tmr->pwm > per) { + return E_BAD_PARAM; + } + + // Wait for the count to be less than the new dut_cnt + while (tmr->cnt >= per) {} + tmr->cmp = per; + + return E_NO_ERROR; +} + + +/* ************************************************************************** */ +uint32_t TMR_GetCompare(mxc_tmr_regs_t* tmr) +{ + return tmr->cmp; +} + +/* ************************************************************************** */ +uint32_t TMR_GetCapture(mxc_tmr_regs_t* tmr) +{ + return tmr->pwm; +} + +/* ************************************************************************* */ +uint32_t TMR_GetCount(mxc_tmr_regs_t* tmr) +{ + return tmr->cnt; +} + +/* ************************************************************************* */ +void TMR_IntClear(mxc_tmr_regs_t* tmr) +{ + tmr->intr = MXC_F_TMR_INTR_IRQ_CLR; +} + +/* ************************************************************************* */ +uint32_t TMR_IntStatus(mxc_tmr_regs_t* tmr) +{ + return tmr->intr; +} + +/* ************************************************************************* */ +void TMR_SetCompare(mxc_tmr_regs_t *tmr, uint32_t cmp_cnt) +{ + tmr->cmp = cmp_cnt; +} + +/* ************************************************************************* */ +void TMR_SetCount(mxc_tmr_regs_t *tmr, uint32_t cnt) +{ + tmr->cnt = cnt; +} + +/* ************************************************************************* */ +int TMR_GetTicks(mxc_tmr_regs_t *tmr, uint32_t time, tmr_unit_t units, uint32_t *ticks) +{ + uint32_t unit_div0, unit_div1; + uint32_t timerClock; + uint32_t prescale; + uint64_t temp_ticks; + + timerClock = SYS_TMR_GetFreq(tmr); + prescale = ((tmr->cn & MXC_F_TMR_CN_PRES) >> MXC_F_TMR_CN_PRES_POS) + | (((tmr->cn & MXC_F_TMR_CN_PRES3) >> (MXC_F_TMR_CN_PRES3_POS))<<3); + + switch (units) { + case TMR_UNIT_NANOSEC: + unit_div0 = 1000000; + unit_div1 = 1000; + break; + case TMR_UNIT_MICROSEC: + unit_div0 = 1000; + unit_div1 = 1000; + break; + case TMR_UNIT_MILLISEC: + unit_div0 = 1; + unit_div1 = 1000; + break; + case TMR_UNIT_SEC: + unit_div0 = 1; + unit_div1 = 1; + break; + default: + return E_BAD_PARAM; + } + + temp_ticks = (uint64_t)time * (timerClock / unit_div0) / (unit_div1 * (1 << (prescale & 0xF))); + + //make sure ticks is within a 32 bit value + if (!(temp_ticks & 0xffffffff00000000) && (temp_ticks & 0xffffffff)) { + *ticks = temp_ticks; + return E_NO_ERROR; + } + + return E_INVALID; +} + +/* ************************************************************************* */ +int TMR_GetTime(mxc_tmr_regs_t *tmr, uint32_t ticks, uint32_t *time, tmr_unit_t *units) +{ + uint64_t temp_time = 0; + uint32_t timerClock = SYS_TMR_GetFreq(tmr); + uint32_t prescale = ((tmr->cn & MXC_F_TMR_CN_PRES) >> MXC_F_TMR_CN_PRES_POS) + | (((tmr->cn & MXC_F_TMR_CN_PRES3) >> (MXC_F_TMR_CN_PRES3_POS))<<3); + + temp_time = (uint64_t)ticks * 1000 * (1 << (prescale & 0xF)) / (timerClock / 1000000); + if (!(temp_time & 0xffffffff00000000)) { + *time = temp_time; + *units = TMR_UNIT_NANOSEC; + return E_NO_ERROR; + } + + temp_time = (uint64_t)ticks * 1000 * (1 << (prescale & 0xF)) / (timerClock / 1000); + if (!(temp_time & 0xffffffff00000000)) { + *time = temp_time; + *units = TMR_UNIT_MICROSEC; + return E_NO_ERROR; + } + + temp_time = (uint64_t)ticks * 1000 * (1 << (prescale & 0xF)) / timerClock; + if (!(temp_time & 0xffffffff00000000)) { + *time = temp_time; + *units = TMR_UNIT_MILLISEC; + return E_NO_ERROR; + } + + temp_time = (uint64_t)ticks * (1 << (prescale & 0xF)) / timerClock; + if (!(temp_time & 0xffffffff00000000)) { + *time = temp_time; + *units = TMR_UNIT_SEC; + return E_NO_ERROR; + } + + return E_INVALID; +} diff --git a/Firmware/SDK/Driver/Source/tmr_utils.c b/Firmware/SDK/Driver/Source/tmr_utils.c new file mode 100644 index 0000000..b2043ec --- /dev/null +++ b/Firmware/SDK/Driver/Source/tmr_utils.c @@ -0,0 +1,168 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-10-17 14:16:30 -0500 (Wed, 17 Oct 2018) $ + * $Revision: 38560 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include +#include "mxc_assert.h" +#include "tmr.h" +#include "tmr_utils.h" + +/* **** Definitions **** */ + +/* **** Globals **** */ + +/* **** Functions **** */ + +/* ************************************************************************** */ +void TMR_Delay(mxc_tmr_regs_t *tmr, unsigned long us, const sys_cfg_tmr_t *sys_cfg) +{ + // Return immediately if delay is 0 + if (!us) { + return; + } + + TMR_TO_Start(tmr, us, sys_cfg); + + while (TMR_TO_Check(tmr) != E_TIME_OUT) {} +} + +/* ************************************************************************** */ +void TMR_TO_Start(mxc_tmr_regs_t *tmr, unsigned long us, const sys_cfg_tmr_t *sys_cfg) +{ + uint64_t ticks; + int clk_shift = 0; + + ticks = (uint64_t)us * (uint64_t)PeripheralClock / (uint64_t)1000000; + while (ticks > 0xFFFFFFFFUL) { + ticks >>= 1; + ++clk_shift; + } + tmr_pres_t prescale = (tmr_pres_t)(clk_shift << MXC_F_TMR_CN_PRES_POS); + + TMR_Init(tmr, prescale, sys_cfg); + + // Initialize the timer in one-shot mode + tmr_cfg_t cfg; + cfg.mode = TMR_MODE_ONESHOT; + cfg.cmp_cnt = ticks; + cfg.pol = 0; + + TMR_Disable(tmr); + TMR_Config(tmr, &cfg); + + TMR_IntClear(tmr); + TMR_Enable(tmr); +} + +/* ************************************************************************** */ +int TMR_TO_Check(mxc_tmr_regs_t *tmr) +{ + if (TMR_IntStatus(tmr)) { + return E_TIME_OUT; + } + return E_NO_ERROR; +} + +/* ************************************************************************** */ +void TMR_TO_Stop(mxc_tmr_regs_t *tmr) +{ + TMR_Disable(tmr); + TMR_SetCount(tmr, 0x0); +} + +/* ************************************************************************** */ +void TMR_TO_Clear(mxc_tmr_regs_t *tmr) +{ + TMR_IntClear(tmr); + TMR_SetCount(tmr, 0x0); +} + +/* ************************************************************************** */ +unsigned int TMR_TO_Elapsed(mxc_tmr_regs_t *tmr) +{ + uint32_t elapsed; + tmr_unit_t units; + + TMR_GetTime(tmr, TMR_GetCount(tmr), &elapsed, &units); + + switch (units) { + case TMR_UNIT_NANOSEC: + default: + return (elapsed / 1000); + case TMR_UNIT_MICROSEC: + return (elapsed); + case TMR_UNIT_MILLISEC: + return (elapsed * 1000); + case TMR_UNIT_SEC: + return (elapsed * 1000000); + } +} + +/* ************************************************************************** */ +unsigned int TMR_TO_Remaining(mxc_tmr_regs_t *tmr) +{ + uint32_t remaining_ticks, remaining_time; + tmr_unit_t units; + + remaining_ticks = TMR_GetCompare(tmr) - TMR_GetCount(tmr); + TMR_GetTime(tmr, remaining_ticks, &remaining_time, &units); + + switch (units) { + case TMR_UNIT_NANOSEC: + default: + return (remaining_time / 1000); + case TMR_UNIT_MICROSEC: + return (remaining_time); + case TMR_UNIT_MILLISEC: + return (remaining_time * 1000); + case TMR_UNIT_SEC: + return (remaining_time * 1000000); + } +} + +/* ************************************************************************** */ +void TMR_SW_Start(mxc_tmr_regs_t *tmr, const sys_cfg_tmr_t *sys_cfg) +{ + TMR_TO_Start(tmr, 0xFFFFFFFF, sys_cfg); +} + +/* ************************************************************************** */ +unsigned int TMR_SW_Stop(mxc_tmr_regs_t *tmr) +{ + unsigned int elapsed = TMR_TO_Elapsed(tmr); + TMR_TO_Stop(tmr); + return elapsed; +} diff --git a/Firmware/SDK/Driver/Source/uart.c b/Firmware/SDK/Driver/Source/uart.c new file mode 100644 index 0000000..34b43bf --- /dev/null +++ b/Firmware/SDK/Driver/Source/uart.c @@ -0,0 +1,718 @@ +/* **************************************************************************** + * Copyright (C) 2014-2018 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2020-09-08 13:28:39 -0500 (Tue, 08 Sep 2020) $ + * $Revision: 55611 $ + * + *************************************************************************** */ + +/* **** Includes **** */ +#include +#include +#include "mxc_config.h" +#include "mxc_assert.h" +#include "uart_regs.h" +#include "uart.h" +#include "mxc_lock.h" +#include "mxc_sys.h" + +/* **** Definitions **** */ + +#define UART_ER_IF (MXC_F_UART_INT_FL_RX_FRAME_ERROR | \ + MXC_F_UART_INT_FL_RX_PARITY_ERROR | \ + MXC_F_UART_INT_FL_RX_OVERRUN) + +#define UART_ER_IE (MXC_F_UART_INT_EN_RX_FRAME_ERROR | \ + MXC_F_UART_INT_EN_RX_PARITY_ERROR | \ + MXC_F_UART_INT_EN_RX_OVERRUN ) + +#define UART_RX_IF (MXC_F_UART_INT_FL_RX_FIFO_THRESH) + +#define UART_RX_IE (MXC_F_UART_INT_EN_RX_FIFO_THRESH) + +#define UART_TX_IF (MXC_F_UART_INT_FL_TX_FIFO_ALMOST_EMPTY | \ + MXC_F_UART_INT_FL_TX_FIFO_THRESH) + +#define UART_TX_IE (MXC_F_UART_INT_EN_TX_FIFO_ALMOST_EMPTY | \ + MXC_F_UART_INT_EN_TX_FIFO_THRESH) + +#if (TARGET == 32660) || (TARGET == 32665) +#define MAX_FACTOR 3 +#else +#define MAX_FACTOR 7 +#endif + +/* **** File Scope Data **** */ + +// Saves the state of the non-blocking read requests. +static uart_req_t *rx_states[MXC_UART_INSTANCES]; + +// Saves the state of the non-blocking write requests. +static uart_req_t *tx_states[MXC_UART_INSTANCES]; + + +/* **** Functions **** */ +static void UART_WriteHandler(mxc_uart_regs_t *uart, uart_req_t *req, int uart_num); +static void UART_ReadHandler(mxc_uart_regs_t *uart, uart_req_t *req, int uart_num, + uint32_t flags); +static uint32_t uart_error_check(mxc_uart_regs_t *uart); +static void uart_error_clear(mxc_uart_regs_t *uart); + +/* ************************************************************************* */ +uint32_t uart_error_check(mxc_uart_regs_t *uart) +{ + return (uart->int_fl & UART_ER_IF); +} + +/* ************************************************************************* */ +void uart_error_clear(mxc_uart_regs_t *uart) +{ + UART_ClearFlags(uart,UART_ER_IF); +} + +/* ************************************************************************* */ +int UART_Init(mxc_uart_regs_t *uart, const uart_cfg_t *cfg, const sys_cfg_uart_t* sys_cfg) +{ + int err; + int uart_num; + + uint32_t baud0 = 0, baud1 = 0,div; + int32_t factor = -1; + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num == -1) { + return E_BAD_PARAM; + } + + if ((err = SYS_UART_Init(uart, sys_cfg)) != E_NO_ERROR) { + return err; + } + + // Initialize state pointers + rx_states[uart_num] = NULL; + tx_states[uart_num] = NULL; + + // Drain FIFOs, enable UART, and set configuration + uart->ctrl = (MXC_F_UART_CTRL_ENABLE | cfg->parity | cfg->size | cfg->stop | cfg->flow | cfg->pol); + + // Set the baud rate + // Calculate divisor +#if (TARGET != 32660) + uart->ctrl |= cfg->clksel; + if (cfg->clksel == UART_CLKSEL_ALTERNATE) { + div = UART_ALTERNATE_CLOCK_HZ / ((cfg->baud)); + } else { + div = PeripheralClock / ((cfg->baud)); + } +#else + div = PeripheralClock / ((cfg->baud)); +#endif + // Search for integer and fractional baud rate registers based on divisor + do { + factor += 1; + baud0 = div >> (7-factor); // divide by 128,64,32,16 to extract integer part + baud1 = ((div << factor) - (baud0 << 7)); //subtract factor corrected div - integer parts + } while ((baud0 == 0) && (factor < MAX_FACTOR)); + + uart->baud0 = ((factor << MXC_F_UART_BAUD0_FACTOR_POS) | baud0); +#if (TARGET == 32660) || (TARGET == 32665) || (TARGET == 32650) + /* Erratum: + * Hardware bug causes exact baud rates to generate framing error. Slightly mis-adjust timing + * to help avoid this bug. + */ + if (baud1 > 3) { + uart->baud1 = baud1 - 3; + } else { + uart->baud1 = baud1 + 3; + } +#else + uart->baud1 = baud1; +#endif + + // Clear pending requests + rx_states[uart_num] = NULL; + tx_states[uart_num] = NULL; + + return E_NO_ERROR; +} + +/* ************************************************************************* */ +int UART_Shutdown(mxc_uart_regs_t *uart) +{ + int uart_num; + uart_req_t *temp_req; + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num < 0) { + return E_BAD_PARAM; + } + + // Disable interrupts + uart->int_en = 0; + + // Flush RX and TX FIFOS + uart->ctrl |= (MXC_F_UART_CTRL_TX_FLUSH | MXC_F_UART_CTRL_RX_FLUSH); + + // Call all of the pending callbacks for this UART + if(rx_states[uart_num] != NULL) { + + // Save the request + temp_req = rx_states[uart_num]; + + // Unlock this UART to read + mxc_free_lock((uint32_t*)&rx_states[uart_num]); + + // Callback if not NULL + if (temp_req->callback != NULL) { + temp_req->callback(temp_req, E_SHUTDOWN); + } + } + + if (tx_states[uart_num] != NULL) { + + // Save the request + temp_req = tx_states[uart_num]; + + // Unlock this UART to write + mxc_free_lock((uint32_t*)&tx_states[uart_num]); + + // Callback if not NULL + if (temp_req->callback != NULL) { + temp_req->callback(temp_req, E_SHUTDOWN); + } + } + // Wait for not busy + while (uart->status & (MXC_F_UART_STATUS_TX_BUSY | MXC_F_UART_STATUS_RX_BUSY)) { + + } + + // Shutdown the UART + uart->ctrl = 0; + + // Shutdown any system level setup + SYS_UART_Shutdown(uart); + + // Clear pending requests + rx_states[uart_num] = NULL; + tx_states[uart_num] = NULL; + + return E_NO_ERROR; +} + +/* ************************************************************************* */ +void UART_Handler(mxc_uart_regs_t *uart) +{ + int uart_num; // Holds the current index of rx_states or tx_states + uint32_t intst; + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num == -1) { + return; + } + + // Read and clear interrupts + intst = uart->int_fl; + uart->int_fl = intst; + + // Read interrupt + if (intst & (UART_RX_IF | UART_ER_IF)) { + UART_ReadHandler(uart, rx_states[uart_num], uart_num, intst); + } + + // Write Interrupt + if (intst & (UART_TX_IF | UART_ER_IF)) { + UART_WriteHandler(uart, tx_states[uart_num], uart_num); + } +} + +/* ************************************************************************* */ +static void UART_WriteHandler(mxc_uart_regs_t *uart, uart_req_t *req, int uart_num) +{ + int remain, avail; + req = tx_states[uart_num]; + + if (req == NULL) { + // Nothing to do + uart->int_en &= ~MXC_F_UART_INT_EN_TX_FIFO_ALMOST_EMPTY; // disable interrupt + return; + } + + // Refill the TX FIFO + avail = UART_NumWriteAvail(uart); + remain = req->len - req->num; + + while (avail && remain) { + uart->fifo = req->data[req->num++]; + remain--; + avail--; + } + + // See if we've sent all of the characters + if (req->len == req->num) { + // Disable interrupts + uart->int_en &= ~MXC_F_UART_INT_EN_TX_FIFO_ALMOST_EMPTY; + + // Deinit state before callback in case another is requested + tx_states[uart_num] = NULL; + mxc_free_lock((uint32_t*)&tx_states[uart_num]); + + // Callback when we've written all the characters + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + } + // Enable the interrupts + uart->int_en |= UART_TX_IE | UART_ER_IE; + +} + +/* ************************************************************************* */ +static void UART_ReadHandler(mxc_uart_regs_t *uart, uart_req_t *req, int uart_num, + uint32_t flags) +{ + int remain, avail; + + if (req == NULL) { + // Nothing to do + uart->int_en &= ~(UART_RX_IE | UART_ER_IE); // disable interrupts + return; + } + // Save the data in the FIFO while we still need data + avail = UART_NumReadAvail(uart); + remain = req->len - req->num; + while (avail && remain) { + req->data[req->num++] = uart->fifo; + remain--; + avail--; + } + // Check for errors + if (flags & MXC_F_UART_INT_FL_RX_OVERRUN) { + + // Unlock this UART to read + mxc_free_lock((uint32_t*)&rx_states[uart_num]); + + if (req->callback != NULL) { + req->callback(req, E_OVERFLOW); + } + + return; + } + if (flags & (MXC_F_UART_INT_FL_RX_FRAME_ERROR | + MXC_F_UART_INT_FL_RX_PARITY_ERROR)) { + + // Unlock this UART to read + mxc_free_lock((uint32_t*)&rx_states[uart_num]); + + if (req->callback != NULL) { + req->callback(req, E_COMM_ERR); + } + + return; + } + // Check to see if we've received all of the characters. + if (req->num == req->len) { + // Disable interrupts + uart->int_en &= ~(UART_RX_IE | UART_ER_IE); + + // Deinit state before callback in case another is requested + rx_states[uart_num] = NULL; + + // Call the callback function + if (req->callback != NULL) { + req->callback(req, E_NO_ERROR); + } + + return; + } else if (req->num > (req->len - MXC_UART_FIFO_DEPTH)) { + // Set RX threshold less than FIFO_DEPTH characters if needed + uart->thresh_ctrl = ((req->len - req->num)<< + MXC_F_UART_THRESH_CTRL_RX_FIFO_THRESH_POS); + } else { + uart->thresh_ctrl = MXC_UART_FIFO_DEPTH<< + MXC_F_UART_THRESH_CTRL_RX_FIFO_THRESH_POS; + } +} + +/* ************************************************************************* */ +int UART_Read(mxc_uart_regs_t *uart, uint8_t *data, int len, int *num) +{ + int uart_num; // Holds the current index of rx_states + int char_read = 0; // Holds the number of characters successfully read + int error_code =0; // Holds the error to return while reading + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num < 0) { + return E_BAD_PARAM; + } + + // Check to make sure baud rate has been set + if (uart->baud0 == 0) { + return E_UNINITIALIZED; + } + + // Check data pointer + if (data == NULL) { + return E_BAD_PARAM; + } + + // Check if there is already a request in progress + if (rx_states[uart_num] != NULL) { + return E_BUSY; + } + + // Lock this UART from reading + while (mxc_get_lock((uint32_t*)&rx_states[uart_num], 1) != E_NO_ERROR) { + + } + + // Get bytes FIFO + while (char_read < len) { + // Wait for RXFIFO to not be empty + while (uart->status & MXC_F_UART_STATUS_RX_EMPTY) { + // Check for error + if (uart_error_check(uart) != E_NO_ERROR) { + if (uart->int_fl & MXC_F_UART_INT_FL_RX_OVERRUN) { + error_code = E_OVERFLOW; + } else { + error_code = E_COMM_ERR; + } + + uart_error_clear(uart); + mxc_free_lock((uint32_t*)&rx_states[uart_num]); + return error_code; + } + } + data[char_read] = uart->fifo; + char_read++; + } + if (num != NULL) { + *num = char_read; + } + // Unlock this UART to read + mxc_free_lock((uint32_t*)&rx_states[uart_num]); + + return char_read; +} + +/* ************************************************************************* */ +uint8_t UART_ReadByte(mxc_uart_regs_t *uart) +{ + + while (uart->status & MXC_F_UART_STATUS_RX_EMPTY) {} + + return uart->fifo; +} + +/* ************************************************************************* */ +int UART_Write(mxc_uart_regs_t *uart, const uint8_t *data, int len) +{ + int uart_num; // Holds the current index of tx_states + int char_written = 0; // Holds the number of characters successfully written + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num < 0) { + return E_BAD_PARAM; + } + + // Check to make sure baud rate has been set + if (uart->baud0 == 0) { + return E_UNINITIALIZED; + } + + // Check data pointer + if (data == NULL) { + return E_BAD_PARAM; + } + + // Check if there is already a request in progress + if (tx_states[uart_num] != NULL) { + return E_BUSY; + } + + // Lock this UART from writing + while (mxc_get_lock((uint32_t*)&tx_states[uart_num], 1) != E_NO_ERROR) { + + } + + // Clear errors + uart_error_clear(uart); + + // Put bytes into FIFO + while (char_written < len) { + UART_WriteByte(uart,data[char_written]); + char_written++; + } + + // Unlock this UART to write + mxc_free_lock((uint32_t*)&tx_states[uart_num]); + + return char_written; +} + +/* ************************************************************************* */ +void UART_WriteByte(mxc_uart_regs_t *uart, uint8_t data) +{ + + // Wait for TXFIFO if full + while (uart->status & MXC_F_UART_STATUS_TX_FULL) { + + } + + // Put data into fifo + uart->fifo = data; +} + +/* ************************************************************************* */ +int UART_ReadAsync(mxc_uart_regs_t *uart, uart_req_t *req) +{ + int uart_num; // Holds the current index of tx_states + uint32_t flags; // Holds the Interrupt flags + + // Check data pointer + if (req == NULL) { + return E_BAD_PARAM; + } + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num < 0) { + return E_BAD_PARAM; + } + + if (req->data == NULL) { + return E_NULL_PTR; + } + // Check to make sure baud rate has been set + if (uart->baud0 == 0) { + return E_UNINITIALIZED; + } + + // Check if there is already a request in progress + if (rx_states[uart_num] != NULL) { + return E_BUSY; + } + + if (!(req->len > 0)) { + return E_NO_ERROR; + } + + // Attempt to register this write request + if (mxc_get_lock((uint32_t*)&rx_states[uart_num], (uint32_t)req) != E_NO_ERROR) { + return E_BUSY; + } + + // Clear the data counter + req->num = 0; + + // Clear Interrupt Flags + flags = uart->int_fl; + uart->int_fl = flags; + UART_ReadHandler(uart,req,uart_num,flags); + + // Enable the interrupts + uart->int_en |= UART_RX_IE | UART_ER_IE; + + return E_NO_ERROR; +} + +/* ************************************************************************* */ +int UART_WriteAsync(mxc_uart_regs_t *uart, uart_req_t *req) +{ + int uart_num; // Holds the current index of tx_states + + // Check data pointer + if (req == NULL) { + return E_BAD_PARAM; + } + + // Get the state array index + uart_num = MXC_UART_GET_IDX(uart); + if (uart_num < 0) { + return E_BAD_PARAM; + } + + if (req->data == NULL) { + return E_NULL_PTR; + } + // Check to make sure baud rate has been set + if (uart->baud0 == 0) { + return E_UNINITIALIZED; + } + + // Check if there is already a request in progress + if (tx_states[uart_num] != NULL) { + return E_BUSY; + } + if (!(req->len > 0)) { + return E_NO_ERROR; + } + // Attempt to register this write request + if (mxc_get_lock((uint32_t*)&tx_states[uart_num], (uint32_t)req) != E_NO_ERROR) { + return E_BUSY; + } + + // Clear the data counter + req->num = 0; + UART_WriteHandler(uart, req, uart_num); + + return E_NO_ERROR; +} + +/* ************************************************************************* */ +int UART_Busy(mxc_uart_regs_t *uart) +{ + int uart_num = MXC_UART_GET_IDX(uart); // Holds the current index of tx_states + MXC_ASSERT(uart_num >= 0); + if ((uart->status & MXC_F_UART_STATUS_TX_BUSY) || (uart->status & MXC_F_UART_STATUS_RX_BUSY)) { + return E_BUSY; + } + // Check to see if there are any ongoing transactions and the UART has room in its FIFO + if ((tx_states[uart_num] == NULL) && + !(uart->status & MXC_F_UART_STATUS_TX_FULL)) { + + return E_NO_ERROR; + } + + return E_BUSY; +} + +/* ************************************************************************* */ +int UART_PrepForSleep(mxc_uart_regs_t *uart) +{ + if (UART_Busy(uart) != E_NO_ERROR) { + return E_BUSY; + } + + // Leave read interrupts enabled, if already enabled + uart->int_en &= (UART_RX_IE | UART_ER_IE); + + return E_NO_ERROR; +} + +/* ************************************************************************* */ +int UART_AbortAsync(uart_req_t *req) +{ + int uart_num; + + // Figure out if this was a read or write request, find the request, set to NULL + for (uart_num = 0; uart_num < MXC_UART_INSTANCES; uart_num++) { + if (req == rx_states[uart_num]) { + + // Disable read interrupts, clear flags. + MXC_UART_GET_UART(uart_num)->int_en &= ~(UART_RX_IE | UART_ER_IE); + MXC_UART_GET_UART(uart_num)->int_fl = (UART_RX_IF | UART_ER_IF); + + // Unlock this UART to read + mxc_free_lock((uint32_t*)&rx_states[uart_num]); + + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_ABORT); + } + + return E_NO_ERROR; + } + + if (req == tx_states[uart_num]) { + + // Disable write interrupts, clear flags. + MXC_UART_GET_UART(uart_num)->int_en &= ~(UART_TX_IE | UART_ER_IE); + MXC_UART_GET_UART(uart_num)->int_fl = (UART_TX_IF | UART_ER_IF); + + // Unlock this UART to write + mxc_free_lock((uint32_t*)&tx_states[uart_num]); + + // Callback if not NULL + if (req->callback != NULL) { + req->callback(req, E_ABORT); + } + + return E_NO_ERROR; + } + } + + return E_BAD_PARAM; +} + +/* ************************************************************************* */ +unsigned UART_NumWriteAvail(mxc_uart_regs_t *uart) +{ + return MXC_UART_FIFO_DEPTH - ((uart->status & MXC_F_UART_STATUS_TX_FIFO_CNT) >> + MXC_F_UART_STATUS_TX_FIFO_CNT_POS); +} + +/* ************************************************************************* */ +unsigned UART_NumReadAvail(mxc_uart_regs_t *uart) +{ + return ((uart->status & MXC_F_UART_STATUS_RX_FIFO_CNT) >> + MXC_F_UART_STATUS_RX_FIFO_CNT_POS); +} + +/* ************************************************************************* */ +unsigned UART_GetFlags(mxc_uart_regs_t *uart) +{ + return (uart->int_fl); +} + +/* ************************************************************************* */ +void UART_ClearFlags(mxc_uart_regs_t *uart, uint32_t mask) +{ + uart->int_fl = mask; +} + +/* ************************************************************************* */ +void UART_Enable(mxc_uart_regs_t *uart) +{ + uart->ctrl |= MXC_F_UART_CTRL_ENABLE; +} + +/* ************************************************************************* */ +void UART_Disable(mxc_uart_regs_t *uart) +{ + uart->ctrl &= ~MXC_F_UART_CTRL_ENABLE; +} + +/* ************************************************************************* */ +void UART_DrainRX(mxc_uart_regs_t *uart) +{ + uart->ctrl |= MXC_F_UART_CTRL_RX_FLUSH; +} + +/* ************************************************************************* */ +void UART_DrainTX(mxc_uart_regs_t *uart) +{ + uart->ctrl |= MXC_F_UART_CTRL_TX_FLUSH; +} diff --git a/Firmware/SDK/Driver/Source/wdt.c b/Firmware/SDK/Driver/Source/wdt.c new file mode 100644 index 0000000..934f467 --- /dev/null +++ b/Firmware/SDK/Driver/Source/wdt.c @@ -0,0 +1,129 @@ +/* ***************************************************************************** + * Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and associated documentation files (the "Software"), + * to deal in the Software without restriction, including without limitation + * the rights to use, copy, modify, merge, publish, distribute, sublicense, + * and/or sell copies of the Software, and to permit persons to whom the + * Software is furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + * OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + * OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + * ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + * OTHER DEALINGS IN THE SOFTWARE. + * + * Except as contained in this notice, the name of Maxim Integrated + * Products, Inc. shall not be used except as stated in the Maxim Integrated + * Products, Inc. Branding Policy. + * + * The mere transfer of this software does not imply any licenses + * of trade secrets, proprietary technology, copyrights, patents, + * trademarks, maskwork rights, or any other form of intellectual + * property whatsoever. Maxim Integrated Products, Inc. retains all + * ownership rights. + * + * $Date: 2018-12-18 15:37:22 -0600 (Tue, 18 Dec 2018) $ + * $Revision: 40072 $ + * + **************************************************************************** */ + +/* **** Includes **** */ +#include "mxc_config.h" +#include "mxc_errors.h" +#include "mxc_assert.h" +#include "mxc_sys.h" +#include "wdt.h" + +/* **** Definitions **** */ + +/* **** Globals **** */ + +/* **** Functions **** */ + +/* ************************************************************************** */ +int WDT_Init(mxc_wdt_regs_t* wdt, sys_cfg_wdt_t sys_cfg) +{ + SYS_WDT_Init(wdt, sys_cfg); + + return E_NO_ERROR; +} + +/* ************************************************************************** */ +void WDT_SetIntPeriod(mxc_wdt_regs_t* wdt, wdt_period_t period) +{ + MXC_SETFIELD(wdt->ctrl, MXC_F_WDT_CTRL_INT_PERIOD, period); +} + +/* ************************************************************************** */ +void WDT_SetResetPeriod(mxc_wdt_regs_t* wdt, wdt_period_t period) +{ + MXC_SETFIELD(wdt->ctrl, MXC_F_WDT_CTRL_RST_PERIOD, (period << (MXC_F_WDT_CTRL_RST_PERIOD_POS - MXC_F_WDT_CTRL_INT_PERIOD_POS))); +} + +/* ************************************************************************** */ +void WDT_Enable(mxc_wdt_regs_t* wdt, int enable) +{ + if (enable) { + wdt->ctrl |= MXC_F_WDT_CTRL_WDT_EN; + } else { + wdt->ctrl &= ~(MXC_F_WDT_CTRL_WDT_EN); + } +} + +/* ************************************************************************** */ +void WDT_EnableInt(mxc_wdt_regs_t* wdt, int enable) +{ + if (enable) { + wdt->ctrl |= MXC_F_WDT_CTRL_INT_EN; + } else { + wdt->ctrl &= ~(MXC_F_WDT_CTRL_INT_EN); + } +} + +/* ************************************************************************** */ +void WDT_EnableReset(mxc_wdt_regs_t* wdt, int enable) +{ + if (enable) { + wdt->ctrl |= MXC_F_WDT_CTRL_RST_EN; + } else { + wdt->ctrl &= ~(MXC_F_WDT_CTRL_RST_EN); + } +} + +/* ************************************************************************** */ +void WDT_ResetTimer(mxc_wdt_regs_t* wdt) +{ + wdt->rst = 0x00A5; + wdt->rst = 0x005A; +} + +/* ************************************************************************** */ +int WDT_GetResetFlag(mxc_wdt_regs_t* wdt) +{ + return !!(wdt->ctrl & MXC_F_WDT_CTRL_RST_FLAG); +} + +/* ************************************************************************** */ +void WDT_ClearResetFlag(mxc_wdt_regs_t* wdt) +{ + wdt->ctrl &= ~(MXC_F_WDT_CTRL_RST_FLAG); +} + +/* ************************************************************************** */ +int WDT_GetIntFlag(mxc_wdt_regs_t* wdt) +{ + return !!(wdt->ctrl & MXC_F_WDT_CTRL_INT_FLAG); +} + +/* ************************************************************************** */ +void WDT_ClearIntFlag(mxc_wdt_regs_t* wdt) +{ + wdt->ctrl &= ~(MXC_F_WDT_CTRL_INT_FLAG); +} diff --git a/Firmware/SDK/Startup/startup_max32660.S b/Firmware/SDK/Startup/startup_max32660.S new file mode 100644 index 0000000..6bddd01 --- /dev/null +++ b/Firmware/SDK/Startup/startup_max32660.S @@ -0,0 +1,373 @@ +;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + ; Copyright (C) 2016 Maxim Integrated Products, Inc., All Rights Reserved. + ; + ; Permission is hereby granted, free of charge, to any person obtaining a + ; copy of this software and associated documentation files (the "Software"), + ; to deal in the Software without restriction, including without limitation + ; the rights to use, copy, modify, merge, publish, distribute, sublicense, + ; and/or sell copies of the Software, and to permit persons to whom the + ; Software is furnished to do so, subject to the following conditions: + ; + ; The above copyright notice and this permission notice shall be included + ; in all copies or substantial portions of the Software. + ; + ; THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS + ; OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + ; MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + ; IN NO EVENT SHALL MAXIM INTEGRATED BE LIABLE FOR ANY CLAIM, DAMAGES + ; OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, + ; ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR + ; OTHER DEALINGS IN THE SOFTWARE. + ; + ; Except as contained in this notice, the name of Maxim Integrated + ; Products, Inc. shall not be used except as stated in the Maxim Integrated + ; Products, Inc. Branding Policy. + ; + ; The mere transfer of this software does not imply any licenses + ; of trade secrets, proprietary technology, copyrights, patents, + ; trademarks, maskwork rights, or any other form of intellectual + ; property whatsoever. Maxim Integrated Products, Inc. retains all + ; ownership rights. + ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; + +; To map FreeRTOS function names to their CMSIS equivalents add following lines to FreeRTOSConfig.h +; #define vPortSVCHandler SVC_Handler +; #define xPortPendSVHandler PendSV_Handler +; #define xPortSysTickHandler SysTick_Handler +; *------- <<< Use Configuration Wizard in Context Menu to Modify Stack Size and Heap Size. >>> ---- + +; Stack Configuration +; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Stack_Size EQU 0x00002000 + + AREA STACK, NOINIT, READWRITE, ALIGN=3 +Stack_Mem SPACE Stack_Size +__initial_sp ; Name used with Keil Configuration Wizard and Keil MicroLib + +; Heap Configuration +; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Heap_Size EQU 0x00001000 + + AREA HEAP, NOINIT, READWRITE, ALIGN=3 +__heap_base +Heap_Mem SPACE Heap_Size +__heap_limit + + PRESERVE8 + THUMB + + +; Vector Table Mapped to Address 0 at Reset + + AREA RESET, DATA, READONLY + EXPORT __Vectors + EXPORT __Vectors_End + EXPORT __Vectors_Size + EXPORT __isr_vector + IMPORT SysTick_Handler + +__isr_vector DCD __initial_sp ; Top of Stack + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD MemManage_Handler ; MPU Fault Handler + DCD BusFault_Handler ; Bus Fault Handler + DCD UsageFault_Handler ; Usage Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD DebugMon_Handler ; Debug Monitor Handler + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; Device-specific Interrupts + DCD PF_IRQHandler ; 0x10 0x0040 16: Power Fail + DCD WDT0_IRQHandler ; 0x11 0x0044 17: Watchdog 0 + DCD RSV00_IRQHandler ; 0x12 0x0048 18: RSV00 + DCD RTC_IRQHandler ; 0x13 0x004C 19: RTC + DCD RSV01_IRQHandler ; 0x14 0x0050 20: RSV1 + DCD TMR0_IRQHandler ; 0x15 0x0054 21: Timer 0 + DCD TMR1_IRQHandler ; 0x16 0x0058 22: Timer 1 + DCD TMR2_IRQHandler ; 0x17 0x005C 23: Timer 2 + DCD RSV02_IRQHandler ; 0x18 0x0060 24: RSV02 + DCD RSV03_IRQHandler ; 0x19 0x0064 25: RSV03 + DCD RSV04_IRQHandler ; 0x1A 0x0068 26: RSV04 + DCD RSV05_IRQHandler ; 0x1B 0x006C 27: RSV05 + DCD RSV06_IRQHandler ; 0x1C 0x0070 28: RSV06 + DCD I2C0_IRQHandler ; 0x1D 0x0074 29: I2C0 + DCD UART0_IRQHandler ; 0x1E 0x0078 30: UART 0 + DCD UART1_IRQHandler ; 0x1F 0x007C 31: UART 1 + DCD SPI0_IRQHandler ; 0x20 0x0080 32: SPIY17 + DCD SPI1_IRQHandler ; 0x21 0x0084 33: SPIMSS + DCD RSV07_IRQHandler ; 0x22 0x0088 34: RSV07 + DCD RSV08_IRQHandler ; 0x23 0x008C 35: RSV08 + DCD RSV09_IRQHandler ; 0x24 0x0090 36: RSV09 + DCD RSV10_IRQHandler ; 0x25 0x0094 37: RSV10 + DCD RSV11_IRQHandler ; 0x26 0x0098 38: RSV11 + DCD FLC_IRQHandler ; 0x27 0x009C 39: FLC + DCD GPIO0_IRQHandler ; 0x28 0x00A0 40: GPIO0 + DCD RSV12_IRQHandler ; 0x29 0x00A4 41: RSV12 + DCD RSV13_IRQHandler ; 0x2A 0x00A8 42: RSV13 + DCD RSV14_IRQHandler ; 0x2B 0x00AC 43: RSV14 + DCD DMA0_IRQHandler ; 0x2C 0x00B0 44: DMA0 + DCD DMA1_IRQHandler ; 0x2D 0x00B4 45: DMA1 + DCD DMA2_IRQHandler ; 0x2E 0x00B8 46: DMA2 + DCD DMA3_IRQHandler ; 0x2F 0x00BC 47: DMA3 + DCD RSV15_IRQHandler ; 0x30 0x00C0 48: RSV15 + DCD RSV16_IRQHandler ; 0x31 0x00C4 49: RSV16 + DCD RSV17_IRQHandler ; 0x32 0x00C8 50: RSV17 + DCD RSV18_IRQHandler ; 0x33 0x00CC 51: RSV18 + DCD I2C1_IRQHandler ; 0x34 0x00D0 52: I2C1 + DCD RSV19_IRQHandler ; 0x35 0x00D4 53: RSV19 + DCD RSV20_IRQHandler ; 0x36 0x00D8 54: RSV20 + DCD RSV21_IRQHandler ; 0x37 0x00DC 55: RSV21 + DCD RSV22_IRQHandler ; 0x38 0x00E0 56: RSV22 + DCD RSV23_IRQHandler ; 0x39 0x00E4 57: RSV23 + DCD RSV24_IRQHandler ; 0x3A 0x00E8 58: RSV24 + DCD RSV25_IRQHandler ; 0x3B 0x00EC 59: RSV25 + DCD RSV26_IRQHandler ; 0x3C 0x00F0 60: RSV26 + DCD RSV27_IRQHandler ; 0x3D 0x00F4 61: RSV27 + DCD RSV28_IRQHandler ; 0x3E 0x00F8 62: RSV28 + DCD RSV29_IRQHandler ; 0x3F 0x00FC 63: RSV29 + DCD RSV30_IRQHandler ; 0x40 0x0100 64: RSV30 + DCD RSV31_IRQHandler ; 0x41 0x0104 65: RSV31 + DCD RSV32_IRQHandler ; 0x42 0x0108 66: RSV32 + DCD RSV33_IRQHandler ; 0x43 0x010C 67: RSV33 + DCD RSV34_IRQHandler ; 0x44 0x0110 68: RSV34 + DCD RSV35_IRQHandler ; 0x45 0x0114 69: RSV35 + DCD GPIOWAKE_IRQHandler ; 0x46 0x0118 70: GPIO Wakeup +__isr_vector_end + +__isr_vector_size EQU __isr_vector_end - __isr_vector +__Vectors EQU __isr_vector +__Vectors_End EQU __isr_vector_end +__Vectors_Size EQU __isr_vector_size + + AREA |.text|, CODE, READONLY + +Reset_Handler PROC + EXPORT Reset_Handler [WEAK] + IMPORT PreInit + ;IMPORT SystemInit + IMPORT __main + LDR R0, =PreInit ; Call to PreInit (prior to RAM initialization) + BLX R0 + LDR R0, =__main ; SystemInit() is called from post scatter memory initialization in function $Sub$$__main_after_scatterload - system_max32660.c + BX R0 +__SPIN + WFI + BL __SPIN + ENDP + +; Dummy Exception Handlers (infinite loops which can be modified) + +NMI_Handler\ + PROC + EXPORT NMI_Handler [WEAK] + B . + ENDP + +HardFault_Handler\ + PROC + EXPORT HardFault_Handler [WEAK] + B . + ENDP + +MemManage_Handler\ + PROC + EXPORT MemManage_Handler [WEAK] + B . + ENDP + +BusFault_Handler\ + PROC + EXPORT BusFault_Handler [WEAK] + B . + ENDP + +UsageFault_Handler\ + PROC + EXPORT UsageFault_Handler [WEAK] + B . + ENDP + +SVC_Handler\ + PROC + EXPORT SVC_Handler [WEAK] + B . + ENDP + +DebugMon_Handler\ + PROC + EXPORT DebugMon_Handler [WEAK] + B . + ENDP + +PendSV_Handler\ + PROC + EXPORT PendSV_Handler [WEAK] + B . + ENDP +Default_Handler\ + PROC + ; MAX32660 Device-specific Interrupts + EXPORT PF_IRQHandler [WEAK] ; 0x10 0x0040 16: Power Fail + EXPORT WDT0_IRQHandler [WEAK] ; 0x11 0x0044 17: Watchdog 0 + EXPORT RSV00_IRQHandler [WEAK] ; 0x12 0x0048 18: RSV00 + EXPORT RTC_IRQHandler [WEAK] ; 0x13 0x004C 19: RTC + EXPORT RSV01_IRQHandler [WEAK] ; 0x14 0x0050 20: RSV01 + EXPORT TMR0_IRQHandler [WEAK] ; 0x15 0x0054 21: Timer 0 + EXPORT TMR1_IRQHandler [WEAK] ; 0x16 0x0058 22: Timer 1 + EXPORT TMR2_IRQHandler [WEAK] ; 0x17 0x005C 23: Timer 2 + EXPORT RSV02_IRQHandler [WEAK] ; 0x18 0x0060 24: RSV02 + EXPORT RSV03_IRQHandler [WEAK] ; 0x19 0x0064 25: RSV03 + EXPORT RSV04_IRQHandler [WEAK] ; 0x1A 0x0068 26: RSV04 + EXPORT RSV05_IRQHandler [WEAK] ; 0x1B 0x006C 27: RSV05 + EXPORT RSV06_IRQHandler [WEAK] ; 0x1C 0x0070 28: RSV06 + EXPORT I2C0_IRQHandler [WEAK] ; 0x1D 0x0074 29: I2C0 + EXPORT UART0_IRQHandler [WEAK] ; 0x1E 0x0078 30: UART 0 + EXPORT UART1_IRQHandler [WEAK] ; 0x1F 0x007C 31: UART 1 + EXPORT SPI0_IRQHandler [WEAK] ; 0x20 0x0080 32: SPIY17 + EXPORT SPI1_IRQHandler [WEAK] ; 0x21 0x0084 33: SPIMSS + EXPORT RSV07_IRQHandler [WEAK] ; 0x22 0x0088 34: RSV07 + EXPORT RSV08_IRQHandler [WEAK] ; 0x23 0x008C 35: RSV08 + EXPORT RSV09_IRQHandler [WEAK] ; 0x24 0x0090 36: RSV09 + EXPORT RSV10_IRQHandler [WEAK] ; 0x25 0x0094 37: RSV10 + EXPORT RSV11_IRQHandler [WEAK] ; 0x26 0x0098 38: RSV11 + EXPORT FLC_IRQHandler [WEAK] ; 0x27 0x009C 39: FLC + EXPORT GPIO0_IRQHandler [WEAK] ; 0x28 0x00A0 40: GPIO0 + EXPORT RSV12_IRQHandler [WEAK] ; 0x29 0x00A4 41: RSV12 + EXPORT RSV13_IRQHandler [WEAK] ; 0x2A 0x00A8 42: RSV13 + EXPORT RSV14_IRQHandler [WEAK] ; 0x2B 0x00AC 43: RSV14 + EXPORT DMA0_IRQHandler [WEAK] ; 0x2C 0x00B0 44: DMA0 + EXPORT DMA1_IRQHandler [WEAK] ; 0x2D 0x00B4 45: DMA1 + EXPORT DMA2_IRQHandler [WEAK] ; 0x2E 0x00B8 46: DMA2 + EXPORT DMA3_IRQHandler [WEAK] ; 0x2F 0x00BC 47: DMA3 + EXPORT RSV15_IRQHandler [WEAK] ; 0x30 0x00C0 48: RSV15 + EXPORT RSV16_IRQHandler [WEAK] ; 0x31 0x00C4 49: RSV16 + EXPORT RSV17_IRQHandler [WEAK] ; 0x32 0x00C8 50: RSV17 + EXPORT RSV18_IRQHandler [WEAK] ; 0x33 0x00CC 51: RSV18 + EXPORT I2C1_IRQHandler [WEAK] ; 0x34 0x00D0 52: I2C1 + EXPORT RSV19_IRQHandler [WEAK] ; 0x35 0x00D4 53: RSV19 + EXPORT RSV20_IRQHandler [WEAK] ; 0x36 0x00D8 54: RSV20 + EXPORT RSV21_IRQHandler [WEAK] ; 0x37 0x00DC 55: RSV21 + EXPORT RSV22_IRQHandler [WEAK] ; 0x38 0x00E0 56: RSV22 + EXPORT RSV23_IRQHandler [WEAK] ; 0x39 0x00E4 57: RSV23 + EXPORT RSV24_IRQHandler [WEAK] ; 0x3A 0x00E8 58: RSV24 + EXPORT RSV25_IRQHandler [WEAK] ; 0x3B 0x00EC 59: RSV25 + EXPORT RSV26_IRQHandler [WEAK] ; 0x3C 0x00F0 60: RSV26 + EXPORT RSV27_IRQHandler [WEAK] ; 0x3D 0x00F4 61: RSV27 + EXPORT RSV28_IRQHandler [WEAK] ; 0x3E 0x00F8 62: RSV28 + EXPORT RSV29_IRQHandler [WEAK] ; 0x3F 0x00FC 63: RSV29 + EXPORT RSV30_IRQHandler [WEAK] ; 0x40 0x0100 64: RSV30 + EXPORT RSV31_IRQHandler [WEAK] ; 0x41 0x0104 65: RSV31 + EXPORT RSV32_IRQHandler [WEAK] ; 0x42 0x0108 66: RSV32 + EXPORT RSV33_IRQHandler [WEAK] ; 0x43 0x010C 67: RSV33 + EXPORT RSV34_IRQHandler [WEAK] ; 0x44 0x0110 68: RSV34 + EXPORT RSV35_IRQHandler [WEAK] ; 0x45 0x0114 69: RSV35 + EXPORT GPIOWAKE_IRQHandler [WEAK] ; 0x46 0x0118 70: GPIO Wakeup + +;******************************************************************************* +; Default handler implementations +;******************************************************************************* +PF_IRQHandler ; 0x10 0x0040 16: Power Fail +WDT0_IRQHandler ; 0x11 0x0044 17: Watchdog 0 +RSV00_IRQHandler ; 0x12 0x0048 18: RSV00 +RTC_IRQHandler ; 0x13 0x004C 19: RTC +RSV01_IRQHandler ; 0x14 0x0050 20: RSV01 +TMR0_IRQHandler ; 0x15 0x0054 21: Timer 0 +TMR1_IRQHandler ; 0x16 0x0058 22: Timer 1 +TMR2_IRQHandler ; 0x17 0x005C 23: Timer 2 +RSV02_IRQHandler ; 0x18 0x0060 24: RSV02 +RSV03_IRQHandler ; 0x19 0x0064 25: RSV03 +RSV04_IRQHandler ; 0x1A 0x0068 26: RSV04 +RSV05_IRQHandler ; 0x1B 0x006C 27: RSV05 +RSV06_IRQHandler ; 0x1C 0x0070 28: RSV06 +I2C0_IRQHandler ; 0x1D 0x0074 29: I2C0 +UART0_IRQHandler ; 0x1E 0x0078 30: UART 0 +UART1_IRQHandler ; 0x1F 0x007C 31: UART 1 +SPI0_IRQHandler ; 0x20 0x0080 32: SPI0 +SPI1_IRQHandler ; 0x21 0x0084 33: SPI1 +RSV07_IRQHandler ; 0x22 0x0088 34: RSV07 +RSV08_IRQHandler ; 0x23 0x008C 35: RSV08 +RSV09_IRQHandler ; 0x24 0x0090 36: RSV09 +RSV10_IRQHandler ; 0x25 0x0094 37: RSV10 +RSV11_IRQHandler ; 0x26 0x0098 38: RSV11 +FLC_IRQHandler ; 0x27 0x009C 39: FLC +GPIO0_IRQHandler ; 0x28 0x00A0 40: GPIO0 +RSV12_IRQHandler ; 0x29 0x00A4 41: RSV12 +RSV13_IRQHandler ; 0x2A 0x00A8 42: RSV13 +RSV14_IRQHandler ; 0x2B 0x00AC 43: RSV14 +DMA0_IRQHandler ; 0x2C 0x00B0 44: DMA0 +DMA1_IRQHandler ; 0x2D 0x00B4 45: DMA1 +DMA2_IRQHandler ; 0x2E 0x00B8 46: DMA2 +DMA3_IRQHandler ; 0x2F 0x00BC 47: DMA3 +RSV15_IRQHandler ; 0x30 0x00C0 48: RSV15 +RSV16_IRQHandler ; 0x31 0x00C4 49: RSV16 +RSV17_IRQHandler ; 0x32 0x00C8 50: RSV17 +RSV18_IRQHandler ; 0x33 0x00CC 51: RSV18 +I2C1_IRQHandler ; 0x34 0x00D0 52: I2C1 +RSV19_IRQHandler ; 0x35 0x00D4 53: RSV19 +RSV20_IRQHandler ; 0x36 0x00D8 54: RSV20 +RSV21_IRQHandler ; 0x37 0x00DC 55: RSV21 +RSV22_IRQHandler ; 0x38 0x00E0 56: RSV22 +RSV23_IRQHandler ; 0x39 0x00E4 57: RSV23 +RSV24_IRQHandler ; 0x3A 0x00E8 58: RSV24 +RSV25_IRQHandler ; 0x3B 0x00EC 59: RSV25 +RSV26_IRQHandler ; 0x3C 0x00F0 60: RSV26 +RSV27_IRQHandler ; 0x3D 0x00F4 61: RSV27 +RSV28_IRQHandler ; 0x3E 0x00F8 62: RSV28 +RSV29_IRQHandler ; 0x3F 0x00FC 63: RSV29 +RSV30_IRQHandler ; 0x40 0x0100 64: RSV30 +RSV31_IRQHandler ; 0x41 0x0104 65: RSV31 +RSV32_IRQHandler ; 0x42 0x0108 66: RSV32 +RSV33_IRQHandler ; 0x43 0x010C 67: RSV33 +RSV34_IRQHandler ; 0x44 0x0110 68: RSV34 +RSV35_IRQHandler ; 0x45 0x0114 69: RSV35 +GPIOWAKE_IRQHandler ; 0x46 0x0118 70: GPIO Wakeup + + B . + ENDP + + ALIGN + +;******************************************************************************* +; User Stack and Heap initialization +;******************************************************************************* + IF :DEF:__MICROLIB + + EXPORT __initial_sp + EXPORT __heap_base + EXPORT __heap_limit + + ELSE + + IMPORT __use_two_region_memory + EXPORT __user_initial_stackheap + +__user_initial_stackheap\ + PROC + + LDR R0, = Heap_Mem + LDR R1, = (Stack_Mem + Stack_Size) + LDR R2, = (Heap_Mem + Heap_Size) + LDR R3, = Stack_Mem + BX LR + ENDP + + ALIGN + + ENDIF + + END + +;;;;;;;;;;;;;;;;;;;;;;;;; +;; End of file. +;;;;;;;;;;;;;;;;;;;;;;;;;

GV)LZ5mMU8(l#x(#1j00cE^T|Ppw4O$M2~AarF{~p8UKTve-S% zl_YhVik_})rTk&+m|}b4uw{$ZuB@3AXCOr(`y$W@kAnuA4hRGKyMC0#9C_m-Lgq*NTspYT1%$@4acXJ^W0X+t& zzi-FFCbQwcZJ?G&C|;>0{(3iN_jr0$Y4%yXY+Y8zJgf70HTkyv(XZLHqhEP@II{2V z=~i+`r=ajCSm~sgQsyy|b2xiP8=qg^^ipz9-?rtCB+MB?!!XzV=dG(n0o}VX-0}v z4O+z1UbzQv+RB?*DK9D`{a*_e+e7&H(;WPKQufL0vw(VX|dD(IutbYO+#O61zmI z4psDl@le7Ib`3{l^k$uxy>zK}<}&?vf|hJw)p-8}a-BRm-NE~EOd_=W#xQFLBkKWT z-pDXrmbC>*K-vDw1>|4&^dW*JV#_*#CcmxXzt8#CLY#fy)_#!3u@qrn@$Mf+uk*=m zS_jY5#z};=nLZay1lsqv6doA{;m^No+e7DP2TTz-`t|d1!mK4)(+Pee^0%O zm^4M{I}`mlcK0`){A`ZA(jYR6I&g&EdL|0Poa${W^wszO1mQ#8$77804%DQwKz4Ia z7TL$nP8Soz%`Ri`=vt4xP8#jx=4F3Z0 z0Pray*%sRHF=F_*s8a?IU~tEAjX~qkACG>?Fb2%3XZi-d(EZjuZ_BErsFRI>l@OM@P1cO=2^(KQL+n_>REOXHuLiw`gVh;K)#|a z5;Y&y`6oM3#257b06q;X#<$k})s);ft`$FguA5jfbOHel)tfW8HG!|!Kw9%^#9K`NaNg(=3IM^d46kjS4 ziuPzi`do*~q|h0kb0MY+iY^4Pg~=~k0Qay6%he?|<0s!kDW;18yGVYN;K>U zB>?x(Dl^ZgV*sJ~A(;5eH(()MEeX(IQ!~H^Cjm2-U8es{jB7-WXbdEe9Vqig z1IJ`4&EKBDp)hXXw7>#y*klGfxQDYlfDBhQU*^yK^u4aNWddDh%J-#S1XhzB%? zsO6V%|5VuN>n=t2ZT=;>IgF$s9{uV$_*6qy`$w7g@2=F}g;d(_{CxHeEq6f>4%?PT z@VmKfA8fN$hVp%kJ)to1(e<6TA8|sAe;afVJ%cc>KBe3Fkb!TXtkdfD5-dtjz`U@wR2y9q$O+gV=8W`Bwz&vZ&Uu~|VF zg)1*FhV~ga`aZ=KQQ~h4`13?+$fH~LHM3%`bK(WQJ)6gz5LW^={~$cAYeSr1d>UXO zOO{7@&yZ8*^jOv4d;}-p@COX99<)!56Szafp`0=7Uw82Vu2N{T>z&`4N)Dyta__fb z_?z~(AV05D{RDTq?>>+zAiqWE2r2Wj56YDjCy9{)gyELRt?#9KILg+Z=vO>^aWu1G zXF%?H)re0o?)5SJmD zy>CS=k$8}CSnrE7#)JD<%kiT9Q-vhi$BD@8Kk;Y7Z>OQ7QH;A{H=r2~D=~XSF`gE4 z7b!cDTdO+z6t}R9zz5NKxsxeq+ViLGxtUw@5zW^ z`%az|;{^5{t{Vtzq{VbO zd0K8@1;*B&5)m~Pyr7Qj7zwc)wn?(StQ0jZ^^9c@T4B!;Co2^tA(xCYniYYoCnG^w zI8{=P+`4jueyZ-zj1o*mFdprNik(3xc}bkV!NOspL&sP;R&9eGo;R+3zq=j2i6nez z{zV6cDKNDwCf7lCghbf;v%xHi)m7>3B-)NXDo%!qMwFvcE1WU`wzjW)h9_q{ScaVa zg;78(sO+amE1$`eOJoVO!SWr28GowqG-XjZ2%nGVJi2+98;5N}+{wP>m0cA4E)u6q zw2KNyJHtL$n2!9Ut|AI&Jy3`Yr(J)Ye=MO$BG*Ae`_0nmEh9W|DO``)#-W(l!`5aC zh3hJu30cn!B^EthD;HvkJN7xRTpL3IIt$TG!u9do@lEsD$Z3PYC67|se$bqWUHAgtdd4N z?VxY&iK+;Qf=|Lm8Ecx=WGCYmff{U@*PN6N3%6eu`>n)U`ihBoO5kLmiendn^+*bq zY}?&g%Wogyx9hhFa7(kq!m#U~ktF*Ew$}sA)k9 zyttAzOC%_2F&qfiTv8yyqE-6QJ>wc)1YMYwG~3W&mrr12$Ft3YTIrOwD{1Yze7H8a z#)eu+48OvH^>~-1Yvm}?xEOgKa}{sw$p){416*yBI!caM%vuLADW3`fI#S|`OqBr* z4rI|w z$4$z*UN!sb=dpDN?prgAtY$GDwgmePPx#4Y7K2NQXcT`wo%#DnPhbU?jc)`Rw@=%l zA`AFKoXObhzVQ7ZcnN<}r#=M`IC2~PMQOjVlycky#Ywo=K^DpSJkL3m&MWbP#!y1O8g^CQzw z``uDmY9l^=!=4?LT&|{e1@1Sw{TKlu0o%Pt&DjV|xA@&?U+*| zh5dJw$NrT;_g0X~vt52VmPIz&D-Pbxy#82^EQN))c2D8D(miGJ?cDon1tw*V4#Kv8 zgu}5Ge_e|uqQp5FT@L}?N{wqoTs(E$@rTyo(ZNg6c)}*vsoyur9@AyasZ`rv5@Ae& z#%r92goATWip=UM-`6v*=Q!pkBE0yt1bLQ9G%rV{rH~N1+TR-()1C$Gi(&u=tJu4Q zf8V$fPIU>jmB^3JVY%6jI_cEBC7=A%_kBaLoTAo3OL_itcz=3U7 zl%n-ozQ!odS43JpFv@*wYABUg&F)&RMsPr76>2`0^LA1F8abCW>`(jX;pLY zD?t>}7v*k9ft=2K%L;(1CbQ9iS_Rk#62@<;eU8cl;QB5?`1V*h%$H~^WUM{jH&41W zDk0k$VwZ}Hv|DTaKHL$h6n`%6N}utb%T^~uWLBzMKEEw?4}MP7U3vY#FjhC_YTXzZ zeibMO`lrBEf$0cLR}$| z*KC#T`S-iR3}%i|^THAP#7v3Ky(_BJ(cJ^vC-svGJD+VBmbX_D9)@^Y?aXW?IVlWn zZx1c-xNRUV?j@2P5LJ6?km?c-qE_W{DcG9zAQB#zL;H2p+8k3E9 zK?D9=pSBYIts`J|!xE+kpli+pLbKz|weLUeoVq%%gr?Ruv;ic#A!a`88M9xc%Z_PjZ3%jd`UNG~MajCx;%A2waFf?cMFlKz$9juFWzC zKSRU;(@(|4gwMsr0t}g$1LB1>6&aUAv&!|R+V2y_jO3Y=#!5`-99wkn4^SA#=PA63 zGAis=w3CkyP`!*tBfeDs1EV)h935`T&VwmGTF;CDk1i(JNvg6_-UWacmB(OE$)Az@SYF`ylD^KY>n-H8EzPvGS z%?{vWjAV#UnQM1a1lY5!-~={WGS&wE+-$6j>I1^Uu%^?jY2!V2tUu_9oE@)R-X#^`ukvB%uIP88tnQ5zV1GTVsbP%IMzb0 z>*{Mx=ML{XhJ=rbF!z}DIe3V4-GiLj@|R)Vyu84B8`8n)T2JJ3^`9FY>m)qywQ0k> zFD@iSFg27mEChnyI|+1QUX*dv?G4XW*eejy=g>d~Hrog^BlSoz{UskR_qaP=L0&}a)tei`E{xm) zY3=sJFANxP5a8G0??AHXK=JX11oZ08R>*u$GgsYy3X7-ZbXTyqXXLcpkhrbwPFlE^ zIwl~RIJ~L;Sz$`$e0{j+7!z^h{_N&5P6*!a;@W(@e(xA#upcM%OLHc&!p`MPkit>8 z?keGS^r>cHvxz=~q)J~m5}~u@(4^n1hH2%oY}*os)IhgBLkTrkL4O%-vUppE2pAcSx4ZY3Aos9e>`M&=U}{N+!kNiW zBz;bcz5)#u{!)Cn`{jApVzpO_*ckVP7*Fp+*7vM)WzgQ<#)i8$0+RF>V@Q2i97zgY zFyU7sNCT#ryHckW#Ig>t+GblIgFO=S_0Y|3#{)F+#)yP3957Mj?*6(zyh8Zy?dxrJ z(a<>pGS7bG-aPL+Et^{?%iZ{gi`oTjS~8ke5G;OcqK`A&wSePThaCRUGwf8!i+ULCD#g9QT{!<=-iE`bH3vL7klp* zWLdPe>82`e+qP{Rm9}l$wkmDgW~DQC+O}<1W>)7px9|7ejySi^|L*f|MeG?d_a3q4 z8hb^&&ofR`F!dD#TK(wr{4k4{N-29(sEHjScjE%OGbrpZA9&BmrIH%`83;*nRm>A` zc=8uCj9x&)7KbtSkT;S!28-A3XBrX?{Un}wMsW6z!hA}bUk3nCKa9VSp$o#%B_vgt ze=0&{S(2&V3=lm*fVQilDn8y zb#rH+G>|->k2*p;9Hflu$iy*#J*w(9ulgCn+9O3vyjAB}(Ff6IXc=TGj2_IMv^wk) z4JUG@xaFCDU}pJ&I%VaV|5eBVY2wy|U|G{9q{eql%bB2_I|{$xc*vamPXa{$4?d2q za2V6y4{Q7`tw@@%n_9Spd z<9i~c0xEGMQu|`@V~kl!52d?|yaFlFynqN#9tTp9%6Ac(w%666q-oiPxT#_EB{z87 zz6{J^-NMM0A|U0E?zmPV4oBIIYVU<|tIQ{C9hRxeUq2!VlTBmHe~uxuzQgO^uHqH= zR8(u_+1ILf)P(-zJf{jsqHSQD1Q=O?kZBSJrdHP5GIb+{sk(4xPz#(iX4@)k3@WB8 zpDR6sl(g^RmRzAqNS%!pIZJnC{_`Sq-e?2nhAxyjgjr3phQ+jX^SCFIi zo%rBep?I6U67F`&zl2Mr2BryoO7jng4&YGS-{7adUTu=M?lri%Qft#EcS)-m9{Rd< zcoDq;c}_rk!_!60`ESZ&vl}9%1H;M{Fgjs%%dUHl7}WXvmudh+x2>ln%i*|76A8SQ zPbR&n;q&>jUV?)00PTVgOf8YF z=GuVU=4#X-R>ICZu2{)AF75=+_G>?Spg2ch>18BSYLIddhO{P1A#OLhDNR|?VWSX4Kz4v z?`O0UQM%r+X5;l9utD`KqXyPt!^UHXt>hZeR6-}~>BQ3`>9WD59NOgdx>c!JMsz7Z zdaBjbjp?p5$CeS;*5*MgFgSuU12^(xagKMNWg#x!ma+N+?1Svpe|RA~ij9)*+qKI5 z!ikYM|4vebk!Trh@zQ+(EpJsq?Aeh^M0>PhQ=FExU0~mzUWlJw)EiCb-+*~sjkOJx z9|#}avE93YZ{`SYPaE}TOXxM$hjiNtB$@$cK<<-5$qsGb4Kv9EV8&$7QxiDeEQuJn zw1o8<8@?AQ`1q}Iq-(XDN*8iqXjU8(hf_p%YQ$<=)wNov^Kf>VZZ1{Ud8X;0>-Kk#^cx?&aWA5#Py6jfSSwQvn&I)P27=)MyOtr3~2h)tFV2f>Lq(i^lWg0 z)}?zh^ypr<%(7NFSZbD%*Ww<#zIdB+K^SvJp+S~Q$ql&UBl?CtBOD{FSwPDAbb^E0 z*2y9HMOb-`b~PANg*3XbLmW3)aAPhum^f9$t&bUBdH-Bctzr@d*#`x!40$34i4Bv3 z*|W*P;@Y4t3@olr@sYe(AWUhN5L!BPNonUK%?-1x~?I-+e$H_eg6xy z{gG6mAeIbLKG)@1nw+RPMakhz2493m2GeH%gMjoYR+(^9&$NHN3fDx-w(&Od2po1} zF4PN0Q^VQVx}>5`BYhhcHLj*+3H1+lsH!3>g`s+2J>D5QQ*AE9!1##p?Cx%CYGCm2 zC9x1J3M_d|NRW9mwl%bP4Kf%-#VRv6DWfMoC`AP}WcM5^kwatkQ*uTeSob?=OePRx zPRQ-eSu$hiu|98P$ZscPBP?U-2rv9kX~jWQKaMQ&SKYd_jC%WO1TRen?E?GF=6d_s zUPnH*BbGUi;(9Ez*%#SA7jx={bAQcd-Bq(G=G0Ye3U166LVEjK-6`hW2j9MZ8M(*` z@x9thgpX507Wp@78xg&CN1YzL&$&o{s9hOP^6T&7~B(o zGwOm#0jjvLed=eXD}^+$s|NZ9w%$C>ff*58e}R&Ki~|EEqsCNK!KU@aS8v~F4<`mN zkV#+fT@{-ZDZ0{38Av5BO)nD63*^-pqV*&bX0tBJ3l-nrBSWDd8V^HZBfEXbbObo* zAZxsS2c{7W$=?NY_VAY56*sau~Sib?>3J z5w8ffPg1(gT$sI>iF9Y$NN)RngWAnK4viywbmMkYI~|U5UBsbCdFAA$GO=G{obHL< zL+TZ4U2lB}1@$#oJM>z|P$S)I>mfF)x{aoppR>VVeqkYt?E0uCfy2 z1&!I63b}cfSqp`0&jW>d)5+@4O-L~H(X9c7fb(7f1iS0tcGuo? zdn?oT*x>FDXl~G^$RtdZdnhypFIQ0_9A&6A9d4h4TVeLJH#aRrW^zbjyG7s+qnzFZ zCLu&-eTchg*LUF&FrhIqJn=CNNknF|?-eSAPoP1q5tH#h>t2;I4e?D=HZhOWeMm{{ zStYL5Hmykr{y-*7DS@te>5vlG_nMw%%K{|sR5=(-tQ{bB1G+QuLb8LzG*&4R;(bL& zN0zwbu7q)Sy2Sb=?rgbd4mRoJN2~Vw4t~|JKaDsX-n}|yE8zV(!YsH`UErjL7=LZW zrQ(#g+8VJ`6K7%7lZU`TXtUYLWrfEHz1-Jbq7U=F?#Hb45E^0ctR*>EgFawwy#sp1 z#g3n9wmn#sULLtP3oy=u$KH4CZj?oipD;gw@aWz|dUTvaf*(u1BM}Z88~ygOOhkyc zZlET7GJkgq5YH91gdF&IRnRedJ9v-;A|a6P;#|SFf)R=Yftj?zuVI`+3Wk9}jdj37 zm{5`eV=prGsW62V z!0Wr~UE$nD>qf!D`_um+#!+W%VG#XYhQLuS&BfunMp*+jiwC|>T-vDF`m>W2*~QV5%Wb3oFJOu8H1$-e zd#5*>kASo^o*+GX?g39vX1}2c#J5#5J%6mZ3G!#(u*olsSNKR1eigZ65sET?fKPh8 zA(TlNSmdM+-Xh~7(ikiha>g2Wv0*t$0v0kU^9eU@MnQt8*;vBZq2V&p8fbrpSgOZ? zauL)Iu+&Pz&C}PQb3YgDVizcaPmVnPYS=pG@Z@=od>RYRsMnac-{t1+MUnB1Dr7Qp zn0tHW)-V>H@I4M#_~Wl4%s;Hka_vyBb{(O_7Awr)w~P zouqto$%Mzr6(LL!JfWEc!n2GT5ymj?pj;xMdFR@}Gc0H+uP|7t86Pq&0ZvUVuVaY{ z^$*G^#`s1rJ6IO4Wp!R2r5RS4>oXO!+`BZW8BWjR&F0RLhgM&noLgLk&aRxNmT(%z z&AlIkF59x}khv~;Q}9mH{Yk9Vo?}=%sfU|qt9lby++j|=Y%z@o#f;t_KM#+28K$Sc z&*U+&_r^9xoK>zy;z5C#PGa%#bkwTWR6TL|v6I+Ow``0GHy6kEPcx6W}5)(~lBpUp?TrnGeM-4n}3bV~z zn}6?Mv^D|OQrN#=r}IKL=6m#;CO+%wdCyG+Fel|pU+B6PY9Sq}xBsT;qqK!WozdX` z75T--&|Z|B>yXi?E~oihgnOf~8alq6P!lqNbRtctc!U|mgh()mRy!;Pdjc{p6bR7J z0eiBlAz?%-Dj9PGv$wuyr+``_ym~)E=~l@Ug+eR7WQ%E6#Wu;beCEKyY`mq$g6_xF zr%^^dV)fhactpc_DelR>Nz<*GpY1xb+8CqB7beQ!?A87KOv7R=z&NJ@H=1rDj*eS= z&rvNpfsTAc*o}!-xOMUvw=2EI)xyBKLVq$&JXiDT)6zua3Yes;h?%+8jonG_3KgHC zHR907D109FpJ$xKw@HEYAeEG4tpgk2u!BcB_=83=PM`Xnb?*g_LIEAq@g5($U}iOb z#_@hul#7C9)xJFO49Qcb{VmL9gXwhuxdjeC(bb#QuWz^Ns(#MQRDd&hrsM_AZ)n){ zPS(?of#G-P7lonlH8jI(LVq3H^=WaN1Zz7FO>j8LDyo$#N65v*_rKBsv@(YnMAU6+ zu%R&Fj6GGNPD*h|czaY~6OjVgJMRJ)r5Hp~J=CA3C67$#)M%)`)1=jh5&QRRel|xq zR5mkC$N%j5?ij}SrcB$I$BceM&L~W^HWCNwHI9Fk1tyB`23z5$oU7RE+Fpl)v3Hy< z8q?$Xd=w452%|Fh+n4qfq7SO}`VY7?lSV3X@xx2W;@vY#t>Z-&W)EI+l{~W1!{Qa9 z1#`_uf_&KUMD;>`v*5Jm8@23Y#F6J|I6km)ReLadnh(FMAJ*?%+;2pc-Ey-0d>C5v zvG6I48ac}`d~*t%P@y4tzV_(E^-9y(KFg`mh3AN)S9sAKz^x@jZY~|k5F9Pyo4h(7 z_h}t~oD$h;C-8}z7%c@D%-xu7=shNezB#=E>xV6e>~L82Hj3M3-Lx<13P?U2g}oLr z)fZbpnm+(u`KRTO0GG^lzoWjqNhZ%C{6{>l)kjD4%Y}0DYL7cMgvAFgbx-v4y$hVt zPY?&l#gbcfm8G=)woz|%AC@d8^;$Wzra%oe*4)=`wkzx z#ceJzM{GudpHny$1cHwB$j0he7$9^oHn|=iSDe`jU>(w92p-Bm+W8Y>=(}NctSFIS z*mZ~NwD12oeDC1e5V^Jq6*|0jMEQ$*7$?^hySXTV-Z60&q2tdVXy$QPTb&rNdEfC0 zd`Qm$mZ;4dB64l=0qI1Pv}UU3kA~E749oBd>l9m*CMjC`yH>bXy?>3lwk-xd5eJm? zpiwoUPEm}}4mKfTLY1gT7%i}!Nf6ntasU`(Tyh{53E5$dyV=GeJ3$EzuWa#VgpsY1 zNtR`D@SH!+eHr^Cp~@wlj97iHVw5klR-FF30d=fslC0=oqiu`TG7pcg@jA7X#s-L7 zTX_iD$)o2u@+HE^B_~$3)rixx)`aV%FEcwmOm;g)m-eJz`@3(Wn|K?y$HVc6I9}Yq zLZl$nwQnyfAJy+Sjz5)Jrwm5DsKB#mt9$0I_v)r9y*UA^yougSDj&7;L{h(m`_?#p zccbyWC=8YBlV!0tn?Rvo7Y?^Raez^?HFhr7M6x$0&Lkb{W(Jzi7+!uun? z{IO_12jaXK0wgX`W}eB5I-)3@;WkI{!|^>F(msrdwAn=w}4AtGT0Z`z(B{)HrYI3(1O50MrRr~KHG*YBcif)e?=BG!K1 zO1nW1?N~$bL;Q(5&?R@#tDWx-1ZYkfy-RyF_~G0#Ev@djDZ=(qI_lUBHn@N`h`WP& z1p+&oHV8WE+z1)-(C>JO)l1fstD7=%mb-yHO2g>wqgH&!+VDH@V3gs;>Zv1<{%5Pu%_5I`Qlf(Y1y7}FKKQJBIi>y^FUxde67AYEC$cY(u+ZW%} zJW=7GOY{^xb?peuJm}WwclQgE_5M)K*>OHOizr1u-`lH^}VePgju;W|>d}9l9n4L}B(QEko zSPts8gmHmoA8*7G3gWSW?505hb9&lo*SCO7lUzy{1EHnGA5c0AH{ z-L-PSFR`VRIC+hRri&M`5=z|_ch)cJfkve_r9YsLW}vo!LOXRhG2in8fPhhb(CR_d z&VoTez!d9MXQ4Gp5TGFu1rw_n^ZS@VC<%pue&~m%!fj`wQ@$!$p$JGXOcc>lVh`d{ zUSipy2|4bEZZ34JH~#QGWC& zl=$cr`JA+a9WPNViXRP$zF^SnV|e+L*p%g0F!}i*9D#*7PiXnCb-#fruMzltB=Yn7 zcYvaHSrrv`Fa-OvJdr53u|Arj-4H?Fk z5Vc8ns8%`ITqLE`#bpV+A2Ww~@6skM=*mnMcTy-DsSMOxzPLMbWh+)qdiE(LPP^^%kU0Y;P&hwqkykY7#E1_Y?q=(6irdZ^0j z9d&JB3tW$+N=orZ`GTbf25n~8unXsd=xnEFRfN5T0^fLE z0+f*6zhvTA{+o&W7Xy&REuEZQ2ss%3C!WR3{_pTSm4D)SO^m?vLJdIQ`{E0O#{J8E zS^s^Rw(SpUP<{fDpn4`25m zzV1JK-GBJH|L}GH;p_en-6K)H2Yq zo$T(}<+#vbsEkmZgEym7eXf77bWvm;8%UogRab4!&sNof_P!WG}R)v`@)kBn)HKy+8 zs?M+(u@VW~VBXWb;Byd*tnz8hMgCr6+9cQ}O5tCn3Y#d}bCIc~&Vc2yI0Ofkg5~$8 zjBx+zi+>MHj_|3HGWqDtYZAL>JQFBZmvi21NBX33o|rTo0TN2s-AptXvP4 z*OTc|OQEYG<@zn~8H$huXGE!6oN*@Zeo%0Ci~W_5e0VLJKO*z?slrKSO&e6rq+s)| z0nYYW8FNVH8nIFc&k-_#8+M6oi;aBuQ4G%iTNMrvZ5^Q(jm>Mppv)I-u~<14@j~=q zU1u%@JBhUm_p$)Zq}|=Ra11EXKoo-t+YQxGf%L#+d!=z5?opp?kylWFs0Ql!$$G@d zRqVO~fH@3 zJ38A(`8~07E%UgY0Tb_w;6pzcKF@M+;xM)zQl|j2>i)xT`oJ`EPhC_TB5HGee)%8* z*779b3oq;L!F)D35S#-W)ZF$hmDp)ycCXeA=mN%o!ujkEq|MCCDSD$+`zlmR^RG>B z9cBo232}(H&2^ReBy%xY>`{q~#7_^S96L<)^q%{b6|6pcDsuDh`43P$i1;vT*8^nk zwPf&XqMTGQ(<$ydYrt)cgPfz}5OLpZUFn{6x-qV@S;YSY}31kE3aK8}e?C%JJ zMX|bwr({#bNRBn58VM_bYzOMCkmll%Nk_%dma}4+lT@ojFs1qgg?~;++QEYVp{oj@ zs77F!j3zErib@AC_cq%c$j?Pb`28Z}rWdP+ANUz-sZo4}7^~Z!4vh(jXBHbZC2zBc zMUFL5neB^CmTul+CBPnM*6G+g>_|AU`U=ffsgwl8$OC-CCaREK?em(VJ&7=VJWTcKHRf#eZgYmy zc*WUYO`l?Bz0D82&CPGNcFzpM)qgb3Ij=aJIfG7?I z$Q|sM7#JOx8GiK*k2NQk@3kdVo$0VR6~f|mf54;laUi$9ek6;wE1N}J++!34i;cM( ztks!?uZEJ=ax^?n>F3l@}SaU|C_GA!HY0gz=JqzNnS8JdlqazQj|#USy1o7o_Ne;~0KKuPuDcT0v` zmGdYq$xrh`7Sabn4SvuZ-FtknHX! z`Eql|mQEY=S3udmfBmHKp&O~4={|L>d3(90w(ZCA!?hkwDY%yNl<#&deT8gI6RAwh z=7;aU8(6})O;|SgtB-HO=oQ)b0o5&$tdO1F8^qxAo2MHQ`&UKYoTdL6Z+XJt6kbTL z0ASavH5Y_Hj|o}vH0?VH7l{uKH~=oIPsCgy*nc;dHlsv1zI_Zbjyl*u#Spc9La;;E|50l-R0js3n^*z*qVk9l^pj|LTH;%|uTYanjD^bMp#}M=x zgR3F173J-HMgA1y5^5%3<{nE&?s`L<2ZaWz zkPq^Ba^}dnEF^CRf~O;QD$i5?XlG*eRy=*L4Plsu()nPVZiuB~X?IBU7JUIef@Y^- zTn)jCjkU`FD`2!Td+ZwQI!%53T{0_c<6vNj@XlXk?-YLk1s`X|hKWFn8<>*Fgw4m# zWHKxeisl_&qatmnt4|^=WQSgKPc_OMRAyL6E8^2AS4XV4(&R{7yIh4RAlqkmL9J8& zKy4E#-dM_Q<2zWM8^XbB8TFL4j z`vXo2S+77M1$^TST4_ap^3r4GNUEERFi-=`$5XkFP7t@+aSJwqVW)`D$CrT^?`-3O z2at8{JgblHOO9Z=!$ zc6PCj$*yT-wRV9vUwBbJRkTmD8K=pzIal9ra^`fgX`u4HG+MCh^Q&$=tBn{a6_YgH z!BJji9ktm47E55>r(=Jj;TsRNtFPefPL^BPwu=`SY!6xN#|6QDL^`IHs8lf<8zqR; z_v^L*Td1u_$TFj7ckKa;W?X+|8|>Le&Q79yh)(=#I-=;3mzeKM@b6vx8~zyBj>+gpJ`9Bu41W1l3BM) z+kxu3L4DokRmFzlmjK)OT_Cvm*(OFJhotZ8C%XADP1N}W@QMWSVd_K}>txpaw5}>i zV=5t&Bedd%MMD1B`Yti-%Z6yypuw=}q>V~h$|V4Oy2>MmmFio=9u3uzN|S)vr&CRZp_vVjB+DN`LPq*|9?m7#(HQJbH{ zYGf(RT%>5hn|F8}KgJF>7NBw!chgT$6gaTUnxn_E=UuxGtvIWMhvP}YDjmi#>5Ze6 zIR%52A898svQ4s-J$$2;ozP>I+x@Mw3$=|8ZET&O&AWn>)r3RU_4=u33id50xAsw= zSBI&3N5iU}#=mcNDz4&|OvN=cWlpm1LV<{tGalN?YeJ!Ye=X&q0y33%N)@jZ<@sZ~ z_`e{b`v{}zi)2GYqD=1CDb3Cp_e1;9etb~{^a^3{NY#o^n}jQc$ndlI$b2vhU=(Ym z$a*`4N!emW$Y1J3$XnPX=GMv5*`phH6{I25X(1{6jxKxaI>>78L$MkQ=xOz%^z?yn zp>XtTXiDv*;mv9b6kSHpX@}`G+WDs_Vch^S!xDw|c{qBcaCdkSh75ZH+#_w{4 zewQosyIi5|dup_(HKOc@3^L(iXs&*5X+sQ=cib5s|Ow12rku+CwF^r%vmRn{XxQM zYs_;Qt2f=jf<9y%;+7}C;~cRtM#1Y2-9F57PJG&lyacG6ah-r>^QNlLNwqu z3{M)2?{!S9s$GcMB%*HoXxS-2dIBj_su9FibgO%@AaqOJ6|C3QxBdAfSSSaoua#_@ z@;uz?gazWD+9|ZIPDi-@?dmfB5nyU8Q+Mu5&fZeSZi5Xd6}lLlise}yguA4f=04%Q zG=N11av@IW!<-aBK00PCn0|d6T~UrFxJD>uvgn8v9yP-Cpyl25Y3~4~S|zf^X)L<( z7`e&UsYZ!M@=u>A3CIPCOrdNW%~OOBnjf4RJcGrEazVgHrObHxjC~)zdk+4O&LpTSBz@JnQUWZaacuZbK4D#*E!wr#O1f%}f=tCHd%J>b^?_;pB zso_bew3|UHPdO@7sv2jyyNwCg5pM@qPyh~-P=b5^M&ICMgv6~+LDtJ8w9in<%*PUe zi|3x7&Y84tAaU-Q)xsl*fFcVg3>QBDBYAc&ThqdW@uZqQiv>bhrHk;O5d&ivuIQ6bC7(-(y-;;TiE$%hVYukf}SOJD#rX_!?Zt? zW43Dd;7UQ@BL)sO?jmp4##24Ua@s{zP~yFd2#3{I=Z?@r(Y92&+0pgE>Vbv+D2WSKVH2;VBg;v)t(vGd(ctF&Gg#mh_N}WwT>_O~c*mV2En*-4&ATYtX7(4ARNtsS_`$ zu^YA6q7WeCs33Q(c8#iV!InCBXSPd%U@sSfHd&(cxzIj3xzcp==(^UG1!a^Jh|yMk=;-TyLDvQZyQJ;0R`ldN)-NBE=+i&7jVX7Nf(E2& znb0FAc^ob8c_zBp>={r$OfaL@L#hxQZ!uwFmq)k6yVPhgEg$bddz?W1R2%cFn@n{D zy62b_QNs1$1&YGnKZl8o`#_(stbIrv#L-3R5zC&ln{56~3{uUp#$1GCHOX4tF zt^nf{N#d9dh4r?E>D!56rsWTY{7s#&wA}71jh}CP6QM}N)q|K3BDdYyKf zI{Uo?9eD#q{+%&*9E6HBW+#6=qEf9ZQk!2{%{PAZgt(=j56-Bn9x(;Q`CSoIq~<`Y zik_A+F0c>8p4@nWg2piId*g@;$(mXqV$nInT<+VYL$UdC2Sbari7s-ZOH}rXzW;~C zynz)9UW1VpF>Vy;yyZjMq`Tf10T6Lrg>Md&>M837EuYNx+|V%h!%Un!zFxfZ}D4Sck9BVq85u!;%7 z^Dyjjswjhf`7Kg`j9~v(GY+vaSZFnTsBHI&!+c#~ITwx3b>Gp&?KP`q65Bj8HG`X) zxS&*6IVd$8nK%&eL6vC3Qan|(;XlJ2*O;QfZJeYSE+Cz#;xnO03M$JwXD@M`0`p#c zJ*}t8o13&ZN3J!&lH$$`^&(AlK29t~q+{u+yh5=YhMFOgxzH_L3vLDO90&3H6V!SX zw&RDp;1*YKP|sBAjNgE5%xQsTJboZqs@~-5tw(Z6S7|dw=Dp~4xOd?;bv&grfM#PX zCDBxZFLPLIN=v08GqPghE6}7pBH)_oLdO3@`*I$Luj9la+Y^VDu-2qu_cNgM;_Bka0QbT zJBe6=voW~lauQx@?X4ak0k_F6W*_MmiW2{3DV0sgRktkHjAC?}=ZEq8}ee zPOioK{(FJ_5=R0z3@bnWdVKx5@KPWI&^YY*2(Q)uP^J7$H);AhN48tGn-LM$4Zrx+no z4vLvi|1-8aBbTA%t)7PEE_)_*Nqe(xu~ItXI6}>01v{aK0cR{0dvJdUi-R|!VpQ~x zr|*qXf-1IHt`KGPGLrBC!Du<@%!Bkw7%y1TN zGmtq^VdgQM&HHh42&b|d-D&ptp8~UkXr3IyIvi;- zy^j;Q?z4?$$xFB{ZaxJTC*e#gikLLu0Em|>8T8ub_@_ac&V=vfAso1@QZ$4bu~t4B zf6^ctIGk248jD1?~nc?Z6|J2L-IQ-KgOV1`W}V zuECl6*~hmIU&+>WUU+X5izO?s@SYZ5_q8s(up0KkSub>^>T_#{oeB|MTXh}x6fer= zo&75&-l=V&4?GT&4;bjpI+dOZBKurMlBK;RG-$Y=??>feNJ*cem{jhq>j8B{zSOc@jn%}x3F z;Y{sJ{t>ld;rvH||83}om6?s@e+}Ji*x^VZ`<|#jl0d9tJ%55=g41$k!6ftrw%>xp z5m-buqG}YXl^XoHX_Hr-ft9jFg|CNvHl%Z|EG_HmyjX0(sKa;TP_KHD@9}8xX!!;y zR>4(=t6rj;ny%EJrpxF}i;`$`Dfz1qWz>_TrQEkUMIPw!1ulEH>=*aLr_P-o z^3i4dyqn%VoZHs7Y;G1O(#-2-mM-<1b~O_a#IfX5xVF&r7YEIr)wbrqw$2Qq;e;&T zt?$l#Bm*@+U@96%w2GG7nugy()h=ezcf|5;oAoMYtdYxLqYI|8r*mMqDwo?YLF5e@ zu=DKAYoLB?tYupU(WML;^Py}fTjVZ>p)z5Z@ZpGuNqN&iI1=OgU#u-%s=xCHDI;sK zP1UX}3MxN{@(_Sub;rJIbj3vGnb|h10xXI^B#T3<^&Q4bW3b560^zhYbJE#L2||OO zx&Y0+0kKMn*h8E=%*<1%e5H_V@)?}FbD6>r-}EzLPZVt*YWMlSUiv4xSb5ug4NA~{^@(!|HYOet zBJ!!Bvk1G0<_+M~USu;*)r>U+;cJbZmqHh4!Gen1Lzv*QjmAl{&jW^b|L%B>GJ6dU8pR5qmj zDpLNLaaSIO1h$9sn!^iahhe2vx=#WrDz=7i2@3&>6~eC^CT9aN6D_1YdeLVX;bx8U zS!fugm7ftTx7G-GX5E;5G&n0n^%N`uJoGD-8Xn!y(kSi%($^VTBVAiv0jM*599*9q zg)@J2DT4C){Q;@FDn});VqWYA_pH528G>iUIT=u*~DnI zn2p*OdFqk^&JOH&E6yEfV%7}`b!hm@mkXE|tKcdmgm9T{OrKI7F&9?ZC;xp)WYK>v zTPI^o+L;044l|>WKxHVA1 z0XdE8)Y+I$Df4!ZAcjR}3bMt!R>Xr~Ksa^V=H}DCby`0X*89SW*5)M}5oR%gPddU{ zq?A}klLS`>j&HM!<)1Rent)6aCID%K5P|-L+8?#N24S4~6?wL}??#AC&Z0CZh!NjF zi^m~ab8z6ZPYhBNDIS< z4b5Ag90p%fSn7%@AP>=!n1*EME+zt>yO6~UV>n4}PJvA~F?EMvZSds}w+YH!ihn9x zsQ}W+X~%v^AOS&^#>7n-&I2Bt2*9OjUtmU_6qS0;+`_+Il((~psJi9_ugEqj`BMvQ z0@r$IEj1I1Z(HtqAY+|kZ|%MEzRokjCN`2_)u9*|St8DAlum--xd3xCQ4{kmqBefV zEc4_wN?XJIU=>j$NFK?uYAoB{nDnrRagFIoyRmg^Z-WZ5r3XdxoNO4{zi=AF(lTkh zn4n}duF!$8_C`AAn=NK#{$xX(0*tM|kb9_~mnf1x)F^K7HxOl-A|7o%{!gj3{&_e| zNfj5L;g(ROYv z(>b_?6~}^TY%u*y`va4B) zcH{|1Bj$n;A;CJBn$0mu?+l1_@yOFLEzZX^py>%oNl;TL)MY*~Or%~yRB&EtnGE7c zsJ)e06m-*{zrVHC$$0%Qdj?H!RfK0#e=tBuv}5_7E-r1T48cY#PuN%e4$M&tZcI{Xo)`k9PeHY zU#|R$(EWGp+_NBBH10RDmPWI>mafgb%k+AAz2$I_eZ+T->F5X&F~g0oNu;+x`=xek zu&w5g^>HdM9?&$;7n`-m%|JaKl!ssPmWPw$A> zKd`ecpl+Qs!A|z-u?2nUH)HOxnRPf`Ivh|RWJvd`bp2R{yx>A}IDy@$(MbyKCQlpW zeI-9iA(BKNmh2ze>MT>!0L{bpQ%HWHL1nm{d^;Qh$`A>5+M@!|q{MnJbBu&zDqa8oO(E3SXOR3F~;Ru;euR7p&8x_ihy zt>%gPZH2L`J|MWt-kx#P7S@U$bprP_xoU0|TfK9@R{ZHams!x71*XDh9kv!4_nr-G2vq^8>W`R(tW;$3M`NfNh-oavP&MCM=ufQ_13Is7RcKA#8L|xe*t{O z=B*mTy|)H4egg~7#r-+T|0&N`jhNovMy{(CEtYT`&vjMb;8f*GB- zQ|}qb=m8CpnZ*#t><%N;(NoS&;)HA~Lhc29I70Ahj>!hZIn5wPPMI;o(Ga~mkY)DX zy)VB>r{%bY!z;zcHCX~wrh#F{((_#Z)30HTA|-25u8&vn(_@oaJ{}Oa83p@&YS<$Y z1)~aI+9zCcnefm}Q)*Ye`vZ8qJ@>X<1m1V1bb%4>p=k{M<2(bM1Oc-Ei56OvAwV=z z`;*V1)#wIslPcT(6$zW*G(}}QP81RDUmrlwL%_*kF#K>B$U2}1FN-v*F0DHZW#i{2 zJRdbgWYP`6tT53BDswz9S!%S!Twa;fjg|^+R(%4qR%*_~qbNK>T^x(VG@0{IKI?f3&N2 z#ED{uVV-F6m*>}u5RWo}Fq=GQERo7!j5y#i;pz4oY+0JsDB55IxlmLLY`Bn|uPy-( z|M@&4VCS|f31I=0$mHUc9hOLxJG5Xddg0LF4V~tCFmyXGz~_%TiZf}T7+ud8Lma^f z`hxhYb=w(!r{C6F*y?N8>no`{3xg;o0Q|tFVDX@HRIdY89F_*E31vN}fCVuw-sY8R zXgDEAubtW_#v|Z&nZDzXkVgckVNG`@|26Nys6NIkSQ2Bi(s*X;EOFT}pKIyTXmM5@ zQjIL4!kQ}8+L1pR;w}(uQ61?eeqmT7T#z%8ptue&s7*gi#Ixzk4SN5 zxUfqMsl^GO;pNPCj+I$_IlR@<+>6N?fw3;g9V7;03WR4v~vN=80R!Vry)Lo$xIW zjoP&1^g0C_c}cnmhNo#bW!Q_IZ!j+p4iYT+zvF%u$@}~`gBNM`LJ>8W1coyxI(q({ zgiYt-icYae(Ju&SvP5OynN*PGMu+BqQovN&k`?J0WCJq*o_zwz2knNFQ&!vfAMCvc zR1@FUH#~G{Qj{)4DT2~06cJ1W6huTslp;b@q(+PiNRXJ&s~AB61tBURC?!f&Kq6g1 zq=SHv1QewwfN>=49sjpH@497ucfISq-}Bw|Jp&VlWai}TJ!iMy-sg---VU*>=Uc5+ z{QLM!!=%d1Y$T1#Bg%K1-%IH7Jo!q7M6Jn@a0xqL=+bb-{L=NEyxj9jxmUv5#5vwU zF=WgchZB!?SWUc4>+%eB@=LAGFTXKnMx+(b+$%h|kh^^|+Bj|M_&JrzNufwFZ&xbh4{@w;YO{kk=A9oi9Iu!&kHuc z^^^N%?5~-8?s}SJPT2MKy+I>bl^x%e9ghep701+1rBB)_4m!9T^{NzD?Wb68tnQ&% zzI(89uS3fHy;oXzv9Dil8;fcam}9q)lDbxv{O_xt3Qt{+QhF^P@W!dgZr_1LpK~X_ z3yM1J7?9Ye70_!ODse+t;gX^IPvR6tz-4vi9M(Y^snqreVQtu7@jhh8CI@fssd{tF z@}NjtFV3Is=VR}qf2zH+xZgx6?_^B3&4G}Ty+bX@`IM+zrUYGV(0EOmUFNl~!(WFZ z-@V=8@L;I$y<{x*4N~>y4VexogRK@i;XC*bAJ(2b#M zz(wdeVP+=A{{^VxUt0)T@9uoD1)4S*n@G9UyU zL8kz4K9#>7+wkcC0)M>E4*&@PfZ(6cIS&2(y+N)0am}Cp3OwTb^Vv{4kNE#`wm{n> zfxjM8e_zI11ooZqM~9$;{n1yp?lss2?6b0S5d7UbwEc0c^~a&~AoK)k0#G418!38! z0kp#L-U2ck1gHXS0(|NKzYL#%3?Hu*fI+>qJDMro~X3P8#Jt5Hp!jrqigQHa4lL? zOkP1zNm*;Vw$6^7M#d(l`^@%RA3AJfd&KUj^QqG=u5RvUyf6Cr`uPV028V=R55t7t zh>4AhPe@EkPQQCEBQxv%gX|~y1%*$Gil05NsI024seSdjuDPYPt-a&j`_BG>!J*-i zPorbBsp&6YXTE)(onx%5uKi&C1b?mnrVHZdpU8q<|3ul}&?N)W#V;r*ASm*iE0x|#s;Bs}IBm@5)JNG(UlC`UYb%h5sSt9~b zh!nCc&N$$@ng9bI8{#NFt0ux&s-?Zz-`T&~ViI=u`B=bDnM3a1u3x`UpQN3=UeDdq zM>pgq*DgYfWhF$kA=nSxdB6)1c7Zi>m`5}}T#b;J01!1of|01*sp zaOMH;@8Mgr;X*v1&xKQjpf6*Jc)(rVA7jY?I|*ve2rJG5o|LhU<7tx&M;@@?ufnjN3_GX>w(^gYIhOfZ?KZ<-+r6N(U&!$Q?%g*44vTM+ zZ`_Jmp2}j&_E3q0#_g#6#Kh1E`=&JeXQ=(%EfbRy@3J=8Xy%2BhQk6Q-IKJpWOJ-Y z?|1;X^(XrYjEdkSK?D_s$*t243T<}!x9u=q?|z^ekI)HiJV55t?91<2 z!BEah`Qpg+E0nJ10nDt5h5(_qi30z2x1X0bO5$R<12}0gm?6asVT`mar;HWshs9O% z0MWoYSfX)GO5&n-#fw4xi?w;wp4~DXUkl=5%*Hl+OJ<({>!Hz7z`29>oiL?^u{S%? zq+)P}Y>{3)qaau-ViR}E-0fPEY_Hq{b?)=ZXHkfkrfo0qz>xvr<_+{Y3MnKD|_R_*=< zmos-LZnP0yZ{dms@qlfV(OT|DbTe$-vG{}_MFv5I9vI$Cisfzu6Q)Tqc%@6mBsHuh zJ^`*w7p(Kmg+`Os(E9Ai{`(IP8VjJAzR~@c%!t>&Xk0cU*@Kd-%i#DlvbEkZp>E_Y zI(1U8wl03km!X{+@@CJ7U1XEe9nA`lKv!Aed#Q_l|rXSU5iqquF^nMtf6;|(PWYwuU?(ShDkUlp#QART_KQ*F#8*-0h6;Ql8$ z!Bbpe=$a(92$;l*00+3DqqM{yNeyVKq4+1T1lh21f!lcm%_bNK-amSA@AJ>CAD?ao z5D^-3;3gg*NEm8Buy%8M&-k@T27c8Gfu$1Z4OObX;m1GakHA&f)+3=PclTF7V;cVE z>!kM{J_2$3Ao>LF_J)oxgFAc(i&E3NXbn%0LRV=;DjQ4fO&T6(ZQSSDN4*u=1r>j>*WCV-2=E;G8ZG;Ar0f*qsnDQuxko zTmc^NDV@0PdKFnN_X`GnB{aV8S+hlWz)LN}TKN?DtPb!b`QNq+@cRBUlzJC#A6u2~ zxF}9XwITW8ns0x?VGKgTGTXScMM-SYulOx2bdWGT))_tmmf;j}kjkS&xbFN?|ACs@W}l zvKuz0+&PS0raN=RYN0Xnh4Tb^(~n+DrL-gYP&oBLWamJLf2dLN(#qLQUp;L1-Rj=X zXRh)2li;*m@bpi%oCa6)7fT;|>@{f0I>>gRXT@1K`JvDep-%#94YHgpuh&zrpH6+D z>E)Vt7{H=Q+*TeyKgk2eyqm{t7y4Le>|xNcguP>+I#tD)p;ktnkUCk-K;NSFqSYH~ zs|Gdd^BfSz?Nd@PUbBnX;d7;Fu@Xl(8#pCAz?T!uOc;dAPx{y8K7DAx$M8M`CSVNm z!zCwbvgAIXgXEh{-pyP&o%2=Etc*Om%$x=|2WDZ%kGf zeZJ7&?)J#zf#6D~%n40{irp4MX4@OOm^UEt%HcM_s{LBSxiYvO@@DMrDWt5m#K?|< zF?+p}6V~V$y&9XTed#Mkg7Oi*X$QYN3_iHUmA%=I=?L-w*0C|H4g`)>{xK0O=PSA9V1QM$O<`7F&qm8Oj%UESVT5xOZ`Zp02G70kh^5(r%3 zc{TCdcE_uWFpe$C-SH)R6d&5?FBw#eKDN^O;N`OEy4a&7Dnf=5&(v2tUler#D5HP)b@ z;F1YH00u;aq5>|D-0hZ7+t;U=zOU@g-X4wT8AtBPrzM^c-A5W#WYSLTJ61CD<-=2gvb}y#az}g zTsNdXPEZ8Sa+?qprp*N9S&DM#c&b8cqe>kkArfsNr5&%g*6rJG$980PUl{8@b-N=# zEGVW+^VUnD78BzoasVlc2UH^2@~%u`!gNA3Hf%a;s6mFF=w?Ul0p@VVChY@`L$TeV zoCg7+OoUNq{O;|22E*e-z3Cr*T(QHfJ#1U>H5Dm^#c>{7h=Lnow+`Fhf~(nSb_Lc| zof90wnucA$c?>jVADe|6(c6}zmW*|CY-;MV`#pk=j!imN21@U!K*cCIRP5_{clB#s z$D#vE0oRY%jFZ9&fwMGnBBJtVYmWqG{KyD*OMu!g`ujc?2YW}`)euizk7Sk9?K9$C z&iYloV-o^K4%G*Pp1g_a*#B)YpB2G<&$XamzDwr;?XXG`VeR!=xAWUG35nI?DzSsc zl5m<~`VODoC7+9xxsO;{y|`n+nWuwZk%YjZQtk+zezHuU314Y?8}CO{W@{>=TVdju zf%ZlVhF96+&$BnIFdj|`r>@dQ*XGAl>gP*}B0Vg3)YixplxaNNVFS<|1-Zk-%8`Xd z$aB=;yjX+XB1_@6fghJ3m4t{fytKqtqR+cB3g0wnyuGo-*Q~s1T=!nIRj1pbg;G}u zJ`rP92I~M=NkuLi(r@&1;H2p``T72LkrOwGhZ*G9BKlXht90#M&F-gxF1_7q9I{^g z?l)H~)ydjxJV1#%j(9n;IKr|6$xVpLtkgywjNcSK)dDgMQW!!@_EOY&zJ%lS%#4B_ zm6qa(wp~82tM6TWB)D^paM*XsEcukoLgD0qP!#&PWxExKuQ+wFDLJyr)L z%TdQDqjks55pxzdg~vQRbWk%K!QO4SIKT>;RE1Q&He)Ne_wCQC@d&;~QO}<9LF&6; zuSObNS!K2-1o36@eL-8jJ3hSr%r{R~BU4L>0&JOSb8-~1Vu?_W#evT*O(`{q(yMH5 zs^w^?KCbTeAuuoBl{S;OynWSXqxvNixCkc`L46IY5-y{0&{I;2@9CS|>4c~dlFIy~ zSOZ9)f1Ght3Q*Q!##|3FI6u6o|AgCHn`|ZwpV+d;<5kOf;YD&i5)w+^-6uN3r8!U2iM=$m~ZM-kX)32rW1!c_atrqs3dvsm2smA%N zS8{Vhzl<4puBZk*949{ z?#;m~NAAf6&xkNtoR^3TD)ndxziqPTsyLKkPVU;q1L8Pz-;du;_ga+?ZJM8iIT;id zgnmdr%q%$T%Fol1M-~1iEi~eIJzIxcgJVNqE$wPsv z_u{);fm`|K6RzkE6$AfSqBivZEm13o8YbVzCy;9o7cKNbMt3iV#Fb`S(4}rS?qDBf zn5JS?i!otMIPn*7Qt!>9DyXx!OhnQmA7D_E7SE7S>Y9S0V z5i|+9PnQCWA1*@q?B?zufbWu$#^lQc?!WE&HB-eBb@?;r>pnnjgi+5Gdr#MHqR9Gj z^2ola5TdCD*J*9u0h##z!SWczC3^b)Bbm_@o7@kBydHw>6ckkYK1IoT>ConH4A}t9< zuFPb!k8VjBx93)J9LFilE>2?UG;~X;#R$nS!xm-|(J;`9ET~srUB-7_k$>8=*M{SI zbl;5Kw%c>#2b4rQ5ka#g<)iUtfX_K=U5RL^6NiCt+a@#t2Bl~GDS$iM8I+vL6=~zD zl1~iRbtI53ys5r+(A>VYySg2B@e=ejQ{~CO0k%lp9ZBMD3BMY!zxBDj&Pap#R_=$v-t@4nr0r&!$I7DNHyAyOeXKxS2V8Ijs|DR> zLlDh*Nh2)ejGO=N)8ge&PjOW9++Ea|u;$=ex{byA3kohxmGK7;K9UN7yz#%s&Xgd| zE2lwiMO$J8v-f7;1#G_G@HsO_jR9k_Il=!n`}*5np-;d zZ>%gt`lpJYEr013CNaEyvr>Ri^KQb0$ZO*-bOfG1$xn7GO7e@!=o{R>e@n@ZFVeOr z4xb+Q;jq^wuH2|3(IDT>OC){raGJ}J`z4Qe9+4>BaDGrG=Ac4x>7uD?e`w;@tRr=` zb#B_}!@f0XJ~G`hE$wY~EzfB8R;14K{ag4f=CXb{7ZrAZQ`WHCG33-Nm=uy$l~cQ>0vxT zuUO#6(`0rg4~VC8-jKg{H)=xa*_*n|b%%1Pk~CHXzAp!B#{-%>nkejwM^eMtx+@-B zl@=zG2jrW8cOjcih#~*-v1OYkEJ9(KO%Owy2Z+N&@GG5>h%^4A_h=9;H9pS0H9qCY zm4|QS0bes<^hsE4Ek~)gmB`k^s`7xYod74`30aFwYe1mU>wD2j2+$2Q=K-0g@c+~0 z|I1t!|A7GYLx|#Btw1~ka0gJLCJ|sb>C^Xh-#0-%!Oy*egT0(d z4kJ@va3vTCtw>O-sH2CU4et-t5AzsmRG6>X??uQTw-3J=9;{y}7(Y2F7p|2?5KAmf z`mQ_Q6Uzfc;0hQ8tNtGd3DCJ^-QbB)J!aDv9!Ge>8xvQJa&l5tSO&s zR&0&i)xXK>JPV710M3o%i{wwX%nx7`UCgb)l-i@l7G`KIJ*E#Ft2$zYLdc#8aGeh> zb$hh{cr{8M3ZJ_xf*EMzNL9wadVP6IobWebv9a61_y&4#xcxAdxbj96^T zpP2uNQ(*YTtEacYM~Y@&c84(~tsVV)#$2@BiegZU8%2LjGb_NCEJyAntb(v;UCP#? z7u~Aj0kI^OIp%J&8h>vnB-ft%OlsaS495yHCN{^O zJczi$1thQ==tp2{I^Q7()m9E~K&-?sJ~K{;fpkPPirb^6Q$-(X02dhj7oh3@Cb{n#5~9=usx<3aglzl>Y83R%ImJy*j*_gai>dTppz z{;^~Gn~FwzPEw;G+XH-07jYbf+#Q(YBIiYB6~&EFDj)gn&98um#fFb^mkneK1%7_m*NZeH3e?Np80dC9l+wM>69-di)UZRFkf$gK zbs~w?&&t%Lt$x-rcRQNfN^7cTU9-9^Vu^G;!FPD`2|lDe*uxc5V5ws^P4D6M>>o)H zY=pv!Sb`(HYI4g!$UKWxAHg}QSiW^{3MyP)7r%2#KK<(_ONINo9KNWWz`R%ykeyqTQ(|&=OFG3MtGGKbGi&j3+K~@6 zEANij=qU1|I?2>5B;C1(58q_N_GT1=u(Ja{^i7+H(lA?kVd3o@yf2gqNY!d^@(5Je zf&7Rp8nqn<|ZSriN$i2NBNIwcX^`%RJ+dEo%R6FO5zY%U% zGE7g@DNa~S8V;5Ji1X_>`SNTB%p&rU?A3#?=T(1`8#3lD;tcRjlK3x^I9&*a?Z!gM zrGYOQEE^thoveVM#*Q zBj*J~vj*nqK0PDS7@7LBg~&JS^vtcH+uD+0OpdG8B-~NTr*q3xB89D5?K*F{&YH$v z(ytz_z6))Xb=&PrQcW#vBPN&`4cWFY zm@H-w!*#(uXo@siaRAxeDA3mscC{1{-*70%FUGy@RkeM{E#$J4ibtleg>;LmJ{uW_7Ocd=L7{069-uJ(HcZ@~?OgKx&g|~bGR+O$MV@xbu=}Mxk>lME zFw&fkpm8XQwj7TRC^5FiDDD+mXun#$wC$>?jJWlR-&iB&uK<+DCt~WWtHp^ zRn!WaD<;D_${kY^;ylL*aiKf^Mb_vQ1d5R9mIzFjFB`#js^L&#`>0{kVxxW6%fYQnnZm_BLd4Y`I~rF^=o_WX41%Hopd zrzf@o+feVw7t!%Z8MrdO$$6Ozfd@@iP%4T%Rn)4l(Co_9;N)V@lRRd}j>jS5jiuB_ zkjdl6`h$Xk?s`?;gRJ@Pu*^=kN#jG4B88Yu%+LWuK(1>$rJBIr8$EpiE&CX}*udCeoOB2M?%5vSrb&NJY5rXaEzggBHOow=}9NTiL1QUVVnS z?qF~Gy8fkm%D(El%)X)+Jxcx6_w!!QAjO*m>9Vuj9y0StgCP%i`rTh(?d1QK+K`$q z;fh=GfF0Wh5esP;1P?f#w~h3nld~7>T~14W6b)jg4je_nCgxRq0b zzl`VOw&T=#t7eT-J=z-)jK(MHuogmmo|4#lPvQ?_hlQ8Rzx2ztBJ-A3E^MnM%v`WL zeNL)&Ua7(QvBv$prO*4!k1D9F&eoDy(Z`+oBf>&1{yEu!e8k5h?n zyH9>=@lqR5$KiU4=Z7a<%1Vy$wWf;((KaHk=Y$`Cguh7$PrZw?bjL~04xD}YesIY+ z$k+2wEn@eZi0P~3hf9=)tHY59WYoKNg`~Cv!CX-y%Leyh2P_533&WHxJRTb-?SaK1 z6n>aflrb8oQo~t0KO-&1YVLVF${U+j2P7punH3 zWFdUsQH{NOc*Z_yQRCIS+QF*vR}A_5LQn5qp3S?7>4$9_76GN=!IFrW3*p8fg_^&3EYFEx(LL6+};Th!8#Qhm2k@ocTaQCna4_7}d zairf~uT)NEv(JP3Q~-FdNflcq#8Fh>lGv;L29Pz5Zq%puq%7!_Pd2aoY+f%cFF^}^ zz~huOtI|)|Db($jIG~%rc~1825r#6%d_O3olqoH4besI;j(NfzMS0(G#JdL)fyF3g7`St{~*cZNA(nG7HIU z#z?B(IhMn6tp1M(Gvj*9RpK7c13soYufFLkDw3QV8ma~swFV8p-rle^^=Wp%ea)-w zF~NKHp4(Y0n0_3XM|RsTnz7_@A0hpk)kGO(QIXAW%29)WP{EvO%*Gji6rJ{buFiS8VOfN7!780&xk=CuGT4uPi|f`i)Ce#xqaP9XfGr>EP1A^64{-Z&7TstDyl? zs(wdbWOg_N`7+JNU!9AH5WJ8Ys*~1qM1WtSELPClj6^#+J@t((BXAbFVP`RWY0C>A zamwSk!7R7{{q_TD7o>~6f3sy6lUjxCjgqgHilcm@k1YLENt*oXtpf|*b;)!s%QOmF z`xoEjYS^g8zVLFu_`5NBbo=;GhJI>yUDtWsc7{sfAX+dgj6(oJ6bJtij@t$GVT4xciVvAi0(MeTT7 zw%em5)wiEJlSP|v;dlVsVZdlng_@NZ60~ze*`mIME*=#WBo=#H=R#G;p~C`v5WL41 zsUuL=$39Hs0n+{_F!`2^m2U)g$T+{+?~}z!{VJKErd3vHRwp+J}XTjGLABlOK{s^IDkv zgHWll7e5pRQ}3DN$)+5AL5C&hgX1^pb)!LSW3AYk9u~i(mHlCRrE0z#S`p_=kEe;g zZ&v1}{>cF)<>67JU&d09s%JV{Kq39p(fRLzzrHbFPcu(|dHA_J41otYRQ8H|vt5W{ z1##a|UQ*by9aQZkG9+h-jk0uyTJ{c#*!wLvW*%=g4UX%lzN@VgD)-aq*ZIV9oi190 zt{1t_ZKa4#{%k>3XvbREF>FtzV6UL|M?xVG-g2X`fWZDY3!N%vFuz2ZzWEWHG`^{h zOg}~hbs(lvsI{$>M7aDQcK7s64;n0P$P)9X@i-VNC zB%VsekH>Jupnrty(eVh?%MJQ8LIMLLaCT^s4Xr}087&YVr2o80Z=}}2c<^?#?OWL= zTUH(bBR~0|Qf}LL{C5o~l^Z3)15)w#v)I!4+zoFSds~R~(`Imf=o=il!gFMLDluVw z@#`CM@`BG@n^%p|9**1XBUrvB1N-wE`H!{oUlTzuIdZo^Ne@A&;_-<9)seLt%mX^U z3;aNFWeE~Ipw|Yn=loDroboC`1OuZQwk#(s(({|n;NwFm66EoQZsF0O;Wi#nHIq3- zhaMjt*IIdWC0-=LeAB~h_5vi8qWC5?^7lw^6PFD^f{b+ptraA|l!1v@-h>FM_s5j4MtBI6DSsRYrF9Fe6+ns-zbZ^Nx;? zu>4T%sWG<;2O#6{l}kB~K-ZR}2>4_9)WVPQ!#m24>`_ena$fnNg!=i-fZV~UMkw?6 zuXG0CLZ<1^dpLrZ@N{(qBmZytz%Km%cRMCzY8i~~f{%vLk0M8JHp0Q-A@=F#b2py& ztI5%8vVylva(55p807zp^7Z#EW&Nq8 zD8&CX^k*IPKRo(>*3%{%J9*(J%K?jyVJ0(hg$w~pMhIL! zrYZF~sM3~Q6h9WEKDLDVzBr>C(kIH_b5(;q`h{TWWj2ifbqyn7RMTc+Dh05RoT4NK zW6v@|JEDyY#XOAjl1klC^1CMOZU*48AHHZ4WTv}03ckDeHf`hZ`RJP^RKG=6mJ`+y zBm_{GlkkPR=@ojx5afff7Qt7x5 zL6>449WX{Y#_V?(G@aa5m&|I-+cx||r5km2*kG&m7DN2AxxHsSKZhSVdWf*?$i0lz zQ?j}dHk=3CP2Uk!w_mf|0%~gQrx7w3q$3>%%@#dbT|b+BcIC5&P0g2I{#}|M?W11B z#2{f<2go0fW}hO1&!&*Eh?mGFq%79&4c(+ro-iGBO&@JfuT5Cv*c|Ei8(6HCgmUi# zq;si5t?dXmT+ z$uy0D20aV$vN`3UmD3R&V2ZpT(T1P|&F^a^6FB$*#z4`^3yT79`k<*venELb(dk2Z!%JPKP#FW|rB7>pGj|N1 z{(K>QPl~Swh}jUw@|>EuZ9+3=jpX=BV6SH ztkab{ztnKg0s_aCucwG=wW=NK2Tb36B1wRDkZ14AdEB@g>&EbFf`%fl3n}Y8*dR2e zy0-$hb|u@P{h3eDvqO-Zu!--RPGw!P_m?c{B5PFO^$?j_h*0`LOeM?Uq_G<~ zx$FprFojl|G|+sbCov>9xMf(r0(l_y4foU3AUbH~ZSq&M(OW+sN%bBOXJWy_G(;o= z*R3YiN9(tcL(@hrbW!;M9~gT3FTF6Xw@JVF{#CxoMLo~^S-yI9W$0*x2y}lBu(#5C z-uA@razN228@^}esrBhEZI4L0Q1LS9e4LlNXxO&R{2#8NXv7o*{RA=zApjMhE^dP6 zjgq6i_6%U{rwkL%xVF4ep*0Py*;U);bo*XK`|YZapIvY<+me>>;7CVzRLt3-EcWJ} z_DLZ|Z5-R2qfZYM;M-ZBLHxLGaiO{^r zB1h?w86N=u&*m%k3{`uYTJf1(6-kDtkPCdxowCH1nsFS!njoxEtD z{;cOaG~-I8^+rc5o|=PK!`eMk@aS@k_0r9p$Y(W?E0%<9%x^J}W=IfiO%G#BKS7Iv zJ{LR@4xB9cj~}c|o+Ts1bzB5Eqz1=p!3Wi(ev@|^(kXW+Se2CNAGHgPB$!w?ErStz zBMKza?sObQA#0Kv((`(a{&+ zy#K%llb>}r0{JI$an|Z3m-OH#ZEm=BHOfOQgwIl#L3i+T2D%8Q5%m8)_HM{VTHXYqRK6 zvh8QoRlIf1_ud*&WgWw;FEevFiSW(%rcko4)J9K#gbZ#AcpZ@#b12}XeHrrY>emJb zTF&U>69(!Lhcc%=WpE}wIK4|Q{U9|;p&upwvg&x-!!qRoQcyN6d;c_^nzHUfEQi93 zO*nD?8&v`NW#5|J77Z@k@lo5684`N#Msz{6j=+yF8mU!E0_z5bK4PDwpMvHH_Ob2q z-BDwwdjz^G$x#MduZdW_qo$43pW#9pnVNy9{khmpg@M|n<{qf_l5?+7gMGNq;s9-! z&P1Fg< z9-svkc4o+dIZ)SkKn#q>_uXc@KuW!~nZnMnWm^<+#h}E3jX48K=!j9o@hhhR+^7>W ziA-OCpb9^Z;&L0B4Lw&4S}6l6l=^?Y^?9jwd@4~Cs#8VBk7c#PZtv&j(D35^Q}RQh z6JAb|xlcYH%VbnlsL7pim)V2qk{Bkp5R+k&xLx4M@eaHw+wuvRbGX4$tGw)yS5vWj zXA$eJNBv{ABwiCqKT8=WFnj*c!q31rR9F(F0^jPGu&(yFAmBZITGG1}2WzMtIBkqF zllvOoPy2RdsYG!@LG;@^KGNy~D1GhB?Z;OvCn>Z+Rq?mkuGG}SZhOyS{G4CCST$Lb zEiibd!%TCFI3dDn9GSu|^^?7Y0*&q*M*M3ZdfqDS zBBiR-WkxrkurDMh=KxO2Le~*rbXcosLS*JujS#`zd}NISnT<{`gRyA}nkI{6rh{<| z*S9x1S{%Zh!1MGcsAb{#+8WoNnIa48dGdA(n&}#8??h0yZzW?MNqxWk=VXTzfd^bh zZs86hB;dejwjC$kNE}M(=U5Iy%L1IB7rYG5I`c6~=!>6g{O>cOD(EgYqyXR{XX>z}gz%L(YRNmzFxxN#_chw5JfkESRB z#lo3EfMyyzg(TX1t!K1yI=&Km<_onZm)@^q;Y=;+$0B^nat-tkgo;Dl35{0NGq`$f zJ3wA)vV3(5m(7*}wHO{W3VSo6C5x+{;5<|sf*iF!O!vy4-m&e@CZT1sA`n(dj9L@dze&C74KMV8z~QrJ z&uD)7G;mn1_2hnmI~6-L1YKv3*B7{h3Dyn0#&Y`Tn<)KINA91~m1En{z#sNEW$ovU z8|rJ*SB85(LkWd1Ob=n76P5%0!XoNRV7eP(g^d;w(6EN?O=&ip)FJAi$C{)Ny?wu(n>#-m&J zPL2w&%_==%{mxJmM&u-F8|#9 z1VpzgcciS9g`Ig*Z>OhD&K&EsX-F%J*6cWU(C)o4%Zz1=A#ufm%dj>Ph_U4+gpdV- zhFBP#Fyz9(68GUyYn(D-``#dY{-@?`K6@1KrdPHdbiPYS4TXW4wQVF3_D;GsG-u@J z)SIG&MVu@fImlIK>)u7u8N*qJ`;1l0i#6zLFLOS@`y}sWRi?OyJ@&xwR4?dw)A$zD zrU!GyOljz(I$x+ZX4)?r>p^pakoU1XSU;AJlM)u9rr_34zr5%{)V@srnXHMp<=3B8lZz z0VA@Gg^pLYUyO0w@c?=4Y1BM?<8&r$TJ_7N^G#B zN+ss05L>;}YKO}=u&x&ssHj>y)KzA$5lm*})7^X?R@FP@Ceevd=0&x^iLr@l*a4ZX z3*gIQOwLroqSX1v0gVQX57`#-XIydvXs?y3x(*oHM4vs3zMTG{;C--Wg~H@=S~9Rk zx=j?pZJQ@o6~+Co%=|=ZR}-uR$7$%;J`5U3M-bFsy&9+{2?vh6obY&%*VOhk=ILWU z?X>G@9}@Oxw0@Qu!?i%!3qnex7PprI1zX$!vS<}L9;UJ;DP=imJio}#)kirnY~%gX zR}L7gcbr<$FV~8m46RFSx+>eSv4b#qq0Vs3m8k{RPLY$jvYcm#ixjm_pwtv0&SD25 z>T_`S+@FV{?W-*PeT zFdzVBKOrs6CN3{RuB8vUiMSs5{WJsr76EzIwnmQQD$Md)&TR`7dT{HRP1sAdH*J=u zw3CffWq%nWXe#}dM;;BVrCMpnZtqtuaQS>>JW>+Zj)OweiY8En!2_nlIs{4~7DEC} zV_Z(1A}lOd{z8lrN0o(cCw%#pGyZWE-Zrx|cAru!qJ&U}vS1r~ zMMJBEtf7;*y9n*3H`MB>j*IUX4V;fx4Rq>Ln1wZ8bpy)?de{5~S`pNzHzU{dXoB3b+CB+ z%d&4T=s#M+WM<=g7Hbv5RWEjTJa~J~f}ExOi5%4Pi3iwoNO0Bf!^*x;hc=K?v2s(n z<{ik54FPg5>T(W^RJ}LVjMry8C@a4ZpkGd1m9`hWRi9Dw0$`aWC-d!!5_<2v)pBOD z;Eqedk#<}Cij4LDx)23k6-hrM^~=uWF{Ck5Ac$c-gdvIZb)6;teL#GV@1LzQ0jZHx zig*{0UcIl6_5#yj>-t;YO6L?egBz69VQ0&;{yiYd^wm!?LfWao6FJ6W?zh?3*YLvueEzZ>P zDGeP{ZhlzYqO!OgpcHc^R^#)J+xL(JLN%e)aa@S~omXt652Aba0CQm}%3PI3G{r~a z1y|B^zPkr?e)8Sbc#3f(xn8f_NXNUTW=q>U&5Km>#X~}~e)C5;<{tdF(KW+m2(LRbIN%*q_HECH5Q;_jJrbW7h} znb1cwh<*FvLrv1_X2_esO|1uM)AySTF@ew|Jf_C}q+s(sI!DfkW6OlSiJg=%y#2Pz z@j5aC@iL8DGQoZZyx~;ZhD!%>T$Ua-ugr|=yh(`CV2$KAOd}N`Vmse<6wpTKgqQ8QSp=P)?RBq*(fW_$ z&)w0LHh6zpGDuYx^eUWU^|S4H#f`h3^ZRfJ7CPQSGH|rMIIW{!5bMYSxqpJ5&SGO0 z;l$_-kA>rto<${B*|*A1o3^k_-#l^OtC+;AT|o%rdhjGlD|&*=I9FZ*?PxS%prdhy zEaNV^-;*AO$b86V$>lZ2*J$5W=hNdBSW?UlilsH|QH=z4rBeuk^J~lkq{7+tV{keM7xeH6ksnc=;B> z5P>h6N9&uO!jL=&{>=$*#D&jbfYHfiNC4w&$W=^m5G3BN7iC^oI{ih z#k#BwcB%!q^cCa8{+z4Sqqr-Hb`wvD~i>~95!5jDl=X}ptVmSuy zmF++tSP@IB-f*-@h9WuUX@0fft=i`8ry6gsq;aKO*qIO@#$(sL;>F+t;j$Q1i^T!R z@Y(k@<(0Ig5Md+Cio-_F!(W$dMt@S8Ffk)3lgU+IZF|D6t5sQ04r?glZC3=Kj zU45GyJ163AE2FvF4B0ij2lyZaq~To4v|?XjQDPfC8RQfR%&oR55oX=(rxTuYVJ7a+ z3jB-)#&nNF8Bzw;{$9JM#B{56$L!^RkVJ zsmK~TCNT`!9h2X3oo)LEFP1WIkH(%7H1*R|4rYk{K-MXvsH0y*Tw42gN zj6x_mv(d8H9XwE+XOf&L`j$c+fLyzs^4zG&M-D(Xq;D>v*N@#gF-T-m#>v$~;7W~} z!VQWFzk!Z2Nvh_5z$!)oR+QwA7cxJ~aRqPhNDgp}&EE%AvEthE;ZR5s*C4H3!6XWM z)*=ZdG5Ql#M!b}72${C0Rf*^u+pDGKG$QRUFB{I_~ z8^Y+-@Zj`|m_wME4*18kFAfEsiqvZT@dfO_>kCs?CU9O4XR5)+#c{zCL|ubU^Mwmp z_H^-i@vAfxbptsSE8M(e2rFOtyecr;l$i8$|5vv!9=UNTr7;F6b1m>NTIpX60K`rE{<6HHbH86^N(F>ei*xdux zaZJu>8G?-Yft{ZM3ntoD7ZXepY90`MUhP}UkEQ$VwW?`)lIOU?4PSgv)p^KxL4)Es zptrr@WfLiNad2_0<8JS!cPa-|L)7+~-aQi?wdE+x|97_R(vPU6aC72-$L46}tAhI4 z<&~et*dH8E{M)BkN8r}O83^9K{zEDNaNPjH-248&H6~wL(H(iltw=0o2F;Z+?7*oo zfy^$IhDav|7K^Nr2%%j_%kWN0H5~=})3cHX|^7iVh%Be8DxORjPVb*Ig@&X`-O#+oD%9 zcELWotor@wUFLo?fK)#UC}NrtyTnt-%6gAS$aFP`Lz`_Bo6hZQhH2bWe)!UVUe<5T z%uc0k;fK$(RqCEY2qCV|vp{lP&;rnhS^#YG!5SnSnx<3d(R7FF$MOm>jk`9ae{VK> z_3~BPMw1b}(I5j~=e`M_-BbgC?~dDWo$!}_?fsArDf$LH1}Dh`PD+(V9jor-gJ>@1 zhR2cWf#*^ua}KWWLzUYep5T?B4(O`}c$$wrNnY~(_=EikVb`D#Q$j@FlwN4If8TdW>(s*sd!;Ydy3hghPajmyJcyXp zuC=M!X-5T!z=(?qG8dYrN<%%avNyBJO2;b)H;QivWlfl=5AE>qXC$0e9aG3ui^S-2 zx8|~aL6Os*FT$bqgK~|zW)bH4X^2qzcDgC>Yug78$B>QHw?fJSKJ}>=?{neu zobu3}M`g>8%2UsPVnI707Fm-$e}Ea+hOE|~Z>7&R?}l93*7gj|n_IEqU3b5kHq}VH zV^AE&0t$MRUbsyNKZ&)9(|T+%BREku%2x(N?OF?Y(07XAy>6C+P?k*Jred{?K-217 zkTYx1=~9+vLg7%&FI^wf4L5q8noh>fyJjDRJ`-BdW6=zJD|I58wrOfJB*aW(ho@ON zN(AI|OsTL&N(w7MJBQ7&kk>W&eTs@6DW?_|`ENrhvf|oD<{Dpoy1q;Wx1bL>+6&-N zQSMx4-g9$wkvodI)xr#WZ5VC7j$sBJot%j)VmYSJ25uavF-dnFv)yT{SCsWh_07kr z_wl#!PY7ciAVtZf(Bjhc`39KsE*t`SINeaW5h>~lQ5e?cTMEm*nW_!`>c3E`TiaAw z`_lZjN`Q>GX=uu(L5qRZq+`4&vR|((8wYuC_I~R=>nO_IIm$I7LcS9Vfj;?j?OS}R zIJbUb@-}_UIy>GlY5C@{c%3f8|GceR()~ll6XjbC?yZzP)3*>`BF@+lgjOj(9*g)t zZG;fb{G7 z2L)Kfzpu9@!le+tNJy)+tsl}tcHqZB)^MZ-vLen>B^qVvh|QDVs9TK>dN?#x5!$VP z=*qpQeq|?vto2jBE%(iHiK|X!o&&k6B;FU!-PLFhFvxejYXELn#nbhGA_PKE5rwAY|}Nsa#=0iDKV{{hRq zk8kq?nI(WNo@lZ!0IVXngUqcUQg#CkcoQJT2kGH?B8X~nzVwtj&>{fB?%DWOKzW_D z1K|;~gr)xnOj~>99Z;B00bJn*z8C>?ygSYVh$zM+0sqU*=AY~TpV@!D@9!?kJ$PCH zp$@SiP|p8=sEL_r6Bn7XqX&t%jR)t^IFrcJv9>imdB?ummE3CZ2({LLv_$2$HZ}Yp zFd1p%Li{*K;Xh#1+lFR`6XhMa>o%N&yi#@M`TO}J&TFoU*7syP zBd&Bvm9!c*oQvs(iBzZZwAt3crZ~KZ2wK)}*6VyWLIJsK3&8^Sl4%uk_yVuVIxr9=IOz%TlgPJ3E`eegJxT z`(c2JGef^JFwv#ZRFa{eQd{?ACgRbg9sJ>!B~Q+E(v^OoKb`WF*p~*~n&C>4_^J9d z5?@9Eghy)N9ExMX@dP){KqprQ9ISQ#l6+2t@nj3grU?2Hfq9I&wx1kDg`Wr9D&HUnrfLrdVNxW|W|NXc)q3PSPo3n5}+Z``LA-?7#5r!bE$WY~Td zRJNs+uiqRFBL7IldK@gk#ZO%P+>>g;kax4Z`-5+HN)h~axX4LjB9MD?)G-1j2H zyTDoeou5AkPb5@l&#$rummG!xFG#Aj*??g4;9P(dRC@H~$fcL}mEuUKS49SU%)jip z?nOqSg1m#2Gw)w*-=tM_RQi~N#MTRE&zy(BT43izxl4cv-i!yh!z_ajnv8!JJC={a znX8}{hUO_DThUw{BLd&{v3ObI4xk?1OBQe5i3Iw!vh7P7{PT521U5T%tXaog@GJL> z>szv5%Q=OcT$;K5XqD)i)ZPq__R8%%>FQQeb{(}XrK{-fm6-7^S|u zlj-#S(1u4`fxq(A{L7azMXf=$djDj_-dh9s#7QBlGhde3TN)p1?ujaO?JoD6IO-Ep z){Rz}>_xBhP28m^_SMMWEwSvPbjG32gA*9P_E7f~N@B%Nj$Ez%$BT7M!v#^s^{-;7 zVVO$R>zW1+_Ex#xeZ9ZS0W0!1*YWR-;}HBD)WD8_L|6jn?sWh~QJXu)O~)?Tei;sl zP5GCBIq>yGm_;XUqfizHq z!szNO?6609*t?EuU5Hf|P48BKJxhEncoGIX?=nOBi)R0q%mju@8bId#xv>=f<(02n z(?^=~DahqOxD;p||KCkAlwRteSsPJ|_Y@EG2${$AC2+lP33J3_{s+8}zCN*0jOaEt z?tvj4%Ltgsb<9&uHS$P#4|JrSe!h465Ik0)pQ{L7T1;S`04E)}^#&r1D$PZd^)r*& zWo4$MS-RYu)o6NV%`0L1AhU=DwP7MH^M@+Fsfg7< zxsNBZ(F^$4RUxPQ)_DpJre|WIOPliU?iVO`SwjO~yZZrP2Owxt`c};7HqbcJdT!h5 z2n?Tr;3^_HS^(#jC)3JI2Zl22sPSRo@+=v!v5hdUfrX554WGIqDLJuIpt2*QLsF!_&J#gfR^)=iv?F+5z}DKv=gN z?EeEMM;u$z5@1F1m3oTt18?}FNa*S!9_j>>Vm!6^sW$AFAU00}kwup_8wXtfd0+&4 zzaf8KL)2#Tm2MIE24Mb7_Ay|f>AVUubNUnLjY;GYKp-TCpuewo;VGpp0QyCo3J8dj zf%pB759K%e&xQVTNB?s+ih;Kf?~u3Ry$O&GG8*u=B4ioV&Oczv82e7bI<6xl&(RLb zX1Ikw_nd%2zs1y)|AzP|4cE_C@9qtgc%;COonv_b5%|(M0FUIFq~L!7h#hd*9R6LN z@Sm9^1ph~*X0`hpPcgIUekm*4M!0_TN&%M!*E`xFW#2|APVvJTTg|JggElEOwYsnEv-rXriMm&!1;zYz@f|oB=E2a*bt_@H#oScG5^QnppY3G!XuDptpT}I zQ$cblsIr$x@o{k5q%0G%~5=L3LEr=K2Zb!3#48JyoM3J-IU)?{i*u9 zReeJpg6rFql?Y=IAwMKYTkoKS08*3H4bJxfP>Pu2An+ejXuqraplry>VY~mOWg-DR zg6ZrSMc7z_h$p|4b~m|$H3%e;YsoS5OEMG3YT_LQ{BO<~IR(X|51)}N-F|n=UO^n2 zGA+d2Hp4$=Gk+C)-M8szo&joj-CD?B_*}$)+!?Q)qc9BR&$kCug8#$L+|rd^H;w$W z?J4~8oiUyV#h#Qu+n%&P+ZoEsfkP_BfA2D#U%sp!s$dNLgbJgCRb9_VZ3AfI&qhY2|wTQ%7~q40BbB0h%pHETE>mv~u zt!M1)P!hWe>H1dbL$5;5rhz>-Zd}duxzOsg#ZpPa`M+&Y(x23q{*rS*)*3^VECm1b z3Sda~=5~?S@IzVx?2>=*#EJiP?Gq4|#n0NwU?i#8FhI!bHnuzmQOgV*$Pm4_>_ldd9sud+>BL5cfRo z;s7o=AZ3!SI!)o_;X9E$a|Pa25T&L;z)#Ye08n=v?<>yL<=TOh9taqA;OYe#!+-(r z0fI^TEgZV5ob3fx2X2--pw(84`UmVtHycFGZB!r?T2jadsSl)&=SjiGz$Gn)m&7mU zkbQm!!vFk;|ED%8efqZ{gyMj5LiI+oKVY5zVt~JZowc5B4B(su0D!0j%g=@Pg8Lb| zEuLbmz1g?BHw%T@MtYbrHQHHn*4syVhhW)wL&5k*!4y2H7Q2fB%(B%0SSsNUSe z!6Bdm`l@X5*e6q}0B)1+}W!>w7I#Z53k= zJ&_RMz5mN5Po$)Y0^w2>LFQyyGo*1KY}B^Y+~RL{ZBPLLzx77sF2wJ)QPBFW zH*!S~{XuBjh6|1o(+hy?8i;Bkz6@UtAl=4rCU~yaTL9{Qz<&>iJbuf{-XG!UABEwJ z{?c(i1)d!Qqq1avLfSlVyD{Vh#4iWr%bzFz`3e8#^!{($rEoZinI__Zcs@r5jAH1k z=A7mlKr+qZEMlkrW~@=;Yo<$5NJFplxTC};UXEG(lg6R#F?U>btoHZ8meM&h$YeOv zL;n{jkgOxm&szYr_gn9*02_PF@aXp0UH>K|_;)B2!v06EyuUGvkP8y0C``0QcCNaiDgNAQ6S$NL7OLhWY4f4fH!jfPL|>x z2qY;`+jIjbx(z^i*7Zh#LjRegY*!zTSov>4pcUiSD_#njwvZ-;>#fT-VrC^VHN>}` z;~oOMczpbe0_Y(Pqrp16G%nLA?TUE##Xwl?SJ=w9smq!TBz2Yr2;nJk4^~R@O|Q!E z;_=DAoJ)HjPS-SKsfRyyJND$NV#e*n=ktC7#rQNTSFxA_#Kp#uOlR>p6$#e12aU|7 z=*1!^j^X;rcVF#?x*oI?E2`S7w(+uAp)tNH;{PK}|E(PRANjXyQwN5*_63l(>!`W> z1(os&_kb`LQ|D|Ws$c5zvev`Lq<~OTmipb@vf~riA1PfV_oCRJLDcX9>%ci3fZN1W zAv5*hTh4JUpe8yoo+OGrwkmEuwXGo_zxDn{n=cPNv@ZRs^IojQmt2K0QCt9)(>^1{ z*6V)+kq(6gKlDN8Xx8oN06LUJpJh0t%Y}Tn)F6?X>%BH(LS*7OsGk1yq?+m3vVHFEH|Gty!IZ{di?%e%ip0N( zTKqk@;9qi0|BZ0UUm0qp9Sz33&b1?Cn}Pf>805X(zEZWLUUxCNY@$BaO&3k~SKpu_ z{^o0aM40D*xqiVUbgzSyRgcTNfL5Pe;r%QVY-*I)6`-EwCR(slOXqzDY@=N#P7NZk z`*|f~S|M&n^Y6h18|qct5#l@js#y99HqXZ*3XiMHbsr=}Rj7{}ql#ORq&G(&>4>NV zh}{+MK|{vce35WQ|Hrze>D5khvdmr&DBWT^600^ab#mj4{!QmhCiGNSQWw7zj^C7k zsm2oLoyY3(g`AUSoJK_2_ z)8Uz~7iz|i8coODd*m*C8lyk_mxOQApgpU2XLY%E;zvngc1^69n8U)nzTlC730wz6wqJ_MP zyKCJrP}{pTLbEIlV10SFvHRf_&iOk&MIr0SrV~JnzYEL#@9-!gQ<wo+qNWFU2Bb~}6{ zSA4yP|CBV7PoVei-XJFuQ0h_Ks0v@)-h1;PP7b=o?&g&ObpVAgX>CYo*APL5yEoKc zd^3O8RQF-*sNUHfb*$z**wvDAN-_L_I!jKfpX?r`Ow91ane zgtAZ4)U(n`tg&-t4_<6|D$$)cXsU0_>h7jOyWlC!JGf_t$=*wl#=hGZSSAdgXdY1A z-`ku{X|aI$!RL%C55pl&ljRSMZ2zuM)zy@(Uz_l3a6+TdkSZ9ZoJmC}6zn?dGE z*Qzs-ZJn2znM?Dng`N*3mrx?xU$eRj1+>Ty&KnFeI*V-9TWJdkv4`5Hl$pSsZfGOK zAg8YW<3mOq%>2C3f`xQlZC#YQn9|91xicveheV&j1R}M46JgpvX4rjTp&{Jeyvh(| z1mpSbvhU>0d_Cye&U|r*Oe?4x=jRwU%#(!TS2iD*;Dw}oz?}0_lv{*99tKIAcpDnh zO6w$!l{2g#ah44%EH@|qfED=`kS@6>X0{!LjPLM%$?SVF2)er-6zZ40>@QqFK35_og|&j z*tKp$bX0|f&v|*&P+eM!)+`zYwDI<@`Q!-#F4=8e@mg8>`LBJf|AoIXCJU;Z6I@|lI&K@18vvSa>`O7; z?RARYw;H}`*e85uXrt^g?GD$k@A`EyN;+JL`OIHnN<`E|CAt!4hy^KnR3g`jSAbLd zjs#pCNy4!qYyiVdBd!_4pP0=jhhEk9!=+TW=#LpjmFvWAOH(9cbU!&r1S6 zHxIrE@3Ywn3|d`HTJdyb_;n#9+EiI_UE=Z^2H{DRhKoofJkVOOB0yHx1!6W@V4cekks2>X0mR+nKdXK((-JN9OGfN)pHf zl^wiP(2)#j^fg4V(0q~PxK8S(&wG*3J+{~A5;n=!&RdOQAv~-h&U<*}S}!k8@AB7+ zhr^GbhQGdlQmC6;wY1R76kva1h5!Z6t<*^ml&Q`iu=A|2+gz)4Ls&<8-l2%OgUI9e ze~k{V7j|w>sW&SYQaQfHcQ5$Yy$ z$EW(x+Q1g8@_^u$@GO#i%O5c3*>Iu^1l**cDr=@~DKW=y9zc_Vhd1l@kXBq@D&Fwz(2Y8^zSS={Lf}||HnWw@_sUk3Tc5s zlYVJ(9{hfUP|ZPmMt|3WmtgK;$dR^c-IOYiTj1A*&dje_KO%%L20y%p7%9I8l>b+! zySss%gBp`~+rsH-mxXlpo>*z!HtWKy3^(x}rBmg$^M{`S4U6u~ zT=oEXRYT3)XHYpC%gcH0`1XonDb@~3xv-s9f)A%R?Y_`=ESD4CuX3yo^%1uL_^rIG9dztXii8hny{GaG0TZrctg4d3uevuKjyh;$Vi*{i>La zj`s;AtyWBy0Rili3 zZV&F|umd3vFiNk~LY=ER!j)pe*;fZ{5VwMc*Sx1*V;J{|j=jBG5{g{|PKxE7b|1E@U-A>AT8`w7FiNJUc1!UzK>bMkNRE*;TrFHOi zd2yPMH?2Q*N`@s3z{E2i|Pj6AFqBtVE(jR z-T|620lWT?dx}Y(7i7gvpD3eOiX8!iZ>%|d39=%j_dOi_^Fhcd^>WJ)v<@0=J|4o$ z91aUjJ!U}xRcrHLP`OAn9Kh1&O80cZw*ZIp45!44$8DcA4I)Q2x-sXD4F9Am zbf~*knqR1N#Iq0X{gz`LZxykHu-Bcx;QC3+VgAz5$KoH~Y5z#;CfA@B;LmXs@>cRC zZi>@DvzY&sIWxbUQGV;&0=aI*!I|G#I4m>BFu7%%IwGgDL;aJ-j4BLw6I}ZM z&W-wxnPAtlsPkz7Px)_|-g>GzlZIDL-p2r1EL_+pgSnZTG)+Y`Av?vgf` z2F-qiam3hw-WhfIdoK$(clI0bcN~@h5H!JWVnEgxV<6uq?G;{c+Eo-Ws$)ta?;%-v_(>b4WwnD4{BQ zeuWc+HGub?s7Mc5I0PpXh(9ui8_zo+n;Y^1XPS3;|)4sFQ@9zjZ zXPrDooDdg)W?J!5=BlL-hdx?aimF$s49u?gswz5X6Xq9&-u~|GCYFrdR`zb&$6KEL zgSDy>?L0UvDj-k;7lU z23a`|`{^G1GF+K4XxlB{CU{P3tpsS2u$Cv-F}!B{Wr8%+aE=$F*DQ}Qqc24_I+S5d zPOwZm91Ks5E;f2r%x2^U*)0Uk2hR`o+efj~YNQN%j0TUY?k*6dzCzFn2@>3093_0) z094Ez2c>w345|ba?yes3*e*NWO5=WIyAZb7sp9Xl25BZX_3&@ym~D*>#&=)rJ<@Xs zUh&g~v6^Oqz_%U;5@9@*ey}KFE?qXcSzhl+fNX~uGH%o~|6UoS@7S1mA;@_u;_!F6 zvAv?qmDStRq}+FtdpD`7M>rJXM)<}fcv{ICasFJ^O*4#hKg}YO zUR-1CXw(*TXW#7i4IZl*Vd;@D3r+#q5U0)`2hxl3oG?re+jHo~f~13ZJE7W&>tEfJ zbD;NUPTQPp4yGy&)$a^*-}#YKk>F4UqD1XA`9a6Yq9coKpu)kF>;N(U(5qFGXh znZu;~Ji;ei{{uc3Qcnt_! zdtGP*a4T*Jz-cqF_O2xowJdO!SB|HZ;iZ90 zM;wxbpZ})W;$Y%1Vj=L6>&U80`mH-=Vxn7aSCV&vp{!ehp0-`UHmacxWE>jP`Rpk1c9L};0Ohk%+=*I)qX9yd#;Og?R!}ERwFxs z`WGoy^84;iSiTW@cM(3}!52|DrHi2N;yuCpPBFN z_Pu)wP7r=vYb{?~zapK9IW)?cySa`B=-Sk%>z4}pt zaBuOCRH@U|V*3$uMT)7so!iXS_p<7Wv8Z)c?wOwtq)>`5z~z{BJgewu#&54g8h>6Vugr zy@iU)mua_hdnVpG%WaR{-(VWilL;2(&*wO$%}M$#A4?mVUk0f>H26@NpDh)UAAq z3)06ZcL2i(6WVh<+Dm~1M?R(E2;1pS5J_fAc&OB~zPJTOWZ#*%%rGy_3C-b2@zVZ) zT}T_7W2-Xr*l|ph!|QApN-(|#>p6|sdak+j_mVeYDL0>&9tc=eGhc9O+uz#ZUzoT? zI`ZMCV9LV@p<@^F9ML88)+HebLpUa>DVCVb31J_OGw~6PrU|Ic~Zd zoH=l<3PwQ!({PE&a8qPFPKs;C)Jx6SWWDyo)8D(6gmcnS z?W%~6s6fLOErB*XEncGc@$Upinc3j^S_(>Q8ShU7rE6j2`dbm#k5hnIc;aSqj>}oVArl^_|}~kTJ65G-6ua7d1zauCulT{{G2_1 z_FzxLv*ZxXL?#-H+1nNebOLT+FY^essO~{yMeNA z*q2-M>C>dg?nAhvS9L!XKf2Zuc~cbjn*|dUgc~%?UI3sbNFUKjjVQEA?sS9@`zDhb z)vWG+%z8n;vtBkKB+1ih(-v%LCYE#U&?H*N;daBe6-0~pF9-C(4iFX~>9YJROhNMi zQ+ECW7@)nE_YBNA^MO=tGrGI+TYowj9%cpoyiOildNR0Iw+MADRHSXe`Yz1vtmU_R zpetGdjH^xm7P~e{vpN8Zb&w#IHUtcpv+JhA~7JW)r(EsQgRB$ADz2ic!^g8D1 zJd%S4bKB}}L^$=dCOv~tUCnY2+%VJh>|}5!S7T4pdm0zUZe4nBm(agf{vfG!H?4eT zdqg`DC}qOIY=Aq6+B#wp*X2d?2zDLIuPyx*)3K8*w7-s0lNF48c%4(uku|sX34Lj` zGYhus;>a?&%@NWH>q3m(Vr+@~6DsCb!!vBZ$*;xKJ4RWUU~nc$Ef$7(@vi3|QuCyo z?iFK`kDgZxSha#Az4XY7@?-LUsjl=$IY!+%1XT+Bd@CyVg_1-Uybjw+bx zlhuPaJY^kUjnqcCoXk95Tm7;or6ivbelEtAk>WP_fTpEJIf{gVd;0|6=o40qm%_DV zY&L7hsjy=B4M60gB_}Kf>xO1G!Oy;Cc~u1z>ehZ%yw{u1ITU>O%GK!f^h59)v+iHh z7(tT#9r-G+V^D8gG=ik=!nCyou-4-{Ew zvyx4!-Oo9wMDuDRiYCTg-$yoHeNgb@x>D#|dY#RM>Y1-lIp;cV49Pr27&BzJMAt0E ztU1Q&T8Q zU|X7;#GChhkpLxfp{FH-=;A3tf^UlPqsvADk*9}P0XkX%dlK>pVnut5Ht+VV8sP4t z|9T(VBb$!ak)%@lu}kRnszn#h=eTWIUmpG(xIw|IyvOF698(WVZ#s1Y)H5yfkYneM zuYO)t0=a(=WwNV6%GFH1Jq@^LrEa=5cujzKAM4Je0+{el^8sk763T9KKl*`SRTaD3V>75@WZj%&m(oimgasMpo_%g8 z`Sj2^plxBW-@%b{Pt5NUYcSlOEw>v0! zy;*=Y6UEnp^p8O)%$YM)6r;`8+LTY*j2gYoVhpnTzHGSLzv1bz#%nt^RTKf42D~Am z4&+k;>{S+delrWnrbDL-FOctbVV(&P&rivaL@&=61^qqgR<#6?d!kpYeXI#{hPVw zE-^v*i?G$lg2Z_8Wk90&K|{{lJqbvM=D+9fV8tZ_BdURd$V8h=_i2_*g1)2J=9D{r zp)FUxp0jn5i_@Eq#F;{X=qM-+l(LR-k5CXk2uN+}VF`xRsbKtekc(nvd)eUQrbO?5 zxs>7;KjSvyJrk5tW>6?jee=Xk`@1+`U5iXG3)zkoV`S3W*Wzc~BS3!r!6|b;FbMWI zN#ScTiBLVE#p}x}eewtFtdD=0BuoIkTOrcoC^LIrm2((3HMP4A8UkzZ&S*8?g$@De zkN8-2`>MlrdWvyDyXAF8Zmk56m;Ek`L#xMqynud{p<=U;nVFfN>$4@V^r*B9o29nZ z5)jMwe+W8r^yKaZ`*MelB-dNd9M-{6GDwAXdTj<22~)rH%L8F99VK8omOfgX%9CW?XX zjJQ7S5^a8prB5fsV?8!Esu;b7kPMH!_4-@IT)7X5$)sd+-5YmrOHOuGecG@N`+l8Q zKb`O~mwldDI!`j0_b$a8YeUA#-t_Fd_Y{L$DSpkl5mqti=@4>NwdRMXqqfoDxyD%U zT|Z3XS|5vV1yA}K6Kw@5G+ZgO>B|b^6}{zLvr%*75?5}C{laN?QV_ziI!2EBZNKZceC>M?RIY)3tWnm(J{8829qZ`e)Lm1_N^_qVCrpx8 zI=7)8OJHRal>=vaJD3-eL((h+OG<*0N2A=%((Q|p~BHR z;hH(H1%CkY{}N|6^WyM+#EI*zYzt**q`1%c;FdX#W1GdE4~uXI7Cd%kyM_Iv=IQ9m z?4ez-yK-I7`Xg5ikdJQaFQju$;#>EIn89Na%ro+YF2bfow3Gw0d%^gz>#vhzh01q5 zpc%*8q2GqQ1HnY`LkfeZ-$!YDLakn`4oOk8Wo^m4{uqiH9AE2N7OHK0*_hxPh4FDB zDy6^LJ^Rkq=R6?4ACj0g<;O7cWGEdt`KFrT8*{Ug-{0}O&g4%q z_j^aZkXc1~t3f`D@J3IN*m&NnM)_L|_#GEg*3G`S&~d+Hb8&`!=n&R{UU_u?Ee98e z`@df7M}&0E7AbYjA9yVO^7l6WCY5Owkk!nsI4Akz1UYgrJRXRH&o`Aq;`Yp?b}g)I z2m_%6Jy-1Mc3XM%xw&a@VIe4ZtNjhOwNMJ-o$a=y19#utK7{a?GgbDsdo}!ZV0%63 zRu85ypE00z+UV3fSL?;d#k1FvrPE`_uRc=X8uA|f0lSOOPM`r_5_-+e({z`W>QS$@R9o7@*`quta<;MS z-j$Q$v$#Zln1vn)J{=J#Pa6j)j^l*u55sS`09i4sPg8>MbQxA~;T3bAX%te_PEBF5 zGpWM3)Gax zplzQ=$2Hl_1*7b%y+Hwcje8t|lf7?;D$l$RSii#t#tR+*brlPie#%OJY%_ecW`epE z<7+05JzD5-PAxkpFwlQ_toHpe`y+aiCjO*#ug|34)qX{s=|0YD;u}KI44Xv09In@5 zBNV3J1&3q3SwcWi2TEKUy`^g!AxqCE_@JMIT1m!4!=$Hr%I9Ubv5Btly4KoOrn{p@$*q0D+bGgS4 zW9;hC2nDE0)E{e-KO{6?nrfj63DJx5VxO>tT!(60jU6s_w~t@0zyB?mah+;Ks5Tdy&z`b)Vblm5d}dNUcLEmi1j0@ zG!aeqBW}Q0z8$_HTzX499=YvC0W2dz;U_UY;PD#~x8j!>C<-$3{kjMGis@n+CY3DUQvS7nyqh*N-_Ih^ znxD`K<9$QcgjV*6`rcl4E#}6@pReaflEawa#dP+%Ilz2(T~(^8Uw@@V>aKXvEU^^< zX_C6(ZTmQh5- zsQurI&X=`132$r?1wo!n65Vm(A^36Cbm_&Tu#Is+y(7uqfUmu8lXtVg1h^UY)~|Ah;Ujtl6KX4-!3cR=){qd}J z_I{{`7V7Z)fR6|7XnGq+QGZ&WaaJ+l<(Uo4VKYtw04ABQ|8|;Lp z1|~6jB?UnnefYz2-$U9h>*&*G5$$?~7@r0kIi!x|aOP*3eX##~9^@oJKvfA2${PJJtFEf*Cg5$S+WL(V^B5kZ?4 zp7xj^+^h#JyUWRZ{pOZ#iz5>+Q`7`G^FB5>6Ad2!@XgZ0>#W z@J7nD#myVvIp_8ofDr0sQ0E6H`kkPf+Zso2fhu2|$^jk$~%k>xc~CGl)Ak&R`@BDJ@uf_+GR?X zBFhkO(Qm}5crXYOuZ+pq{ z@|q=aoz&XP7D~+goO-V;(rCVX{+Gf{ef>t{iKJ$mP`}V|69dgA7={e`*ff^f$zwy) zgeWi#vwv(5F&<5y$^(iq2;m!ZmPP(KqqUDwUXoe(opbZ`!!M3x)mNBk_UFsKKW+1H zW7iutA(HSSyA!bmnr2@$U202%VNC|G2$n!MQm)a3PK=dNz~vQSaLW-Io~rFPeP+fQ z$qUMd%}~=bQ{6J%Ig|0@QRW;x_nr{w5RZez+VDz@Q`V1y%N0e}1dzlA?Cz%F;0*19CuS^1gBu;6PS|=+ zF|7`ckB>LhUlDt;sc;(w>ftK@>3wgOHogBg7R5~J#0yy{VWc`FFh9C)#-;fUr4~QK zBpoN+4^Z$;+8onM$qfpM*g4sc{j#mYpeWFO-_#smr3y54B=@t6K*)lR#s7-AiW6+2nbPWB2AeOC4?$h#YqEaFmf^-Y+`PhBv>BmkfU(rc3lV+|u^Kw`l?jM$JjRc|}R3Cdh3 za2h~8PyA#(?&sxrQ*iE8f{eYQwq64-26+*YKxwcn2SW>cLI5GLMVKMFz&rA89*cB; zbJw1V6t>=?K8y7{dWEi3ELbwtyubErZ1Aot*&Or%wJ;`_8u$FpScMw{CyNcC5_&yq z%A@)x29JyydxkbPG{p&vW#0e4Xl(8B$^TZ_``9$Utl*JDh)`Ouj715>uf?v!)!&DV zxOl@}ch=oApsP#xfm{SY+o%uuQoKyQIL;cW*0zPE(2Ekaw1DEyryOyP-`UYx1C2Sj z^yhB#e`2ocFf4hC7?xBFEs7y*Hq9G$S zbcL)!b84=@=|VHK7fld`UVAfBo^AIQ*Me`nG@ar)_AXkx;TeNpy|B;Z*M1=KGspb~ zF*1N~fM(dsGh#b{e--;j`1KGFOII5+Zd$4bTtRa6MpU1ApBr2GDq?DuQfpW?FQu-z z=B(}`$ANn}vPe|GXeK+;iprUog{k=OL^%lMmH=9{AYY-P`2+i0VXIkNer6rg{XFe+ z?tlpwhz*eqd-^euHi;2OBS1QU*%B4$&MW1r(6R4{N0_Q@6f#PtLwWH1d8gK|K!+?#B-M<1?+0xhj|}BEdO8*E{t>`({fur z<}qXYI#gNaeB}->co(Jd4pNTshubpE`(obDwkCeq9zWe{Dx$ShS-CZ$V9-ie;z0%W4A z9Mz=IOPHx-`$3ftuzFP2zLk_sOI~JATMJG>AweZ0=nISG4@C?lA3U1bo1HLhs%J2^ z+lo%Ar2JK(#?>)Tb`l%bz*9JDMBCF}s6+Kj=7R{Xv9dfu07b>3zrc?MS9Z58bqt)G zWKSyGF7&hu95VN8>FZ6#nf7IrQLHVoX%qHA5bo< z>CkJdPnPidVqS4n?sJ%Ik?pIQ$VA%)m<3W?|d zn}s--4*g_Nz~BXsVPm*jd?n_!ayANyDR|GTbiX|au&to}YpLJw!$Fm&)}R`MV}nT4 ze3Y^=CEikd6vMTyhBQ{X^G#~NoZJS-v6~fgAuid9bDE}PnL`Wjh81fYk z6|p=8aKo}X=14bUok=0Qmm+1t^Z58G5+M`Fhc|F66MSg(LB?5U+#EwIqs-Sfb$X}o zrO$rM75^rj)(DTD-&Hrx2nAJ7XU_mq!l4XGuwiKdcS&Y*OlZnWoi~jlCj0#_K8tmc zoLmVBlFC;k4=GFs`YRb9XTrC$m`lWh>%0g*mG>T2bn7g zVZ@DI*ehRL@)_n<;UU}2ve_j~(jfC@epCPA<*~#K-#{0;jX#3l#nfSxa}MIJvAk}Q zo$_j$W6Tw(=27ttpYs6(_ilZoJ20lEqz8kOLe*$;%?=R`EH&06$XdTCp zKS`-eTkAs2diD|}+m0`sy`Zl{k4gZpL0GS)SD(DbRscgj8+RD%aM7X6Qw4XX$T?{GD_FVy-#bA3nLMkwaK!!&K`CYxNpE0xJ zl&#vQpF3Z_8v0OasiTL03`e?iU{w6;4wILEz@G7Q`6e%MZlHjW@S|#CkS86b6hN#S zVd!A4mV8!@FUN#bPGhldefrg{uQEcclb&9TavLVMyv+-?NjOVt(UZPK9nxd`TeRX)fZent@SU#8qhUn_i;Luh$ zLx%NFBb-RCIuFd=tTwA@u?ROB7%0z81Mdg)XUksd*bRu>&i>|N3)?G@%<1@lq-aHg z$jlLde;H24vZHvt!Oj=?mLka$}`T-N4sszrbHMw`&)}&D7e_m`I=Nf;r9C!b7UwIB$IHvXHe$=&X|c z#wrob*aLE03%Vg3YCTxbvW+vW`e9*otSNo9=lJ+XhZJ$J(>(!Z}LlG7Bppr68C`MiGfP(W^LeF6a(@ouw#0%^Wlfr(__5U*`yQU#GJu z7c$dQOK67_m z+Jo1H`L?7bhG6!0{sC*f(|b0#D*2U)MCv2W>Cg8?f*90>8~Q=VHIj|p7Seah0qaZM z8=bJru*H+V{fu1m&?F@wUGk&XCUl5=X=!gK0e}DUzB zwcOlw?M&{vD_1id0pE(w*W?~zx^^2TkU#Y~Cz+)x+a|Q_DVh7y^0DG->#K$*bxGyV z3cKSk)b*$KZqr(T$@B$5S9{I&q_kO_r;{Xyn?3O5J{yY9UwLrH0dpnB?%sxV(HGBM zK488o1iSQSJemupb~&_ld!xRSe>J0;e*LR~PRQmETg4q;zxE2bz_RQ+H|KK?v-}to zjuoNnfceQV=1IQj-loggBa^=zb^1vSlkI_i%&&L7_Xq2@=>&ctG@EF>7ky#6sY%?t z5GFiD0`e7ESDN#3Y4sve#C%^_AVu2J0LoM0OTlmA#l)}Hps0j_AsVt}JoNqE-ScyI zk`%PVGn+clkTp0PEkP9=8=UnRArJ%U@+(TA@!nsKQ|$7X4*2KN5-5tAoi2(3Izg!@gCld4gr2&giZN%>fRr!gnlpWJ6>1{9hFieSx&E&p1UVw z@Zgc1fMS=xtL9WKscq{tRnd%m*n!7p>jsWMa5gvr#dv^~h$IC^2G}x?%=+#Qt&SWc z=`SQ-j(q*5eIG53yzU8YySMOKH!>E59|a-1YURLr^9Fu|C`JfGB@i2k%Qsjmy~+}# z81D7^P|LS&{H}NlFY&v^g*M_Z)tftFm%kkv&V2eHXW#RF=aZ=EdExt|52IzoZRCLi z+EIN)507o@b~c<3@V_tEJsS6~zlGmP=<+&%kVbl^5=N<5Prx$u_ zW)ogO^`jsi*WQ(Al(Y$fJir${`FOENl$BF?B@C&I+di3^0)}}5&XYDplZHP9m!7Um z{GoCf)-2P%G(7Qr&+_jkQ3*QBIR&MhgmmaUy;D#CE2HN)hK1=iRJxSlUVnJ+o}Ar= zU&Ac+4R3U9iYxZf#HOW_d6KosfM+|6peisL?*|OB)FiAAvs@GWIG7laWp(8JB3_p{ zM;!*S7m5jYlkF`p!aGE*wk!niT*q#dgMw(av$bqcf?^`DIkQxdA$rq;g4O-V*4OJI zHc%268_iTXM`nW@df zOi1wZ%^N$MS6}44+Ns;Q=`bubd%;TvXe30iD)ib!{=VNn^A^O^wzKRII#O1VsmDnWkrE|{wIuFyBl(6<wfmjoK68#xlh=eOFpmGs-_i&Y`2UOn2 z&M#bx|L!O0*U>zhr9;XTt8j6X#||p^3{y8a%Pszs;On#vh#M8|&ex_SwVjOeD_d-m zU-d#QDc^jF2?`QC;$iQbbMR4OvZ(I`*yh_P9sV@2*>9Nx)P|nU6LkbHy$rQXxqYAq z1!;53yGY^>TC#e2DGeXny+8I{)3^Y5S_sEy;=Bht_n{d3rj|o?TDoON*N&p5SJ=wD zB7kU61kPe@sYL~sr+)Q~zU8{odDm!-hEl-8@ygL$a#N|P@AJI7S?e_RcFihlP+cb? zwkABp`q?%y*W#BMp&apT(v!pe{aP{eBHk(|_C8D%Jai1^atx-bcOrTpMGms=F53Ub zz+7)3$jEu(`(VSavjM*f+rm$eZ&BPr&vsXg+=Tx5gI7zSPJ+f*tC?|Z%7c>7hPcio zc|CK*Fpvof8r{>cW_m0Ob`N<+JK3dgOZtlbwF4NQN|LCF1g7nr17G9^Sd;WU-2Sw%gjmD)lyQvZBVACG)rOcM-bU3CyEG4t((_>d4Iy?8(zlnW$vGJd{FDucLA# zPPiElOffL&+Kyl9qIQcrhL>O7ceI>qk!D{(9kb8&%&M-r>qV@8NIM|h*l z0?ZumC(-kkI9XMq-dhqcLkp0m99|v^)BPzweg}MRJ3;PwQ86j8! zb^%K}V*nNR>uUwGe8D+^w#qeOp}SsXE}^Bru_Zh<^m;VqZ4r1~-|}kV>uxpl_bUM7 z4}ky_EVKoRV|voP3OL)r=5Wf`(U3Nh*62`I3hB|EvNfA~R@CfBy1aO{SLl$9Q+3(= z(+=ma7w>F_PcN+!(kSaYB>7{6jX#jFC^fK`W9z$ry|%O|eTcsHtIc)fZqCbwf)Uwgdk@~OFd_;N3)OAV7p9_ou$}ZyL}2OVE%e@4^h|Z!?iBy+_zeC zK5{+$&a1}&!(h99TH(s7ESR=3$9pInJJcZbkvCxlBS|DBbA76O9ZNr-GNYnYntS5y zMbqV_jdkvBN14yxY2MMy-XDT;#Dg}r!MO`TE}-FwtO9lv%OICtD-jroAF5b9ktBEV z%=KGG@0lC*J88wcxN8P0S=HVJvve?c0T{(j{xqR}B*QpmZ#mPiC&;_6V<$^%?A?IU zS&y@F4efH$(zN&5wZUgvDs=^Wyg{1t>ycDqa|TEqc7d!pNBLt!u|{};C7iiu8CZjk zNH5MK3cSV)OdN;`WbBEt>AC4v{r94NDrZyf;wK;4`uez}ndbzUQG`DufzTBo!v@w| zTZ}YTW274?!58=c7$xSoo*v~i>&*JG@b1haDp)x>4Ko=ey*>Z4)rW#`w_fdf5FW*r zGbr`n!dJyfZ3TSx5v z_uBg%((_O(`y8*06o5!YHGC+_qXIQ5G>;OR$9mFz+iRxXKcAdg^zaCHvZ!@tP^Ify z?$)K#r`Nezo@xU3iZu1Dhh962;EVaO;Iy@Y5ppCo4B$Wdnw?0&wkY}#vM0h6$bsf5 z*jYzr;DCHN+GIKWQT}BG`L$bpS2B+v14djP7MEnE8OxIyhQeizQ*txr>9 z{)ZjFhG0=)Pq^9R5eYk)d_tIK2giQ;_$m1kTP({I8MB>)MSik5*9;@03pIslzx4Yyd9Cl)S@! zS(wOJo&#K^scz&AmK)CE4d7+h4z@^tu*@ATb0027oc+Mh-+F7d;#q6UZnNIB z&F2MPKF3XgmYV5mNOoQ*?_P=NP=o~hnBRc!)&eG7O&>zigKWqFHC#OlVocSF=P{Oi zf!6My@qNiNa}Se1%F0jiEq?B{4j}!_7K&wRvPrC|KKKUy>mo@o)8EC~W6NxBPC&U7 zSjT+p_8=uRP?mEV+oOW_i7ke2vKH({2lek0sEAA1Zb{+n#rGf^5Irbz0yQ_KW2+}* za~44(b)%Hi{7x}_^U5KD9YF~iJT*>sa8T8Fd2YKzb=-sG{kaLDkBs!L*K|jluh21! z3RWxI4Juwp)1>!$$w3u#=Qx6hxp7dVzNXb#@spFd5SC_dbN;oYl366#V5smOi|kqQ zxpX@F+w?s_)wvah9tVT(Mag$;9^v4yJ+vvnPiNVDavQBB(WKu5huY&1)dBh1Upp!V zSLe?X{HHCNh*xD^?(WfjW{c31MVUdfob`a@B=cj1EWEHGyW9;fJI}oY`LJX_pku{S z<^Z}T04Yuz$LLoDm*0F9B+X`piM%}$I~X8ud$!yJMbBOSz&S(ca{Dp<2tVqzp#@T+ z62u^d{uX$=IkGyiDZi=xF}Ag@#bPAk4_IS4|8>BY#=7wxUxO`&l8%nQ+;!#gjVtR| zwTy2p04X3j2SJ(Kuivp9DnbcOLo)2l3GObie;tCnF=c10Y9WjrtD_Q)DM1ZYHTDc# z4>y)11vQ%19aem%v4{6^_a89V2+LgWhXJN!gFI=gAV0xtO>b;=*7b?qJS^~L8_f0F z2o)I*0ud1z@}zphE<`dxbQwg;=~2tmzVFXS^2nY_tTNfk66}x~t=TgE^?Lz!C%~Ai z@j2fF3}$1NV_%8Nrcy>-U~;QO28I)e9|qx&h-h`z+5iKyj3m2yA7y@xEAL+W^^sIz zX|@GM$lid(g0^V$B$whCp?Xo~E1JStP^c%b+^pg~bAZPUEB9$!7DBUl^ zSn%G{UsRitHkQ-}@D;~*GXv!HvYsWZjivi!);GX#ByWxZev-&MHFsoufXF;{*TgW6 zxIyFInE*sRK9f-B+dFSJEsYXaWiCNg zHFO>gJ*zvpU*E`nta_obzM(nsyhgl8Oo@N-!$<2|JpS!K;IHhh{=y5ll8q-RBB`~= z)e}hX430TmoUoYUbsrAQ;QwPs+I=hXScmQobLPZaEDlpPjaIfPzr>D6&wXsAI#D{& zR*dd5-W_i*b))OFpfdOHTQE5MePFrzcn*yzbdSZ={@iW2TH zTYNJhm(W`)=~-2`bnD=imhi;yV<#xCPtLC{-&4}0_Gv5#$MFi0)RH32G5iiPet^V0 zj*%eawnFt+=ugM^prsOi7SY_? zhlwL95-vpnm#G2TKzFSI?&woy`OVPwt>_`lK$DvEp3^x=?7Azb`@GwlF8U=ay?^F& zTO?W?Gl!71tSV9_PRZ}oR;~H>x?eAEaEms&-G@KSmHkcQ3P#Md z@A@QKkLrp(^B2lCL2-fgK4P&3#7V zk)kAjkV}oE!IMK#F#miXN;EEn9;GpIdfdCN(Q|L_qbbcR&tk8%e6X{)A_0p4^6Xr8 zCTnFmk0TFFEfBiVo+?1tFmt$k(F;D_sI}YE3*9pLAP6Mmt!~2(iUtQCzpNVZqZWYX zV+LnlEkBDrd5r1P;1T!no_7An1&$Nhb34MP zCsFI$L!`R9oB{}iu0l1SZW02WH*)0w6uk*M*vYqKU3<=2>G60u)?g7a#vf(0X$pNx zQd3#Hbn)KofYBoBQRdq?q^0C**TVoZJ@WgERlcTX38ipsu@^p3hYLVa=9m3FSDYn!cm{WOBoUm+@zvN@5=Pv=DiU( z{&9_Y6{H|U253ju0Fl4Dr8(AIkC{OY?=5hYTsTd%zkPgydpM{(Kt7y2nJa~U_Mmas z(2nG(Qn!kWhZFB)W~Aa@lj<`D4ApRs(Df21g^hw-nHp2HIbO{JFzgp_WOg)$(No&Z zrU&GR@p09aBSAqSS57QB{7hAl(z>v1;O_7sgD=X<2%gX{%j9E|iFw^-49?l;k zGHZdTIA)F3R0!B9q;z;Z8JHRZFT%cJOWXcx&pr8doDs&nD-VTp0b!}!f51&=n?N%!jyKP@koyp)X@ zWWWlZ%gb!DZh5{U&K2WTBB^wnX=cKhOTZp zv1%J068=g4(A@TLs}E0I-w_c6e?koEDWylkc3}@gm>#6ljaM3bV=`Y|<@BVlz2p7y z*l!NT35oPn<8-VG5JTjXRPm;SE<0`*E1Z6@VSnueinkIiV%{7g$)CrT}6R6*E)Yr$9pB^}mpF`!dq3LN`80A9cn`o<+hM>Y_F34n!9gJg zjg23qVfs85g(yi}i!d3|4fBXs_WoS!GOLDxYt(EjAFMi;@*=ezd4G`p*TUh4> zQbsVz7nRYWMuKcvgNK`p&?d}pq8w)f`8gV`36duw~E_p@7gkHJh+ zd5F{l)LO>3fdz!jkZJ~Kssp0LdIk%Qx}M9lTBzPVy|Y1c3!yP+YPojuVp7UZUK4X9 ziMwY3B{>bXcCE2JYO*WHeNp9s9-%LMKDA3Pk@Epart!K3OH@q0rwATBNGv#a}YYW*&;`&mMG zBb{_4h#b*tNpZeeOD9GX>ca-_w6N~6Obb{tbfKcJ`8%Z70l%%`Vm@-~$#C;a<|T>J z=rKzvLf1aN4t|s%ZA>7y^2Hh-2Xq*-PTvmWl~ZePD(~_I^M&h@M=#~9Zv0|aa*oz0 z{=~)8^3d@|CsFn1gcLWgn?5EShaX1P@9C_a(WISC=)%lUdOR?3-2LV2M`r?9v`=|I zvpz2Q&gl>JmVeB-Uikjnhr3g)e*>1maOgkzyWF2Xw}66?l?luwX8s29kNkB^$$tp) z|INwH3&A-AwjcqJ`4nfC(S;-+nr>yR;Of5c%65Ud&X< zK8Jp{?LNFKmQI=l=8EEXEj5AQH;R{E?WSFuRJ{=8Ku7irN_E$i3kF_fZOC4q8ufS! zdwP?M#*xPo_(84`G{wwiCqhA`xO0#yU0HGaS<;FkSl-x z9q+4WW)EF0S#nx8^Jpe+(0e5Pu+|&l<@U>fP=1`*+OM2BY3>O^ZcerBsheFG8b9;CFVg?4NIx@eb?S2?3`e`p(ZmloBjs^hSS_>NEoUeaHAl2&t@LtpRvqiA?aw9UM~%B6257yG9nAr{Q7NX_a(s4 z@Q3Qbi_J|<&2bL)JH}qPNJ&}kczr-13(e9y&U*r`6xyC%kL;m9TSGIb#G8wH?oa}| zU}^_$r1{c?+n!iWhnB+%VUT9`g8Baj;54zXo|n%T@mKf* zCXe6yrHLLSP9wyr;EE%!mCWdPe_ zp47iK0EA`xl_XZ;aQc2KwKpsgc`yxCai_bPA=qf4s1u7G^n<%&wsdiX*%rTrJf!LH z&Sr4L-BNZYs@|>DtUMX#T64y-lx`>$sK2%ncJ|1X@iVUnwALRA$kIHt*>&p9KZ5GQ zT>mQcq?Vk|Jq-bx?e<^LNid-vT0rrTi+>WH{~MN$e=#i+{;SZXk%@*hJ+E;CW5+9G z@j<<3UgWQ1OC~u=4>Eyd(}r4Ey#2Rh+vUS}btspu#VaLH3)M8+Aka4nR!gBfU_~Dt z9r{T18%Po7$I9lzheD{Wi%H5BUp5o#?C@Pimj$(AQO|)1VIKwXCKj2(>}lqY??efM z+6JQRXUV1xVtOxS7oP(Gk;Fr6?7$cB-m zD!v8RbfOU+k5Fl|2s{V&REnssZAE8n_R|UVy$LSkn_2pWZ+ytkIo_E3HU%oc6TRuk zF2kgJqZi09@6rI~$H>;a{kjISxZzj#pK!_JzeAD9 zfD@Zmad?3UZ3}B$=^@0_et7p8Rgce(7_2}i5qIw=ZVo)1UixenFhx`Rc5bJKXWoff z2k`#c+w4>x31=V0wC0N*%$c;5Z7%#yk;jkEt2gXXQyI^boMCWv0=7~Z46B{4BZ$vn zoNd3Qduk3*SGz0@6hk_rCQ2P@){oG5L)PZ*HNeD|Hg~@qW+)5gueJS3f1ob;3jXVv zrl_EG;)2Jo*>1H>%yMws#TWS^Ow$7I;?HE4l55Jo*=1I$)up8kdQE#D#H&{*YedVP zf(eC&{zD7k_&=ADznb|@aFD<4>0OCGwJ)a?sczzX+KEXARR@Q+)bx*IU&%gtT1M8}E@rC!$!4jBd5xJw z>7d4{8;3S5&9h&v3br(~HDvx)>>Yclb!7`s>{*>YVI{0SnTD4SBt9iFT)@KXnkZxa zmZ#>3XCxvvxV~;<2`^7o&dWrWJRs~2;EF#wBfqmwsDQKe1Amy&YpAkQ;Cn3Fiq}N) zBhALr2D#RdOr?X-%$cS_IznRUO=CRiexTH52k**&r+oex4eqDnhI{e4!~_=Qhf>Ca zIVl0SvPxqwfJcPh$oTLFEU|I1qmN2~VSVQsox$@0F`HVv@+5up>et@yKc9#jvr9HY zA#m3~@0teQt(9f##>*g3bCnGWSpxK}dHe)AB{8Dz7S56>n|6!Pu=3y~>FqJx%QABC z6~bg&prUIte*npJf+rB_ol}55&EGKDY`B=^yb#|Wz{bep&aeXY0(T!8*g9z6c;ehx z=vz)5*+WR^z&B-^pN|CIQzZGo;R5KDGEntU^Y3+^jFiNg#n3Grx(W4?36Y=N^lbX5 zEv#y;pu}m04SZSyc|NIwnSH~A6IuNEmu*5rWsvxR#^$GW^*8jZcQAe@j45`W0zzq_ zLoP7gM=(iPweEinEB*`A_`fw8;qUBq|7j$zH)v_hm4a+Jhq=ZRcuCw<=GSaGaU=Q~ z16}dC<+XT7(C(d7MzG7&W4oJXrb=#`Bq9oB*Kt<~UGjhgg}gD3U>WuyGL+vbk0iHw zQ!%L^RCf!g_l`+6y{~U`&$3qC6&k zAmIMww6qC+hSssArqkmkw`a^*Nf+D~PIzc)T{PPuaAkG3-fA;n6gWF**bdZk%m9O* z^b2e93Qtkf%m63`=a4^wd1_lEpGCVb{94MWQuq1U(i^b% z%AfVViStEsnYRaqK#MO86sL&gk~-)%Yy5a@Uw%>U)o%_oibRh>Hf;pHT~l|*CA%z| z?S|FJ_)q(4$I1WA%!dDEnQWwcB*?&dIgc6q?HFLS9KiZYxOU@BSp)s>4PXodIv1IW zIe)YCb}B{YY{Y2fY|b3#DULT6fugqGV+C0jY3^6z>qKghQybYeLB;c=v2S0i9N zZRjeK!Vcx7;ziuCaN0*AZ6u*%J+tqrH3p-U;yhk8I=%I$&#h=Ldqqr+tD$C-dtQgm`zX3$`PKH7SKb!KM%(5Rz|K<2But|dv4_hNkXca%IXlJ`Kt9+Vjg z+(G`tvk9C^wMlM2O2sKleG)I>&p-4_zc*Y9HdUmV>>0HC^ZH(6k65+!?*)EEj+(Tq z^tM>kCgLo@F;VBEFsgIejFJ>%nJ#elw0nQhW%TXuOkl~wfiC)c9LK*0nMvr_$EbLE zx}BIOljj6O4$B70N&Plyz7K=J*4=RR|1)MB8uEM9q`6VZ4J%xo7ft%y@Y^V?yWSQ= zhd)Nr-XoqU@Z^bc1n9Is*txO~AkfEAvS3F10(s8xmp)Pqw0KpcApcJ{a)VCDVWq#ZCgkk_Pz_oC8J%%dZz1Tnt!=c##hmRd7S=joVn z&@S`A%I8D9`X74pl!6{R8NG2WBy_2h-rN1wSoxt?;Nf%LKssYLvLSjOO2FgYG-PQ1 zwB07%KXlf=G>yO7(tkhpt3=qT{{r*-_Z{M&R71l168r#yja361+PHlCzohW|9XbKS zkNin2{Rb&Ke^D#{DO^)OmzxC`B^35GggD{*$M5Sn=4N8tNhp#zK;QZsUAhS6vA}Jy zC8cze%eyceKIdO+VbO;djy;_)2?*9NzHRZ~9aFD+^-u>P8 z{))KtkGT3HMw(+teyC_9SnAYgh=w%M04Oxh{l^zcVtfV5dqvyEf=sLe#|dcBjmW@s z=N->n=9-l{N32j~Bqn17(joCSt=uF+_5lcP8cG2_L|VOvAxH9GI(HL!uU#4XFdVqe zlJCI76A3~AB_UA2Z{}qF0ekV5(9?{h0*c#r>kerGO}m7!Y7$j(_`m&nya&#nmj|vs z2Pw+e2T3ct77#IrjSnaATLYDAvT1pArdJl*cg3zQ%EmuWx0PzMFSyzP+W8aXFLe2Oh)^%MQg;iW1Y#_8AZJZRxqj$S@J?=I*z zl2e+bqy&aDy0ruo~zE>7ql`C zz(+I4PG9&UBb*&QED63kPK@dMz_3RJB|Jj+L`iVX$HVmE3kE!57h6n9OOAIc)0YD7 z$S3VP!9iV9S4v*`IE%QVlJv>xi| zQBz5Z>TIiT_p#{tNIFZR)?YJy3N!)uo!C2`+Wn=p3~{0o_HriYMHmG>B41iMBopQ? zy(*HNITCE89*xK1Cs3*cY6hu}(yQv+Nl-vuL`mCA7FNypOJRwJAWhY^ghp}RAzQZF z4il3mHv=!f%nOH8v&KHacI-k0@LwT;w2@jsXsnGjF;xDHdODGDV`1FHy41cLG#IV{ zw4j6GQnrSLn4rkO+8v6wG5I8eA3jTEZ6kbfR8(XIzMm*zqI!5^xB^1eQr6kr54so? zF>@C$n2CCJA=*+G18rU7%1p?%a^a8-Oi2rJu!Dhj44(KYPKT3)`68_kmQF(XQ*57% zlh9f3>)Pq%EWpKf_6ectxh98Tc6>UqNz~_QyyKw$vgxYl_7k}7;xK$ZxFud~=joJn zgsz>I=Ggkqp%D2BG_%J`eog+VVj1un_D~Ae40+YCYyS(8_dyH!zfx#^-6IJ*@vr#^ zXm|eM1g-{=dD9)b^ttFnis=}+FDQSyf2Vob%{zK;VhrTCY=(jcA@NWTF2oq?;bG@@EZ-G zIt$>y87^iY4h3PD{gWzi$rEp;&FwUe;c6qp}$ z1)g01KLh6;%Q7(-WKyhs4qSFiY2CPy=yhk^x3XByA@CX{;;F_Idiinz9Tgv8F*MhH z8zRyDI`fPXaF3yI-5!zFx21LdLD}!aP`gjmrGB_8iT>`&SBm6|qW^$N$P=GZp)Jf_ zsFW*@i@1+9wC5;|#eE+C_K8PS!`#Dvv|QO&JG?UM%x>jXlLFE<0O9;?a8c2@2ocZ< z`CBNQWa2XxMpU6%d(x=>{Y|lts>I8#Gp<$)_>bsUXZm~io{1-CWIV^|(^^qr_m#N=ozxl}6z7K^lF|Q-;<->lV`Kr%B(OCl>mn!o%FkRNy z1WBvEJFZ|tmj%#SCg8L{XXRiAI;%j=iDVd5`@jAt_}V0D1yKNG;eerb%+0_KIY^&| zkia?|eFnMGRAzukMPf0B9MqO8QGva&u~xOgzv_dF)di#I4cOXv{1E6R%*XjZ5j0de zis=FWX>a-fxLKxjf#k|imIU7SnD8I}Nv`l;^cny268-p>K)ra5Y(_4}GEoZy%k@kS zFyk_m2vGhMhYWyAK%8Fk(Wwm%)rLK90?hjAmlQI)YtAJMJxj99Yb?Nj5VDryZFPmR z!Q+UsR0U)W@MsC`fJRFBV@>3Hmf9WAjq1SeFDG3Q!Q(3i@3Xo;&QTJYBE1n=r?SP? zrv|CR2#uH+E}8~UKZ(zok*DOUe$9{(=;o)x^=Z=R~-d6HV+!}lO z$)=fiN40ZAQV-EmZzR9Cj|VVLH)uj)7Qq%A!Ye{DXTBh~qhRvjA_si|jea;^yc`@H z2H058ru$V9zS-Jxw^JYkB2NJ^16F}VQQaS~AypW@?>H)*!~}sxErjo92!0t{(};mT zV8)?@|MTnrKlb5#hBMA`oVkX)bj$VFSav+rZqmJ|EYTuY|0H9C^cE9*(QQWqM{(T- zbn9S3x`?J=aJZ8C#f*=(d@*}(@Kk$&Sy(ysQy&rd)G+sS>+kpEm2{}~&=B#;@@b$_ zZK%Hg?rfk0TYL`V`uv%lL2xyn+jQ+sm3GI;EuWD`+8j6nzypBfPe~?-HzH>DF9>%> zg@cK>WQSG&LHZN(Q>;0hP%^ia`^)&M_%@$st&@hDQd&=wM0O$atTx|BZ{>^o`~lNy zrS1W#)7=!_zIK>DP8}!_&?7VT>{}ptDHmMj)&^!xX~4pl3S&|nQkg4?wk#XOe_oR1$jE%FG4_KfxwI42wd=;kxM{O~X46Zlm=~8LV!w$(pfC%KYh|)}*x1eA z5fUav$khc9QjxF6n&Qi@a21^SDH+QEw2dEe`vdkdJp(#PVxC3*GCs>`v1Rt zIJF-YKwPt|H(Q3e!rd&vWRG}4)zsGW54iFyWDoDcM@K8mmp*h0Tleu#P2<4^{Xz7? zu|3*e2V^T;b*F`wMp7sOV54f!FYm_426U)GGfa)Bg9|+^cjPxMBH@i_@kIlzrpybT z=n8Wy4J)I4>-V{?1qnTuCYD80`PRHg{%cQ;v?K?v zcg)y$>&Z9s1sx%~cg~a1NQ#iEmX+4U-A{zvHUn>C2{Teb#x#fp2HV9dcl4$PZGGo&X~I;ieEfwRY9IVi@2t(L#kD!#=kXR5nj*J1ifF3e{;~4^#@=z^ z?~k4Yu#T9H3}N;i<|nS)3j6LjGLR&Vb?&p=f!N4bY$MmkhN9EpPH11V=36NNSFcB^ zhp99--?P4W&R`d6ROoMP2ONvz$=!6Doz1&zPGCw-()IQe+L+iMn!UNDQ%Ure5PqOuS7P{pK!ph_6)!xJ zgeQKCpFuVFS4E0bpIBy4WsgT;eC@+`{t=Ufs{Pp^>zdn6S}^WNZ5%M&MGD_{ECi*t zND?AZ8%S`zQXfYdt8fMaVsfYPBN(yIq|IRkX(##8t@@&}o>mr`6D?{|Dh z>iwq=gDG*-ZgG%q7HUj#D3kl>sfrgN>g`O zaUa>{>o$RphN+Y%ae$}Ibl`yl*#ZZ7*4jr3LK*f@Bv9Do>lY7eOf9oUB8>yIs?K&? zKYKjsf}Lswg?S7C_yBYT2G}zN-?0eG7^;P}?Gu(lqWSi+&!_X#tG;b5+|0g8e#OwV zmd(1Uu|YiXndmTzV`Wp4jgR}PHwm^G?`g3 z5bgZc;5e?Y>zjt5lHe{m=1AYj42bwG2;$g6hU^AN9MOrU$kld55)!;D#&Ld+zOa7< zE|JLMkKVlt&_91+wY~L7iS4JGm&=8&7@>+QI2Z6QrE%MkYBKcPo-*d%4*sC!ZZ)lO zr+7o7=H*YvzVU}Xe#$U>Jy*2rD0H0T&3{2s!yUlsF!#`jJw&CYN*SkLesSgwWr0&@ z=_|wM8lL4i7C)c8@#TONf6x`#BsA5-sXzvKB7rV&yK>dTSBCKl9)%xsLMgh2+e@QP zi)>ZcfHL0w_|EjE82fXUcm8JJnhfKcfyWWCniXWtf2j});Jrn36>@P=6uW;J zwi%`y_^;A!{!>GA@Vei6mnPJz17CnJGmX;+D0#uuay8>ePM)7ZafPE?dwW}u@wZ|6 z-i5RcAH#jsbsq_4Il*edDIVG})t?ayh`N5v-KxTU9g6K(WMD?T!qw`U%7E?ZB8@tR zdW>6)!<*~XU`zf2YbBwwji306P%X2$KmW#Pn<^azUz$ph%Bu#7)A^ct3uO28kcAI^T6f=9{M@zkverDyc_Niz`JZtK&_*nPh<=aByD zi+}TC*|vmYE$F-!2I3XKFG^Z#(k;9vLr_JU{_6J>uiDE3rXkOA)@{@L8T|3Z`MqeI)J#-v6Wc_ zRFS_;IO})`j9OZ2p=Q@4sv#k%(Gw*A5gl*$DwrW|n&=Uv&R_}lt>biusqp%`e6rE{ zs(950gbzeSBcYBmK!CIjL0UDM21IEx-5tu~ZQkh8xj?CTH{AYK=3Cax1H1B>sH>&q zZF3(V-;w@$&RI|ZMMTMj)y~%U5JbS>hbRTAF^Z)^-;>$_z;cb<+G`Sd(ypY$)i%Bz=^UABjP+QKPDmQi4U{OF|%ePN-GK<TP zNsz;?_2m1+$9ccE(pq4+%KvJ7o%Hd}YVoX$ukEqRqUr)4D3Fe1EN2%N#k<>P1@?x$ zywXct?XXJR39y^A0hg(sfm`J39=7q{85dR@N53~de`};#npRlYW_@l~@sU+KYU^zj z0zX?M%FD1+BXnt!&=zpEE=UtMl*ih-J!@uN!6LizYYHj?EG3C2pVy_+Cxxv+X%=W+7LX9Npb?V zaY)!_)JDD(d1jG~*SL#yLKB<3<^v+mn>JmUQ)wR*%`WY|ba7zt7JQU2D{S)wa^d*k zw)1{toJavQVG}SaA&HnEMMN5q@R#%^W=67M?WG!yDq_M$!^32 zJNqA`XNq+}t$!fsteNJVkk$_W-1~y#<>BkT%eOU6T{DIlV)RtNONM^I;l75o^@>M8esf zIhATDlduO)ykS&dAZf@^>NexLEW3jL)rd)<5RYxj9u0dN~o}=r#{Jziqy`KBI?&o#? zp4ad9Pt2HC=Q-zjoX7Dw-kyN&Tam^25S9s3qh(YLU&NWbhb zg*gU=%DYHGl{3Q>h81~Aqwpa-{JX_H<>A)2V|p4zy_57V)vb8V_1bn)DsUWFi^kRT zuh=|gO}I#Z2n@xdlSa361GbC8UNWp@#}T|Yvca*09^!g z2uho=nzR6+lw(N&PE0*BYGwz4`&+Z{@XNeO&gWhpGkwx+x63Axx@P|DX==+~&AcHW z^4f|V$Ze5hmf}2@RQa&)aa9Vb8YBsZO=Krlk}BFxfG=*Rr2AjdP| z^MSub{j=+De9a%gjD9!8Sj=g60RLk2N-R|YsQK{FfwAy_ABJiWkWxqLI|t5M?S`Zm z+WKbJ;1k|zeI?W0V?z%1>@}~4F3b<8Jj!{Qdrm-W*E`~E)&LM%Rnh}6yCCa16cfG$oCQ*xa9n;P{OIPuJfLJ>{hP(avmd(&G0pNhGCEd z71fRqXyO4c*qG^6lYJK5I9`J2X(~SYdlctV*EDSS>iOr3ddCHw$F(Mz$8}~sr-V{9 zvS3V`XHRP%UNX8L9mpF_d&B+e&HH`QC!{(p|E>uDgu-q+hDapqf@LvoT$X}k<>(uu z9;I)4fI~g`343tg8$mnW1e&HrR(xagS7A6{r}d|Gj1pw~6BaoN)(^MQW!=TL5co`EdGL04=RN=T-lnudl-&Z;x$RqjE_Uo78c*C9{F}t3@h|bPstb zmqmA`RCjE%>7qTW%k)IE0^*Y-6Cb*Av0pWJiTc0=k+AK=N{g2A4`-!k>C=>xBt*)HUWytX=Vy?nW7I$l|q#}VMvd! z|59}Rc?J3Z*qXOcJ)*y>NAiX_C?T9*ztH$kSibkzD~ukc;9XDu__a2dZ}&{CC_Tp}&C*h?T=${365hihd5`6A z4?1AawCXeqvHE8Dc?$}HT}bDth!USWFVWSKxpcpS!C)#4G{L6+Ut$mR5#x~8`o||} zQeavc!h}+-R!)>n1*5E}C{UHX3cj^&sdS+!h6#E`D^}IJa^Fu}edprM*(3S*&fGE% z!f7)Eh^z!39NBh0U~W2!E_miAELUm!1J`Ff1DVa*jRIW? zO(G?CjQ9g(_HXZ?%J3hv>=;zkXzH@15-XUnWQ4efqp*NeDUK-wp24;d7hrH4ium`p zur;_VUZ{;uoFlSagYaXPSO&wIgJ#GN;tc5ae7AN2koQW+PZ*E{{`-5I+vCjm0$jf^ z)05RPNL)x@RqglziZ%E=xGI4DFkp#hC{(Yp>;RbXZ|{vQdC(4mW9Zv$=Kag}y^m|X zjhsm@J^B+SxLZ25eF8KIl@@Vi28jZ$$+lwAKThaR4=#_JX(Ce2%|DXEe|9`vDj5Dk$L>0Up39?T+hnhoRrfu>b3D}Jd~O2}`ZRM2*~?U%!{xWrKMGY)vawGknjXz-@0S}i> zh71ogd}CmN$%9ocd=!nF;WZnb;`un`YxR4wLhD27>zvDD;LgZjjTiRG0hTbv6j7bI zVmGVEQpMbEuFx9G)gQgg@3k$dPR5_5bY<+0w5Tv%Up1khYFAN?DnGa52l%q=FQ5gA z0!@e-n~3xvrJ{H+&U76V#s_*-#yCeY8S6k+97!$Pi#547Y36)()B(f~TiBmdpj~ax zf04KA(r3HxgF5p~v2%nL)ksv;7zm%AsqOCHyXXQUcPm9fswl*!@}v`LU?vHk9#0H6 zEJHKX1NkQ+t=~+Y1eCyZ*&;DNd z3x|6ot~#99M{xVEt$qKmt$V*NQ+IzPzEZVq#c9r}o51hYEK2E$_w&<~LS4-4O;BST zN>E>ayYMCD&`5sTtK$5-huFqSemn%z7J+dSlA{L%mjF$CB^p>w&H+28gOwEPS@%e) zQ?~#{UyC^I2ukGif`&o)kt6vjqYD?C!ryrn8m%33Lr<70eU-oehkaF3Rgg&kEDp#V z4}6zDzQ1ZM9?7r#`S|52hC%+>N3aOhh2#qTg3GBN5#S@FR@AsZ**246M#EC3Gs#vw zo&yiRGZE&Az41I!{c`08zGRpl$j>nKl8Sf#B_)0jDl6io9`G=&271|47Q4^E<#8(- zoG%!6A-Ys^itXH`cxBB{Es$6nr1t9Y1(SByL+|QbH%+jYSz{owJBJN$wE%SPO&Z5_ zXd}5;2KEl28SS$N!*^Qvmb4-ii=fQkt~q@hRW`DoC*b+oO=Qz|)~$`#vbe3v#u-ka zPv;5;*^;ro%|Z;1hWMEH8r_=mvZ%cTx zEyELWqAXDc2Nd{%;RN*&ys%=F_p#n%4K=l;pAJ+PR4I<+n#s6*w-Iwc&b?d4Zk7r~ z(%nIMbLo>kgD4Z75neG5!vus ziEPCX%$u!UIY3o4XFdnjSjoi8^f^^NFKxva!3s0)qulP3w;~GnslC0No#SJZ3K3pr z_L}~LofilzLsiDbi|?A-VPj--z6BPrGfW>&^JHck?!aCwXXKV-w-#O~daY&J@B`lUYKq+de`;w8tNo-zM(+xLjLH9nFEEU%d;q ze&H19rNrlEZ=c0%qO8R=A!k>(`#TMVnk$FD_nn;3>)mT+_2`Jo%iq(D&6L%<&giP& zwRv#Y>)!}na7d}2@gNmsC-$?ok+!dl{U5pXaQ_3&Q<6hbIityz0&5-3 z3a_h%s+M?y8k>pDk7R0VBV<7Ew!^MdCa?^5nH@cZ4g(+BTV^2`N(I}UTWtjBpkypS zKKIPj-M6BuuILf$ANj7HebNYF_e*wq0kISNK3A)f z`Ph*6t7B>>F2!;4X+3434bQDBQB2n7Vfs-kInxTC%e?OE;fTTH-;Ll(!+>=r0u4>h znsx3~r8R>WxjtDz9sTiH0fk#_E_=)4hgw`o5?l20z}Zk%o83Nhdci1bD0znG+*wh_2;{U+ z!^K@Xuj3@%Ty(#fZu*Ux3mE|0P`ed2%G?>~HN~n!+%7?1t!y&!wUX0-pTM`l*<)Qc zA0G4F6gw+sFZ@ezt(fj`=G7ZHcIjE7xS^Px(xH}*=^I@&co;Y`Kuub z2;YCV3P+XOX1@65!f9!SqShw3IrU3pEU0b^=Rs;K;e@g~@ii7xE&s!o${w*lEKV2y zvPljgT-?EI?IP0LK*xF+$o*_eZ|VAF0v&60L0OZrx5*wgj#DOVJ1)YM^8T%A+q2?o zHi$7Uxb)R=v+VUs@@KeDkVlX}A3No3fs2mZb(?_)cY*)zaZM=tC94xvg=Yw&of{!A zi?dh71!t0nw=R6csxTi0_L5}Vw+PL@ViSKmvnZ-xDY5(5RkgM!(d9rd&7Zp$hC$P% zSX21QwUtJ)#R`fn#T+SYdvt;$Gi+A%j~J3$=JtoXdR z9T~y1SX+9}Embl96AZ>o{43-1zjYdi=(ILwJrRt*kBO}{xw24u@ug4R+aqs=z>UVk zCz}gq(E{I8+8h>j-E?y2PoCb>vTKejASJO66GZ)${5RL1fE3h3k4vz22R-v53Si~E z{0mYOG3e(_k)WXw_6~o$I`pJ8S~cyawPmHM8hW$v=q`fiFFosH!yU}*Cf{P#08Th? z%6hh_tDk?e)I}aRCVojK2&mL)iEF;t!Fj*1XunEX*qfg)`*psfJJwAj>0+!QVD~rW zH#u9x5a!ha!s>l5U4QupAN`t{d$sCCl)k8;4lykJ`KJ^Kx|V=4`FFNQ5BX8G+tSg` zAXk$r%0kSFN~s2&CwO_e^xCu2Dl0S5C(R_!oBmCb24Z}7~Os@XY40Mg;W9zOHn`qxkV6nrGn4>utQ zc7(GQ@NfV`l6`NJol1(o6S!DIS;9|iDf9X7Pqw0GdoL<$7?{*?f@kc>yYa)+nFMBA zDO?Bwo-;a>FI$leucC49iL(X12Qu;sza1^;E9?8i6z>A3nJE{CJ)b9=mDRAaX2>p0 z=flB%zJ8Z~nfeDg$Yv-Csq51)n3K?s zvh0B(=bFq}6|VC!7JL0q4CnoXIbAOC8r$Kga>P}KL;jqE*vVc%qPP3s$%6iG`#Jm} z^=+D*UF%Yf#=)AUyzwF|&EUv06k<$(lY8Tc=4)@Vim%EXYEADhk6PQaJ(cC$Ps(?O z85|_aOZFY|=e6NeL zWMv-ayON^mP8dav6KTIgyXmqF)hbAjt{dzMtjof^`;Iw&+`r-X(yco?@b>jDg$?x& zl6v%4L7wBo4_w{2iXeudlM!S|m9?5EqUBRn7P_ob?5M)o-MMn%7kZ5nTu@e&n#_#I z(-jwP&`bLdiDlR-uzlbDBLpfz=h?$o@!=pYSnA;|rsqz_f7E^d{8>Dgq4=Om`6Zk1 zfzvYTkNLZ=o9=~~gZ!iR8MD^@32Sm;0VU{H{!yw(u!n8pTjD@x=e_QVV!%G=;dS`;%|MtSR2kU-6I5JK}M>!#!Q24<36cJx=f<(qJC+!vRzatW}Qy`6Li+@rv!V(5hy$Gcr$>tk6iJev5MQj zt^_}hpBsx^YvyMJ43s5p zkAcm-;Mj#hFo_O!#XeJa9rya`Pe-{(ziV|lk{cS~TGNwJC;^Pbvn4Q$1M8^J? z!Dj7_BZZN-G*m*mYYz^!kLu>Xxl*2a;Q^-Y)1{`6HpY8Hn;&rmG~q39IU0S$)qx0W zW@F~e2l7yj=VHS!ayQrnL~| z44o1$kqOJpgoDJ9vMT7xaP>Za>HWDUl+ADUDBmqfdibDr%2sZnKNU&2K#aie1|2|D zB%%T&br56MaMZ&K7wSg~hV_lF3Xf)k*gu}+L&m-focBVnta|RR z&XavQK>6h7jQ9il#fbbKL{Xb_7vv7ta8JK1(dY z5!QZasg-pAM2o)mx33=gZp9O<(UWKLJ7wbQ7{zh?1V`hvX_{4oX`Pon*9{}YNPh}T zm2n6{p}m5rN*xniv9m;r=hxgut9e2W)LBRbHP(A3t%i4qP@4wR-<%%FdG#c#4^p9y z&5W%`%t+u8P&=B=KZS_xnbwxR=rRy!uC!#9HU7@uZ2r_cw!8>2E4iTp9Fn*j=h2Hy z!U1P+U%Dm(H>`n|Hlc*je1@ClzO9K)90@3M+1}LXhkI_RN`1d!egl4=Rxfm^MJmT8 zM>w2q4Gqb&!a;K@H5DPiKwK5c&VvZFWNP-}ozT)N681B{te9`)M+mja_G@LzcYBAu z`;uZVABHXe$8`SRau9SPE z^8WrWzu>gkRo9gbMKLu++1HUXNQR(C7oNXa(SZee9~A38oE7wxDl&KjM^Qvv_{F zV&J>I$S$GBerePVbEP?Ti~U}b>+h^ImxlkL(*^V6|J08w{*rY_@ZF3l#zQX~4Hpo7F1keXv0OUq+s>6?XsDLCU1@1$y+i&(Tc$uULkA?O_mc@dR$ z`@&^*R`P4OKD=t&%G3c^iyRT4MUWyQz6u?`ja!Zcw?h`hk+q?dM28FrG3d)V1r)nf zTjY;m%JlOiqWza6wtah5KDmXlheaSS`LqF-)bZ>Ys}(Ow^VZD53Y=V~-N8aM@5lmG z^t99arfz>PUE!>WdASV}{Ui6}ZkF!Fp6Q(JpVVgUmQF@fZP9`l9P{zaqo!2Rj$|BF zl)M>p6Io3@Pecu_jOjEcGPQ)nfz2gDuJxJ!cUpB03NT}9gbEBO=@LE zM*{lLCUs8P4nxOfbiacnqdeHxmJ(P?!Y210S5c`Is{lxAl^(4=Tfl}F+GsPBdY!Uh zSrsDXWK&bq;86WYKGDjU?T!3Y0QK~K32bPJ>B(~G^=|Ks?_z{DT5^Y+6c!C@Qxx398g{n()W z1_o*(;}Gy2_9iCbb~KAYi7gY&*_1(F-hQ)s3aioQIqKU=kXx;8!9p5)SzO5Rg?=gduW>{o)kzfE}L+{d?_?Fqc|8>Sj zXG4Irj0r#L#BGDx+!BAGjY*eIu@thbz`mtrpkze0Sw+?0c$($0gU!1r@1ygl7^k5r zDvxa?ap*hU2Q*#EuWi-`WJ?5piDwIa&|M_ovv2>7o0CBTr@59pF_UEUqy+68Fw`hB zrd_85b>P^)`R{NDselKwK-qUe>^RT z@HPv^y4!pRJ5H)FYt_rFfaMt!lE0X7K}HS{JLx8o={?Cru=>xL?0mbkU+p=L9r>bf>*vzZ5_rGq;VHlVwdUQm!^h zk_OC)bX)lo;)kqVT&Zj{P3AM8b|+O4Qi*6_4SO2ok>pSn&Wn$AlA^YkIzcyAgXNe! zh7}s~;Pjx*A)8PMuP6d?dPiakgjht$M7(Ttl1O`W-IMJb1-4I$L*;KqaytctL|nskFxU^IO1pN;v(v7=6c3tC%>43{5viWam9+YOGU&3L0Qc{LDTjORKiXd0PG@pr6h=04aO8~eQYg`QSpQh4fBr;oAem|F>4(->+~caX(dV~* za@}mpA#L3Jt0hipVzidri;5w_v5J^W84D*U3!Q7wp~8~6GXIOCG7l%rilAHHSlvdo zIWecqL$p$hRB9NC%5`F`grzXw^HsM1oUY7#iM$p}bngX#F-Dsr8l_2yM+jj2H9uqF z6nN~a%C-6WSj!}fkkF8jJBw)7qKVk#tMw1=%4ZxOarned`3z>yXOP56=3UTC9zqH+ z_7j5bTp&fw(MMDXawoIz@pgL5b9TNrDxPb0Ir6{J!XU5Xw9A&dg`cUm?-^zSWG3ldxw&mvI zy9QMkI0Rl-9$pRH*&K?jj0F`AIYlJ^7qboT_<9ZLl{%5v;;8w)jB0JuJj$>vgN%)v zW9Fq?w)LtKLL@>Goz*7vZg+u(p+44q=wK&|+476C%CUE}`5hDx)jkasuUqZ zWy;l`u#{#=D7M2+OO;71ToiO@ExtV}vTpD`TiG&{P2SGvG_}UT_4jkPNY%I%IvG8# z)YT9a5lD(5i7~tm78E4gXu@Nr;f++ODDJDlm`AHB-@ERXO(T>Hejdr{`BAw(s-8=hi# z1ZWJv)+hyQ06BSh74aw~15%IffsyJ@ZFab-HxoJO!_O>B1-V;q70>C&vvpyR;9Htd zP;pqE;KaFWs9i))Js&i$cf+l8t?-Z;iLaVVqr(;)S>0A18%Jbf(QBWrLwwnpXA6*t%N9%?#x(zA!_dZ4IPD~vC{-wrqwHAJ~SK`%# zmhgfWmXp0{`WtmM)BT{Rp$L^To4gdl)30?f`L_z-mU~ve=CbVa z-K!5ga9pZQn)HL-&5}T*lT@vg=);5Nhn`J4^;${~dhMJdb$dt~ zj`*|dWlirElf8ZGM{=bM>kgPXIW(sHO~APJ^~_R;ug?An)Szb z7`^9*m{YX-&rj5ZID81hUN}!-k6Y@*-t;6@FZ_x}XYUzaF$6{(za7Z#MsnxZIl+0c zLyia1jISgC0>^Mtq)9>JFp8D%I8iEy?+~*;5_wS_~K1-1yJDf zvy@rWND-Xxn&1+$g2WK$HUGe{yt=2-6N`kXvyJC*;5r(aI%nEu1)%&p9PgF*Y7HPN zOmSmxjNE|c6VeZzaUyV;Pz5F(2i+(PnniK@%YsiTMLnKNuRWZeOrMW`u*xgpB{@&z z#{o-W9Z;HT(j_HwSe_arjl!S@bCnH7=hxTJOvRH;D>2{Ae+ zv_ZQt0IVrNi4A4WR=&KqCEELP9Lk#Cg+Jef)|w3*fIrGJ5wzHlS92g_5Dzz7sIgp$ z*HDo97Of7tTA3$gF09(&;QjydaBz#g*-u*?zCm0(4Nujuj(>gBOt!wAF1j zkxlD{9Oy{aJD?{IKyg5>okRhQCOH{(k*e9d)o@sF1n?rXwKDV^9J0>nTChC!aPF%4>6*qIq0?ff z%oa{qD)l{d8QjPLW*KOSAtr}r7TSoD2y#(MlW5@*QJA6h^wT%N*1*f`ADq9@C>Aso zmw^c7Z2RSVySJXUD{^rXhrqpQ1(3q;>;5z%=v_d!^wB?Iy?0>rGg~x4=0i=yIK2Z` zvAGrNg5n6S?GTSm&^IYCSQ!slF}pZ|t9kkP-dx`Xt>^ZJ5HH_BS(xe0Q7*s&aw|iC zsYO!XobJi$*E(uK^>L)+5TcLBj8=!5_P6UciKxd^-?y%+a!4<%NzOm5VEHKNG1M+seEOdz7|M=lz31l8}Pu+ zcHo-(iR-_QGETeki%7iX9Bj+rdA!p|;ki(M9G-Hf|0B>rbTTdGM3Vz7y&d$8OB{n^ zJ97oA#{%_myMtrx%I!y|>9!xP|toZ2(KBcA(-B}H#0wjDLzPp&R%Lq*l7du>alPd^RiXc`lAe&eTU(L-4MeEX%e zxpRJ9UE2HkSZt2igcx zAtwFZEd+#QFpg)_;6Gvbe;pY}atG-Zj=^MjfU_u{!?B!z#X^kPYb=PRb2)(oC8X=G z#Nm(9!t8UbCytxWe|ivSk9IsHo4LH7(mzXxZdPB+!0}c4qFe8L!pc!di~}x)RMXcU z9?#n))64>tH&skSZlwv>arcRJ%>lk+Y1N;U$--%brEPe$h6PbD_!(`;awvfQ%ea~6 z$35V0x9dUGy*}mW^ZY^r?>d0RZP^{Dn+7rCngJWO^(4~0&xz&(6%{Ytv5a|o16@4v z%~7~JUGw$kebJhG_D%t!*Oeak_+C%llec!{WJMSjyF{!(tmuFR@#x4JRv6d;eYxL? z-*dSx1TKgjY8c7P;TY`d(o00KEpEUxcW)DDk3UvL#i+$!+K+sOD&Jbx29<7y;hrW+ zf2*(7tfiG>gKlP`zANGEL}t9cd2#)LHPFDm*5|JzaGE`Cj_aCdVkb5fbAVajtioz# z$qxWn1v+e){>)SSRHg9~1`+~~hK1%(6UWPi&sF6kD(9|IR`YFc#pTPszW~24oBSMA z`@xE1c(?zxMfsaD_jKg;r>DmlD@yX2$t1us{!UVc_xDc>(Y6PX?x-0tRBZe2aB$uEv z@@wmkL^6JSt{bZ`gcbex(VW_N{zG7nxI3l!X<%XJi%*LafsJP?mMT9^{+4{xcjqC6 zUCwm`BT8We$gco}dlhKsZ;q7pR6{;=q^FDU(CQaky7pv~bD4{`_A}YDBu(G%lSZHS zL|@;%ApAp)N}{z=ND?OvQ=qG`9{T)Z7ool?5pHkNv4iFM^6 zS5rmBRWtoXsy$ul%C}R3(&ai~p_6&oaikRXC>RfJ-&Lbsc2Uey+#xSAAxh4sX*iGg zD$?vxlWUMr7Anrq*D46vQ>r?H z#udC2v}r>`WzW|c+lhHE0?7q@Smf8Lx^)UQ76{bA9qg4E;p^N0gMO8nMtMHAI6vgBpigKV>IXX`T+0f zm(`Y6mR9bRj`pGt6yQOj@2)-QQuEa<`Hk~>oiTlmB6@a+#m54Sv1;Oq61wOUu&Gj` zm_@S0gPpG?n9GYu!zsN>nb%LQZ2U$rd=i$<=HJa+{=l^;x{RV<4ECT6FtZs3^i#o3 z7Q+FDHt{u%Bu~fkGa&6JF zwpUIn`pVxElHBu{Y9xUZL(V)mk9CBmgX$$iOX+W^3%;(T)+Gacu>SCmGe2$MSY!rPF17zyFr=La(;&>f+iIJmdE z#*Oa%S$bYQ?omI&ZBMjby*$wb2mVl(>E=Kjtc!btJ*GBNod-dEt&Hse(^(OHEa;8GurKki8 z7SX*jgJnha;Pk!Fkz)b}ntbJy&j+`ky)FOyle@)FGF)3E@1x58>9)NC>ZfM2cK1;& zW)wPnt!U3dfqi~S+%Ama^uk%I0OSsck3A&9^{+2Hk`A%w49Gd(()LX&LH)Wy@^Crf zDbW=H9a?K!n`pcQHuDhCe8f-;<4PG0X>z8-c4fv|FSY?}mH3bX1L;d2~*0?m&dLh@tw1OGSWUP-LX@JvnpBQr!@ zjmpA1|1P=YWSlCpdVwMBMdxKG`QYKK9xN}_tW)ZYWZKIi zKpy+eeWUmlpyl8UVf7NLJmNGF@wm!`j($n#SVv~(d=^6VQ|~N&_q<ohU*PH^&4c+Zt@1M&gn+BN|>6&1pR8``nPRyuv zd9u*~tFS0%Cj-IXtuM*q~w|rfnG2MT(@?`YIs`G-9CVc4{3^lqk#+I3&zYD(y1PzGW zMSZ5Qp3d&I>(EWPPu1%X|D3UXF?01^qOX|m%Gw^S+{@{Vq!)Q=N;%!qpxWg<$OM4@ z!rB~?C6y`xJZYy>p&Q!EpjC>*iGhl4IhgblmhpYZrbl}pk~U4H5rSs$6aDQd&V!UA zCHd2f+nu#Bn|oc)HPl)xlWnA4hj*-~_Nz zUehwen$DuXWuBxYH6Iz2y@{fFMZ=`WOn*D38Y$equ?cisi+NxAt@`ZQY~_8%3$D_C)Qxzxy( zBbe~=roj@G_$TG|pY920#&Xk1sdpG)2lFR&t=XSdvoPk}H(Nk<91r*%?W|@Y{B{i* z%y*0Q-^y@*a;Z00iIrd(DWd5!?-{CVcLStH#PCQ1)$4Ux7&4h3XD)x}-MO7N#_k&-@*ez2-TDvc_OJZJ{c@F4+R*!hH|YyflxmY_m<31= z0zV2P4b2sFlrItmF-q*$CLZw5i3i1%I$d*Y&V^u|Iva8=YNzX3nQEY?Bnvi+1V~XE z1Qt;*4E>SFbT9Z;FB#cs@jMwHqxq)LgQA@p;VKv$bTOMd^T;mup(FOrre{U_TIDte zn~s5;hAb>QYm~$t2#-KjdBgaAKK0<(GvQp?yEwidR$o$2 z`oOhjAp8Z#fj!PZF!P!vyzu+5?7{a>Q$1od<4$egs(t#Yps%!dDro-?XDhe_?CZEK zEJA7I(2jei_nxMlxNEvgVThL$?!{kA!x;GN!+#gZ?_LDS#HKTi}T^cuvpnKcHju5WxT<>UaTZ4|OaFWlK<6^CI-&U>> zZ_AF2z|2>)m=L&=Co(m+q=7t&#WK*@?VdY;gX>8kV#?9 zD#1z@AT-t3bV%Qr%)BzP9Ox|SP?NIyc6IthOp51KTQlpgCl+#_5e3$ocd|a>DdzPr zF-~;hNgup;Gu!Sa&~ylEh#t@GG=$g4KavMVwl_(Ow{Gl7%lnkmaszz`xt}@zEX>fG znSlfzZyU6J$Qv&N9>-L^NuOK0)hdUV#~mlF2UYLKUklU|i}>W=+|g3fuCBC`cZcNI zVD%4Yx;0pkG|Di(RKq!19WxR08;KLEt8t@XA+f6Qy#F((a9Y~7y;IlpbL_b==15)J zRC{a61AzloFDa*9n^rhQ5HtlJ{y5hcq@;Xs>4fXKwgPb!4JC;(t%S~Xx{oRQ0 zTp@@)^IU)LY>siqwnhWn{q7$>aY@A6sKu6tn> zd%}$2#X3UUzYIi_-eJ{1qLl^R0HZU^I>NX?6-gtb_Iw?kU$7qCYz@XjNg37~JjJq~mmx@H{7ULvIWTL{pM;dGKAoSkHH(aw zv7Z}Mng3En+Pm1&q-zrKx%jkIw!%RNiCbKYWq*5mIP^kw+XiQS8tr9MoFWQupNHM% zrWAbKQ?sL`=XlA3fAApwdw(MS)hP$u9{|0w&w!{}BF$Pz)mQW23cQF{)L`Rdv zFi3dzEGjBjbDcag8|T5)woKn0X)}ap@4oH%J_`PL|19xT39x~dpur&yx^J*|TmNiy z8ST-m<<1!tq&*9dUUjmdTE!4DAJh+N9x}`3?s4U-O9L?K}`binWD}4$@@JsH*cU4?=$?E<)FIH zRQpP-5SrKmf;O6$w2IT*krKCS439a9b7vkh_Ful)SVdQiwX|JTQ`#v$Epzey2nHa! z5g3Lq^Hr070d^2Uu`TI4=5XJl*%{RWT57A|$E;>jx!)889P@s8E0xc?JjvZBimqW< zXDl)(PV}CQQ3}r3C48R$j1W zYD}K)u)cSZzQZEU>2S9!p zSsn=zqw$bDvm*<&Vuy?~JdW+RT~1phihN%(4w*~km~`EtZ(TI;#P>qikyz{Mr1yy# zb#Y8G{RF^FW83jbERG>V1m1ME7K&{aKC%MG+gPc2+g(SR)|ZghGECfqjs{oz+hX8B-Ti7*S5EMy$F_= zO^vE)pCd+1tD96&MdGzM-PYGHtiL_!&#_V-eBcsaOHSU}i~pH6^=p29aVO^K<$Qaq z7vCz|eSLg*K3)3sNw-_*ylFws)W>KW8}BAF_nl&SXF4ZB(_E@?~VFBIxc_{Ti=%C?4EZ0{w4v2Fk z`GDZB!vBglYcKIK)T73v-qA#}VGa-Iw}U21%RTAyPih{pm3Vs|MVxE0Os{*~u2?G8eAzyDzC&u`9ge zM)7;>$P;$hp_UomHUQ547B3Ag5&#?VRUypXGc8tFEoE6*!vgi^I%_u4G)*5v9 zChk|T^U}nW)7t{K1kbB`?#cZTr57j+C6{4)@YR5dCumm(Wr7>?DPgIbv@6RNlpD)^ zr6~R**%Dfde)_wMKUIdNbve9G*9*-!drC!6SeRY{(h$<<2-YOAlC;t~v%3jIg4<+R zlxfY;^*UH0qcXn84nxV|2iWy?yCL@uTJ5fzN85eo0)5nwA^tu@6kvjN9=vdn-;S;goFC!XNgZ_aH(W zO5}V0$4O8zlb2MZNy2O4k?n@ERgXSX5UEMOv#G{b>$Ketvb@`0=Qh8|nH_i}ZBBJU6&3agl4}>`ry9IW+t*EFl}H=$pTzbE^0_~lDF}_Fivc%w zAO-E3yGVWc=t$8VBHl%DTsx&%3F<=C24|w`B=PFxx|(WRg`SEU{>hFy?nh!s7shc2 z4eEDkj=|=Apnk6+!GlALD^sloHJqiV^_=wdJYxh7T~0duBK305=XHPQvVR(m{+0di zU-=A1?hiq&0c#g_{JK}J(ip;;k_qzg*0Nhj!c9`zG+ctd!Szq`(<{g^CpDmi@VG8t z`@l`zKaE<{PMTZ-7U>pqmP#~#Fb7t(7EL;Q6Wv`9e2kX%K}KtOFIA}YR;%yJ_BRw( zi2_17>DoNzhk9xws#dd`VFa>hQ3+tz!;)YCJ1r8BV>?!b>~%63Rmp3tXsUT|c{Xde zP1^7_|JrDnZi!EJNN@0(@@Mla=_02Kk|X8v_LL~`?vlG}#(r@GvQ$|9K?lwqt%@F* z9r*H#y2LI-*e&|1rfRr&!Q>~Xvh9`MrP3)k!uQ z7FcA78dH5}A!AQRmG#@bo_~B(NV+(%_5n+4zgT`>aD1xmCScr`>{M6J7ufOr?*sVp zxg974>=+&}AUElJ82wa$X-HMa)ZSx}1J-yUv_&*w6SQQ!)o%!=+%If@w7M#<&tWo= zH-F29Beok?WycW8?39*)>Wf)jIEp0zgvK!!p~kEGPy#-pc6;IBO`P(2rszS&0{2Pn62Hk3M z$ged-B2N#E$+O3*_w;0#DT5iKGavgFR~^IJknv+;(1!IGnXo6qh0b#wFPd<=p_binU6Bli3*FO1|kiBg6-K%R${Wk^AJ zr7TdjQ!J9vXDM7Adu^J>0V)>j*pk+a2wpY$kxf7OR)9CBm^fv5=7Z8_)~5WPt}vvl z(s~K45IlhQtjPHIEmQ^9vNpK@Ko$r|vDVh~~NcELX(t!tvv8 z2%Y#iVijVA3YPMl6@yqQjM31h>I90M8n@}A%8pT>o|;H5%$aM13w(Pw;H9e&f1pkg z9qOeK+3RepDQHSudgdQtgbZRGWB5YmbUlp5NV6K_CY3jxjOO`zt1sw<&;Q`=z2ll} zw{+nkDxFAI5Q5TFN-PwmMO2yyvD3+mND~khkRUNpkS-vgpddvN0V$ELw9rujsS*eR zNl;X3f)F1`@w=UwJ+t?onRCwGXWsM2_Xj`tp$Sj!=YH1iXv7f!SyuCLq9x$eYBi6p%MR1KbxsS7kid%@ksOq=I~G< z`e)b!(+S;+TSxV7_RL{60WcpS$veb3F-Y>uh4w@fpF>}|C>~rAU{eUMCiXFYw4_?` ziTV2K+Z7h)wcNCrzdD(#CUHcbdk=W)9ad6g8}j-H{HTllQSyPU$nlx>8-c)5y+HG3 zmfi5Z#Faz6X&;Y&E_n6oL9?&dgDFdo^b39;i~flM<-aRj{tteS`p-%k|1tEy0W6=t zvq}r^ovxlv{%tkYdUz+0m)NWRI7bGGqa7vUc9OO8d@kO^9D74vH5wO9s&o-ljaVOe zVOftbxzeRYj{MJ_X)j6C#%6_njug5ZwUuKM9{v<+r(vrhF!CYgYqyg$xYi()E}bKw zOtlU)9ytEYeJ168k`Mnk__!u33yNc(Ya7ohTd>!z=HeRiLq1`8Uyd zy4Z1}G>vo$PEO5VyUp@@PoQzuyZbUf*0At}GDb`b1_q3(!EVHDhdeIU%FD%Y3_RT3 zFN@FaxFEXn=1WGpuZWFij+=#-aheDxZ7xCrYi?NpwpSu@ZwwTnFr!K8tUWaC^r<#Tc|TYU(M-1?`+aBln?wC zuq6a!nMk}cw2Aq&≫&)UKC?muuW*I!2RCUWZ(J>KB@H_UHZW`H{<*hbV>>Fez5r zINT`39K*~zolwV63hCJ$N1WfB!3CD2mcPFG!2OL0ybRwv;W9Dh+#Tw>(?+_e(p<}b zo8ngBdq9miwDIId`ODe9&wK}b&x=%w_?#9znR@-H*o*yP2l<;^|HQ@nyZx==NNajW0Nvlu1q=;yw}y$>DMxVW17sD5U*x8f*`W7Ks6w7G1L z|LLng%kiXX3v^Fy`G6ClmjpKqt(6&mu^uVA_r%6@TBI7Qw8)2h;+w+G*oqoZB!E1C8kZ}VG*$iD} z`(cr+Hb!4UwkAC$X86d5Pj|cxd6BXAw+Kw-bW#NmX#GUEN;XA;z=sms=ymGAPgDUQwe{$~;7kx@Ja6P4XNtcM)-j*UjLy{Z2oV!LeP{ z%LtRN$%u9zH9k)28HFRO}8oixjF5dH}$(^L>Afh3wuNCP(u@E_1gnQPA` z8WU~0hJBPa-Huo#XxNXKj#tzdvrVnOY-oPPy^o^iqAD>9m_ktF3LCUtf9ZGQw&Z-X z?x^cppwzs9Kt}GVQKV=_hWLWbmLdO5Ngsf3h0lNhy@5AQV%WER>SQZ+Y)Pv|bt2)L z`D>}lDyFYA=D~YK1FCpL3t=$F43tS|@HBCfF*RpT3ebrheQ%B|Kkx1eoMVUwoR3d8 zP_!x(^(A7}`yPSzo4Nf9rb1o>+4^sRMX^Vq3cmFVmTwFwBjUNBCjP0E4Egs%n!jL# zu_b;Wnfh1nzS6+nfCUX(BXB&dF~}Wo5snf!NqcmQn3bzJjLy&v8G`MS6-0INU-*f{2q>6? z0(PlDchn2G3ZlODD+4-4A?Wn*EQypCyZD(e+F75$Iz92ZnzgKm?{ZUpU5CyzXAnD3 z!k6TBn4DL5R9ct99r(HWmR?oxDVLX?*{Y-9ilI_;r4DYtQ#~c#K)dO_*@?2WuOr3EG(7y_ zcWLImulSWBfeGFJ)ED~45Db|I}sNCUo!8#etqxixl`Z! zud45i*y}oxhZ2SW?(>@I!Rj8RLf{r}!hO)}Bg2ELrcXCJhp7{Jpum?u*@7#2lYW)%5xlNR!BfGh$-v?H?qFVdm=#sCj??Eel zV>3JTrp0BSodY5@%@RQ(-F$um|)skD099LH6Fsni*#p0!h%eUQh67gNWF2xMzJA~hit!Bs&~(Z?>jeN~&i$7Uy5&FcD3M!!!RmfQ zpr{3)?UF163AABk2p(f9F)ais4vM*RkW3Gac?MF-iq9SOSJ2W{g=hX_Yz+0 z0atqx81FFbse4Hkldt&m5YimfZD4%9O8V0-czBrA2X0rhz~;cQ741g~wYbv^rhjP5 zUcOvAbNuk&VMMY3PuQJ(ienf%i66|ZM09IQzT5l!Cc0HlULeWc+{C5z*&M>3lI<+} z6DG+=EJAsr0c&Z&k?nKI-c1e?nn?gXP2YERWz;^m-K&9;zMt*3x_mRdbHz=4NKpIp z{e!gF+2$|AMARkFj0Gf`Y_^Z@l}_eF+x3haowxUYb=y0Xy-EUp-iUV7>{6$#%i7rv zoB1w|9Z!7Ma^aR~50DI8B~g&9Oz<)6vF%onI~(g)2iiu0ewmM*P*EhG-HSse9&JD5 zL@Ov`Sh`WLm6MQS`oWOc2WKlbd_Mc~DmyD6C@?%w@$=(2t{wR80`MQ)4g@$ z_K1VJd?tTsBK%3;5&vpJT4`)n_N{r_KwzU~*>UBn;YIc4hDS*oue3y4Z_V{Q?ZeMZ zWBp(n+(iZaf>Dm5!Kbz1>=>yLQ)&P1a}VkV(i2|EDn~;Kp(A0U*WDCqUb%!BG%Kel zUi>4}(qnrm-_$02E6x-GCdW}qn}_h*IC?{+!6^7wL!A8i#QbmfN@Z)WUw@+#zt!XL zhNrt=e3<(rhB-#a&rO4y2`n=r>C(U_oj2I5Gh(WF>44ETB}K_ui#o=K2*5{5*UvOQ zKQEueciqGxjV<#xr8YvA&}w0-k2}hJOmZgzhM59SdaDN$9%HN#TI8FNv6uT?L}050 zDRX=Beoa-c*TEX1758qC+d&&XmEenrkgsc>rYNxNpb4hI%+5KU`j8aeD}^c0sZZ&S z2_cD2cX^EHDee(VX)Sbhmdwz9d-1IT?82_OkNDg8NtA-IJ^LW<9UcjNrlG`-(z_Ua z1+l`-M7$7hcv_I)PPlag(VjeE2|6@% zXSgWGf|=V9DBuX4X(Nc~{Up$om{Y)tIK8LXVG_D=$g@gz{<%rtaHBrsp}b=6*?1WD z8utl`T8gPmUYKBF&OtUaVE(VPpN7>gZ@gKKHENenZ+eO~ROpawTjz4`pEmcb->J4| zBHLrf_>p_)J2)V&9g#_B(*uWZ2;|I`_DP)!&Dp}tXjfR4G#O2M;(~E@yOdFPKL7YD z+tX@3>7ClR?^^M=>d?DJp7-s)6t-bOHx}u_Ezt!-Uigt4-fagPO_=%JrW(x9J9DLc*V9&~Nhx`*-FGivee0~1X8HMA>L2*G zXH*l_EaK0JmI_zCe51U!@y1DkM;vLWlfh<1LFP1K5<8Y&q?E zUg6+rWjLW4@jT_W zJ1_dFL_UkmrnS;p4>!&)W5V$E8v2eWnkPooK^nvRZRD^L@=p} zqsw^yydb818Fr$}M$A-jn(LNd)Ny+L%>7MXDILapwh+879dwVLQmd;wcg$QcHd6S- zK^WhFaO%HX2>oVOpri;sB4`C38N)c`>c`s0tn0`{aP}}lqfEE@xE&bK;%%SLZ+GfW z2+{UF*;rTqD!@XSjP`q8_V^?>b3?#P6!VR7(VTM?2P`CO`j^qx@#r02OO-UAzXy(Hnm_ANE9?4WJUIov;rFE${*M_>@nppbd^SK8$Mf(Y@I{?F0(Sxz+DH@>;}kI_g=5Ce4?)~* zHW)OOgC-mYtCPhbXY-ojGF`>}i8_kbO z2(4PpLW%lwQ{T!OrTqMYJ{_-o_&n~hF}gatJSL)WWAM&fsMCK9oqYn%(5THIC$KAH zOw)B9d6xMYF6Ej&DD^eGYok~8Is4t#*=UD}9Vr9%%!u8AW122Z;=(?*?Ije$x<6jd zATt@%IWL!R0c-~SsI+tG_;f8V;S8&`g|(8BXDwhxAH zIpu)g%9MRT2bGNPl-59jV`+%ZRy~D7_di*0>h;s|hd(*Lx$aM;X#9(PoaXm#p71ym zei{M<(2Z>ndxk4(CbN_cTHXC#pCmV~jM+$EoZ;5VtSe%~39Ytx=_%Yba6E?M0wL?? z5tl(l6qTbkyr^G3^QAd^UxLWTWq$tATP9?d7sD%yF~hn72w*=f6E9Q`G)g`B${H+! zX`R2mx9t{ROUo&@Nz4IWCrK(8G&yqLTs}MN~Q+G=LFeJbf&v0Km_#%#X@>Qs?J+8*C)<>NA%b% z*KRW|!FDkPPq(Dn!fqZl$K7V4SYNo0fYR4N07+#HaJ*>1R4>4SOsOZ8(y!v>6brcN$~X! z3%Q)?LQ(5IR+{VmAXD9ar1*_MJnV zqAC!EiV%gW&QEd+=q3VY6%`|w4Y=GNdyk|lPIrC}n%0{EddSI?^%>Ry?!#qMWh{V# z+GTTeMnlTv+DyfhJ2QmDVp!=7#guPP=dis4#sdxoJ+AL#=Wsl~n>#;cd zSaeke(S}K$-q397;C6|`Z|qA$ZKHf6+jyh|f$^R_-O9?J9U{;6 zFDkN4i19U~4Y`Vt0XwMuR4X#J+M~>Y+hAi;EW1mdHU0j(c1NY*({1NM4{X||Dhy-Y z*pt2V#Iw*st@**u^G0Stx6m%JJ^c8!7tKWYB3{C)dwQZ0Eg>>0ir zAt=|qn+7IU$sP5b-v)3})LapYbx@IC{m1n0F6RE*&UOa~cWz8`ap~=m-rKcct-KpF zZzHbY2bvFZOMg#!0~oEE)bXlRnal~IW*c^ltwMw(OfYXo>O9zaIiOMhABIHbK^^#gjEu;kDYWdR%6$R0YNsl#%}4-<5`7u$|rfIA&eN{AZpH5Z4b*s zm*S4U9`zbgYxq!>muCHPsB}|@XuzY6RNEq#o{h34Ew~1;iL02f0fBhVHEtHkyPp!+ z#smV+@eIo-T+lOfWUhENy-8={Y+aI_X|T=xE2UTV+Y7|caWol)G{S8>qLY!^>b8BL zI#;CKYaQpdeYjM)ZdjIb^4Prut3mgbkNZA0Tp2&~-WKl!c(9GQ>!iADP+op!gm+=Y zb(6($I#4*gBRO7w{UeH!Ir=iq_Qu7*)mHH*0W8e~XKhoPM+K?7Swa^kx4NV)1LKNC z5^d6kB@Z(3(|AhGP6o0)`zReAT|F6+RPw_4E5$v{{;mtf?DBe4ckg1Ls%7BAUTb6V z`+Tc~Ez{$EtV@8{u_lIMg)rce95L~-Yk_)J9F1pAz897*SWg>_=O_0nrzMVe*%^|} z8TnLnYv66!%<^0SO6DjrvYQ)9(R4Ad>Gs+!zD&1E_B+n|y-wX|9`;P|$>Y0^MKhXs87= z!?}$To$pzOv#Mo;cET0s&P#RY`yQD3Dp&tGC(*;`VfvTTuj+1JzUaewQ$}qgEvuc( ztz3njGM9$g$KZ#XrK*|B3*$_Y7D~i&q$9($q2NSLaj3iQ36whVDlwDbzZ{zx*oGVz zp=Wd|x*dz3Zl8wZj+R=j=zjnvO~ZxvHiTaU1G2E6K|DdyOd^Jk;7Dds;jwFPjVJCy z?oWNM9lz$-l>vKs{z916d6>hGLwf5$m>dGbIyuUT)CzH zjG06%u?BU%#Kn|e>wR;x6CeGj0`~&Hxu1JPN_>#o7pv>dQ+Ip#d`j#(_aRz1<*Qk1 z@mGM;0^?cPk}!rWGLFPJfmU!iG-|pT+dPL663LiU10i18#kLr_KC6FlzesSZ^R}zm zsbwC%1`l}C07N>#5NeQIQ_U1?o;Y~RLA5hi7wkM7EckbVcte*cW-cx4E z8~+6h3kdU5_sEokm5AOg-gxWgHc%?@s|Lr(TMG*3RY{GBKPROkVi2ML@26z6BPyrc zG4jXAhTdIhiZh+Gh@gAcgzc20m?lvgGjTz0r8L6~B;39+t@ayt?y#ab*snb*|F*?% zU3)4jNOjOW+)w*is&nnzb6!X8B_XldXmD7^jgPsM|-x}J}qbzE-buAAL zIuq1V!e&z$-q0_Y66i+3$Cv4$(W@v4r#YbEjx;t47q9YFqyRt{3T&q&<%jY)@+sN& z7W=0b(|9Laqs{R*vGMb?M^(^wsttO>oRVoh#AI7K<0KgZ?a5+(BD8Q@OKZrTHgPuR z-3o`3UT<6Ztvj=mG+8XEUi;YhD%UaJ1+rZ&n{S15J?fU%)YUJh#^8gy;QN~Op+g>w7`sMhafd7J*cfG>c3}}1Q-bAu#8Chf z_{2Mu&9`R|w{MWTi?neT$eoPYDO!^k%-{kE}_~ZJaE7z$Pafx8} zego7Zd7KhAZ=y3tf_tyghGFroTA}CYjIDhqOa9{~Rcu@}Tf4ag%YME`kf142mwEux zaQ~!$+RTSD)I|5#jfs005#jjH>-MuhI1>E4Q*gE+VH~&_uRiiy6F4)xSQDT>bG&h5 zd(g)8m8gSis;A2$$Ef5~{QF!!Qzc#xW&@D5H{@u<&%wp82aeS;cyVG2i$R8t6!kY9 zX?r(~@%2db!NSddW4i9xLZG(FKu3olwn3Fk3Syd86|Y9tjM(YpVl`!@0b0XWlPMB5 z`xZ7`CethzgP3xlx{Ju-gfxI}p7?(I({CAH+A|+i=hg@$YsJY{ICS(FVt2ODtiJ1s zoe{3TeK*C=yUF2as(&8b0=xwEm7FWrXv93g6Sk(?_%%3#Gw(oGE+Z9tLuBBzgRw|n z7X=gLanYEV?Uj7PvW)C<0Vt5EwDS-HoZU|xv6y!K^mTkzq0>=!cMo6r&P`1<^@4O@ zley{Gv6CmPedl)FIN~0Bz@-zYfx=X!?_q%2(#C8KRKW@BcyLS zBIF>(hIvA;F>tp#igL?j@iy$9Cd;C#=BA1bd9@^4#HBW3QPtzFQWPE#djchu^%JofmK2v^hoW!=bX&%760tgmdu5BT)7MLpKMb{A;~^7T#TYDw^oLp4>M&$i{!Z0{EvYt9N0uKyFyBgV)_`WfbCd*Zdkk@C zra_0v(GG$%&Y%!lahL^Gy~&%gFXpWn-3gzPHr054SlGF-$|g|W+{pPY-y>3NH<(HU-~dvKbGD3d_-RcF9aBo+G}D1B=G#rx$z{XmMs(E4A*X{yx=F9jXw~W8 zxSp60=8}Hov@jQcMCeX$gm0M3QO>ns=obb& zGo3W`8`EA`cGFBy2u(K%+j+Oh-g95-M3-#F4B%}VXJ%L5=x#^c-ZEa(abd}s5G((& z@|jx9!@+#4JO4qK2dCc&QBy{5p``H!90cU;o)3V~qrW|rMX~nn*K_uM(E9{wQnLwc zQ?=%Vlg*5!?EER~O!LByQS?}}LczsVH@!7M)u`)D^pPRFm z8+9YOwa;$)eAclKyj>cdi>D2mgS5%9Dx*)yDFtblohAc!By3rg=qi3J436fzV8VEM zJZpx~8Mu{W&9p0K3isGS3ErP@W(?U#x^t638(y{ES@$Kl6{m*(n3$bZYrJZiva?$` z&Uow-Flve;Fwn~}m7EKMzyp&ouE2^NDh+{e$c;4J$IY{ElPazs2qb>_u$a+ao4Dz{ zd1rZ|d&xHCh_e?h_nID%^jHOGu^uCt<_${YfaD34ZqjD$1+B)tB&lj7?3?`M)Tbtf zZLa*5HhoT0@1#x7N+g~+`+C2nY~oH{cc~;3s#HjpiGH9_F~75 zCnxS}M~I{6x)=Bu)9oNo0);SxR=gZ!U&N8Giabe5MQxbNES2wNbvY*Jb}dcKE^f86 zV>J6}4&26E0>;WI7{(d%bKE=-W+U=MdBZ7ENndzdNK&Gi+z_G&)DAex`oI%C8HR1| zu5f)D&LDSb&JacQ%G!^l%q0{~`QCnh5}t(^Uw*D2zL^fc^y6O{QJTy&o-nt}4<#Q= zik@cJqG1xIMCO++{aQRS-lSlmSW?9mzh;8^LTQ zc_c>|_5&<5X+4*9IWoU1|Kcw1M$iqcb#@q=K=xk^4caZyDlGQ?Q!p%rQOJ?1V_kQeKD5y?E+~{6kB_)RENQ4I!$?s(4IlQ{}-F0r|=h()PM>jt1O@8Kb z3tu@lPWd)^@*8Vk=}9xmqoP)Oi()stxcwCdJNM%E2=<@%1^>$+h2{#96~(>9(+1s1 zS}}eC!LUsXKhCi4FmVuSyh#-cQw4wxg1#+QkyzY**|T--J)4B_>Uv35Ksag#lYm@B#b4mrsBWZM|zDpZ+7^ia=i9 zPt>DlfNP|N??bJgBKe{?MpIgVT{H*d#yuq|l4x%+RcNksAO$pn#eXEAei|l2*X$RD zLBXrQN&}IxiQdn%1Hzq|n#>8__6Kax1RS*Bg12NWV?pFhEBbHWJOd4BfK6pYD_oSK z<;GKTJEr5NtIzc|O99`Ge%RNp33^?8K*tT!$RcjeI|GSn*is5($e_174U>mqd?Jn) z^5P8^K`TEdyfc@ReHc-pYd=%RIi$$~yoaZWY-^x`H^ub+1=BbMuKhSSlkhbkKSY2+ z8y$hS_6Q$a${cS*Xip`1<*x6VuFmBtF3S>DLJw}lE;_{H2S}2} znzRX)XVS!x^fev5lGiTyB~4eIk8i_`lded3L?uYVwv*qalNiS^V=U`Ijy)Zf)T|Pv zpx)DgkZHt(T$*859??^^#GGu2U$6R?>BDQhw%p2EP?HH6w7{d>gc-Ayj*kFs>3OIA z%Y~m)eMKLIF2BWPcl3M@&4c%Io#}EMX%U`qbQOf6cBRpC#|x=O zHfQ#?U#WOg$@l@nw3AaFZ{Z5TcmGc2;9+ULb?!f~=l(~wGyXD2a%{jFJVw9?USND? z4;e4TL35vKmmAwNzqd5=joOksG14F7bOWxh1U%jajnJAkMp4zpH2Hw2gN67<1 z&}QDieEn4(3yg$ZfJt5o72X!MMfDONk^Jt@i;jPZyzqZ;ya)e^{3(u*~6|69R?zvgfJpZ?4Nt(l0wA9cZ0twF8ecm^YmsThU=?|nNhkoR~B zv?yZCY^)50o&M=M^&dIm{`&v^_d_D2hszK$kRu0Y0VpiO7-zvyEWFKAfb6@JB$!>v zVOsKC#IW#ytAQ`R4exqg!DY))%#Gyl&Jf)!>Ge3>OIVgD((iLc@uw=eG4No z<$63!F7P>1Bmg|C-=i}}h=By_v3Wj&u!X0IjiGPoyjnD!-&uq$U$yh63zGI*s%*4C z?OX06JSX2S=h%Z0HYIlVbyjWWCyHxneP>zR_1fs4^fOnttD_%xRmuuGr^5Pm`9}U? zD)bvP|1-XRn-$6S@T>_ffovmq6lp!^2KPF%lboQ1lPj6R3QxUXc1<`@pJrY&qL^Qk z$-Uqauze|af7i;Qz2~RhszI1pO?Ch{@kT&EU)(Ja)vUld3LT{a)uBlsE>)F>UAHQ$ z5&4m7d$cVhKx3@HQQF@!ZPzDUPtu`0_?jdzk02mP3^M|xLs&Ed8!8H_nhAh+p+q^m zX-Gk=%8{Ce=F!!J`H8GJJ(DBX($im56&;q}by@X7n8Id5^4g}q;iUa<$GQFosD6mQ z9Tj<9hVH_{2X*?Qt4e%p)1pM(>+9opZ>T$3Gqzo6gl}lj#h#-@jKQoT1_=y9I8P@T za>R&}zM`Ij7!i!%xWcYi+spidiD=TuT!B>#M?CTuEFqsKYu`>>hkqqOiUL5_?yzDR zK_9~i!7PMbWuFG?z025gCSb$H3>V0@cmj8{lA7VC5aJhbO8OR7Hchvi{B{HB$>0B_ zYo@3Xo+!tLp;HC8&-Gm~Aq9RE*U`jc=cdKil1@_{1HG@bEO*V`LcR6liM`+^F8+2q zgES3p=`L@flugGp!iI5HF0@E1JBrv zub7u75C~Icj?0K{0zq;TXGMa1pZ067HD4USA&vNhI6?9fe!*@J5SYPylz(t_ z(f_)-<(2ONPF$0;-sbQn`W#$(;AL=#iE!noEjP_!j{k*3$3G_lQZhN^h)+*9S|7KWn9u&z!SXCkeYrBQ_iJYpYo%#$?Z#bXrD6o3oGmw z?AQ?bp5V~{!E8(Pw4@<!XS+Hz;`$e(fy|J~8f_wU0yzo++peu2W+0=%x=S|mq$npH(6T_QvSsaft)OgRqq za&W2fOrbeJExUS3wl3A`$^OWSZM+Mc`Hab{`!H#k3N+_gBnzMp6}dDr@9FtfzSp2U z`;Awd69!*%KxeS+26+DBfUZ7B&NhNQmLCLx1oqAvsEnjA#kwa2K7%SxfhPn`DT^e+Fpf>C=o)z(UWStB~7<;b;IM&rB5U%{0S` zxNd;DLxRvwzhF$g^)z7pTT=e;KgU8|@-FwvAXelBGeTw??WOp@|8TE3TR z?a~!^yvOb1iy{^bCg6@K3!P?uHsD?(eDYg4!xP;13$`m70xNalZtl7(m@X@?{=Xav z`HO%B9_abC-t1b2RN>uQ-(P=A%d&dB!Q#`+(|wM1M*C9*m&Afi<-uLuMQBw;;tmx~ zx$xeTgq*DX|46kkRjT=%si(@Fng#bBC}ne=O3j3F6KYZG*DHym2tmS|!UE9OGMoS~ zgEkEB4{i+U|NVVI{oik=Kk=%+hcjbX(%dAJ2ap`FUkEMby_*)pAsD=6R{#Jgn0U>! z)dxG_GG3a|;w~obrYMU%J*4$w9QGYEB!k!IO_5g82xD2iE>i3-*n0e1Oy$}CHzx_u zto=)#thwUH+WiZ5{~mtq7mRg)1A^(u@hOs{-+%f0TPR1L-^b5q!bA`&dXxZkijQ_< zs%vAOcGI^ROFXfm8zm~)MAxv*v$M1^+&vvW?MVG-HNWz>^7gdent2$x6O7%gYjmOS z@H8Mx`bh;lCOW1DAwIp~057>{!a6_$vGm4=|9cQHkph_?DuKlZ$Tvv&uXt&2`gEa` zu-Si%oYtiI)Z0gEEli*Mum)^^e#UuTD%o*jmp_-*dDD#fomJQf?0mCmxgh%%`6j#G zJ7pZxvEIb(ncRx1swRl}YKN;0DJODfv`5m@Td(B_C45?>5ORp)!>trRHmW}8gLopIe)pWjx`y#r2*hO#Ck&$@xhk@WlW#ucj zH$9jMtO0Iu<2j(4kEtedq?TzvBJt{2feur#v@W?Dh4Hvk$mq+9PbK0~rE6pj%s;KP z$DMm5dTsZS{bCovsUhkLptJ}}j!@{1b2x#^y&Y7TdX_3ViO{+yU=2L@5$5yq*%SG` zhS|##>|%4+4-qx^FPA^Vw_F2bED z5eB+FR~}Ly?ov`34JOE0m(E?*+xp~HRdl%Q%UX%c(H1(mQA$spfZlpHCX2wZME*SX zV__1o4QyIT>%Miwp??L}OKt*o!WjZtgJ(s5#@WoZ5c6LjUWlt2-{<&wh7U!4B^< zRLB(Q0_F?I#eB9cZ^&&&@_BBaK)Y)=4c&M z2FbAwa_ODw*Dud^`AwsI2osvDNDSo5g@GSYZAy$A7HO?h+c;`wTQyx980d>d~a=#$)L%@U)6kkf-!f-SJ^(}^hR3sVCq zh%L;y#La6AXRIh{(gRzAA;sB@V@&aEqFzl`@(YW) zJ#~9uTU|_Y_RX<~?T$(TfNwEjWAk=GE5^TOTI3P}xQXF5kKi<9`tH_GJ<7+SM*V9P zvh^HKFn}%yeOrEXXu_UUW1Sac7w&Er6u9+Ug83u?+MT>m!?ZubXetDBIzv(nE`(`; zh|Y!A#5EGMYx-8}Z@&r`Nti!XaIi-5=w+vqN@(q*k9AJm^W2BV&g|pZVOj=@yl4-4 zxLA!&6y-pNE=F`dfHNSL5i{ooCPTjEIWY5R4@p< zlsP@NCV;G!n7?4JNbhc`g9?tHS;txfkuHnZG0oEBSb{)z{x~<4*fl*t=A8~?OA*G% zOa$oKI%=|x|M?i&?{S;I7T5i!0`c!C4xw2_0M?YogV?&I8OgDLLPCpNr*IdTt2sua zl5DRY4J@ndL2V0se8@iM++?b}m*PH0Or;!XCej2J{0cZu!_C`W=L|IHUy|2|N|%PF z{kzwZT{O@?>lfqo+<06U*_orssI8h2+bMmk zrplKh*7=}j#@h5sk}4_kl82Uv(mqU5WI*4cZ~Diw?}TMa!psA5yBN~e zP!}&Wm}@ogVU^yIb)bminDr^@!z0y|0&C%l4d%w=g_?{uI6sy^9l{AROcI-;fVZX? zC}}hczODQFZP%9-2>?v!+lGA7SYddf-Yjnmw}RvqxEqKK{eXSRsPV06xn0#xmU({1Nx2nyOK z=4T&(yw;b`3^(%A#5CJv4HH+Me*D;4;MDE6y)w4t@KQxYtHpjS5%+JJC;xv8jo&Rn zV}CsVFhpS6agK2d{cALP5|7f=Q)p#7*(V-sai(ZQ=Qkv2#KpYtIu&fQ^sU;XmiHE+1+ z?d=lV!wKf!gkTq0dGPjr5s(t?LHT1gWBu$HglLlga-wYg3tFY)@@I3r(V1I-fs>?!SICGr5p#St^hxrTQ%J=Es5I!mATVCF`^4*6vf-x?Q6-w z$5INDhR+X$Y@HhV)NWLrzCybg+W_md6F|_XAuWvspolh}L5o>*_6q=Zuk9qcHH{&h zNFfx|UT2tIb2CK#{Xz`-&ZD!7wfBBd&jWA>0qt2{7-W8-H>WX<2WZeSw>Z{xL^U$H z6!3_Q&M`WiI%-q4%|>+1tw;pwwRMzf+*6gTRM}rFYzwc5<5t>-d%nr&WETerJjQvU6ssT4pJgA6{0ft0}dW)C6@_Vh&Z=zZk*@ zVl^{De!=3KW%`OKlV5LSea+Eekm9t|hm6hgE}be0@-6;G-r-euKVK`>YPXg@QK5dz z2;U?2Dc;+uZQNo#baZB6oSqF=uX!8CW{9Wm+jF7fWkbWz)0|z4v)}nT>~w@KkPP@` z*8F`xKh5K95%`S$S3x(F0wECd)0(tk3+N)44g%NwB5`~V5Xo*Ld{zg!y#Y7zH(_&4 zCY5xt=$}IZ|1lBazYzr1Trpy4js$~P7V(HTwtm3nFjBmUG0Sk;Cik9gggr0V(d-r) z=K0~izJB^fZ{>LIRbtQdL={Vl8QO`K`-Z92SO|SF2F5QU8Rt@}2XJQBOM{7$-xN4+ z0Y~DXhw?|?9|EQ>55EU^O=un4Vg}^g;TXrCE^s)!uab3VxXmhdoC(pJUhlOCUwdk zNI-!0vukxyO|KmWE;PBUW=+TmLvbzYlA+G6Dt z7Qj~)^>wLgf*$*J`VPg39Q3cGDp?h>#dvQi-9Y12y^no}<6&r8$C@N`8Pwm`zdc*= z>LpXB<|h8$!ADza1C~0j6}xy}*$C9^R?c)zS7G9tCGnsL0o;lfLL%8or&z9YnX)v| zjgbg2)-yuWx=eSDgkZLs=TjQ<6cSAWJ!<2G&N)V0rtn`Nokvkilq4C;i3Doav_dsn z`dF&7B?HVeKRb=uO!!-t;IQ%9wbJAO(Ti#mI5W0fR~U;UQy=(2xxpX~Cfk>~MKQ&Xdk zOF9}MNxSloX)OWu$QQS)Rd2!G65lRE%CAAV-xvu=&Rr{`&W5-TJ|LD`sf=tf6L>{@ z%rP&={@{sRok<|ZG{as40erTKk9@*7RMNrfvN4*zYjA!jAj4+p`RPh?TG6DV&eA{$ z1~J)&D_h1if*Y3+#o0u=iE1?!8eXW*Mp#$H*bVD88A{jH)YR0OZ9myA2`h@t1D6An z<{KFNpJ~zYK|eu?%ToRgI36^*QcNp3q}Z_MdCA4z?g5yP#>-jK`9^q%UpTc)Y2}^6 zY;=Imkb_rLWcN~B~u2#)5b?JUf8R6r?2G8o2+1rqV8v+84y#^HF6~U#_W$lZO z?m64e8eg;ADOsNMY=32{pmJn9>F}og=(T`V-E|9v`^2J77-0)S6z4Is90Mp!Cs2?& z;cl}mbbPrRuly3qy{ex>fE#oteE%vRPdQyP_41C_M3yw<^!pkYX~$LML0%i zwE~?gB0sMev(;3}mLWe+wZ9vTPU7vTV4~tmLrlV*>#FOTs)vTnBahqHy?U8B>y^Y> zaM^G9vc*39o{0#R$dSySDFj}yB$gg@=*2vKYbHR*LYN)R>dcT1NtcJn#hmF=chqxE z@MWHu+QFUc+FRCtoc*2E$i0vAV_MR^+u{Eob#DP2N7Jlpj+mLzVz9-`%*@PSv1Bn= z%(7Urn33J(V>#58Y<1L7T*t|EX zC$k-vn@d(ZMq1}A7|VRYsv}nip=ct>?Nxi*RSj`ha9LkCTmTTyd7_AjcWc}AIaj^b zAHWQzN7eK6>A1=mt3#eGjNHy3m1~@#4FEs~3X`toIw5>JpAX|=)Yf{U|A5mlx+*t< zxL!Qlrpwz|vUw=g(RDNHXVA7#PXfT#hau)T+D5rA2OZ^McyoS?Z8jYC@<-~iKi$5< zvIpL`@&9l^rtIer$)umRed9oEFf&i}>g>opRbxwp;&@y1 zhI;xy4^(eoV4#Ki%`k5uuht{roB>P=QdjZDHSH@sh4 zoq2PxeSx_nD{1_NTgbCNtnm`?e)-Sj+LQXj!Fy{v#w(5+zidtI%q1|P4&@*gZ61)u z)7^ehTWFUqMd=+Z3Z>cl)ZW&fguL1h$2g*DfCL2K?4(D`tI1z%Q`JSdc zZzIUXAL!&_$3&0tWJ}HI{|hXd&*#1=E`TgBvXo!(=zq^pKl2~n*+0*BZyoP#{D$qN-+<^0zTGT3p$gjkd}$PL zWG`u8*621K;@7WF(i$_Yyq|A-e9(B1JX?|afv#AOX)xuhi*k?)209vBmlT>RQbOH+ z5r#hC$lcq;z;AoGq(8`y(XLqFy|`JkP-eJQwE|qCV`sI!iM;XU&+4FPsjo=XC+&-=p@Qt-Q8WO|-0Pn16eJJnVtR}Mn;1_Wd<`h?~s6fw+e#gwODoFqs_F_`o zfR!J~vd7U^eALnS8%W&54bK3N2=X7Z;XgAhrA>$pQAJKsPp)j~g9MxFV*tS9|bed2Sgbb|XQ)x_<3eRe&K&2N|jAmUZnX zWCY$OTW$wHXQ}T6D=pH4$kjy&Uj{Ig0J6}`^2VEfS6#f-ajVL8LL5Cp&u0{8mk5OC zF~yG~ZZzG6b~B&`cVBmG`tcw+f6x6GT0zWX%h<_6){N}bxC2u03Iv+ z`Mjl7Os&(@JdaQ9plX93#!b495cH}uV=D{7;=w~LHeXY?@Yv&P7HSVL!-lOtM-DIq zrXmVJRe=GJ4Sp38$U_ShgWgtGzjmaex{0sOSWh z?U?+ky_NwuR2Z#%M?ueOU73G9FFL`*Z3yHlOyFT>YhomOcx1Z(#z|G8GI4Sy*VL3C z3h+l1HFL}B{lLF&3ch?J*oA|WRhFEmIhehtWmgC@0}fuz!uZwWX#Q1rC7*wF`y(QF z!&`U^g##z)LiCsedOMlg=2)wiZguC3Yp)&}oNn~=-w5aIO#5BfZyJxkUiIH}u6_^x zYQy_ui1QxzB*rh2}dXr85IyQQ%Ocn1-m@)d@UfJ<9yV+6s0t{jMgrGksMXd{8Yfm`EcS`!QpXHrCfi zLMwJ4lH(gBKwh1^*9qa?!{0CpdTa_do+fwbBdWTpfpMFdrc&gpc64=zV6b_FEdYF` z`>WBPH;6QAUCP|C&gfs^o<_HaBJSx|*&oK|tUXO%1>FY6R!&;SYZGVQ$n&_}YuwEG zfRjrn);1G7$G)f=GVrzW2_XE2`b+YIS@7+KCEiP$jlmU$yICtp0}uMf^Y^D3!!zx) zd5O0qSBD`a_OIdCFz;teXu6{*P{=n$HV49_qHxW z`9G)q`uDUR9Zf(DMgm@kzIWgR3ZnRj38u7h*hU_U?{RY5EK*v*irzfFU~eS%#(4qHx@9s@>T`kq|^*c8kN&I zv&`(a_IGV*Z6}lgct#@F*jzwqDF9&9iu?Zonpxd0zYPqwv)GrkfqWellvz?>nHaZZ zsb!l3tE089EmuGuY@QE6$gcE{2(JGT!468*>#8^8(}Bhh)NAL#q8<8WFx|O@P0?$J z)e-mNH^R=2pU`J^YZvaGG#9Hj7f9npailTzqFP;Eck9yf3rz=uDD-B<+`=8c=QoO9?5iCPO?ewpTBqpE`SIRc0EvC9PS3A{a#Z$AX9bW5MJ6 zTcc3)18~JEcB7w2pdu^YKJC0ls`mrEzM-u2lp@y{yB+I?J!PXUpaVsloU}9>*a=It z9iyi858UJwBozXmrU)>^W#;bIt>gkUrZ0%v>RW^8Y-}qsOoPn}6h_Ew^UFx7Av93` znC~4x-cB7j(5s)%Z*?uT^?2wO6efA?@`n*Jx7)x0S059=A(TBOsgn{TI}p|RqLA&5fc@bNXr17_V!E+Q)Ys3jBH;pKuQj}ye}eDCIl}hR?)PZX2?AW(ncBqy z4-5F!6n#*<@m|L&%RSgtj}&pHHmSByo@aOF18ae^i50@b zik@GO$q=7XxT8JdNRy!|^wH~6-4)r1^E9jWUgu-op*A|GUyl@7t~RSVf7VCvsW+9= zj*T9{&6XO5L1VS%{ciKrjvP``@>#_@_kTV5$N$3YBh-IKufFxZ15tNFI}D&0iN(I^ ze+;)4R0Z&x(}QZZ^WiQYf?H&g%{;otyIJ+yQy>hcxQJo=1}v=n5}5`*0M7tbY_$%k zL;OJvUSPU2m7YKy>VA~WeN%YSEgvpY0UAV2ME(qP)^q~5)>X!k)H_7}*i2O$&d*`5 zP9MGA)K5)28T7zJmoW|D`k>xZgmCq4p1eDf$Ur}gTfFSVGY|KUhQilVnPwszyZgpk zBMl8?VSsnC{^qiUi?XHGPoROe+J8IAb5S#dZ(s5sMjQ_76HLXaH&9^wcimfEiXKIkpg9E@by<$l`4@xzOpK#b4WSUrp>GK4a#$u?%ZS^ytH zj2htVfYa~wMSZ}qCU1Zzg6;Vqt2;>{^cVevMAyKW?dRH9T(lYEb*P<~k%J~}LRH1^ zBp4B${60L?+w$;kXnkBh{%=@C%NAT>@n(owg%+t!)OJ=DRO%}4+P1sOsW0D%1B@jvJA`=5H! zDCb9eGVbeSz1jyddT;v17Nr(i1?el^1%;da@vFL8Ys66+U&DIDqeRFxHIM~~7WUCW z-rkS7s(?~U9a>D)K0s~8Ecj&M1n50zX>yLEHi{J{&HHz;68-vA)VqOq-SyXE`vSBh zCFiaGSZvISf9%MLf43uBQUB#{5S@sAH3EKu`1g2K!TNRLzHiDvGD1Fd!3r3033uG${|0Q7D07+>`bYE_-5yc(al zcmo$=zS$QQ6os;e%Z}$g=}WF3h;DZw3{c2+1ho)J)4!~?sEkr`sv^Dzbs6eod$L>y z7rdH$ENc~HMF6KG=y5g3D_hF7ZEZgFwiI#ySSD89`WqV9p3b5MvF0>>2RY#b~xC56S8yDW}pKe1^i=UWCFgja{^y=>BSxFoWBvVGB7Z*{5@LM z)WpK@zb&m`=m4ymh=r4r?N28t(JPrc+BrKIn>qsfLfFpQ&O!N`p|R+$xWx$EVr2TerK0lZc#eOrRYm2W6S4p6Urc{b`%ha{RG5jFm|6d+Lq&zIv3Pd`(UrAKR7n_NW)hx^)^ zo^CnY$w2tP$XCajR!#j<_VE2asCyTCkC!XWj_VTX<>EaltRIt~`)3mJm1Z*7Ck!^8 zi(J#_KP^~Z&%W$9ycc6iyMOnx_xkQr)8f^vPOqQo?OI6jI~APX-;?2&xx&7ax~F3F z>B#0BFU64@l(sdr)UMrx`k^pv?~T4hB;--@c`HXWE!7)!M{C1WY4KRP=oQ4Pmb73QzuU3t8C+1Cz{(CTD2b z&T~_$j;!~NF;^ zWF1I0b5m#3g=Fuau#J}##$LpDdH%Qt>FZ3+skGcOC3766JHA6}==l9yjKX)He*l#6 z?7v#20ib>hfMEwnmh=%TCZl6@~F0QecjI0zC? z^T4fU9DtGFoXjD&tJBD+Zp}A^-G-qRsAcRqVS)LRpQ+w}AJYW29Pj#zk?yzoqI-eE zxws3BMjC>3SUjr5?F{~1>Q+X;gxUnEWYL#-aNKmleUd>0-vJIphO)?JiDKUYVXT^+ zqpLRel72}Kl0~No`>gw&BPPQT+Sb8GzAtFy8@r`cXb&28-zOAty@#9hG6ia(1MaKQ zDII)Ayq!Lnhoutk*&@N2UL=U#Zv|Fh^9g+GH$i7E>LvlymUb<_A!EkiEIkPf+u89Q zU3R~*SU?TMA==ZF4CpB#7Kx->mj}lNZsY9{WQsJw(bDEUsetDSHJah>f(TRen3*h* zxm?vIJ6)I%a3UHux%blJ=9EKdajdA&jK*~O?U&<2LW!PG4uK9+JF4K*?aYv+&!Koa zvQ~36RS<$WF-9VEMu4y6Af1E<$Xx);P3)$trsSM|hRM-dWbmos zP;_GwdIq`I4xaKcl(UsV&x1QH&;%v5$eOLN{)K8%E+P>Zz%n=&ZNN%^eknTBs9NCl z>vP*@X0~Mn%8OnUTJbE(O00J>&6*KI${yKLN*oJK%M1!>B-)>XL$g9wA2BG@Bay)? zf^o*@UzG#KK}*Vs1>{o8=U9ef3uH_?Utx8-owTL1kFAI&g+Ve)*CZVmPxq_~I*BjQ zwA>Ua>=!_{9eV*jBL_LKw4ED|NNkn8t9+ z&v^Ube-X~k$#mlY!hA(>nHUlnywelud}n2FQsijupj7)z#4NGDT`wgT^aYz=>~UNc zNE>5?8gpG^jQCVz-IBoh*Gpl1BIPwYyS0$6X3afK{>iDu zvx+M-hCI_Mc@hhVn_JQ%&#ZKouZWBrjEwAZ`^4DL$SQy9A$9~U97gkCPIHxC$>v0Z zL0eJxB*|qS=}8dl(qu{1WaLEs zZ(gn#oyKWD{0ne+K?>>eE4eQc&}de*i#rd2Ma~Yw!fQ@Rj8X|?+HIGZ0t?@yfmxr{ z7V;3Z*jwt^o*Nrn9XCA=J!DIaWg3)pPV61$uh5_O~}%iG(FsIeg%+`nU8uUN3<_ zOuXCVOnQz2dX5Xp9t(!>p_;AaoUPT{8Q;x&ky7ziD z&^Sthql7%2g)E(gZJU9plw6w7LUJxD$4TQ8(q#=R;&k?7gt@|Zq^y@37SAAgsS)wd zQgIkUlSIbLYB8onEGuPUqIpppLIEc(+S&WU`(0XA*x<1P_rGKdtgFxBD4|_ zpYE-hr1;hu7099x>sx(6-3R>?M+9$zE*-=arb<$kLrL;0-@ERBNSxdMvajdst+?P! z+9MKpp>4x`i9Th~D6W`O`GLeJ`MjQf%5x$YhjHaI4 zhK&9=k(UQk-|i*zCMT;i+r$Q)Pl`<5!Ch-8K)gXmwKzcQqtY|!hUlQGZi<}sy*aZ5 zF_+M6&`D2rKAKtL&50ZAY<4u|AiY6i5xuZ1eJd@!b@6d@`jxvPo}5Z-#7GSQLO6L_y-))wP%sE5k084mxVzhUw<0gy=;K2%6X`0p`&)2(nr(wkt9@gI zg_6s9U82(*)`Fbd^75lVK$Xo_jXa>eeM`6u+B%aNBMQ@&*Jc{{#~sX$j#-Xgc0u?m z;Y=rsAQJhr_;kE+V(htx@kQ(%`(|oVu$o9ig6Y4K)l>DnAz2A44?LEFdlm0j3SYLN ze9kAV=P6Q~y1<0Q3VFCAJ;Js4xPSQw6Hh?zx+n^BbTYF!W`FX^c}U zK^-PiglhhRKwiBO@cn76>B)p>bR-4XQZoKr|1cI zhuv&y1jS1%Zucvnm-+X%;}Tf45W5zwjn>q6vo9#k4o+IB9*W4P`sNw(dKWEoUCULq z>ugapFPT-v;*Z_db!Cr&$V9PV5r$9<=#cKifMA)}Mg|Je;X;hV)5D7fCuM2m$+5on z;(?1&?WPNdi57PP)&1)F&ZhQ9$&Kw)Fm>8@s9*SPjR7@ba7`yvGcG~pzsf9W1jU?r z0|%g1TIrcn!Qgc!ar{LH$bVgC6m|1vnPcag}Srg_jbtTle9yF@XYd=4k{vq2v2l`bODE?9DD>JSn~ zUXc`NOPJT2eqZ#~B^U_V?V}H@z?(iK5wnE^z(iG`M{TU2H(#|k zpO@KUT*i`9@_PlXmmSH%iqXu?f~OS>p>v$L{ZK|jQV~eSiZ;gMdTxb#IQ+-*5AyaUWpDQejjkS zhbm455kf2u`vHaYtCkd)Rl-y+-!YzL)W4p?C)tS?^LM_|Lm-%gi z(!Fi$$|6q^oEs};v*V>x=TKYP!qO4y)-R|g-Q*vSrxBERx}Cw2Sk$@%+(xQ75g_v0 zKR~FS2yPtT2DnwSYuwhpt(?SUT%&}y!hLEyOTHMTE3K@hR7dZNCW+}$36Q_nT0GHn z-X_o;3Sr)pxXFfnmBaUy}L;`KL7bSpBy3~zv747=g<0LH9po4#k372SPK&48V{Wdjow<> zmqh??;&3p8_Xm@_tI{Lfu+BlUO?|Q&rvRybg0%_Co$2GEj+0+7;YZ5H2gtLsXxIIG zj)#G}85|dvj_pzSNQjf8V#JA}Y5AlB0&%1p3PQ>mSTUezO_lSjA-+FybO+mrsOIW? z;Gu~-$p?6PvOiZCfq?qJ1|Um_|N7*fTX-iynRe?|Y{Qn@HRqRNMK6Z%F$4bSNcF5w zembc>0P5)-@GxNr8Q=%*QV!dg^zhMGb3j_~ z6cO*@-y%D!x{tPNYc)q`*%wHnj&`@$JFTqI)?|kgCkC&zNNIsh0PD@T3 z=vqb&5t1jk55o`Y06kKb;)sbB4!!UPBH7$0O6+aE30DAM59hkI8WU9CHm?0eLzbG*fz zif`Pwa^h2+pZl`Zvign5!~9LOO;UhP14ah2t{ z78R-Jy*%f)-KEHNK|Ocu9(qe%z$e30|aRTprntMWklMIYlm2$&FMQ{vu(VLkH~7buv6*FAWs( z3(+4mNt#7UWpNstLro_ltHldpCzlWW6_OQT4w35Oue8-K(H`0Ikx{7_&QJb?ulWS= zWe{6SLy{HuRC~7J$#eS(hzjzbJ*pGO90iUA6}Uv>$LUPeF01FGG4;X3o95Lcuu{w3 zQqzi5)NPgeL^5@oUv`5&jtSu%Xl(=~j9FEpk9)k-*j?NN8hLtLP%1dX0PIU9pJOSK z2M^h7F1Wv}NQ)wk4Jnom@yrJzQ4S??1qb8T-BXnf>lAel1HXIo)D%)2Ti6FHfVNEV zw-*Cp#b{OmD1Fb*;Pw)lx|gQ1DW~}}t~Q=4(fk=Lc9|V6o8GTyMRiz{8K2f%H{Y-D z^(M%?r+vk&t)Lo{oQEzv>6NB?S#089%5(Ovt`h?aN+oX?1!tOSV?gXk$?G!e#f~1i zZ?M_*{Ha=>V^}e>q&neqHfBDj4><5+VcvQ`a$8@&mUl$;U~nBMjqBr-Yy@C(b|3av zrwEdilF%jGj=MP4EmyJUn26D)n3?g@ufLGaVLe5u>bmHjuMORQq?wheo&r1@b<`^s zR7c(Jz7U3y7IC!9She?nO!IQ)aT+<(-A>7uG1Isn}fOE3|2eYA&<7b0-cK z%u-Q0)?vILI4cvvHZT;;OV&LSQqz$ze@MYI8qqB-&qbPoSzHye^u?4Q8;)PVciwq) z#x-z0L^d@Oi{NmN)qlhmr%Rr^qcYOf+3Z_Ou)7ND#RA`k?e?SUV7-HtDZGH7NCXu zQdJ3ebpIJ!3uAA0K8pD>q#?%l^cM$6eeMsbc>I=n(Qxyf4D&juL_Uk43fc%YBVo;f*2npF`I~n@8}K{uHoL znP};zC7A8=ssT@<@E6Y?a^)jj_lfwNURP^1HmSu{xdNXi(j&B|G)678QmVY0JKs=C z>l#wZjz_;*n|E76uH4ICYWMsU`_iDh0m81!#jVx@7MPZKzZ;j+dBDNIxrrZ(Dse;8 z@|@hPcUvgym+cgEgzoP(A`ODXL7R-ORXh-%{PHTf4sUq2YL8odTo2-Sm{$}jh0>LJ zbg55#9y5RVrBF7zRBbQ{r3mrI5UfepcL#5eqa*q3QTUfW&sA5$1ETvCiSG)koz#%F z3wT%MO_Lgy;K0RV+vrWWuz~3)s@%$T>WbJ+U96`cR1hC*ek78IiFi>sI}xWl7<-tN z*Kzv+Xw9#+gAxx^3fhLDM{~P;qWqfqw0J${d(2w4a)4tV|B^>w`tdBbM*-w$S;Eqh zM;10!UU8-JCGtJbur)r2m^o*ztJ?lzVrSHZ&dKxj0569c(h9rr`dyKh77qE^@)&6P zQnA}gSHyK_IvFwb(v3|DFje05^B&$Usf+zS7-@i!S}vRJ9&E zh_lTJl}xDROR{{E_PY_Y2@|;L$eH6|@kvpDJWr{bE>lUIRlryXUveYHi%K+7&0$Rz z7cLjC7ws>p4xV2qmW*a!il31ei zm11hxEwnwXrEM}uDV!hGbn7sI!Ms83XtknnKD;3&3BPQSkK@a|?!#U2>IwV!0EFp; z_=+l$AIp2kpxn6z;LN^{JRq-&ue#Mao_vd{aSItY9rYq z)Nbr;DdRLg90^gZgJd4%yc6^#axYn*kQsF9>}RM8buU}o*)fj;@Y=H~YikBMl$sg& z7Q*(3k^&7xH}Urm0}nOx3FgZ;bOxm6(tj6ru6V4nC0>2^f%qhwJ+r+r5`YiVV3G&& zG8GR!EbZG}v$rHr&e1qu|GSg9j=OENY>!QD7Ag(BWi8|M(Tf>?e<9h;NJRgx^W(#7 zLq9wB4tA!A47E$0-Aj?iiX#M~SwRClg)n*BuKR1x6Qxo@J!7F<(9FO)+ffAd65mGw zr!AM;_$C6O$f)QIC#lR+`;a?SZwdr+((Wz@gcAF~k=w6Ww^U2;y4x#fP+qFwTDOfn zNQ+&WK*zx-x?GeO11QFN#;AAbtpI=C+?q{-@2tKbN}Z>Q$1?Mdw33_}q*LWs39}A8 z3+&cjyJ1Z=F%(ZfFCzMW|C))ur}gw`wZ2k@B@nqN8XbBc7`lIW9Kt#@J3K7YN|{$G zt2s5Qp%NO=QVpT%DJ&WtfamRbA16s9+{-`4d_0+*`A$r%#4ZQx|pQZA?N;3tgtPBK|2Y!=-ys1W=_ z4E}O8&2Y4vkoxp(4ty)F&%}y~Eh7D_9|APn3TA^eK+!~PutB+3+kc4ZtW;m||6s7I+CRM-OCsu(gO>t0PN^4j_&0 z_4ZdysTXL_@_i$oi@KqBtbeB!>5VH};&^hhBtb<(cEXiY1S%x=?6ypK5wFYT1 zn=p(ZVla8tV?R|w-BF7H5Q_F)@7u6BmOK*^V|Xb8I7Y{%h^svlIze^`PK3-mG=c`} z2#NtMvrB;FwkAs6+_F+G7vuAAbvW6s$USVTCSM*y=$}Y}8@Qlv`y9oN7xv49+EXqB zNfo7iVK2u^R*B7p6F)xv@C-KYhC8uK{uK&L1LssW>Q26{15J;|1LVSE^h&tAlM@eA zXDc>NbX#}~+e*Z=_7|;1#xsq11L$E!veDillpE1Vr(pO%&!}i5aL~f_!cYYFo5p?v zCx8eWBoPagWmU$4;i?SxNLOWs38`YHm+(2+Z~OB*SV9(-F3!~5Ud-%7GG2L)5$?@J zDZx(zW4U+VSW8IdJs3a`e>UwEj|52Mjn^!~B!F`uDvIJ7B{)fu!eQ@%{vbSBOf{X1 z{Y-tj2+S%k;1Ka6|JNp;EU6)sR!9;`7ssNhS|z@uEGe5kaPBFw z<$K#XNK&@URqHVEg^3rFTi2ts~hdioq^@)>aP8YL1jvpB==>Q6oCZ>QyIc>^F)j=L=2w#uFNlUP|A9O!bxn zpod#SaZ(og*{Z&lLKZ5cP_ejR#-pKQWy8)jY1PD^vl3F~Ym}j5#AGOn&Q-SLwgU7B z>`s`MckM<3Gwcp`Tu$6E|wQUyN^RMIM5Jga6IDsq%zn zdyay@;D(L#jGO{v4z6euZUPpa4F;Vy@-pgnG+AR$w2;#*e^bJktL5tXi#Eg;{+!t6 z-9#gCQCPd~vq$2@PopU$8G7I1s`}Dwu7j{{%SNXl+D*_Zo#0(1g>`o(yZpwws}){y zFhf9w(Rs2SPqkTR@6S1cm|;dL@lZW;UwbCGyc8dQ+0!vJY{AILR1;&FBoGOShF)cp zfssg_At;`5Y!E{y<$|h%WBHy6R~+XmJ{D`hfD+Rip&*80J^l8QQh)kCQhMjI}_K+Y(-wAbhq%7SYT$@f|B!hG7;L*(~^YrVa_ zAl|vTI`*UW(*yCvjYc}@$GNLPz$MDsMArStrPua*@-%oD#=UqED4B#hyCz^<&^O#S z?#}4b7WmjVK*3KDfz2ONp5bg5`aEL#0E`$cmD410)th_BoVG#Sra8r@mAIrik|x48 zi@aAQv}S&TIat(}fMEE6vT`+C75|=0|S=nIa-Oa&5 znkST98rK?q!3Ll&r+SM{KeS=kw`Q~=W*M#*J*hEM1RZCrvS|EkStGW*{AjzEz35Z` zpNR$}dJ-oJKUDRQt$AJMuPGYhT{5JVlgpbe;v416_t3Ygo}EA{1sR2G4AS%XYYcSb z*}WHUUqZjH@JQm6nmu>JifYPh&{InhXt)_Hv*S8e;T-fqw@`Z5VcG|@bl6#OAmF|^ zs>A)^DmFO@er7gDdsoO`oK6)DuvRYL#gQxtNqSd|0RfVBXl!Cz$QI#vff^!_g#ozi zAMLtFuLg2%cyzCA)uZ^=SOUu;SAJ29geMkwgUQvM&Pvta7rAQdioYA!kL%mqbYCT^ z6GE^o2KXR@S9ec9LtTNXhnffxZS|GibJty#GY{fIZW{X72jD(re6Co^mQmK+x5& zEN$k?eN2dp{6_xnx8YsF-yQiaeh+YF7pu=kXr8JSlB4kbnU)TPT#+X?SClW9HUg1= zrkh?}h}5$7@+%z`NbkZBBn>)21CA_q)qeSK-rQ=5(Wg2BK5;o-0sR}vI}E??n7Tp` z;p=biJ|Id{L;6r^lrx~RR3XAU4Gj1uBH2(m=1xDx?3wyDjVc3oFeK?3spScBuw_jh z2S<-=G=jAV4r4meh5KEVFha3^)h1_!eWuR!dzR)Oy_;W@;#!pIm&-Y18V|IpY^;c% z_f`44^u{0aoR;mp`f9>#pp?KX`3SCQ+F4poA}*l{Asa*?qUx+6zZ~Ep)k@|-v4{SI zF*nj-mk4#Ho<^)p@mJL2guU7pBlJpOv z^PiBUfAK*7|3{MkA7DrS!ij)v(SO2@{>N|;Gms$rAHYR_diB4*BmM;!aWb;7{GZ_> zO*=esRPV#8Bk_qo_*XtapD($YBS4P>8B0^(3QTkw6O2GFOzg}j>!sA=tHftTj&72r zkcP36)Xa;M%Bl&ycK0laJ`;+;daoZ-XP3y|}eWT|qO)rh~3|>#wBtBC+QMPL6#7a0NRB6Qe=ON!YSP&P49OXS_N;b9i-hh&n!bdk6fEI~gP8;~xl z1=2-wLpp;%y2zS1-ZX($^rr(P^h^{@GWEOuWMF*VO7f#7XP&cObK0zplE;FF&yiP# ztEs2Qb$C$0V`O7& zhLIWXB1>!Ypz0_Jxmk`R#)BW@;<&T65SV@oEE`6t*{Xd+|`)9?9=_zWhbedQs+|^ylSgq`^HPV(FPJECxb^n{9v+5!-qyd zV{x0*0^zFtYB*QSN$ra^ef$~08gyqX(g}ZL%nb^4xR2`90Tf6St-`?7w9*2##>Pb;~ zEm}&=uSyO>tO#>HkF;u_lg}iG0xAQX4Mm=5tyUrf5)O$r24rYfhTP{0I%!WTz$s=+ zp>29@>MO|4MF7!|tXgU6Y;22+Y5R9Sx+$kGy~bU%p6(pAyIy8N(;%%l)Ur>9pi4wB zTT}JzgH)SXs)ZBzL`gOo+S%6GK`7G(jvjjLGYntw_x`2B*;E7aT+@hAe45M(a5N_H zCfjrm4qpz|1vQwWvUO#fyk>jh-N_9onTm>WBp*`O39shlY|TTn$V2X4TvK3LwVpWya7wp&<{~KMz!I+}3OO(ABq-JZ9-!TSelPBV2LW}=m4LCF<%lE4V zhds?CiGbEeh6N)mD3FQm54^HEf(d6T*(~Q89*Np|{Z_sFrW{5OQhha4Z<@pie9@a4q&patopgb!7f;Jfs3u)XEs!nT+ zRre;~Dx}af>&cB~+Nt$1r)B=@&tV{3v}I83ddS~d@E0y3lO<7g^AKZys#pixAOP5T zhJ42nXQ}&UAuE?Y06pl8KuZb-&PN90NMilFnR>`eV~7BWIFxA>a~7Cu;dPG4`=IO# zXp%su4HLu02K(OEYHO?Q8%uGvpvlc)H@hGDxDN00Q6^Jct;EZHKdn%n1OjScdw-C7 zji1TeBHy}2be;P@Kq>ZhcYXTC{=NCrJ~Xo>A!4LH*Bar{WDm*=qcUWq|6MI{QGw&8 zHLj5MJB3#Ha6{Nx|Ma44VBeaKa2b3B35(j3jFc_)dbf%zxKXeRM60`}2dFmym4s)s zfJjNbcKJS$DFVlAUbo6Nt=kA&-ub>_vp6$0$n)Ut_F0WgMesg5q^N51WcgAxBhWR@ zg_h}b`SjN-eL~63v%sc`u9EX9Qetp`Dsf0iN!{s6-}vR}OCM*u_IgY1l-)?FPf6Ly zU0)s&+cTJen2kVZ2^SA`iJ5Jw2?yBfsp~C5mZh{@SxuIrXwYB3&5F;@Z<8(koUOK%) zKaOY;=*&htp|&X2rYKhN09~^T*H&D2St9L&p3EMC8!D)1m*Y1mwNYx*vs9p`W&tAF zDb0)%a?l+BaYL!-+Y-NG{+KO*XwzLI&c`~p#9*uU;aH0i4l;@-7(44*k-}Pb(6~&K zYLMWgCr^b62iFkO4Aox*Ys)hv+#_COAQa~7(HML70`MxOVA$b>u1;~Ffi>6RWzE3I_}%hl$@azfx6btGbE7V=@u4Lz z0=KN+M9~9$NKdyC&!l~GpD+x0ODmnpofa2)kOC!6}N?mzjjOb1*5>tVpn)b{&erO_ykr+O*;*ymI~8;(=3u)TKqcFv#Sn9pz_QJcN%R6ITJn40~a>gncy zk5R?!2(oZF)X)Q@0OA*HYJn|g)S_;itF6o=Aj5$mKJ+8!#6-=oqjY!eBErwk;SKLx)HU_B74 z+5jWCEv{Rr;e~!9GuWZY^4Rj9&ONZ>l$Ez(VLKTXtHemuac;jVb~g%kN+_U@F0u2B z^U^_CxtsJh^v8J*skmmyfO@&h@Z5+B@3uwblAqvSg-1sJ(r&GE!nF1BZGD+i2O-F( zF?t6K>^s{~)8yV$6FPSF{ly3Js2$k*x3p*)pCG5)J%tyIX~zCCWX{#Ngy_O36mEoabG}R8L!(w>$Yy zhp?iVPU_S$Y{MeGB5IjL^$fyj#6q{2%9R~Dx1AFPfkeJRNk;NFu^)-=w_sS*7a|Z9<rfCH5!70|Vy6{K>QiN69D%Ltv#2k6&;j7cRo1#jshnA+f^nfTkf1VPs$*tT`2q zZuhxgTQtp)U=}GsNXEBMkGsqjq<8Gevv~t`Z~<;x(C`HqI?(6C+f^ z@nUntf#Ov&CJ_;ZjBM$q5C$edC(|SVC&*=i75w3qr##*k0X>pLgVjRXUP?Oiqo&E3 zA73%Q#fzb_O8MC^;eY^{OVOeTDY=w}uUz3HOoH-ei5&HYTJIchCh~{*kjkFW1o&sO zV@<~I(E*uniQyO7=WR`59aR4Zdv_HZNt-5U+l*;;nVFff3}t4!%h^-Kiwl_5^v z1w|n4Mr+V)s~kU-jl4^yQfUu>HI)JLdFN?1~ zX``QyOvKW_WSo=ENr0Ai1_)_Yz8v}~jARG=G;4<*r-5RppRPyJ47*8^<@`3)1+{iF z0xe=B$vDN?&SoSckWVwfn($TVw=ZeV@e3jm%cH+VxEVerWj2Nz-5y@xVd|rXMp`Y8 zw3Mf=lqPRX?eEJGe#NP2@Q`TALG*Jlvzz*i>VqU$Nq!{5rd6a6Y4Frv{3I@KBhQ=m zcey|45y}4PC6Yg7Ui@pz@_s=Q+jBqCuxcjT_}u1_2^NZr4f66t621@39jwVrLQTvi zd{T4EV6padcty5X6}~Q_TsUOPR?gS@tgRcAqF)y}_fD&+5Ht|T&?TqvYcvJz#*dlV zyAuPNh*Okb2rr`c&9nzYjE6b{6okJGSr>pZ#G^Z!56hG06exFK!QV2tJaeUmHM~)= z+1$z&8g4!NIZx6r2@5&4gRp( zh2UU@^rxwbrZna+=!F3;D`%ta%BK^xcM#I?EHr}CX%fe$TWy1+j}YD$=B%H!i$)?J zn$1m}r4shfM5Iy21|lL63tUbF=rkJWu_@PTR9JXkMYNr7>5M&*HtDeGOuI-GMBI|J z5;km;qF^Kr>PL9rIt>Pt$T{b=o>B`9jtB8EO9WSPhpKbW<0qK(t;pM~_bukcJAlD; zJ#7KmVW>iCBZMz;{8%;LBFJyN)X9*y#o^;FHUf?Z4; z*SRwhf_HpTjnR&4A|UX7tULXIA5u!7!MwsxIq3NEJHHCs&U%bxESNKa`@Y%iSCS>B zXCJ>3s|THyl+M-uW}-k0V596%rmdpcOMSSVJ$ZnM6avTX=EWjkc7`VQ%W?V~%7rBz z5_mHl^#UXNr%pC1y#pvjRh87|e*SqcJBpM}K|C~>j^U5F5~}V(6m8Q+t;)dM4P7Uj zXFC{)q`GZl+w2mVb>sz&(fz1VU{ zYiU_88jbR1d*#+ozP;3sE3sNnPVvep@{yl%fjvo#(pWBc-ynb2*lJ2PHgsjg6~y*l6@hC9PZ6B}&I0yo8<1g@C_lfKlZwS(i$joxy}?kwmr!#x+r# z%UUaBnFKnJmbpw=<%YX0(=iKWnjP@Gu~Me})9Pxe>}8i144a6&2jWFVHK+|_wwlQ* zY_z&`a1$Fg^>p0w&g?;wUfZKm12rZOe(@j%>9^l+ZLH&PL>A5G)2nLw=)1x1URG>X zUR>_qfR`>NxQ}J0rMIi%?sCF(w;}Ad`^+4JGi!AtAyq!6V5i`M-v!+h9~xtEcAnnD zTv5@Hm^~+UnzXq1K`^jYsk;#bbSRK5VPgsdhox&jc^w}a=LF#o418IIm7SFwakV`S z2~w|P41@*TXnj+HStgeeyczI{I7MrRR=inaY~L}qa@}`rA>X?r3@MZtarq{u5zF*U z!=OY2DFakcfAs&(@`*nE$%mlMPfFje_h-EQ&1r6Ts7VX&QRM|gtB$sqoBXn;pjl)6 ztv(=AG~_$hE225ZG94aDRVJuZs-o@u1i8>liL=~`Q<$$-Y@i9V;f@y8?|i__FPk1_ zds4FJYw9d}mQa%<9`3nSzI<-Ufin*oc&DR7q5{o&7^+BIZnqgdb}VTK*qksL*kgPo z*|pk;H8$uYn}N~7jvIWLh7|T|qX^p_KOBkPG;H}nns}zl*IdXE5a+jXI7u$84cNqm zjA^i1S!C4*qAU`Ww9`~#;O_5Idq@g-y=l~j`xQU^K`K=MC%}!m$wZL;RK{q@!ls=6DgKM?sx_SuqrDb@kHVj+m4pc+~jaH4EOA%)5I3=6SI9k`gf z(IjP}A?;qT^^7c)n^r!JTH2O?iRve;q){V`!MctrFiVS?{Up64iBfZW^VMk!E8w>H z7CShY1%8Eo2sBvHHNzZ6J=^Z}ohn>9$$9|Hn#B!4t?|npzsV_kYnwj&HPH_?Ys1V? z_H5ZHeuyNz@LACg=fK9d;;V4=6?~JQXUvj`&`nGdj3kqh96RWBOxTuMBFuF7<>VEkX!&{r(m2lUUW}btUCeyTGh0GV}&ig$qNDkww{ae@476!msB84 zQTQ>V1_I;by9VmHZprNj`r-Q7j*0Ybn2rzhsaja^xY|9m$o2GzV5{remj_SBvn?|8 zXw$MhLw|)f+be*E6yxiyo3e%m8`A61 zf!TDt`~#mI?{k@T|C<&&7GGb_V|9JC`(Up3$V0f~JG>BV)+9L%?lMHa%H}l!+TqW~ z{#or>P~-CyCgE=A5~h%JXTM_YE2O>|?Eo9M9#Dw)wM+0$#x*>QW4XtGCFm*9`CF+L zmjo%je64eW==%>I8etah;mu3KtbD|G8gZW%wX9bsiLG&<)9w5xhBnvU)*2z_bYzn- zen?B`4P6L)4bai#!SM5dJ(MZk<#wf5v@-_8x_FPDhO^ zE6!Zc5yf);uRAYWFlufPQ(U8x_Xhjg?o#8<90|6Kz`C4j*-}GKKX|r2v*Z`iSq6IL z5hK-4(-rt_u)tJi6E+j9WM7YG#Bkx1pHRBqP?pv;bQwtkr^=qd)i|aagwTBGfVF=C zL`yewbrvekL!&}^YDD){^{4xAZaQ6_;qu66;Ogsp7pKuQ`p#${7!Z=R+4A`XDNJH8 zX^~IGsHOIyjljf?XDL`X4Mz7v!#{TRg{I(5DG zGV*9SKmzTf3*UCey1Q^C#92cX@c&ap7(ms_hXcK1q6ft#8C>|mZMVr3P^u1FA96EW zW?}-4tDc*&o&(dy6n+}58t?4Pg|po}i(ElbdZ+Y@BtHLOzC5Zzx<*()GBkI)H!Y-o zPt_)Kv!NoNk(fvLTBDz>^bo{ofjnDj#Q~YPTbvuUr(^fQPi+H_qDovFVc_07k$t2d zyVz|Jl5-D)%|Q*gDM;s!YRq0rgYGcZaujZ*UOYp%9UY!rXJrsq#ZD!l4oh0s3(_1t z2vtDR9SGHyo-2?X-fWe2dOBG92ItBGc#3Dcm(Sw0L~O9kLnfk})etvBN+daM=2Qw4 zgehl-(=!*}4XcWqy4IHmKfGKxD9kPle}0lf=A7b$0C*+E4#Za-4f%-y7VlVmmY&A& z&=;DUtn8PN?g9!h8Col4$tcc@zkwgZByJBH$)<`u2~1g($y4n-e9BWR`3(u?PJAl0 zL}@8+akks=N+%%n);1-Kr5@s##RvjeYf2{!mcdx5Kd-4+- zhJ#}nl-aWOy7YEw810cA%^$UkGnh2a;H}kd9I$X%L7Px7 zt6NO+m;nQHq;<8p@FRmVKU|v%qCDLl&h8xT(Z0`UdX(7QI{q@y%m`gOA&-8E2j5-6 zh@WR2bQ26r!gC=-ctxpY$$`SBmU`AC?yJ5O{%v_jep0SuKMt*uQkQhflWJZ^EqmQF}b}M(E<_SLD(bFhmF3 zw@>!_O{5I42<^HpONuf`-E(g+k)KEd&b>ojz9;PH?*{~_U46_pN7PpJPtQ}#jNbi) zMxR`Zuo2+XX{QjyzN?z_NRf z-u^YG9L@gRQF9`=F2P-T1eZ6iL1z4J^)24rPdz(t5C-sXPn22m;E`rJ9bFd(kMPtY z$ufHeX9h?cq!b{~9-ru%g<4;nb1A^4-yU*e z!8(!n214XFZcToyi>rBTaEvo0A9Sbm4Zqc{slo@aV0dz|I7G!G71$SCS7ZKiQgq3r z*vNv_fo^omntUx7NqD_ExW0aQyo>>7DbN)Lte>`3v`b+`U7ClZIQ2@f`5b-2Nr zEr4L~FwrAvF?UK4k5I!i@V*ZG_u3d*1TIDG$f)5U@|41XZ2ja;uE5F)Ox;Opq8KsV zQO5Lr77PJq=d1f;25ms*qFF*a&s$78orkYC`WN(pn-fZu%xnp;G+ zr+_M)B%6M{)3Gr{vrqp=F{3H>l9an-SC@$GOjyGU@RPrP$KiRL3F`%SWN+c>TN$`j@q0|sB z8cD2Wp<*4Fp!?pMFyz*%syZ=I8GeOq?ppVguLE!KIwMrHMl>P^K;y>Lhwtw!`KSkJI zjirAl!v0Dy3I6|Ig#9B@^?#G4`dfeN-{MpMp-c5|C9414hp{mIw?6D|$N0ZKKmOsv z*f|*)|5qQTVXZNQ^yR~rMQ?X-F8_dFK+teXqx1)A)z|>@Q=o>`epAgOo-6)y#kDn_ z1xu-RXd0e_5J`NQ(J?;hVZU(@{Elr5q&H^{sP_c zQX#!oZX}TnHCrj9kXF2~K*KsC*V8!Ld&Bcjv)tGZYq~17C$pB_s-@&Bt4lg(_BF1D z_m`0|1=crDG;ZeG9*TTZMVY1nbjPmL4khP${-*ECdV;cPAFR&~yanAPAFw5HgX;N< zO?3w+smf7wvE8t=}wpe3Lb%OzZXBLz7JxLNf z#ylviDCV1s0jM?TeQt|W_vs?!?oPdUpNn;8E7-5j0l)j}&C;}rN_>AG`a1F6uexGC z9oXo z!zLL_HADG~fnYUJ6NnvQr-wjLE2Y%U-hZ2wc%q(HYSgJLh>=DY5Z=&(OrPzy@b~n5YyK> zcOE-o@>`^a_*(uK!YGwuaMG#I%H|*-CW>8TnlXlGKX3>ddC~|H&S#!xT|F@y@&mD2 zhaKGoMU8z{TlWl^l(*uo#y81kh$+U#VaxzXTXgH08Lyn0zgsPrCdNTs1TH6ZHeR#8 z8Lt|n2-v7W7abZzmD>SnEK0^}2^ABkh+I}_2;)h7Dryp2#9#(_>y5;^+ASJcne04v z(?JvdMrzu%7L`p8WW>wvgE=8F;GRwTt3L%r@Mn%s9eDPUZ}ke;^l60L z$Djf7vtMafjs0vPKn)8Yxav&`!Qh$0BU#EFU#{qtFXCM|sTe`q|$&(LI zYC!i0X?v0{Zc4~sLM$E!B8|-CI8^N?23pA+!A>qX z_qI!#=mk-mi3dBC5q0#zGE$X`NC5RlUMmk@&l z`I`_U{)Z4-{trSd{qI8T>>ol54^L3-yG01r(5}b!--KA`$+8N^XKV#x;X2On@xK#d zF!=vdh#mYT#G?K)AvU{kS<)!C-kf`5dhKt#sV$EXa_UCu+;(!s&=1XjxD*XsSR{uHp5*n>D9`p`tsA14P{ zJIp+PyJmd`jMVWOKXzK;9-)M<=|>m9KQH!QgPKn#?YBq8m0Pc{_!hS%ypkN|w`YRX z*}dwOKN=gIBda>yT5E0y*Z~7Ebq@o_eN75s(SEPaR|cxbn;9EHyTeg*R`Y< z@PtfDfXH))$m7I3e(eUkknlu>G4}dqwnoY(R40{}kv^%cl()lw(w5)pE!&!gqVFj? z_`#1!&P$PbIo!*$SO94_t99wtAD8ckG6+5tEm+#GZlkAdvs>@C*L-yXLNvc@qUYAS zolv>m3<+M;JB}CZr~m4wA4SdKTD84Q3H3+oy)7V? zWWZp7ipF~DpL9L<-gYPgRpC-9ZMs2Q6nVK7SIuyMGy9F-u4@O{p`~faJfamiZgql1 zZZBsH#mX(krq#sr`%Vh)PD+}I-4CZPASTAq8i5?e#kAA!`|Q;_qJR>Gj~vBGv{R5~ zBcE+EJ0IZhgD9EAJrk$M^*XET$?Y*Dfg|s~iB#@;kH_n1U!qN#y&w0pgu+%C3YQ;q zY|(EVB{wQFjigqt$k;(MGxNjHXx>`rqugi=Up&z{JIa@EsR)qhYSttc`bo;;`1LfV z=t2R4sBpqoVy>@@%Cf}eocf~v;tfPak5fvDY@P$^*fBQ|8_Ja4{s)^J(f3+3cuzK; zJ0=wQA54%iXI4TONSzoI0GFhw+Yk~mQ12is9c41dWF<(>RU{8|c((K(FmaRZ-UJMG z3)>3TMc#2m_w1q(1{Rh7mG1p3q01wF+w1m1v6S%$cERVTgy?N^e$R~^!fKWqaAfU$1aB7 z4`!8KnutSF?67{GUJcXBb-I^W(DUFc73>1SgPGCb~$9yM!P zm0Q-8^DnmsZEKd+3j??N248cH-D_zYP!{WhvVQskq$?urD@Y6Ilm7V=Z`sr~(V<@X zeD-kAmfkkDG4UwF4a+=D#SxZA=UVqcrSSS1Bzi?7K7 zOwX~~(LqN>$$YE4k-co-*Bsdv^A;V}W9XS+)bE8%goz3^P|-#lHJcYKGIz(S~Oj!4JI8C8R16^D)n6{!QUQj-99r z&gQpzSX=SLOr7V^8mS&blaW(Zd)R^_kztua@0mG|cr0vi6FMuqxIm{PD3x`d?G zdq@=~Df=mG>1{AU?+cqQ|fhti05L*S3VgJip zi#e^DqsfKs z3T$CJx-e1JQ^t{IMcp6H#qGktW}(?CB8NA3yqPZaEOSc~2NO8wA)C(q4A1TSJ1L;9 z*ow%*dNIvOuS60VRKM+K+Bt|o7gkv04!!mmZ)1~(k1SIOFCeW6c1=M>1 za!Q^3(AKua&q`=V4Y#fp60WFH7Hhl3Y0?%Ic}i+~?Q=4{gxCiQl!vW`6Ty0RYhIaZ zPtC?}6gB9}TN=LR?m+#6-kwp)Z;}}|NoROthaq5);hkm`^y{_ zNsZ14dU6v=#I5KHl?$s4wqXkeKW|pdv>1of5sDNW#o(>Le(@lk@s-|&JjH|n9S^u8 z0Num!?`z#7(%Y^G(jr!iDz!c!$en)pk*emWfu%B_S=pA}7+q6ys`=yzvV{-@;m?mU zM3hq$f_hsO7hwYc+^%Qo)b%;Vd|2lVDG8`43I}U?y0I^S+Acm~dg9m;M|S)>VHSi5(_VN!>iIavD@12=`guf5 zGzlYo*5P+%t4@g~rMW}YlM{@+LEQZWRUyum51wL(HIwR7De-)ZQX~YbJ|_4@8?HI& zU`Jn&BaF#$&B4Ou_F5dR;dBY7B+wUl?WGua>N%t&n683xXZA@hkwzX?1{r&OgN!a7 zc?IiZ;f~)f^k9_`A?rPAY&|wk7JiF{g=e*4xp)L|ye#gnw*eGjXnS`H5=*}+<7u0_ zt$fnZhu8vYpbHGJ0hC3S4nZ(vQ2Tlfl`~h_h%!CApE15=V-8O|JNry4^b%Djkd7)5W|oODPM z=pLmS-^++96`qR$0FxzWRiZ2u2fCB$_4LtiZpD{WP3jivQE6`eL?I=NeKST%yqh9X z>w!N`uY8Oh7R)6kj0+e)ll8C80 zN4RU+y@IV|62K5kH?N;Fp@Z1>iS3#!wJ7O*BtQ2I=fP$&Zv%7FRKzj&rf?6^PU(dX z-v+zoKdUCW>*rw1T}6!v}H^4BW~F z^CNjgDs@KN1K4Rs&DRF-*ayl(Ay@#6F%8r%pjXw01Kkv@y`}q!(4Tz<>}j(eS~z`E z2*Q$1zF+mOx=cD%Pg(+^$xUyEqX3h;7rUZRN7o6@mKTX>r%U@~RNvz+P}M<=!Anh% zTaS#1T_i6u^zmu_Fy0{nw>4Kx(MPoTn1L`re4LV)CF&gj+f3PvPwVR_0T+VRTjwQB zq{EUh^JaMiiIr=fbSoxd#SnS@$7>I;5z6bAt^9`j;?sKF52=msh#cV?b#ka9!wk7R zqaDQQ)9`_pGB>ZE!mPpu%O|s@uh#`Sn0lulVUsZ<2vlUM=i@)j6Kt{JH0KZH#CpdkWlP?IdBt~}YG2`zWcW7bc+g9JTQbBPsrGa_+vp)Ly zYU$gbdkU2>?@DzD3NUpO(G5e0KLSU7xj&@X<}rTPAB=xU=LJAwaGROe$XVT>AoY5U z*_^4d-A0!=24@F;*mT{NHRmuepWWV)1d=uI#nT0nJyMv+6Nvi0TOSCuWjXea{BAaG z3(M!;m$xa%@_;L6;9iS}MF1LX44wW7fhkC=YA4Y1A)(+GyGwd zQ>;DcFp!ZM#GR4%6^@z$qUZX~*p1PPJ##V8eRil~vkyB2q5&&zX)99j^yeBV(nOvL zT5!m9ASDrVP}Qn%TjEV7apXNoOcHNR)K2^){)XYOz>U}s_R0pubN>ELitObaRl=rD z-RV3S>&9QxxrYAHfDhJ6`wvoME^Cxe=nR8ro-hOL>nZ*vm9)~Zwhw=d@9xomz&|x_ zG_JclC>O2nu>@de8La8{k+x*uQ2n{ot**ihrjCMydy#)@G|Q-~ri-M9=sS(?>bbXh zu3lO-b_U4@G5)yrc2z-Rcdv$Q!9`qE*FYcmFbZzVc?=(IZI28Q3r@B2#mCCcz*kQ2 zt5XWb>)O()01RA@l?GR#QwySesAx&AgJ0qOxb#4rsF7SCU%sjc6Y+ThjMDpnj3wog z=)k`(CVInpe*o9rmxi+cg^Pii=X!&_uzy@whRc$dn_WJnNv%m)me zn*ZWh*>aL{$3`qqtbyO?sXepdsWrSp>d`#1EQ5)zo1?$uvFX(2buF_J~^(h+x#!(ko}SrKA5z2j=^SB;`pEV-~jJ zo~NP$oN-`29$R_bwvUcMMTypy(Yg{)bOf6S^7`wZrcnyLgDm1`a9KSlhbj}Wd4Qvf z54tYnO$%Tsh-4~1FCf7gBg=s_$ii01|pf{+?Lw}=y{-mU#0TK zqd=&hh);`Oko3x)ADdEI=%(Mq`+0>GD|4kDml4gZisr8?mn8w8GufRGWFbao1ZIn= z3UA0>LL_Z5^Uz0Y=s09*%UlAZiZ|aQXolo8tfyVCStPW@g!Gjm?ndVw#l~Ia|GeHM zPh@u*Tb!PEV5e42?;YStXlV_LxsYG{;k~BMvs;9y#B`G0VBFJ%c~gq- zrW_-KNsqkZ_U@7Vg(q)?;_3pHG~`Y6BDw6Sh_aQltdr0_C?8a7Cb}ROOH)Q_1^j*0 ze_^&|Bgh`yoF5WgOvzin!Qs%mQC-LWV@px8%>V4+i4vVlf$jn6U{^VTuB<@Qz~nb< z#ZJ9)Hml|&6ol8u*^4Ai+BP%AESC}x1ZVGuwN_=s!2%rFM&@p6@6+kCl^dmdxZ)Za-|P&htEA zk~xU$^k>}wHC`;|9v-Mpyvyiw;_JWpNS1!ymJW#al_uf_(vM0SdL>ceTEivE7*|*_ z-ju-bPq5l017cd8JYC6XG<~6|jX4eBLJgEt(>WJI`}zjY6^Xoj)L*x=a+IqVDK3J? zlVe|Mb-bvnDMU>636Z)E3U|i$>$4o?t{N1C&Nk0{7S-s!-@I@s#LxrFjEq=}x-M@~ z=L%Y;PHz11Q@nc=l!g8j%8V!lUJ9GqdRC%eby21``87vdk)BvRf&w4e*TlEy9z>%O#e#!3#Ee$-7nz7&AAX&Whz20BuS$w2=VZtW6_yVdy$W?gJ~wpXXTZno#dH{a=Z9Z?D!JIB zuVJ^(3iDoV|JuwUAT;dGx%)?7eDG_BOgdkq1jZ)*=} zpwpIxxSY?y9MMSFA$5t;a}UD%;}r>?RbIq^-t^rE?PQ>a;+xAeD`f-RDNqW2S3IuQ z3$_RFqIo%$$9wX{%^i9Y7f!FIpo+KFFsh}ZkoN8dKPKzbKI|N@|KV2prV>g5XFMv)@LZ?*xE-2S^K=?H(OL5{rOpZni8)_sy5Chic8-sK!#p}qEEg^^u9XiE#a z(sWx1Khi1s`;v2!D!oPwo;(E?PV0{ETCo$@6e*|hF14Zx4Aw5@TdsExrD)z@ep6R! zgec6mBs~$f|GABD)a$}sz8PG6&{LjStd+wTS{ywf+r8c){Fw7DHJ3v*5k)|L?3S!q zbP5z6`kYizc=}CuOG3{YqWMkzu0#2P0QnmI$u~K#K~Iro?5E^YDuW$S|+sR~8$rdUByQsV_P>v_x(w2?Gm!jHndI z2#F7&i|t@W3Yo7u%v*x#wrLd$tyu#jEStURwynYp!R|n%X*B{|fl&4;#bq7J0l%by zGHdO?PQYe6w?%uhFrMp1CwF%(rkFPy>4A~rnfln}IUT`f4bOu|-$TQF_Ds#E>FZOhxCGD_OK^TUOS#})$mqNL@o>pBoN#dQ z$lS@?DFBuMFD72+neKW_0a{CI|DGLP$y)9UA6==`4wJ#sS_0(g@CWobO+U{ZN1~gt z`|Je>yMr6KQv|}&d-nSAL}eyXfE%cam#lqtSNVI8Gc*3LiswJP+@0#xwLiX6b--kZ zNeBexQK8jLl4Vp-+T(&4va*Oa&MrRS0Teu5-*tWN&QV&cDkXfBz+5~ZXq2W}NP+SR z76=&K+6{f#Io>{`)F6Q+t&=PKB9)QNJ<$;~)^lCp_v6paoCSNCcaHl=k zMO1{}R5V8uGIpXn&l5Q@VFiD;KU67I=rbN7mb%huzQ;@FG;ZeL?wg~<>@#lL$cGEi zlZCX@(Z}ZAeU(u5{ZLbOpZOtaG5opTia-9=nzaEv+r&-#HG%^#^@oA0GTb+FBoi;M zravBUH*V=Q8$yj5a~PDip@=D@??og@$7nRqfxc?cKXS>@yn94q&QXTie-35%bQ^fd zs7J?0WhA=zOqt<-Z6eJH-bzjM#%oX4Qwnl;%Z{_Yj;pjuX{Chj?etpe63v)sz zLx9Awn1X{EJci zi&6ZGQT&Th{EJcii&6ZGQT&Th{6EAfNUi?GDE`09DDD;-*Vy8OwvMQuDIlt6o#RAw zx|6|F&vh8Z0sDk?P~5d~P+}SV%GIe|*`=pkD+MMigQo*=3mXecjxtJ_K}}GUAmQ=A zoHRaRs4e%pJlOKQ^PLxUzfn|aYWv2);k^JA!xdb8JW(Rjvqe3E?c4C?>Uh zF0A|5$QLU&^k|tAr z&G!>OckYa<9x-x-3!=5IIM8AYH1$@*c;YZ#qp7j3?p%_o-0&qhyxFp3TYa)6+oaJb znBYOq0J!B!lhExgcafvu1QkzP_G->xrfsT)Wtxs*8M~u7M;=v_2{Mwi;1I?z|vc=~W;=AANgoE;wL4F|v)x%HDpZ5bj{3XSX1a)|X4&V